From a9ea18a660c08652bcaceebc9ee923a1c3354145 Mon Sep 17 00:00:00 2001 From: Philipp Date: Mon, 11 Mar 2019 21:14:37 +0100 Subject: [PATCH 001/673] Drawing Cells for Magic --- Tools/perl/draw.pl | 160 +++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 160 insertions(+) create mode 100644 Tools/perl/draw.pl diff --git a/Tools/perl/draw.pl b/Tools/perl/draw.pl new file mode 100644 index 00000000..fe40ae70 --- /dev/null +++ b/Tools/perl/draw.pl @@ -0,0 +1,160 @@ +#!/usr/bin/perl -w +use strict; + +##Initialze pointer +my $x=0; +my $y=0; + +my $psc_width=4; +my $psc_height=4; +my $psc_spacing=4; + +my $poly_width=2; +my $ndc_width=4; # NSC? +my $poly_to_ndc_spacing=1; + +my $nwell_over_active=6; + +my $poly_over_active=5; + +my $ground_strip_height=4; +my $power_strip_height=4; +my $nsc_width=4; +my $nsc_height=4; +my $nsc_spacing=4; +my $extension_over_active=3; +my $poly_to_active_spacing=1; +my $metal_width=3; +my $ndc_to_ndc_spacing=2; +my $active_width=3; +my $ndiff_height=17; +my $pdiff_height=17; + + + +my $fnin=$ARGV[0] || "../../Catalog/INV.cell"; +my $fnout=$ARGV[1] || "output.mag"; + +my @inputs=(); +my @outputs=(); + +if(open(IN,"<$fnin")) +{ + print "Parsing $fnin\n"; + while() + { + @inputs=split " ",$1 if(m/\.inputs (.*)/); + @outputs=split " ",$1 if(m/\.outputs (.*)/); + } + close IN; +} + +my $euler=`perl euler.pl --network nmos --power $fnin`; +my @eulerp=split ",",$euler; + +my @eulers=(); +foreach(@eulerp) +{ + push @eulers,$_ if(m/^[A-W]\d*$/); +} + + +print "Inputs: ".join(",",@inputs)." Outputs: ".join("-",@outputs)." Euler: $euler Eulers: ".join(",",@eulers)."\n"; + + +my $number_of_inputs=scalar(@inputs); +print "Number of Inputs: $number_of_inputs\n"; + +if($number_of_inputs==0) +{ + print STDERR "Error: No inputs found.\n"; + exit; +} + +my $poly_spacing= $ndc_width + $poly_to_ndc_spacing*2 ; # =6 +my $ndiff_width=$poly_width*$number_of_inputs+$poly_spacing*($number_of_inputs+1); # 12+42=54; +my $ground_strip_width=$nwell_over_active+$ndiff_width+$nwell_over_active; # =66 +my $nwell_width=$ground_strip_width; # 66 +my $nwell_height=$extension_over_active+$psc_height+$poly_over_active+$ndiff_height+$nwell_over_active; # =35 +my $pdiff_width=$ndiff_width; +my $poly_height=52; # $extension_over_active+$ndiff_height+$nwell_over_active+?+$pdiff_height+$nwell_over_active +my $power_strip_width=$ground_strip_width; # 66 + + + +open MAG,">$fnout"; +print MAG "magic\ntech scmos\ntimestamp ".time()."\n"; + +#box position $x $y +sub box +{ + print MAG "<< $_[4] >>\nrect $_[0] $_[1] ".($_[0]+$_[2])." ".($_[1]+$_[3])."\n"; + if(defined($_[5])) + { + my $midx=$_[0]+$_[2]/2; + my $midy=$_[1]+$_[3]/2; + print MAG "<< labels >>\n"; + print MAG "rlabel $_[4] $midx $midy $midx $midy 1 $_[5]\n"; + } +} + + +##Create ground strips### +box($x,$y,$ground_strip_width,$ground_strip_height,"metal1","gnd!"); + + +##Create p-substrate contact## +my $psc_box_position=$x-4; +my $number_of_psc=$ground_strip_width/8; +for my $i (1 .. $number_of_psc) +{ + $psc_box_position+=8; + box($psc_box_position,$y,$psc_width,$psc_height,"psc"); + #box move right $psc_spacing +} + +##Create n-diff area## +my $ndiff_box_position_x=$x+6; +my $ndiff_box_position_y=$y+9; +box($ndiff_box_position_x,$ndiff_box_position_y,$ndiff_width,$ndiff_height,"ndiff"); + +#Create n-well area## +my $nwell_box_position_x=$x+0; +my $nwell_box_position_y=$y+32; +box($nwell_box_position_x,$nwell_box_position_y,$nwell_width,$nwell_height,"nwell"); + +##Create p-diff area## +my $pdiff_box_position_x=$x+6; +my $pdiff_box_position_y=$y+38; +box($pdiff_box_position_x,$pdiff_box_position_y,$pdiff_width,$pdiff_height,"pdiff"); + +##Create poly-strips## +my $poly_box_position_x=$x+12; +my $poly_box_position_y=$y+6; +my $number_of_poly_strips=$number_of_inputs; +foreach my $i (1 .. $number_of_inputs) +{ + box($poly_box_position_x,$poly_box_position_y,$poly_width,$poly_height,"poly",$eulers[$i-1]); + $poly_box_position_x+=8; +} + +##Create power strips### +box($x,$y+60,$power_strip_width,$power_strip_height,"metal1","vdd!"); + +##Create n-substrate contact## +my $nsc_box_position_x=$x-4; +my $nsc_box_position_y=$y+60; +my $number_of_nsc=int($power_strip_width/8); + +foreach my $i (1 .. $number_of_nsc) +{ + $nsc_box_position_x+=8; + box($nsc_box_position_x,$nsc_box_position_y,$nsc_width,$nsc_height,"nsc"); + #box move right $nsc_spacing +} + + + +print MAG "<< end >>\n"; +close MAG; +system "magic $fnout"; From 817032cf540082d1e87ece48fad0700138fb6c96 Mon Sep 17 00:00:00 2001 From: Philipp Date: Wed, 13 Mar 2019 09:19:36 +0100 Subject: [PATCH 002/673] Drawing SVG --- Tools/perl/draw.pl | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) diff --git a/Tools/perl/draw.pl b/Tools/perl/draw.pl index fe40ae70..94f70b5e 100644 --- a/Tools/perl/draw.pl +++ b/Tools/perl/draw.pl @@ -153,8 +153,10 @@ sub box #box move right $nsc_spacing } - - print MAG "<< end >>\n"; close MAG; -system "magic $fnout"; +system "magic -d XR $fnout"; +open MAGIC "|magic -d XR $fnout -noconsole"; +print MAGIC "plot svg $fnsvg\nquit\n"; +close MAGIC; + From 19ef82e5a197f40e085abb79854d2c61f9a103d4 Mon Sep 17 00:00:00 2001 From: Philipp Date: Sun, 17 Mar 2019 21:20:39 +0100 Subject: [PATCH 003/673] Added more comments for understandability --- Tools/perl/euler.pl | 28 ++++++++++++++++------------ 1 file changed, 16 insertions(+), 12 deletions(-) diff --git a/Tools/perl/euler.pl b/Tools/perl/euler.pl index be91c465..126d0a02 100644 --- a/Tools/perl/euler.pl +++ b/Tools/perl/euler.pl @@ -5,6 +5,7 @@ use Carp; use Getopt::Long; +# Parameters and their default values: our $debug=0; our $network="both"; # both,pmos,nmos our $power=0; @@ -28,7 +29,8 @@ EOF ; } - + +# Function which generates the Euler path from a given graph: sub eulerPath { my %graph = @_; @@ -84,33 +86,32 @@ sub eulerPath { } - +# Some sample graphs for testing the algorithm: my %graphs = (); # 1 => ["B",3], "B" => [1,3,4,5], 3 =>[1,"B",4,5], 4 => ["B",3,5], 5 => ["B",3,4]); - #%graphs=(1 => [2,3], 2=>[1,4],3=>[1,4],4=>[3,2,5,5],5=>[4,4]); #%graphs=(5 => [6,6,7], 6=>[5,5,0,0],0=>[6,6,7],7=>[5,0]); - %graphs=(1=>["A","B"], "A"=>[1,3], "B"=>[1,2], 2=>["B","D"], 3=>["A","C"], "C"=>[3,4], "D"=>[2,4], 4=>["C","D","E","F"], "E"=>[4,5], "F"=>[4,5], 5=>["E","F"]); +# Loading the cell if($ARGV[0] && open(IN,"<$ARGV[0]")) { %graphs=(); - while() + while() # reading each line { - if(m/^(\w+) (\w+) (\w+) ([pn]mos)/i) + if(m/^(\w+) (\w+) (\w+) ([pn]mos)/i) # Does the line define a transistor? { - my($g,$d,$s,$t)=($1,$2,$3,$4); - next if(lc($network) eq "pmos" && lc($t) eq "nmos"); - next if(lc($network) eq "nmos" && lc($t) eq "pmos"); + my($g,$d,$s,$t)=($1,$2,$3,$4); # Extract all the parameters from the line + next if(lc($network) eq "pmos" && lc($t) eq "nmos"); # If we only look for pmos transistors we skip nmos transistors + next if(lc($network) eq "nmos" && lc($t) eq "pmos"); # and vice versa print "$_" if($debug); - if($power || $d!~m/(gnd|vdd)/i) + if($power || $d!~m/(gnd|vdd)/i) # We skip power connections when needed { - push @{$graphs{$g}},$d; + push @{$graphs{$g}},$d; # Here we add the gate-drain connection to the graph push @{$graphs{$d}},$g; } if($power || $s!~m/(gnd|vdd)/i) { - push @{$graphs{$g}},$s; + push @{$graphs{$g}},$s; # Here we add the source-gate connection to the graph push @{$graphs{$s}},$g; } } @@ -118,7 +119,10 @@ sub eulerPath { close IN; } +# Done with parsing the cell file. Now the euler path is generated: + my @path = eulerPath(%graphs); +# The euler path has been generated, now it is being written out: if($debug) { print "Original graph:\n"; From 75f37a2f958c52d8ac267b2a9f38649f6c17066c Mon Sep 17 00:00:00 2001 From: Philipp Date: Sun, 17 Mar 2019 21:45:09 +0100 Subject: [PATCH 004/673] More documentation --- Tools/perl/truthtable.pl | 40 ++++++++++++++++++++++++++++++---------- 1 file changed, 30 insertions(+), 10 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index b0d9d3c6..e0cc0bd8 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -2,13 +2,16 @@ use strict; use Getopt::Long; +# Parameters and their default values: our $debug=0; our $format="text"; # html latex +# Parsing the commandline parameters: GetOptions ("debug" => \$debug, "v" => \$debug, "format=s" => \$format); +# Convert a value to the gray code value: sub bin2gray { return $_[0] ^ ($_[0] >> 1); @@ -19,6 +22,7 @@ sub verb # verbose debug output print $_[0] if($debug); } +# Calculating the truth table for sub truth { my @l=@{$_[0]}; @@ -101,38 +105,44 @@ sub truth return %iv; } -if(!scalar(@ARGV)) + +if(!scalar(@ARGV)) # no parameters were given { print "Calculates the truthtable for a given cell\n"; print "Usage: truthtable.pl \n"; } +# Take all the given filenames from the commandline foreach my $file(@ARGV) { + # Open each file if(open(IN,"<$file")) { print STDERR "Analyzing $file\n"; - my @lines=; + my @lines=; # Read all lines into an array close IN; my %inputs=(); my %intermediates=(); my %outputs=(); + # Here we are parsing all transistor lines for input-, output- and intermediate nets foreach(@lines) { - next if(m/^#/); + next if(m/^#/); # Ignore comment lines $inputs{$1}=1 if(m/^([A-W]\d*) .*[pn]mos/); $intermediates{$1}=1 if(m/^([X-Y]\d*) .*[pn]mos/); $outputs{$1}=1 if(m/^\w+ ([X-Z]\d*) .*[pn]mos/); } - delete($outputs{"Y"}) if(defined($outputs{"Z"})); + delete($outputs{"Y"}) if(defined($outputs{"Z"})); # If we have Z, then Y is an internal net and Z is the output net my @ins=sort keys %inputs; my @outs=sort keys %outputs; - my $ninputs=scalar(keys %inputs); my $combinations=2**$ninputs; + my $ninputs=scalar(keys %inputs); my $noutputs=scalar(keys %outputs); + my $combinations=2**$ninputs; # We calculate the number of possible combinations in the truthtable + verb "Number of Inputs: $ninputs (".join(",",@ins).") -> Combinations: $combinations\n"; verb "Number of Outputs: $noutputs (".join(",",@outs).")\n"; @@ -141,6 +151,7 @@ sub truth die "ERROR: A cell without an input!\n"; } + # Now we start with the header of the output files: if($format eq "text") { print join(" ",@ins)."->".join(" ",@outs); print "\n"; @@ -201,11 +212,14 @@ sub truth { print ""; } + my %values=(); our %sum=(); our %results=(); + # Now we calculate all the truth-table values: foreach my $i(0 .. 2**$ninputs-1) { + # We count from 0 .. 2^n-1 and take the graycode, and then interpret that as a binary value for the input stimulus: my $gray=bin2gray($i); print " " if($format eq "latex"); foreach(0 .. $ninputs-1) @@ -214,17 +228,20 @@ sub truth print "".($gray&(1<<$_))?"1 ":"0 "; $values{$ins[$_]}=($gray&(1<<$_))?1:0; } + # Here we are using the truth function to calculate all network states for the given inputs: my %res=truth(\@lines,\%values); - + # The result is a hash with the intermediate/output netnames as keys and the resulting values as values + + # Now we are analyzing the results foreach my $out (@outs) { - $sum{$out}{$res{$out}}++; + $sum{$out}{$res{$out}}++; # We are counting the occurance of all output values of the whole truthtable to decide, which value is more often used, which helps to decide whether the function can be represented in a shorter way with a negation my @a=(); foreach(@ins) { - push @a,$res{$_}?"$_":"!$_"; + push @a,$res{$_}?"$_":"!$_"; # Here we are collecting all values for a AO representation, e.g. (A && !B && C) || (!A && B && C)) } - push @{$results{$out}{$res{$out}}},join(" && ",@a); + push @{$results{$out}{$res{$out}}},join(" && ",@a); # Here the single values are put together: (A && !B && C) } if($format eq "text") @@ -242,9 +259,11 @@ sub truth print "\\\\ \\hline" if($format eq "latex"); print "\n"; } - foreach my $out (@outs) + + foreach my $out (@outs) # We might have more than one output of a cell { my $not=$sum{$out}{0}>$sum{$out}{1}?1:0; + # If we have more 0 than 1 results, then the negated inverse is shorted: if($not) { print "FUNCTION: $out = (".join(" || ",@{$results{$out}{$not}}).")"; @@ -253,6 +272,7 @@ sub truth { print "FUNCTION: $out = ! (".join(" || ",@{$results{$out}{$not}}).")"; } + # TODO: We should try more functional representations like AOI, OAI, OR, NOR and see which one is the shortest representation } print "\n" if($format eq "text"); From cdcf4c01946c97c5c18a3cd94758a5e8977f43de Mon Sep 17 00:00:00 2001 From: Philipp Date: Sun, 17 Mar 2019 21:53:06 +0100 Subject: [PATCH 005/673] More documentation --- Tools/perl/draw.pl | 14 ++++++++++---- 1 file changed, 10 insertions(+), 4 deletions(-) diff --git a/Tools/perl/draw.pl b/Tools/perl/draw.pl index 94f70b5e..f32a660b 100644 --- a/Tools/perl/draw.pl +++ b/Tools/perl/draw.pl @@ -38,6 +38,8 @@ my @inputs=(); my @outputs=(); +# Loading the cell file and parsing the inputs and outputs: +# TODO: This does not work when the inputs and ouputs are not added in the header! if(open(IN,"<$fnin")) { print "Parsing $fnin\n"; @@ -49,13 +51,15 @@ close IN; } +# Generating the euler path from the cell netlist. We need the nmos transistors and we need the VDD+GND nets. +# TODO: The pmos euler network might not work for the calculated nmos network. How do we want to handle that? my $euler=`perl euler.pl --network nmos --power $fnin`; my @eulerp=split ",",$euler; my @eulers=(); foreach(@eulerp) { - push @eulers,$_ if(m/^[A-W]\d*$/); + push @eulers,$_ if(m/^[A-W]\d*$/); # We extract only the inputs from the euler path which also contained the nets } @@ -71,21 +75,22 @@ exit; } +# Now the graphical dimensions are calculated, primarily based on the number of inputs: my $poly_spacing= $ndc_width + $poly_to_ndc_spacing*2 ; # =6 my $ndiff_width=$poly_width*$number_of_inputs+$poly_spacing*($number_of_inputs+1); # 12+42=54; my $ground_strip_width=$nwell_over_active+$ndiff_width+$nwell_over_active; # =66 my $nwell_width=$ground_strip_width; # 66 my $nwell_height=$extension_over_active+$psc_height+$poly_over_active+$ndiff_height+$nwell_over_active; # =35 my $pdiff_width=$ndiff_width; -my $poly_height=52; # $extension_over_active+$ndiff_height+$nwell_over_active+?+$pdiff_height+$nwell_over_active +my $poly_height=52; # TODO this hardcoded value needs to be made dynamic # $extension_over_active+$ndiff_height+$nwell_over_active+?+$pdiff_height+$nwell_over_active my $power_strip_width=$ground_strip_width; # 66 - +# Now generate the file for the tool magic: open MAG,">$fnout"; print MAG "magic\ntech scmos\ntimestamp ".time()."\n"; -#box position $x $y +#box draws a box at position ($x $y $sizex $sizey label) sub box { print MAG "<< $_[4] >>\nrect $_[0] $_[1] ".($_[0]+$_[2])." ".($_[1]+$_[3])."\n"; @@ -156,6 +161,7 @@ sub box print MAG "<< end >>\n"; close MAG; system "magic -d XR $fnout"; +# Now we need magic 4.2 with kairos support compiled in for SVG export: open MAGIC "|magic -d XR $fnout -noconsole"; print MAGIC "plot svg $fnsvg\nquit\n"; close MAGIC; From 104f7bb496f908f0f477c988a16abddcb092c7dd Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 18 Mar 2019 11:53:41 +0000 Subject: [PATCH 006/673] Converting the cell definitions to SPICE format for use with LibreCell --- Tools/perl/cell2spice.pl | 31 +++++++++++++++++++++++++++++++ 1 file changed, 31 insertions(+) create mode 100644 Tools/perl/cell2spice.pl diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl new file mode 100644 index 00000000..f63d6634 --- /dev/null +++ b/Tools/perl/cell2spice.pl @@ -0,0 +1,31 @@ +open OUT,">libresilicon.spice"; + +foreach my $fn (<*.cell>) +{ + my $short=$fn; $short=~s/\.cell//; + + print OUT ".subckt $short Y B vdd gnd A\n"; + + open IN,"<$fn"; + my $M=0; + while() + { + if(m/^(\w+) (\w+) (\w+) ([pn]mos)/i) + { + my($g,$d,$s,$t)=($1,$2,$3,$4); + my $x=($t eq "pmos")?"vdd":"gnd"; + print OUT "M$M $g $d $s $x $t w=0.5u l=0.05u\n"; + #M1 vdd B a_2_6# vdd pmos w=0.5u l=0.05u + #M2 Y a_2_6# vdd vdd pmos w=0.5u l=0.05u + #M3 a_9_6# A a_2_6# gnd nmos w=0.5u l=0.05u + #M4 gnd B a_9_6# gnd nmos w=0.5u l=0.05u + #M5 Y a_2_6# gnd gnd nmos w=0.25u l=0.05u + print OUT "+ ad=0p pd=0u as=0p ps=0u\n"; + $M++; + } + } + print OUT ".ends $short\n\n"; +} + +close OUT; + From e2091b18601ed8387c16cbaec6725f6def760b90 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 18 Mar 2019 13:01:20 +0000 Subject: [PATCH 007/673] Various fixes --- Tools/perl/cell2spice.pl | 17 ++++++++++++----- 1 file changed, 12 insertions(+), 5 deletions(-) mode change 100644 => 100755 Tools/perl/cell2spice.pl diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl old mode 100644 new mode 100755 index f63d6634..818feb74 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -1,29 +1,36 @@ -open OUT,">libresilicon.spice"; +open OUT,">libresilicon.sp"; foreach my $fn (<*.cell>) { my $short=$fn; $short=~s/\.cell//; - - print OUT ".subckt $short Y B vdd gnd A\n"; + my $transistors=""; open IN,"<$fn"; my $M=0; + my $ios=""; while() { + if(m/^\.(INPUT|OUTPUT) (.*)/) + { + $ios.=" ".$2; + } if(m/^(\w+) (\w+) (\w+) ([pn]mos)/i) { my($g,$d,$s,$t)=($1,$2,$3,$4); my $x=($t eq "pmos")?"vdd":"gnd"; - print OUT "M$M $g $d $s $x $t w=0.5u l=0.05u\n"; + $transistors.="M$M $d $g $s $x $t w=0.5u l=0.05u\n"; #M1 vdd B a_2_6# vdd pmos w=0.5u l=0.05u #M2 Y a_2_6# vdd vdd pmos w=0.5u l=0.05u #M3 a_9_6# A a_2_6# gnd nmos w=0.5u l=0.05u #M4 gnd B a_9_6# gnd nmos w=0.5u l=0.05u #M5 Y a_2_6# gnd gnd nmos w=0.25u l=0.05u - print OUT "+ ad=0p pd=0u as=0p ps=0u\n"; + $transistors.="+ ad=0p pd=0u as=0p ps=0u\n"; $M++; } } + $ios=~s/,/ /g;$ios=~s/ / /g; $ios=~s/^ //; $ios=~s/ $//; + print OUT ".subckt $short vdd gnd $ios\n"; + print OUT $transistors; print OUT ".ends $short\n\n"; } From 5c9e8159c097da68901f72dc997428559501c070 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 18 Mar 2019 13:06:39 +0000 Subject: [PATCH 008/673] Fixed naming of inputs and outputs --- Tools/tcl/popcorn | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/tcl/popcorn b/Tools/tcl/popcorn index 10e9819c..f601fb72 100755 --- a/Tools/tcl/popcorn +++ b/Tools/tcl/popcorn @@ -117,9 +117,9 @@ proc export! {path cellid library} { # write out puts $f ".AUTOGENERATED by Popcorn Tcl Script" set myinputs [join [inputs? $cellid] ","] - puts $f ".INPUT $myinputs" + puts $f ".inputs $myinputs" set myoutputs [join [outputs? $cellid] ","] - puts $f ".OUTPUT $myoutputs" + puts $f ".outputs $myoutputs" puts $f ".ORDER \"Gate Drain Source MOSFET\"" set sorted [lsort -dictionary [dict keys [dict get [dict get $library $cellid] pullup]]] From f223b4c5b20a65537f2effb4ee34444fc73a1a89 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 18 Mar 2019 13:08:53 +0000 Subject: [PATCH 009/673] Changed whitespace to " " --- Tools/tcl/popcorn | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/tcl/popcorn b/Tools/tcl/popcorn index f601fb72..c31443f3 100755 --- a/Tools/tcl/popcorn +++ b/Tools/tcl/popcorn @@ -116,9 +116,9 @@ proc export! {path cellid library} { # write out puts $f ".AUTOGENERATED by Popcorn Tcl Script" - set myinputs [join [inputs? $cellid] ","] + set myinputs [join [inputs? $cellid] " "] puts $f ".inputs $myinputs" - set myoutputs [join [outputs? $cellid] ","] + set myoutputs [join [outputs? $cellid] " "] puts $f ".outputs $myoutputs" puts $f ".ORDER \"Gate Drain Source MOSFET\"" From f6a1b8fa6770a7e206433c468435e2de41f1f4fa Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 18 Mar 2019 13:19:38 +0000 Subject: [PATCH 010/673] Added inputs and outputs --- Catalog/AOI21.cell | 2 ++ Catalog/AOI31.cell | 2 ++ Catalog/OAI21.cell | 2 ++ Catalog/OAI31.cell | 2 ++ 4 files changed, 8 insertions(+) diff --git a/Catalog/AOI21.cell b/Catalog/AOI21.cell index 89b5db32..2a955fe2 100644 --- a/Catalog/AOI21.cell +++ b/Catalog/AOI21.cell @@ -1,5 +1,7 @@ .DESCRIPTION "a 2-1-input AND-OR-Invert gate" .SEE_ALSO "AOI21 - a 2-1-input AND-OR-Invert gate" +.inputs A B B1 +.outputs Y .ORDER "Gate Drain Source MOSFET" A Y 1 pmos B 1 vdd pmos diff --git a/Catalog/AOI31.cell b/Catalog/AOI31.cell index 400940a9..59127a13 100644 --- a/Catalog/AOI31.cell +++ b/Catalog/AOI31.cell @@ -1,5 +1,7 @@ .DESCRIPTION "a 3-1-input AND-OR-Invert gate" .SEE_ALSO "AOI31 - a 3-1-input AND-OR-Invert gate" +.inputs A B B1 B2 +.outputs Y .ORDER "Gate Drain Source MOSFET" A Y 1 pmos B 1 vdd pmos diff --git a/Catalog/OAI21.cell b/Catalog/OAI21.cell index 9553df49..97cc331f 100644 --- a/Catalog/OAI21.cell +++ b/Catalog/OAI21.cell @@ -1,5 +1,7 @@ .DESCRIPTION "a 2-1-input OR-AND-Invert gate" .SEE_ALSO "OAI21 - a 2-1-input OR-AND-Invert gate" +.inputs A B B1 +.outputs Y .ORDER "Gate Drain Source MOSFET" A Y vdd pmos B Y 1 pmos diff --git a/Catalog/OAI31.cell b/Catalog/OAI31.cell index 71dc1fcd..5c635ccf 100644 --- a/Catalog/OAI31.cell +++ b/Catalog/OAI31.cell @@ -1,5 +1,7 @@ .DESCRIPTION "a 3-1-input OR-AND-Invert gate" .SEE_ALSO "OAI31 - a 3-1-input OR-AND-Invert gate" +.inputs A B B1 B2 +.outputs Y .ORDER "Gate Drain Source MOSFET" A Y vdd pmos B Y 1 pmos From 49df34ae053fee964421c5766ea28a9156de216e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 18 Mar 2019 13:20:15 +0000 Subject: [PATCH 011/673] Added inputs and outputs --- Catalog/AND4.cell | 13 +++++++------ Catalog/NAND2.cell | 9 +++++---- Catalog/NAND3.cell | 11 ++++++----- Catalog/NOR2.cell | 5 +++-- Catalog/NOR3.cell | 9 +++++---- Catalog/OR4.cell | 11 ++++++----- 6 files changed, 32 insertions(+), 26 deletions(-) diff --git a/Catalog/AND4.cell b/Catalog/AND4.cell index 78ae146d..d098baad 100644 --- a/Catalog/AND4.cell +++ b/Catalog/AND4.cell @@ -1,13 +1,14 @@ -.DESCRIPTION "a 4-input AND gate" -.SEE_ALSO "NAND3 - a 3-input Not-AND (or NAND) gate" +.AUTOGENERATED by Popcorn Tcl Script +.inputs D C B A +.outputs Z .ORDER "Gate Drain Source MOSFET" A Y vdd pmos B Y vdd pmos C Y vdd pmos D Y vdd pmos Y Z vdd pmos -A Y 2 nmos -B 2 4 nmos -C 4 6 nmos -D 6 gnd nmos +A Y 1 nmos +B 1 2 nmos +C 2 3 nmos +D 3 gnd nmos Y Z gnd nmos diff --git a/Catalog/NAND2.cell b/Catalog/NAND2.cell index ab84e390..14d7494d 100644 --- a/Catalog/NAND2.cell +++ b/Catalog/NAND2.cell @@ -1,7 +1,8 @@ -.DESCRIPTION "a 2-input Not-AND (or NAND) gate" -.SEE_ALSO "NAND3 - a 3-input Not-AND (or NAND) gate" +.AUTOGENERATED by Popcorn Tcl Script +.inputs B A +.outputs Y .ORDER "Gate Drain Source MOSFET" A Y vdd pmos B Y vdd pmos -A Y 2 nmos -B 2 gnd nmos +A Y 1 nmos +B 1 gnd nmos diff --git a/Catalog/NAND3.cell b/Catalog/NAND3.cell index 8245bbac..df7acd04 100644 --- a/Catalog/NAND3.cell +++ b/Catalog/NAND3.cell @@ -1,9 +1,10 @@ -.DESCRIPTION "a 3-input Not-AND (or NAND) gate" -.SEE_ALSO "NAND2 - a 2-input Not-AND (or NAND) gate" +.AUTOGENERATED by Popcorn Tcl Script +.inputs C B A +.outputs Y .ORDER "Gate Drain Source MOSFET" A Y vdd pmos B Y vdd pmos C Y vdd pmos -A Y 2 nmos -B 2 4 nmos -C 4 gnd nmos +A Y 1 nmos +B 1 2 nmos +C 2 gnd nmos diff --git a/Catalog/NOR2.cell b/Catalog/NOR2.cell index 9eb76135..2740bfaf 100644 --- a/Catalog/NOR2.cell +++ b/Catalog/NOR2.cell @@ -1,5 +1,6 @@ -.DESCRIPTION "a 2-input Not-OR (or NOR) gate" -.SEE_ALSO "NOR3 - a 3-input Not-OR (or NOR) gate" +.AUTOGENERATED by Popcorn Tcl Script +.inputs B A +.outputs Y .ORDER "Gate Drain Source MOSFET" A Y 1 pmos B 1 vdd pmos diff --git a/Catalog/NOR3.cell b/Catalog/NOR3.cell index a54fa2c1..16af03a6 100644 --- a/Catalog/NOR3.cell +++ b/Catalog/NOR3.cell @@ -1,9 +1,10 @@ -.DESCRIPTION "a 3-input Not-OR (or NOR) gate" -.SEE_ALSO "NOR2 - a 2-input Not-OR (or NOR) gate" +.AUTOGENERATED by Popcorn Tcl Script +.inputs C B A +.outputs Y .ORDER "Gate Drain Source MOSFET" A Y 1 pmos -B 1 3 pmos -C 3 vdd pmos +B 1 2 pmos +C 2 vdd pmos A Y gnd nmos B Y gnd nmos C Y gnd nmos diff --git a/Catalog/OR4.cell b/Catalog/OR4.cell index 178753fb..a06b2dec 100644 --- a/Catalog/OR4.cell +++ b/Catalog/OR4.cell @@ -1,10 +1,11 @@ -.DESCRIPTION "a 4-input OR gate" -.SEE_ALSO "NOR3 - a 3-input Not-OR (or NOR) gate" +.AUTOGENERATED by Popcorn Tcl Script +.inputs D C B A +.outputs Z .ORDER "Gate Drain Source MOSFET" A Y 1 pmos -B 1 3 pmos -C 3 5 pmos -D 5 vdd pmos +B 1 2 pmos +C 2 3 pmos +D 3 vdd pmos Y Z vdd pmos A Y gnd nmos B Y gnd nmos From a9fdff81c5e217a514cc0c712ce6381bdb7bccf9 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 29 May 2019 00:00:26 +0200 Subject: [PATCH 012/673] [TOOLS] Rectify Popcorn lib --- Tools/popcorn/popcorn-lib.scm | 879 ++++++++++++++++++++++++++-------- 1 file changed, 675 insertions(+), 204 deletions(-) diff --git a/Tools/popcorn/popcorn-lib.scm b/Tools/popcorn/popcorn-lib.scm index 5fb20863..f1646e66 100644 --- a/Tools/popcorn/popcorn-lib.scm +++ b/Tools/popcorn/popcorn-lib.scm @@ -45,6 +45,7 @@ (define-library (popcorn-lib) (import (scheme base) + (scheme char) ; digit-value (scheme sort) ; list-sort (scheme write) ; display (scheme time)) ; current-second @@ -53,42 +54,51 @@ NAND2-cell NOR2-cell ; cell descriptions - cell-id cell-id! - cell-text cell-text! - cell-inputs cell-inputs! - cell-outputs cell-outputs! - cell-clocks cell-clocks! - cell-netlist cell-netlist! - cell-additional cell-additional! + cell-id cell-id! + cell-text cell-text! + cell-inputs cell-inputs! + cell-outputs cell-outputs! + cell-clocks cell-clocks! + cell-netlist cell-netlist! + cell-additional cell-additional! ; mosfet descriptions mosfet-type - mosfet-nmos? - mosfet-pmos? - mosfet-gate - mosfet-drain - mosfet-source - mosfet-bulk - mosfet-stacked - mosfet-xaxis - mosfet-yaxis + mosfet-nmos? mosfet-nmos! + mosfet-pmos? mosfet-pmos! + mosfet-gate mosfet-gate! + mosfet-drain mosfet-drain! + mosfet-source mosfet-source! + mosfet-bulk mosfet-bulk! + mosfet-stacked mosfet-stacked! + mosfet-xaxis mosfet-xaxis! + mosfet-yaxis mosfet-yaxis! ; node descriptions input-space? output-space? clock-space? node-space? - supply-symbol-space? - ground-symbol-space? + supply-space? + ground-space? + ; functions on netlists + pullup-network + pulldown-network + vdd-mosfets + gnd-mosfets + ;node-mosfets + sort-mosfet-ascending + sort-mosfet-descending ; auxilary stuff copyleft-year stringlist->csv - stringlist->symbollist - symbollist->stringlist) + ;stringlist->symbollist + ;symbollist->stringlist + ) (begin ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test #t) + (define build-in-self-test? #t) ;; ------------------------------------------------------------------- ;; DESCRIPTION @@ -117,10 +127,10 @@ ; | ; _|_ Gnd - (define INV-cell '#(INV "a Not (or Inverter) gate" - (A) (Y) () - (#(pmos A Y VDD VDD 1 1 1) - #(nmos A Y GND GND 1 1 -1)) + (define INV-cell '#("INV" "a Not (or Inverter) gate" + ("A") ("Y") () + (#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) ()) ) @@ -145,12 +155,12 @@ ; | ; _|_ Gnd - (define NAND2-cell '#(NAND2 "a 2-input Not-AND (or NAND) gate" - (B A) (Y) () - (#(pmos B Y VDD VDD 1 2 1) - #(pmos A Y VDD VDD 1 1 1) - #(nmos A Y N2 GND 1 1 -1) - #(nmos B N2 GND GND 2 1 -2)) + (define NAND2-cell '#("NAND2" "a 2-input Not-AND (or NAND) gate" + ("B" "A") ("Y") () + (#("pmos" "B" "Y" "VDD" "VDD" 1 2 1) + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "N2" "GND" 1 1 -1) + #("nmos" "B" "N2" "GND" "GND" 2 1 -2)) ()) ) @@ -175,12 +185,12 @@ ; | | ; _|_ Gnd _|_ Gnd - (define NOR2-cell '#(NAND2 "a 2-input Not-AND (or NAND) gate" - (B A) (Y) () - (#(pmos B N1 VDD VDD 2 1 2) - #(pmos A Z N1 VDD 1 1 1) - #(nmos A Y GND GND 1 1 -1) - #(nmos B Y GND GND 1 2 -1)) + (define NOR2-cell '#("NAND2" "a 2-input Not-AND (or NAND) gate" + ("B" "A") ("Y") () + (#("pmos" "B" "N1" "VDD" "VDD" 2 1 2) + #("pmos" "A" "Z" "N1" "VDD" 1 1 1) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1) + #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) ()) ) @@ -190,18 +200,18 @@ ; define cell as vector: ; +---------------+ -; #0 | cell id | 'INV +; #0 | cell id | "INV" ; +---------------+ ; #1 | cell text | "a Not (or Inverter) gate" ; +---------------+ -; #2 | cell inputs | '(A) +; #2 | cell inputs | '("A") ; +---------------+ -; #3 | cell outputs | '(Y) +; #3 | cell outputs | '("Y") ; +---------------+ ; #4 | cell clocks | '() ; for latches ; +---------------+ -; #5 | netlist | '(#(pmos A Y VDD VDD 1 1 1) -; +---------------+ #(nmos A Y GND GND 1 1 -1)) +; #5 | netlist | '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) +; +---------------+ #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) ; #6 | additional | '() ; e.g. handover ASCII-Art ; +---------------+ @@ -223,7 +233,7 @@ ; get the cell ID out of a cell description vector ; Example: -; (cell-id INV-cell) => 'INV +; (cell-id INV-cell) => "INV" ; Definitions: (define cell-id @@ -233,9 +243,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (cell-id INV-cell) 'INV) + (if (equal? (cell-id INV-cell) "INV") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell-id test" (current-error-port)) @@ -246,18 +256,19 @@ ;; ------------ setter function : cell-id! ----------------------- ; Contract: -; cell-id! : cell symbol -> cell +; cell-id! : cell string -> cell ; Purpose: ; set the cell ID in a cell description vector ; Example: -; (cell-id! INV-cell 'INV) => 'INV-cell +; (cell-id! INV-cell "INV") => INV-cell ; Definitions: (define cell-id! (lambda (cell id) (vector-set! cell |cell-id#| id) + cell ) ) @@ -280,7 +291,7 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin (if (equal? (cell-text INV-cell) "a Not (or Inverter) gate") (display "++ passed" (current-error-port)) @@ -305,19 +316,20 @@ (define cell-text! (lambda (cell text) (vector-set! cell |cell-text#| text) + cell ) ) ;; ------------ getter function : cell-inputs ------------------- ; Contract: -; cell-inputs : cell -> list-of-symbols +; cell-inputs : cell -> list-of-strings ; Purpose: ; get the cell input list out of a cell description vector ; Example: -; (cell-inputs INV-cell) => '(A) +; (cell-inputs INV-cell) => '("A") ; Definition: (define cell-inputs @@ -327,9 +339,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (cell-inputs INV-cell) '(A)) + (if (equal? (cell-inputs INV-cell) '("A")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell-inputs test" (current-error-port)) @@ -340,31 +352,32 @@ ;; ------------ setter function : cell-inputs! ------------------- ; Contract: -; cell-inputs! : cell list-of-symbols -> cell +; cell-inputs! : cell list-of-strings -> cell ; Purpose: ; set the cell input list in a cell description vector ; Example: -; (cell-inputs! INV-cell '(A)) => INV-cell +; (cell-inputs! INV-cell '("A")) => INV-cell ; Definition: (define cell-inputs! (lambda (cell list-of-inputs) (vector-set! cell |cell-inputs#| list-of-inputs) + cell ) ) ;; ------------ getter function : cell-outputs ------------------- ; Contract: -; cell-outputs : cell -> list-of-symbols +; cell-outputs : cell -> list-of-strings ; Purpose: ; get the cell output list out of a cell description vector ; Example: -; (cell-outputs INV-cell) => '(Y) +; (cell-outputs INV-cell) => '("Y") ; Definition: (define cell-outputs @@ -374,9 +387,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (cell-outputs INV-cell) '(Y)) + (if (equal? (cell-outputs INV-cell) '("Y")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell-outputs test" (current-error-port)) @@ -387,25 +400,26 @@ ;; ------------ setter function : cell-outputs! ------------------- ; Contract: -; cell-outputs! : cell list-of-symbols -> cell +; cell-outputs! : cell list-of-strings -> cell ; Purpose: ; set the cell output list of a cell description vector ; Example: -; (cell-outputs! INV-cell '(Y)) => INV-cell +; (cell-outputs! INV-cell '("Y")) => INV-cell ; Definition: (define cell-outputs! - (lambda (cell list-of-symbols) - (vector-set! cell |cell-outputs#| list-of-symbols) + (lambda (cell list-of-strings) + (vector-set! cell |cell-outputs#| list-of-string) + cell ) ) ;; ------------ getter function : cell-clocks ------------------- ; Contract: -; cell-clocks : cell -> list-of-symbols +; cell-clocks : cell -> list-of-strings ; Purpose: ; get the cell clock list out of a cell description vector @@ -421,7 +435,7 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin (if (equal? (cell-clocks INV-cell) '()) (display "++ passed" (current-error-port)) @@ -446,6 +460,7 @@ (define cell-clocks! (lambda (cell list-of-symbols) (vector-set! cell |cell-clocks#| list-of-symbols) + cell ) ) @@ -458,7 +473,7 @@ ; get the netlist out of a cell description vector ; Example: -; (cell-outputs INV-cell) => '(Y) +; (cell-netlist INV-cell) => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) ; Definition: (define cell-netlist @@ -468,10 +483,10 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (cell-netlist INV-cell) '(#(pmos A Y VDD VDD 1 1 1) - #(nmos A Y GND GND 1 1 -1))) + (if (equal? (cell-netlist INV-cell) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell-netlist test" (current-error-port)) @@ -488,12 +503,14 @@ ; set the netlist in a cell description vector ; Example: -; (cell-outputs! INV-cell '(Y)) => INV-cell +; (cell-netlist! INV-cell '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) +; #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) => INV-cell ; Definition: (define cell-netlist! (lambda (cell netlist) (vector-set! cell |cell-netlist#| netlist) + cell ) ) @@ -516,7 +533,7 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin (if (equal? (cell-additional INV-cell) '()) (display "++ passed" (current-error-port)) @@ -541,6 +558,7 @@ (define cell-additional! (lambda (cell list-of-symbols) (vector-set! cell |cell-additional#| list-of-symbols) + cell ) ) @@ -550,17 +568,17 @@ ; define transistor as vector: Example: ; +---------------+ -; #0 | circuit type | 'nmos +; #0 | circuit type | "nmos" ; +---------------+ -; #1 | gate node | 'A +; #1 | gate node | "A" ; +---------------+ -; #2 | drain node | 'X +; #2 | drain node | "X" ; +---------------+ -; #3 | source node | 'VDD +; #3 | source node | "VDD" ; +---------------+ -; #4 | bulk node | 'VDD +; #4 | bulk node | "VDD" ; +---------------+ -; #5 | stucked | 1 +; #5 | stacked | 1 ; +---------------+ ; #6 | x-axis point | 1 ; +---------------+ @@ -573,7 +591,7 @@ (define |drain-node#| 2) (define |source-node#| 3) (define |bulk-node#| 4) - (define |stucked#| 5) + (define |stacked#| 5) (define |xaxis-point#| 6) (define |yaxis-point#| 7) @@ -586,7 +604,7 @@ ; get the mosfet type out of mosfet transistor vector ; Example: -; (mosfet-type '#(nmos A Y VDD substrate 1 1 -1)) => 'nmos +; (mosfet-type '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "nmos" ; Definition: (define mosfet-type @@ -596,9 +614,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (mosfet-type '#(nmos A Y VDD substrate 1 1 -1)) 'nmos) + (if (equal? (mosfet-type '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "nmos") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-type test" (current-error-port)) @@ -615,19 +633,19 @@ ; check the mosfet type out of mosfet transistor vector ; Example: -; (mosfet-nmos? '#(nmos A Y VDD substrate 1 1 -1)) => #t +; (mosfet-nmos? '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => #t ; Definition: (define mosfet-nmos? (lambda (transistor) - (equal? (vector-ref transistor |circuit-type#|) 'nmos) + (equal? (vector-ref transistor |circuit-type#|) "nmos") ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (mosfet-nmos? '#(nmos A Y VDD substrate 1 1 -1)) + (if (mosfet-nmos? '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-nmos? test" (current-error-port)) @@ -635,6 +653,37 @@ ) ) +;; ------------ setter function : mosfet-nmos! ------------------- + +; Contract: +; mosfet-nmos! : mosfet -> mosfet + +; Purpose: +; set the mosfet type in a mosfet description vector to nmos + +; Example: +; (mosfet-nmos! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1)) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-nmos! + (lambda (transistor) + (vector-set! transistor |circuit-type#| "nmos") + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-nmos! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1)) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-nmos! test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ getter function : mosfet-pmos? ------------------- ; Contract: @@ -644,19 +693,19 @@ ; check the mosfet type out of mosfet transistor vector ; Example: -; (mosfet-pmos? '#(pmos A Y VDD substrate 1 1 -1)) => #t +; (mosfet-pmos? '#("pmos" "A" "Y" "VDD" "VDD" 1 1 -1)) => #t ; Definition: (define mosfet-pmos? (lambda (transistor) - (equal? (vector-ref transistor |circuit-type#|) 'pmos) + (equal? (vector-ref transistor |circuit-type#|) "pmos") ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (mosfet-pmos? '#(pmos A Y GND substrate 1 1 1)) + (if (mosfet-pmos? '#("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-pmos? test" (current-error-port)) @@ -664,6 +713,36 @@ ) ) +;; ------------ setter function : mosfet-pmos! ------------------- + +; Contract: +; mosfet-pmos! : mosfet -> mosfet + +; Purpose: +; set the mosfet type in a mosfet description vector to pmos + +; Example: +; (mosfet-pmos! '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => '#("pmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-pmos! + (lambda (transistor) + (vector-set! transistor |circuit-type#| "pmos") + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-pmos! '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + '#("pmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-pmos! test" (current-error-port)) + (newline (current-error-port)) + ) + ) ;; ------------ getter function : mosfet-gate ------------------- ; Contract: @@ -673,7 +752,7 @@ ; get the mosfet gate node out of mosfet transistor vector ; Example: -; (mosfet-gate '#(nmos A Y VDD substrate 1 1 -1)) => 'A +; (mosfet-gate '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "A" ; Definition: (define mosfet-gate @@ -683,9 +762,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (mosfet-gate '#(nmos A Y VDD substrate 1 1 -1)) 'A) + (if (equal? (mosfet-gate '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "A") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-gate test" (current-error-port)) @@ -693,6 +772,36 @@ ) ) +;; ------------ setter function : mosfet-gate! ------------------- + +; Contract: +; mosfet-gate! : mosfet string -> mosfet + +; Purpose: +; set the mosfet gate in a mosfet description vector + +; Example: +; (mosfet-gate! '#("nmos" "B" "Y" "GND" "GND" 1 1 -1) "A") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-gate! + (lambda (transistor gate) + (vector-set! transistor |gate-node#| gate) + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-gate! '#("nmos" "B" "Y" "GND" "GND" 1 1 -1) "A") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-gate! test" (current-error-port)) + (newline (current-error-port)) + ) + ) ;; ------------ getter function : mosfet-drain ------------------- ; Contract: @@ -702,7 +811,7 @@ ; get the mosfet drain node out of mosfet transistor vector ; Example: -; (mosfet-drain '#(nmos A Y VDD substrate 1 1 -1)) => 'X +; (mosfet-drain '#("nmos" "A" "Y" "VDD" "VDD" 1 1 -1)) => "Y" ; Definition: (define mosfet-drain @@ -712,9 +821,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (mosfet-drain '#(nmos A Y VDD substrate 1 1 -1)) 'Y) + (if (equal? (mosfet-drain '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "Y") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-drain test" (current-error-port)) @@ -722,6 +831,36 @@ ) ) +;; ------------ setter function : mosfet-drain! ------------------- + +; Contract: +; mosfet-drain! : mosfet string -> mosfet + +; Purpose: +; set the mosfet drain in a mosfet description vector + +; Example: +; (mosfet-drain! '#("nmos" "A" "Z" "GND" "GND" 1 1 -1) "Y") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-drain! + (lambda (transistor drain) + (vector-set! transistor |drain-node#| drain) + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-drain! '#("nmos" "A" "Z" "GND" "GND" 1 1 -1) "Y") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-drain! test" (current-error-port)) + (newline (current-error-port)) + ) + ) ;; ------------ getter function : mosfet-source ------------------- ; Contract: @@ -731,7 +870,7 @@ ; get the mosfet source node out of mosfet transistor vector ; Example: -; (mosfet-source '#(nmos A Y VDD substrate 1 1 -1)) => 'VDD +; (mosfet-source '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "GND" ; Definition: (define mosfet-source @@ -741,9 +880,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (mosfet-source '#(nmos A Y VDD substrate 1 1 -1)) 'VDD) + (if (equal? (mosfet-source '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "GND") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-source test" (current-error-port)) @@ -751,6 +890,36 @@ ) ) +;; ------------ setter function : mosfet-source! --------------- + +; Contract: +; mosfet-source! : mosfet string -> mosfet + +; Purpose: +; set the mosfet source in a mosfet description vector + +; Example: +; (mosfet-source! '#("nmos" "A" "Y" "VDD" "GND" 1 1 -1) "GND") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-source! + (lambda (transistor source) + (vector-set! transistor |source-node#| source) + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-source! '#("nmos" "A" "Y" "VDD" "GND" 1 1 -1) "GND") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-source! test" (current-error-port)) + (newline (current-error-port)) + ) + ) ;; ------------ getter function : mosfet-bulk ------------------- ; Contract: @@ -760,7 +929,7 @@ ; get the mosfet bulk node out of mosfet transistor vector ; Example: -; (mosfet-bulk '#(nmos A Y VDD substrate 1 1 -1)) => substrate +; (mosfet-bulk '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "GND" ; Definition: (define mosfet-bulk @@ -770,9 +939,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (mosfet-bulk '#(nmos A Y VDD substrate 1 1 -1)) 'substrate) + (if (equal? (mosfet-bulk '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "GND") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-bulk test" (current-error-port)) @@ -780,6 +949,97 @@ ) ) +;; ------------ setter function : mosfet-bulk! ------------------- + +; Contract: +; mosfet-bulk! : mosfet string -> mosfet + +; Purpose: +; set the mosfet bulk in a mosfet description vector + +; Example: +; (mosfet-bulk! '#("nmos" "A" "Y" "GND" "VDD" 1 1 -1) "GND") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-bulk! + (lambda (transistor bulk) + (vector-set! transistor |bulk-node#| bulk) + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-bulk! '#("nmos" "A" "Y" "GND" "VDD" 1 1 -1) "GND") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-bulk! test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ getter function : mosfet-stacked --------------- + +; Contract: +; mosfet-stacked : mosfet -> number + +; Purpose: +; get the mosfet number of stacked transistors out of mosfet transistor vector + +; Example: +; (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => 1 + +; Definition: + (define mosfet-stacked + (lambda (transistor) + (vector-ref transistor |stacked#|) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-stacked test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ setter function : mosfet-stacked! --------------- + +; Contract: +; mosfet-stacked! : mosfet number -> mosfet + +; Purpose: +; set the number of stacked transistors in a mosfet description vector + +; Example: +; (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-stacked! + (lambda (transistor stacked) + (vector-set! transistor |stacked#| stacked) + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1) 1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-stacked! test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ getter function : mosfet-xaxis ------------------- ; Contract: @@ -789,7 +1049,7 @@ ; get the mosfet x-axis coordinate out of mosfet transistor vector ; Example: -; (mosfet-xaxis '#(nmos A Y VDD substrate 1 1 -1)) => 1 +; (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => 1 ; Definition: (define mosfet-xaxis @@ -799,9 +1059,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (mosfet-xaxis '#(nmos A Y VDD substrate 1 1 -1)) 1) + (if (equal? (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-xaxis test" (current-error-port)) @@ -809,6 +1069,37 @@ ) ) +;; ------------ setter function : mosfet-xaxis! --------------- + +; Contract: +; mosfet-xaxis! : mosfet number -> mosfet + +; Purpose: +; set the mosfet xaxis in a mosfet description vector + +; Example: +; (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-xaxis! + (lambda (transistor xaxis) + (vector-set! transistor |xaxis-point#| xaxis) + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-xaxis! test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ getter function : mosfet-yaxis ------------------- ; Contract: @@ -818,7 +1109,7 @@ ; get the mosfet y-axis coordinate out of mosfet transistor vector ; Example: -; (mosfet-yaxis '#(nmos A Y VDD substrate 1 1 -1)) => 1 +; (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => -1 ; Definition: (define mosfet-yaxis @@ -828,9 +1119,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (mosfet-yaxis '#(nmos A Y VDD substrate 1 1 -1)) -1) + (if (equal? (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) -1) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-yaxis test" (current-error-port)) @@ -838,13 +1129,43 @@ ) ) +;; ------------ setter function : mosfet-yaxis! --------------- + +; Contract: +; mosfet-yaxis! : mosfet number -> mosfet + +; Purpose: +; set the mosfet yaxis in a mosfet description vector + +; Example: +; (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-yaxis! + (lambda (transistor yaxis) + (vector-set! transistor |yaxis-point#| yaxis) + transistor + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 1 0) -1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-yaxis! test" (current-error-port)) + (newline (current-error-port)) + ) + ) ;; ------------------------------------------------------------------- ;; NODE SPACES ;; ------------------------------------------------------------------- ;; ------------ input node names ------------------------------- - (define input-space '(A B C D E F G H I L M P R S T U V W)) + (define input-space '(#\A #\B #\C #\D #\E #\F #\H #\I #\K #\L #\M #\P #\R #\S #\T #\U #\W)) ; Contract: ; input-space? : list-of-ports -> boolean @@ -853,20 +1174,20 @@ ; check whether node is in defined input space for cells ; Example: -; (input-space? 'A) => #t -; (input-space? 'Z) => #f +; (input-space? "A0") => #t +; (input-space? "Z") => #f ; Definition: (define input-space? (lambda (node) - (if (memq node input-space) #t #f) + (if (memq (string-ref node 0) input-space) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (and (input-space? 'A) (not (input-space? 'Z))) + (if (and (input-space? "A0") (not (input-space? "Z"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " input-space? test" (current-error-port)) @@ -876,7 +1197,7 @@ ;; ------------ output node names ------------------------------- - (define output-space '(Q Y Z)) + (define output-space '(#\Q #\Y #\Z)) ; Contract: ; output-space? list-of-ports -> boolean @@ -885,20 +1206,20 @@ ; check wether node is in defined output space for cells ; Example: -; (output-space? 'A) => #f -; (output-space? 'Z) => #t +; (output-space? "A0") => #f +; (output-space? "Z") => #t ; Definition: (define output-space? (lambda (node) - (if (memq node output-space) #t #f) + (if (memq (string-ref node 0) output-space) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (and (output-space? 'Z) (not (output-space? 'A))) + (if (and (output-space? "Z") (not (output-space? "A0"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " output-space? test" (current-error-port)) @@ -908,7 +1229,7 @@ ;; ------------ clock node names ------------------------------- - (define clock-space '(X)) + (define clock-space '(#\X)) ; Contract: ; clock-space? list-of-ports -> boolean @@ -917,19 +1238,19 @@ ; check wether node is in defined clock space for cells ; Example: -; (clock-space? 'X) => #t +; (clock-space? "X0") => #t ; Definition: (define clock-space? (lambda (node) - (if (memq node clock-space) #t #f) + (if (memq (string-ref node 0) clock-space) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (clock-space? 'X) + (if (clock-space? "X0") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " clock-space? test" (current-error-port)) @@ -939,7 +1260,7 @@ ;; ------------ internal node names ------------------------------- - (define node-space '(N)) + (define node-space '(#\N)) ; Contract: ; node-space? list-of-nodes -> boolean @@ -948,19 +1269,20 @@ ; check wether node is in defined node space for cells ; Example: -; (node-space? 'N) => #t +; (node-space? "N2") => #t ; Definition: (define node-space? (lambda (node) - (if (memq node node-space) #t #f) +; (if (memq (string-ref node 0) node-space) #t #f) + (if (member (string-ref node 0) node-space) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (node-space? 'N) + (if (node-space? "N2") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " node-space? test" (current-error-port)) @@ -971,10 +1293,10 @@ ;; ------------ supply symbol node name space ------------------- ; Usually, SCHEME is case-insensitive but some implementations (and R7RS) are not. -; Hence, the list of symbols contains common low-case / upper-case variations. +; Hence, the member compare has a string-ci=? option. ; Definition: - (define supply-symbol-space '(vcc vdd Vcc Vdd VCC VDD)) + (define supply-space (list "vcc" "vdd")) ; Contract: ; sypply-symbol-space? list-of-ports -> boolean @@ -983,23 +1305,23 @@ ; check wether node is in defined list for sypply symbols ; Example: -; (supply-symbol-space? 'Vdd) => #t -; (supply-symbol-space? 'gnd) => #f +; (supply-space? "Vdd") => #t +; (supply-space? "GND") => #f ; Definition: - (define supply-symbol-space? + (define supply-space? (lambda (node) - (if (memq node supply-symbol-space) #t #f) + (if (member node supply-space string-ci=?) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (and (supply-symbol-space? 'Vdd) (not (supply-symbol-space? 'gnd))) + (if (and (supply-space? "Vdd") (not (supply-space? "gnd"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " supply-symbol-space? test" (current-error-port)) + (display " supply-space? test" (current-error-port)) (newline (current-error-port)) ) ) @@ -1007,35 +1329,35 @@ ;; ------------ ground plane node name space ------------------- ; Usually, SCHEME is case-insensitive but some implementations are not. -; Hence, the list of symbols contains common low-case / upper-case variations. +; Hence, the member compare has a string-ci=? option. ; Definition: - (define ground-symbol-space '(gnd vss Gnd Vss GND VSS)) + (define ground-space (list "gnd" "vss")) ; Contract: -; ground-symbol-space? list-of-ports -> boolean +; ground-space? list-of-ports -> boolean ; Purpose: ; check wether node is in defined list for ground symbols ; Example: -; (ground-symbol-space? 'Vdd) => #t -; (ground-symbol-space? 'gnd) => #f +; (ground-space? "gnd") => #t +; (ground-space? "vdd") => #f ; Definition: - (define ground-symbol-space? + (define ground-space? (lambda (node) - (if (memq node ground-symbol-space) #t #f) + (if (member node ground-space string-ci=?) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (and (ground-symbol-space? 'Gnd) (not (ground-symbol-space? 'vdd))) + (if (and (ground-space? "gnd") (not (ground-space? "vdd"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " ground-symbol-space? test" (current-error-port)) + (display " ground-space? test" (current-error-port)) (newline (current-error-port)) ) ) @@ -1047,19 +1369,19 @@ ;; ------------ filter network for pull-up ------------------- ; Contract: -; get-pullup-network : netlist -> netlist +; pullup-network : netlist -> netlist ; Purpose: ; get network with pull-up transistors only ; Example: -; (get-pullup-network (cell-netlist INV-cell)) => #('pmos 'A 'Y 'VDD 'VDD 1 1 1) +; (pullup-network (cell-netlist INV-cell)) => #('pmos "A" "Y" "VDD" 'VDD" 1 1 1) ; Note: ; Implementation with (map) or (filter) are better? ; Definition: - (define get-pullup-network + (define pullup-network (lambda (netlist) (cond ; empty list? @@ -1067,41 +1389,41 @@ ; if pMOS than add mosfet to netlist, go down recursive [(mosfet-pmos? (car netlist)) - (cons (car netlist) (get-pullup-network (cdr netlist)))] + (cons (car netlist) (pullup-network (cdr netlist)))] ; just go down - [else (get-pullup-network (cdr netlist))] + [else (pullup-network (cdr netlist))] ) ) ) -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + ; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? (begin - (if (equal? (get-pullup-network (cell-netlist INV-cell)) '(#(pmos A Y VDD VDD 1 1 1))) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " get-pullup-network test" (current-error-port)) - (newline (current-error-port)) - ) + (if (equal? (pullup-network (cell-netlist INV-cell)) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " pullup-network test" (current-error-port)) + (newline (current-error-port)) ) +) ;; ------------ filter network for pull-down ------------------- ; Contract: -; get-pulldown-network : netlist -> netlist +; pulldown-network : netlist -> netlist ; Purpose: ; get network with pull-down transistors only ; Example: -; (get-pulldown-network (cell-netlist INV-cell)) => #('nmos 'A 'Y 'GND 'GND 1 1 -1) +; (pulldown-network (cell-netlist INV-cell)) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1) ; Note: ; Implementation with (map) or (filter) are better? ; Definition: - (define get-pulldown-network + (define pulldown-network (lambda (netlist) (cond ; empty list? @@ -1109,21 +1431,21 @@ ; if nMOS than add mosfet to netlist, go down recursive [(mosfet-nmos? (car netlist)) - (cons (car netlist) (get-pulldown-network (cdr netlist)))] + (cons (car netlist) (pulldown-network (cdr netlist)))] ; just go down - [else (get-pulldown-network (cdr netlist))] + [else (pulldown-network (cdr netlist))] ) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (get-pulldown-network (cell-netlist INV-cell)) '(#(nmos A Y GND GND 1 1 -1))) + (if (equal? (pulldown-network (cell-netlist INV-cell)) '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " get-pulldown-network test" (current-error-port)) + (display " pulldown-network test" (current-error-port)) (newline (current-error-port)) ) ) @@ -1131,41 +1453,41 @@ ;; ------------ filter for VDD connected transistors ----------- ; Contract: -; get-vdd-mosfets : netlist -> netlist +; vdd-mosfets : netlist -> netlist ; Purpose: ; get all transistors which are connected to VDD ; Example: -; (get-vdd-mosfets (cell-netlist INV-cell)) => #('pmos 'A 'Y 'VDD 'VDD 1 1 1) +; (vdd-mosfets (cell-netlist INV-cell)) => #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) ; Note: ; Implementation with (map) or (filter) are better? ; Definition: - (define get-vdd-mosfets + (define vdd-mosfets (lambda (netlist) (cond ; empty list? [(null? netlist) netlist] ; if mosfet connected to supply power symbol, add them to netlist and go down recursive - [(supply-symbol-space? (mosfet-source (car netlist))) - (cons (car netlist) (get-vdd-mosfets (cdr netlist)))] + [(supply-space? (mosfet-source (car netlist))) + (cons (car netlist) (vdd-mosfets (cdr netlist)))] ; just go down - [else (get-vdd-mosfets (cdr netlist))] + [else (vdd-mosfets (cdr netlist))] ) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (get-vdd-mosfets (cell-netlist INV-cell)) '(#(pmos A Y VDD VDD 1 1 1))) + (if (equal? (vdd-mosfets (cell-netlist INV-cell)) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " get-vdd-mosfets test" (current-error-port)) + (display " vdd-mosfets test" (current-error-port)) (newline (current-error-port)) ) ) @@ -1173,41 +1495,41 @@ ;; ------------ filter for GND connected transistors ----------- ; Contract: -; get-gnd-mosfets : netlist -> netlist +; gnd-mosfets : netlist -> netlist ; Purpose: ; get all transistors which are connected to GND ; Example: -; (get-gnd-mosfets (cell-netlist INV-cell)) => #('nmos 'A 'Y 'GND 'GND 1 1 -1) +; (gnd-mosfets (cell-netlist INV-cell)) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1) ; Note: ; Implementation with (map) or (filter) are better? ; Definition: - (define get-gnd-mosfets + (define gnd-mosfets (lambda (netlist) (cond ; empty list? [(null? netlist) netlist] ; if mosfet connected to ground plane symbol, add them to netlist and go down recursive - [(ground-symbol-space? (mosfet-source (car netlist))) - (cons (car netlist) (get-gnd-mosfets (cdr netlist)))] + [(ground-space? (mosfet-source (car netlist))) + (cons (car netlist) (gnd-mosfets (cdr netlist)))] ; just go down - [else (get-gnd-mosfets (cdr netlist))] + [else (gnd-mosfets (cdr netlist))] ) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (get-gnd-mosfets (cell-netlist INV-cell)) '(#(nmos A Y GND GND 1 1 -1))) + (if (equal? (gnd-mosfets (cell-netlist INV-cell)) '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " get-gnd-mosfets test" (current-error-port)) + (display " gnd-mosfets test" (current-error-port)) (newline (current-error-port)) ) ) @@ -1215,16 +1537,16 @@ ;; ------------ filter for node connected transistors ----------- ; Contract: -; get-node-mosfets : netlist node -> netlist +; node-mosfets : netlist node -> netlist ; Purpose: ; get all transistors which are connected to this node ; Example: -; (get-node-mosfets (cell-netlist INV-cell) 'Y) => #('nmos 'A 'Y 'GND 'GND 1 1 -1) +; (node-mosfets (cell-netlist INV-cell) "Y") => INV-cell ; Definition: - (define get-node-mosfets + (define node-mosfets (lambda (netlist node) (cond ; empty list? @@ -1233,51 +1555,171 @@ ; if mosfet connected to node, add them to netlist and go down recursive ; on source pins .. [(equal? (mosfet-source (car netlist)) node) - (cons (car netlist) (get-node-mosfets (cdr netlist) node))] + (cons (car netlist) (node-mosfets (cdr netlist) node))] ; .. and drain pins [(equal? (mosfet-drain (car netlist)) node) - (cons (car netlist) (get-node-mosfets (cdr netlist) node))] + (cons (car netlist) (node-mosfets (cdr netlist) node))] ; just go down - [else (get-node-mosfets (cdr netlist) node)] + [else (node-mosfets (cdr netlist) node)] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (node-mosfets (cell-netlist INV-cell) "Y") (cell-netlist INV-cell)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " node-mosfets test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ filter for all intermediate nods --------------- + +; Contract: +; pullup-nodes : netlist -> list-of-nodes + +; Purpose: +; filter all nodes from pullup network into one list + +; Example: +; (pullup-nodes (cell-netlist INV-Cell)) => '() +; (pullup-nodes (cell-netlist NOR2-Cell)) => '("N1") + +; Definition: + (define pullup-nodes + (lambda (netlist) + (let ((network (pullup-network netlist))) + (cond + ; emtpy list? + [(null? network) network] + + ; nodes on drain? + [(node-space? (mosfet-drain (car network))) + (cons (mosfet-drain (car network)) (pullup-nodes (cdr network)))] + + ; nodes on source? + [(node-space? (mosfet-source (car network))) + (cons (mosfet-source (car network)) (pullup-nodes (cdr network)))] + + ; just go down + [else (pullup-nodes (cdr network))] + ) ) ) ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (get-node-mosfets (cell-netlist INV-cell) 'Y) (cell-netlist INV-cell)) + (display (pullup-nodes (cell-netlist NOR2-cell))) + (if (equal? (pullup-nodes (cell-netlist NOR2-cell)) '("N1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " get-node-mosfets test" (current-error-port)) + (display " pullup-nodes test" (current-error-port)) (newline (current-error-port)) ) ) +;; ------------ calculate next free pullup node --------------- + +; Congtract: +; pullup-node : cell -> node + +; Purpose: +; search for highest used node in pullup network, calculate next + +; Example: +; (pullup-node INV-cell) => N1 + +; Definition: + (define pullup-node + (lambda (cell) + ) + ) + +;; ------------ length-1st length-2nd) #f] + ; character of 1st name is smaller than 2nd + [(< (char->integer (string-ref 1st 0)) (char->integer (string-ref 2nd 0))) #t] + [else + (if (> length-1st 1) + ; check numbers + (< (string->number (substring 1st 1 length-1st)) (string->number (substring 2nd 1 length-2nd))) + ; otherwise false + #f) + ] + ) + ) + ) + ) + ) + +;; ------------ >mosfets operator ------------------------------- + + (define >mosfet + (lambda (kar kdr) + (let ((1st (mosfet-gate kar)) + (2nd (mosfet-gate kdr))) + (let ((length-1st (string-length 1st)) + (length-2nd (string-length 2nd))) + (cond + ; 1st name is longer than 2nd + [(> length-1st length-2nd) #t] + ; 1st name is shorter than 2nd + [(< length-1st length-2nd) #f] + ; character of 1st name is bigger than 2nd + [(> (char->integer (string-ref 1st 0)) (char->integer (string-ref 2nd 0))) #t] + [else + (if (> length-1st 1) + ; check numbers + (> (string->number (substring 1st 1 length-1st 1)) (string->number (substring 2nd 1 length-2nd))) + ; otherwise false + #f) + ] + ) + ) + ) + ) + ) + ;; ------------ sort mosfets ascending --------------------------- ; Contract: -; sort-mosfets-ascending : netlist -> netlist +; sort-mosfet-ascending : netlist -> netlist ; Purpose: ; sort all transistors regarding their names ; Example: -; (sort-mosfets-ascending (cell-netlist INV-cell)) => (cell-netlist INV-cell) +; (sort-mosfet-ascending '(#("nmos" "B" "N2" "GND" "GND" 2 1 -2) #("nmos" "A" "Y" "N2" GND 1 1 -1))) => (pulldown-network (cell-netlist NAND2-cell)) ; Definition: (define sort-mosfet-ascending (lambda (netlist) - netlist ; !! + (list-sort netlist + +; Purpose: +; sort all transistors regarding their names + +; Example: +; (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) => (pullup-network (cell-netlist NAND2-cell)) + +; Definition: + (define sort-mosfet-descending + (lambda (netlist) + (list-sort >mosfet netlist) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) (pullup-network (cell-netlist NAND2-cell))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " sort-mosfet-descending test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------------------------------------------------------------- ;; AUXILARY STUFF ;; ------------------------------------------------------------------- @@ -1317,7 +1788,7 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin (if (> (copyleft-year) 2018) (display "++ passed" (current-error-port)) @@ -1349,7 +1820,7 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin (if (equal? (stringlist->csv '("C2" "B1" "A0")) "C2, B1, A0") (display "++ passed" (current-error-port)) @@ -1378,7 +1849,7 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin (if (equal? (stringlist->symbollist (list "C2" "B1" "A0")) '(C2 B1 A0)) (display "++ passed" (current-error-port)) @@ -1407,7 +1878,7 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin (if (equal? (symbollist->stringlist '(C2 B1 A0)) (list "C2" "B1" "A0")) (display "++ passed" (current-error-port)) From e101b63ac353391b56e7eb0fd5fdc4806c0157be Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 2 Jun 2019 21:06:28 +0200 Subject: [PATCH 013/673] [TOOLS] Rectify popcorn lib with complementary mosfet search --- Tools/popcorn/popcorn-lib.scm | 156 +++++++++++++++++++++++++++------- 1 file changed, 124 insertions(+), 32 deletions(-) diff --git a/Tools/popcorn/popcorn-lib.scm b/Tools/popcorn/popcorn-lib.scm index f1646e66..bfb0df77 100644 --- a/Tools/popcorn/popcorn-lib.scm +++ b/Tools/popcorn/popcorn-lib.scm @@ -84,7 +84,10 @@ pulldown-network vdd-mosfets gnd-mosfets - ;node-mosfets + input-mosfets + complementary-mosfets + pullup-nodes + pulldown-nodes sort-mosfet-ascending sort-mosfet-descending ; auxilary stuff @@ -1534,35 +1537,75 @@ ) ) -;; ------------ filter for node connected transistors ----------- +;; ------------ filter for input connected transistors ----------- ; Contract: -; node-mosfets : netlist node -> netlist +; input-mofets : netlist node -> netlist ; Purpose: -; get all transistors which are connected to this node +; get all transistors which are connected to input ; Example: -; (node-mosfets (cell-netlist INV-cell) "Y") => INV-cell +; (input-mosfets (cell-netlist INV-cell) "A") => INV-cell -; Definition: - (define node-mosfets +; Note +; Implementation with (map) or (filter) are better? + +; Definitions: + (define input-mosfets (lambda (netlist node) (cond ; empty list? [(null? netlist) netlist] - ; if mosfet connected to node, add them to netlist and go down recursive - ; on source pins .. - [(equal? (mosfet-source (car netlist)) node) - (cons (car netlist) (node-mosfets (cdr netlist) node))] - - ; .. and drain pins - [(equal? (mosfet-drain (car netlist)) node) - (cons (car netlist) (node-mosfets (cdr netlist) node))] + ; if mosfet connected to input node, add them to netlist and go down recursive + [(equal? (mosfet-gate (car netlist)) node) + (cons (car netlist) (input-mosfets (cdr netlist) node))] ; just go down - [else (node-mosfets (cdr netlist) node)] + [else (input-mosfets (cdr netlist) node)] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (input-mosfets (cell-netlist INV-cell) "A") (cell-netlist INV-cell)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " input-mosfets test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ filter for node connected transistors ----------- + +; Contract: +; complementary-mosfets : netlist transtistor -> netlist + +; Purpose: +; get complementary transistors which is connected to the same input + +; Example: +; (complementary-mosfets (cell-netlist INV-cell) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define complementary-mosfets + (lambda (netlist transistor) + (let ((input (mosfet-gate transistor))) + (cond + ; pmos? get first transistor out-of-list + [(mosfet-pmos? transistor) + (car (input-mosfets (pulldown-network netlist) input))] + + ; nmos? get first transistor out-of-list + [(mosfet-nmos? transistor) + (car (input-mosfets (pullup-network netlist) input))] + + ; unknown circuit + [else #f] + ) ) ) ) @@ -1570,15 +1613,15 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (node-mosfets (cell-netlist INV-cell) "Y") (cell-netlist INV-cell)) + (if (equal? (complementary-mosfets (cell-netlist INV-cell) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " node-mosfets test" (current-error-port)) + (display " complementary-mosfets test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ filter for all intermediate nods --------------- +;; ------------ filter for intermediate pullup nodes ----------- ; Contract: ; pullup-nodes : netlist -> list-of-nodes @@ -1594,20 +1637,21 @@ (define pullup-nodes (lambda (netlist) (let ((network (pullup-network netlist))) - (cond + (if (null? network) ; emtpy list? - [(null? network) network] - - ; nodes on drain? - [(node-space? (mosfet-drain (car network))) - (cons (mosfet-drain (car network)) (pullup-nodes (cdr network)))] - - ; nodes on source? - [(node-space? (mosfet-source (car network))) - (cons (mosfet-source (car network)) (pullup-nodes (cdr network)))] - - ; just go down - [else (pullup-nodes (cdr network))] + network + (let ((node (mosfet-drain (car network))) + (feedback (pullup-nodes (cdr network)))) + (cond + ; node on drain in node-space? + [(and (node-space? node) (not (member node feedback))) + (cons node feedback) + ] + + ; just go down + [else (pullup-nodes (cdr network))] + ) + ) ) ) ) @@ -1625,6 +1669,54 @@ ) ) +;; ------------ filter for intermediate pulldown nodes ----------- + +; Contract: +; pulldown-nodes : netlist -> list-of-nodes + +; Purpose: +; filter all nodes from pulldown network into one list + +; Example: +; (pulldown-nodes (cell-netlist INV-Cell)) => '() +; (pulldown-nodes (cell-netlist NAND2-Cell)) => '("N2") + +; Definition: + (define pulldown-nodes + (lambda (netlist) + (let ((network (pulldown-network netlist))) + (if (null? network) + ; emtpy list? + network + (let ((node (mosfet-drain (car network))) + (feedback (pulldown-nodes (cdr network)))) + (cond + ; node on drain in node-space? + [(and (node-space? node) (not (member node feedback))) + (cons node feedback) + ] + + ; just go down + [else (pulldown-nodes (cdr network))] + ) + ) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (display (pulldown-nodes (cell-netlist NAND2-cell))) + (if (equal? (pulldown-nodes (cell-netlist NAND2-cell)) '("N2")) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " pulldown-nodes test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ calculate next free pullup node --------------- ; Congtract: From 7299116cff816f87114ad415c03370d07b466ed6 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 10 Jun 2019 15:44:14 +0200 Subject: [PATCH 014/673] [TOOLS] Rectify enlargement method descriptions --- Tools/popcorn.1 | 15 +++++++++------ 1 file changed, 9 insertions(+), 6 deletions(-) diff --git a/Tools/popcorn.1 b/Tools/popcorn.1 index 2193f007..cf463622 100644 --- a/Tools/popcorn.1 +++ b/Tools/popcorn.1 @@ -42,7 +42,7 @@ .\" .\" GNU General Public License v3.0 - http://www.gnu.org/licenses/gpl-3.0.html .\" /////////////////////////////////////////////////////////////////// -.Dd April 12, 2019 +.Dd June 10, 2019 .Dt POPCORN 1 "Standard Cell Library" .Os LibreSilicon .Sh NAME @@ -94,11 +94,9 @@ In both networks all inputs are used to determine the output functionality. | +-' A --o| | pMOS | +-. - | | *---- Y | - | | +-' A ---| | nMOS | +-. @@ -170,16 +168,21 @@ line to Enlarge cell with one of following methods: .Bl -ohang .It Em nand -Add nMOS transistor in serial into pull-down path. +Add nMOS transistor in serial into highest free pull-down path. +Use new character for enumeration scheme. .It Em nor -Add pMOS transistor in serial into pull-up path. +Add pMOS transistor in serial into highest free pull-up path. +Use new character for enumeration scheme. .It Em aoi Add nMOS transistor in serial into highest free pull-down path. +Use next index for enumeration. .It Em oai Add pMOS transistor in serial into highest free pull-up path. +Use next index for enumeration. .El .Pp -An addition in one network implies an addition in the complementary network also. +An addition in one network implies an addition - a transisor in parallel - in the complementary network also. +In some cases, the enlargement with one method is not feasible while the limit of stacked transistors is already reached. .Ss \-T file Specify the .Xr magic 1 From 391657d8b18fb6fb0acfeb467fad8d254fbc3c7b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 19 Jun 2019 12:40:25 +0000 Subject: [PATCH 015/673] Enhanced Truthtable to support Transmission Gates --- Tools/perl/truthtable.pl | 8 ++++++-- 1 file changed, 6 insertions(+), 2 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index e0cc0bd8..706351d9 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -62,8 +62,12 @@ sub truth if($t) { verb "Transitor conducting\n"; - my $ig=($g=~m/^(vdd|gnd)$/i)?$g:(defined($iv{$g}) && $iv{$g}=~m/^(vdd|gnd)$/i)?$iv{$g}:undef; - my $id=($d=~m/^(vdd|gnd)$/i)?$d:(defined($iv{$d}) && $iv{$d}=~m/^(vdd|gnd)$/i)?$iv{$d}:undef; + verb "g: $g iv{g}=".($iv{$g}||"")."\n"; + verb "d: $d iv{d}=".($iv{$d}||"")."\n"; + my $ig=($g=~m/^(vdd|gnd)$/i)?$g:(defined($iv{$g}) && $iv{$g}=~m/^(vdd|gnd|0|1)$/i)?$iv{$g}:undef; + verb "ig: ".($ig||"")."\n"; + my $id=($d=~m/^(vdd|gnd)$/i)?$d:(defined($iv{$d}) && $iv{$d}=~m/^(vdd|gnd|0|1)$/i)?$iv{$d}:undef; + verb "id: ".($id||"")."\n"; if((defined($ig) && defined($id)) && (($ig=~m/vdd/i && $id=~m/gnd/i) || ($ig=~m/vdd/i && $id=~m/gnd/i))) { From 3b6cf8d49defa9b444e54402fa255eb4e5d85ec0 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 30 Jun 2019 21:46:44 +0200 Subject: [PATCH 016/673] [TOOLS] use strings instead of symbols for internal storage, rectify, debugging --- Tools/popcorn/popcorn-cell.scm | 546 +++++++- Tools/popcorn/popcorn-lib.scm | 2163 +++++++++++++++++++---------- Tools/popcorn/popcorn-verilog.scm | 36 +- Tools/popcorn/popcorn.scm | 137 +- 4 files changed, 1987 insertions(+), 895 deletions(-) diff --git a/Tools/popcorn/popcorn-cell.scm b/Tools/popcorn/popcorn-cell.scm index 307a2315..99fbac09 100644 --- a/Tools/popcorn/popcorn-cell.scm +++ b/Tools/popcorn/popcorn-cell.scm @@ -46,19 +46,31 @@ (define-library (popcorn-cell) (import (scheme base) (scheme write) ; display + (scheme process-context) ; exit + (scheme char) ; string-upcase, string-downcase (scheme file) ; file io (srfi 28) ; format - (srfi 152) ; string-split - ; popcorn lib als + (srfi 152) ; string-split, string-join + ; popcorn lib also (popcorn-lib)) (export read-cell-file - write-cell-file) + write-cell-file + expand-cell-nand + expand-cell-nor + expand-cell-aoi + expand-cell-oai + expand-cell-buffer) (begin ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test #t) + (define build-in-self-test? #t) + +;; ------------ build-in sanity checks --------------------------- + + ; use this switch during development only + (define build-in-sanity-checks? #t) ;; ------------------------------------------------------------------- ;; DESCRIPTION @@ -72,7 +84,7 @@ ; so every netlist becomes, well, a list of transistors ;; ------------------------------------------------------------------- -;; READ/WRITE CELL DESCRIPTIONS +;; READ CELL DESCRIPTIONS ;; ------------------------------------------------------------------- ;; ------------ read in cell file ------------------------------- @@ -89,7 +101,7 @@ ; Definition: (define (read-cell-file file-name) (let ((file (open-input-file file-name)) - (return (make-vector 7 '())) + (return (generate-cell)) (netlist '())) (let x ((line (read-line file))) (if (eof-object? line) @@ -107,7 +119,7 @@ ; .cell annotated line, get name [(equal? (substring line 0 4) ".cel") (begin - (cell-id! return (string->symbol (string-copy line 6))) + (cell-id! return (string-upcase (string-copy line 6))) (function (read-line file)) ) ] @@ -115,7 +127,7 @@ ; .clocks annotated line, get list [(equal? (substring line 0 4) ".clo") (begin - (cell-clocks! return (stringlist->symbollist (string-split (string-copy line 7) #[ ]))) + (cell-clocks! return (string-split (string-upcase (string-copy line 7)) #[ ])) (function (read-line file)) ) ] @@ -123,7 +135,7 @@ ; .inputs annotated line, get list [(equal? (substring line 0 4) ".inp") (begin - (cell-inputs! return (stringlist->symbollist (string-split (string-copy line 8) #[ ]))) + (cell-inputs! return (string-split (string-upcase (string-copy line 8)) #[ ])) (function (read-line file)) ) ] @@ -131,7 +143,7 @@ ; .outputs annotated line, get list [(equal? (substring line 0 4) ".out") (begin - (cell-outputs! return (stringlist->symbollist (string-split (string-copy line 9) #[ ]))) + (cell-outputs! return (string-split (string-upcase (string-copy line 9)) #[ ])) (function (read-line file)) ) ] @@ -139,7 +151,7 @@ ; .end annotated line, clean up [(equal? (substring line 0 4) ".end") (begin - (cell-netlist! return (append netlist '())) + (cell-netlist! return netlist) (eof-object) ) ] @@ -147,7 +159,8 @@ ; collect netlist lines [else (begin - (set! netlist (append netlist (list (list->vector (stringlist->symbollist (string-split line #[ ])))))) + (set! netlist (append netlist (list (stringlist->mosfet (string-split line #[ ]))))) +; (set! netlist (cons (stringlist->mosfet (string-split line #[ ])) netlist)) (function (read-line file)) ) ] @@ -158,11 +171,91 @@ ) ; done, close file (close-input-port file) + (sanity-cell? return) return ) ) ) +;; ------------------------------------------------------------------- +;; WRITE CELL DESCRIPTIONS +;; ------------------------------------------------------------------- + +;; ------------ convert mosfet into string ----------------------- + +; Contract: +; mosfet->string : mosfet -> string + +; Purpose: +; convert mosfet vector into one long string + +; Example: +; (mosfet->string '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "nmos A Y GND GND 1 1 -1" + +; Definition: + (define mosfet->string + (lambda (mosfet) + (string-join + (list + (mosfet-type mosfet) + (mosfet-gate mosfet) + (mosfet-drain mosfet) + (mosfet-source mosfet) + (mosfet-bulk mosfet) + (number->string (mosfet-stacked mosfet)) + (number->string (mosfet-xaxis mosfet)) + (number->string (mosfet-yaxis mosfet)) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet->string '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "nmos A Y GND GND 1 1 -1") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet->string test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ format netlist ----------------------------------- + +; Contract: +; netlist->string : netlist -> string + +; Purpose: +; take netlist and format them into string + +; Example +; (netlist->string (cell-netlist INV-cell)) => "pmos A" Y VDD VDD" 1 1 1\nnmos A Y GND GND 1 1 -1) + +; Definition: + (define netlist->string + (lambda (netlist) + (cond + [(null? netlist) ""] + [else + (string-join (list (mosfet->string (car netlist)) (netlist->string (cdr netlist))) "\n")] + ) + ) + ) + +#| +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (netlist->string (cell-netlist INV-cell)) "pmos A Y VDD VDD 1 1 1\nnmos A Y GND GND 1 1 -1") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " netlist->string test" (current-error-port)) + (newline (current-error-port)) + ) + ) +|# ; !! expected value has to have newline + ;; ------------ write description line --------------------------- ; Contract: @@ -193,26 +286,25 @@ .inputs ~a .outputs ~a " (cell-id cell) - (list->string (cell-inputs cell)) - (list->string (cell-outputs cell))) + (string-join (cell-inputs cell)) + (string-join (cell-outputs cell))) ; optional clock line (if (null? (cell-clocks cell)) '() (format (at-port) ".clocks ~a -" (list->string (cell-clocks cell))) +" (string-join (cell-clocks cell))) ) ; additional, e.g. schematic (if (null? (cell-additional cell)) '() (format (at-port) "~a -" (cell-additional cell)) +" (string-join (cell-additional cell) "\n")) ) ; netlist (format (at-port) -"~a -" (cell-netlist cell)) +"~a" (netlist->string (cell-netlist cell))) ; done (format (at-port) ".end @@ -222,6 +314,424 @@ ) ) +;; ------------------------------------------------------------------- +;; EXPAND CELL DESCRIPTIONS +;; ------------------------------------------------------------------- + +;; ------------ calculate next free node number --------------- + +; Congtract: +; next-node-number : node-list -> node + +; Purpose: +; search for highest used node in network, calculate next + +; Example: +; (next-node-number "") => N1 + +; Definition: + (define next-node-number + (lambda (node-list) + (let ((sorted-list (sort-nodes-descending node-list))) + (cond + [(null? sorted-list) + (string-append (string (car node-space)) (number->string 1))] ; "N1" + [else + (string-append (string (car node-space)) (number->string (+ (string->number (substring (car sorted-list) 1 (string-length (car sorted-list)))) 1)))] + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (next-node-number '("N1" "N2")) "N3") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " next-node-number test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ calculate next input character node --------------- + +; Congtract: +; next-input-char-node: node-list -> node + +; Purpose: +; search for highest used input node, calculate next + +; Example: +; (next-input-char-node "A1") => "B" + +; Definition: + (define next-input-char-node + (lambda (node-list) + (let ((sorted-list (sort-nodes-descending node-list))) + (cond + [(null? sorted-list) + "A"] + [else + (string (car (cdr (memq (string-ref (car sorted-list) 0) input-space))))] + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (next-input-char-node '("A1")) "B") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " next-input-char-node test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ calculate next input number node --------------- + +; Congtract: +; next-input-num-node: node-list -> node + +; Purpose: +; search for highest used input node, calculate next + +; Example: +; (next-input-num-node "A1") => "A2" + +; Definition: + (define next-input-num-node + (lambda (node-list) + (let ((sorted-list (sort-nodes-descending node-list))) + (cond + [(null? sorted-list) + "A"] + [else +; (string (car (cdr (memq (string-ref (car sorted-list) 0) input-space))))] + (string (string-ref (car sorted-list) 0) (integer->char (+ 1 (char->integer (string-ref (car sorted-list) 1)))))] + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (next-input-num-node '("A2")) "A3") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " next-input-num-node test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ find mosfet to expand --------------------------- + +; Contract: +; find-mosfet-anchor : cell -> mosfet + +; Purpose: +; crawl through network and find transistor to expand + +; Example: +; (find-mosfet-anchor (pullup-network (cell-netlist INV-cell))) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define find-mosfet-anchor + (lambda (netlist limit) + (if (null? netlist) + '() + (let ((network (sort-mosfet-descending netlist))) + (let ((candidate (car network))) + (if (< (mosfet-stacked candidate) limit) + ; got it - candidate on path is below limit + candidate + ; waste path away, check next + (find-mosfet-anchor (filter-mosfet-remove network (mosfet-gate candidate)) limit) + ) + ) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (find-mosfet-anchor (pulldown-network (cell-netlist INV-cell)) 2) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " find-mosfet-anchor test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ expand netlist serial --------------------------- + +; Contract: +; expand-netlist-serial : netlist new-node new-gate mosfet -> netlist + +; Purpose: +; expand network by mosfet in serial + +; Example: +; (expand-netlist-serial (pulldown-network (cell-netlist INV-cell)) "N2" "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => +; (#("nmos" "A" "Y" "N2" "GND" 1 1 -1) #("nmos" "B" "N2" "GND" "GND" 2 1 -2)) + +; Definition: + (define expand-netlist-serial + (lambda (netlist new-node new-gate mosfet) + (cond + ; netlist empty? + [(null? netlist)] + ; handle anchor transistor + [(equal? (car netlist) mosfet) + (let ((new-mosfet (generate-mosfet)) + (org-mosfet mosfet)) + (begin + ; use same type as original + (mosfet-type! new-mosfet (mosfet-type org-mosfet)) + ; use new generated gate node + (mosfet-gate! new-mosfet new-gate) + ; use same source as original (hopefully a power rail) + (mosfet-source! new-mosfet (mosfet-source org-mosfet)) + ; use new generated node number between original and new mosfet + (mosfet-source! org-mosfet new-node) + (mosfet-drain! new-mosfet new-node) + ; use same bulk as original + (mosfet-bulk! new-mosfet (mosfet-bulk org-mosfet)) + ; increment stacked transistor number + (mosfet-stacked! new-mosfet (+ (mosfet-stacked org-mosfet) 1)) + ; use same xasis as original + (mosfet-xaxis! new-mosfet (mosfet-xaxis org-mosfet)) + ; increment yaxis number + (if (< (mosfet-yaxis org-mosfet) 0) + ; negative, pulldown network + (mosfet-yaxis! new-mosfet (- (mosfet-yaxis org-mosfet) 1)) + ; positive, pullup network + (mosfet-yaxis! new-mosfet (+ (mosfet-yaxis org-mosfet) 1)) + ) + ; return + (cons org-mosfet (cons new-mosfet '())) + ) + )] + [else + (cons (car netlist) (expand-netlist-serial (cdr netlist) new-node new-gate mosfet))] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (expand-netlist-serial (pulldown-network (cell-netlist INV-cell)) "N2" "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + '(#("nmos" "A" "Y" "N2" "GND" 1 1 -1) + #("nmos" "B" "N2" "GND" "GND" 2 1 -2))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " expand-netlist-serial test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ expand netlist parallel --------------------------- + +; Contract: +; expand-netlist-parallel : netlist new-gate mosfet -> netlist + +; Purpose: +; expand network by mosfet in parallel + +; Example: +; (expand-netlist-parallel (pulldown-down (cell-netlist INV-cell)) "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => +; (#("nmos" "A" "Y" "GND" "GND" 1 1 -1) #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) + +; Definition: + (define expand-netlist-parallel + (lambda (netlist new-gate org-mosfet) + (let ((new-mosfet (generate-mosfet)) + (xaxis (mosfet-xaxis org-mosfet))) + (begin + ; use same type as original + (mosfet-type! new-mosfet (mosfet-type org-mosfet)) + ; use new generated gate node + (mosfet-gate! new-mosfet new-gate) + ; use same source as original + (mosfet-source! new-mosfet (mosfet-source org-mosfet)) + ; use same drain as original + (mosfet-drain! new-mosfet (mosfet-drain org-mosfet)) + ; use same bulk as original + (mosfet-bulk! new-mosfet (mosfet-bulk org-mosfet)) + ; use same stacked transistor number + (mosfet-stacked! new-mosfet (mosfet-stacked org-mosfet)) + ; increment xasis number !! fixme - others transistors has to be shifted? + (if (< xaxis 0) + ; negative, pulldown network + (mosfet-xaxis! new-mosfet (- xaxis 1)) + ; positive, pullup network + (mosfet-xaxis! new-mosfet (+ xaxis 1)) + ) + ; use same yaxis number + (mosfet-yaxis! new-mosfet (mosfet-yaxis org-mosfet)) + ; return + (cons new-mosfet netlist) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (expand-netlist-parallel (pulldown-network (cell-netlist INV-cell)) "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + '(#("nmos" "B" "Y" "GND" "GND" 1 2 -1) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " expand-netlist-parallel test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ expand cell nand-wise --------------------------- + +; Contract: +; expand-cell-nand : cell -> cell + +; Purpose: +; expand cell description by adding mosfet nand-like + +; Example: +; (expand-cell-nand INV-cell 2) => NAND2-cell + +; Definition: + (define expand-cell-nand + (lambda (cell limit) + (let ((netlist (cell-netlist cell))) + (let ((anchor (find-mosfet-anchor (pulldown-network netlist) limit))) + (let ((complementary (complementary-mosfets netlist anchor)) + (new-node (next-node-number (intermediate-nodes netlist))) + (new-gate (next-input-char-node (input-nodes netlist)))) + (begin + ; set new cell-id + (cell-id! cell "dummy") + ; set new cell description + (cell-text! cell "dummy") + ; set input nodes + (cell-inputs! cell (cons new-gate (input-nodes netlist))) + ; set output nodes + (cell-outputs! cell (output-nodes netlist)) + ; set clock nodes + (cell-clocks! cell (clock-nodes netlist)) + ; set netlist + (cell-netlist! cell (expand-netlist-parallel (expand-netlist-serial netlist new-node new-gate anchor) new-gate complementary)) + ; set additionals + (cell-additional! cell '("#")) + ) + ) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (expand-cell-nand INV-cell 2) NAND2-cell) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " expand-cell-nand test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ expand cell nor-wise --------------------------- + +; Contract: +; expand-cell-nor : cell -> cell + +; Purpose: +; expand cell description by adding mosfet nor-like + +; Example: +; (expand-cell-nor INV-cell) => NOR2-cell + +; Definition: + (define expand-cell-nor + (lambda (cell limit) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (expand-cell-nor INV-cell 2) NOR2-cell) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " expand-cell-nor test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ expand cell oai-wise --------------------------- + +; Contract: +; expand-cell-oai : cell -> cell + +; Purpose: +; expand cell description by adding mosfet oai-like + +; Example: +; (expand-cell-oai NAND2-cell) => OAI21-cell + +; Definition: + (define expand-cell-oai + (lambda (cell limit) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (expand-cell-oai NAND2-cell 2) OAI21-cell) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " expand-cell-oai test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ expand cell aoi-wise --------------------------- + +; Contract: +; expand-cell-aoi : cell -> cell + +; Purpose: +; expand cell description by adding mosfet aoi-like + +; Example: +; (expand-cell-aoi NOR2-cell) => AOI21-cell + +; Definition: + (define expand-cell-aoi + (lambda (cell limit) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (expand-cell-aoi NOR2-cell 2) AOI21-cell) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " expand-cell-aoi test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; =================================================================== ;; END OF R7RS LIBRARY ;; =================================================================== diff --git a/Tools/popcorn/popcorn-lib.scm b/Tools/popcorn/popcorn-lib.scm index bfb0df77..1105d2bb 100644 --- a/Tools/popcorn/popcorn-lib.scm +++ b/Tools/popcorn/popcorn-lib.scm @@ -47,13 +47,38 @@ (import (scheme base) (scheme char) ; digit-value (scheme sort) ; list-sort + (scheme char) ; string-upcase, string-downcase (scheme write) ; display - (scheme time)) ; current-second - (export ; example cells + (scheme time) ; current-second + (srfi 152)) ; string-split + (export ; node spaces + input-space input-space? + output-space output-space? + clock-space clock-space? + node-space node-space? + supply-space supply-space? + ground-space ground-space? + ; mosfet descriptions + generate-mosfet + mosfet-type mosfet-type! + mosfet-nmos? mosfet-nmos! + mosfet-pmos? mosfet-pmos! + mosfet-gate mosfet-gate! + mosfet-drain mosfet-drain! + mosfet-source mosfet-source! + mosfet-bulk mosfet-bulk! + mosfet-stacked mosfet-stacked! + mosfet-xaxis mosfet-xaxis! + mosfet-yaxis mosfet-yaxis! + sanity-mosfet? + ; example cells INV-cell NAND2-cell NOR2-cell + OAI21-cell + AOI21-cell ; cell descriptions + generate-cell cell-id cell-id! cell-text cell-text! cell-inputs cell-inputs! @@ -61,24 +86,7 @@ cell-clocks cell-clocks! cell-netlist cell-netlist! cell-additional cell-additional! - ; mosfet descriptions - mosfet-type - mosfet-nmos? mosfet-nmos! - mosfet-pmos? mosfet-pmos! - mosfet-gate mosfet-gate! - mosfet-drain mosfet-drain! - mosfet-source mosfet-source! - mosfet-bulk mosfet-bulk! - mosfet-stacked mosfet-stacked! - mosfet-xaxis mosfet-xaxis! - mosfet-yaxis mosfet-yaxis! - ; node descriptions - input-space? - output-space? - clock-space? - node-space? - supply-space? - ground-space? + sanity-cell? ; functions on netlists pullup-network pulldown-network @@ -86,16 +94,20 @@ gnd-mosfets input-mosfets complementary-mosfets - pullup-nodes - pulldown-nodes sort-mosfet-ascending sort-mosfet-descending + filter-mosfet-char + filter-mosfet-remove + input-nodes + clock-nodes + output-nodes + intermediate-nodes + sort-nodes-ascending + sort-nodes-descending ; auxilary stuff copyleft-year stringlist->csv - ;stringlist->symbollist - ;symbollist->stringlist - ) + stringlist->mosfet) (begin ;; ------------ build-in self test ------------------------------- @@ -103,6 +115,11 @@ ; use this switch during development only (define build-in-self-test? #t) +;; ------------ build-in sanity checks --------------------------- + + ; use this switch during development only + (define build-in-sanity-checks? #t) + ;; ------------------------------------------------------------------- ;; DESCRIPTION ;; ------------------------------------------------------------------- @@ -114,515 +131,326 @@ ;; so every netlist becomes, well, a list of transistors -;; ------------ Example : INV-cell ------------------------------- - -; ^ Vdd -; | -; | --+ -; A --o| | pmos -; | --+ -; | -; *---- Y -; | -; | --+ -; A ---| | nmos -; | --+ -; | -; _|_ Gnd - - (define INV-cell '#("INV" "a Not (or Inverter) gate" - ("A") ("Y") () - (#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) - ()) - ) - -;; ------------ Example : NAND2-cell --------------------------- - -; ^ Vdd ^ Vdd -; | | -; | --+ | --+ -; A --o| | pmos B --o| | pmos -; | --+ | --+ -; | | -; *-------------------*---- Y -; | -; | --+ -; A ---| | nmos -; | --+ -; | -; | -; | --+ -; B ---| | nmos -; | --+ -; | -; _|_ Gnd - - (define NAND2-cell '#("NAND2" "a 2-input Not-AND (or NAND) gate" - ("B" "A") ("Y") () - (#("pmos" "B" "Y" "VDD" "VDD" 1 2 1) - #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "N2" "GND" 1 1 -1) - #("nmos" "B" "N2" "GND" "GND" 2 1 -2)) - ()) - ) - -;; ------------ Example : NOR2-cell --------------------------- - -; ^ Vdd -; | -; | --+ -; B --o| | pmos -; | --+ -; | -; | -; | --+ -; A --o| | pmos -; | --+ -; | -; *-------------------*---- Y -; | | -; | --+ | --+ -; A ---| | nmos B ---| | nmos -; | --+ | --+ -; | | -; _|_ Gnd _|_ Gnd - - (define NOR2-cell '#("NAND2" "a 2-input Not-AND (or NAND) gate" - ("B" "A") ("Y") () - (#("pmos" "B" "N1" "VDD" "VDD" 2 1 2) - #("pmos" "A" "Z" "N1" "VDD" 1 1 1) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1) - #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) - ()) - ) - ;; ------------------------------------------------------------------- -;; CELL DATA STRUCTURE +;; NODE SPACES ;; ------------------------------------------------------------------- -; define cell as vector: -; +---------------+ -; #0 | cell id | "INV" -; +---------------+ -; #1 | cell text | "a Not (or Inverter) gate" -; +---------------+ -; #2 | cell inputs | '("A") -; +---------------+ -; #3 | cell outputs | '("Y") -; +---------------+ -; #4 | cell clocks | '() ; for latches -; +---------------+ -; #5 | netlist | '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) -; +---------------+ #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) -; #6 | additional | '() ; e.g. handover ASCII-Art -; +---------------+ - -; define constants for vector indices - (define |cell-id#| 0) - (define |cell-text#| 1) - (define |cell-inputs#| 2) - (define |cell-outputs#| 3) - (define |cell-clocks#| 4) - (define |cell-netlist#| 5) - (define |cell-additional#| 6) +;; ------------ input node names ------------------------------- -;; ------------ getter function : cell-id ----------------------- + (define input-space '(#\A #\B #\C #\D #\E #\F #\H #\I #\K #\L #\M #\P #\R #\S #\T #\U #\W)) ; Contract: -; cell-id : cell -> symbol +; input-space? : list-of-ports -> boolean ; Purpose: -; get the cell ID out of a cell description vector +; check whether node is in defined input space for cells ; Example: -; (cell-id INV-cell) => "INV" +; (input-space? "A0") => #t +; (input-space? "Z") => #f -; Definitions: - (define cell-id - (lambda (cell) - (vector-ref cell |cell-id#|) +; Definition: + (define input-space? + (lambda (node) + (if (memq (string-ref node 0) input-space) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell-id INV-cell) "INV") + (if (and (input-space? "A0") (not (input-space? "Z"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " cell-id test" (current-error-port)) + (display " input-space? test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : cell-id! ----------------------- - -; Contract: -; cell-id! : cell string -> cell - -; Purpose: -; set the cell ID in a cell description vector - -; Example: -; (cell-id! INV-cell "INV") => INV-cell - -; Definitions: - (define cell-id! - (lambda (cell id) - (vector-set! cell |cell-id#| id) - cell - ) - ) +;; ------------ output node names ------------------------------- -;; ------------ getter function : cell-text ------------------- + (define output-space '(#\Q #\Y #\Z)) ; Contract: -; cell-text : cell -> string +; output-space? list-of-ports -> boolean ; Purpose: -; get the cell description out of a cell description vector +; check wether node is in defined output space for cells ; Example: -; (cell-text INV-cell) => "a Not (or Inverter) gate" +; (output-space? "A0") => #f +; (output-space? "Z") => #t ; Definition: - (define cell-text - (lambda (cell) - (vector-ref cell |cell-text#|) + (define output-space? + (lambda (node) + (if (memq (string-ref node 0) output-space) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell-text INV-cell) "a Not (or Inverter) gate") + (if (and (output-space? "Z") (not (output-space? "A0"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " cell-text test" (current-error-port)) + (display " output-space? test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : cell-text! ------------------- +;; ------------ clock node names ------------------------------- + + (define clock-space '(#\X)) ; Contract: -; cell-text! : cell string -> cell +; clock-space? list-of-ports -> boolean ; Purpose: -; set the cell description in a cell description vector +; check wether node is in defined clock space for cells ; Example: -; (cell-text! INV-cell "a Not (or Inverter) gate") => INV-cell +; (clock-space? "X0") => #t ; Definition: - (define cell-text! - (lambda (cell text) - (vector-set! cell |cell-text#| text) - cell + (define clock-space? + (lambda (node) + (if (memq (string-ref node 0) clock-space) #t #f) ) ) -;; ------------ getter function : cell-inputs ------------------- +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (clock-space? "X0") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " clock-space? test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ internal node names ------------------------------- + + (define node-space '(#\N)) ; Contract: -; cell-inputs : cell -> list-of-strings +; node-space? list-of-nodes -> boolean ; Purpose: -; get the cell input list out of a cell description vector +; check wether node is in defined node space for cells ; Example: -; (cell-inputs INV-cell) => '("A") +; (node-space? "N2") => #t ; Definition: - (define cell-inputs - (lambda (cell) - (vector-ref cell |cell-inputs#|) + (define node-space? + (lambda (node) + (if (member (string-ref node 0) node-space) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell-inputs INV-cell) '("A")) + (if (node-space? "N2") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " cell-inputs test" (current-error-port)) + (display " node-space? test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : cell-inputs! ------------------- +;; ------------ supply symbol node name space ------------------- + +; Usually, SCHEME is case-insensitive but some implementations (and R7RS) are not. +; Hence, the member compare has a string-ci=? option. + +; Definition: + (define supply-space (list "vcc" "vdd")) ; Contract: -; cell-inputs! : cell list-of-strings -> cell +; sypply-symbol-space? list-of-ports -> boolean ; Purpose: -; set the cell input list in a cell description vector +; check wether node is in defined list for sypply symbols ; Example: -; (cell-inputs! INV-cell '("A")) => INV-cell +; (supply-space? "Vdd") => #t +; (supply-space? "GND") => #f ; Definition: - (define cell-inputs! - (lambda (cell list-of-inputs) - (vector-set! cell |cell-inputs#| list-of-inputs) - cell - ) - ) - -;; ------------ getter function : cell-outputs ------------------- - -; Contract: -; cell-outputs : cell -> list-of-strings - -; Purpose: -; get the cell output list out of a cell description vector - -; Example: -; (cell-outputs INV-cell) => '("Y") - -; Definition: - (define cell-outputs - (lambda (cell) - (vector-ref cell |cell-outputs#|) + (define supply-space? + (lambda (node) + (if (member node supply-space string-ci=?) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell-outputs INV-cell) '("Y")) + (if (and (supply-space? "Vdd") (not (supply-space? "gnd"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " cell-outputs test" (current-error-port)) + (display " supply-space? test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : cell-outputs! ------------------- - -; Contract: -; cell-outputs! : cell list-of-strings -> cell - -; Purpose: -; set the cell output list of a cell description vector +;; ------------ ground plane node name space ------------------- -; Example: -; (cell-outputs! INV-cell '("Y")) => INV-cell +; Usually, SCHEME is case-insensitive but some implementations are not. +; Hence, the member compare has a string-ci=? option. ; Definition: - (define cell-outputs! - (lambda (cell list-of-strings) - (vector-set! cell |cell-outputs#| list-of-string) - cell - ) - ) - -;; ------------ getter function : cell-clocks ------------------- + (define ground-space (list "gnd" "vss")) ; Contract: -; cell-clocks : cell -> list-of-strings +; ground-space? list-of-ports -> boolean ; Purpose: -; get the cell clock list out of a cell description vector +; check wether node is in defined list for ground symbols ; Example: -; (cell-clocks INV-cell) => '() +; (ground-space? "gnd") => #t +; (ground-space? "vdd") => #f ; Definition: - (define cell-clocks - (lambda (cell) - (vector-ref cell |cell-clocks#|) + (define ground-space? + (lambda (node) + (if (member node ground-space string-ci=?) #t #f) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell-clocks INV-cell) '()) + (if (and (ground-space? "gnd") (not (ground-space? "vdd"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " cell-clocks test" (current-error-port)) + (display " ground-space? test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : cell-clocks! ------------------- - -; Contract: -; cell-clocks! : cell list-of-symbols -> cell - -; Purpose: -; set the cell clock in a cell description vector +;; ------------------------------------------------------------------- +;; TRANSISTOR DATA STRUCTURE +;; ------------------------------------------------------------------- -; Example: -; (cell-clocks! INV-cell '()) => INV-cell +; define transistor as vector: Example: +; +---------------+ +; #0 | circuit type | "nmos" +; +---------------+ +; #1 | gate node | "A" +; +---------------+ +; #2 | drain node | "X" +; +---------------+ +; #3 | source node | "VDD" +; +---------------+ +; #4 | bulk node | "VDD" +; +---------------+ +; #5 | stacked | 1 +; +---------------+ +; #6 | x-axis point | 1 +; +---------------+ +; #7 | y-axis point | 1 +; +---------------+ -; Definition: - (define cell-clocks! - (lambda (cell list-of-symbols) - (vector-set! cell |cell-clocks#| list-of-symbols) - cell - ) - ) +; define constants for vector indices + (define |circuit-type#| 0) + (define |gate-node#| 1) + (define |drain-node#| 2) + (define |source-node#| 3) + (define |bulk-node#| 4) + (define |stacked#| 5) + (define |xaxis-point#| 6) + (define |yaxis-point#| 7) -;; ------------ getter function : cell-netlist ------------------- +;; ------------ generate empty mosfet --------------------------- ; Contract: -; cell-netlist : cell -> netlist +; generate-mosfet : -> mosfet ; Purpose: -; get the netlist out of a cell description vector +; generate new and empty mosfet vector structure ; Example: -; (cell-netlist INV-cell) => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) +; (generate-mosfet) => '#() -; Definition: - (define cell-netlist - (lambda (cell) - (vector-ref cell |cell-netlist#|) +; Definition + (define generate-mosfet + (lambda () + (make-vector 8 "") ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell-netlist INV-cell) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) + (if (equal? (generate-mosfet) #("" "" "" "" "" "" "" "")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " cell-netlist test" (current-error-port)) + (display " generate-mosfet test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : cell-netlist! --------------- - -; Contract: -; cell-netlist! : cell netlist -> cell - -; Purpose: -; set the netlist in a cell description vector - -; Example: -; (cell-netlist! INV-cell '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) -; #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) => INV-cell - -; Definition: - (define cell-netlist! - (lambda (cell netlist) - (vector-set! cell |cell-netlist#| netlist) - cell - ) - ) - -;; ------------ getter function : cell-additional --------------- +;; ------------ getter function : mosfet-type ------------------- ; Contract: -; cell-additional : cell -> list-of-string +; mosfet-type : mosfet -> node ; Purpose: -; get additional informations for the cell out of a cell description vector +; get the mosfet type out of mosfet transistor vector ; Example: -; (cell-additional INV-cell) => '() +; (mosfet-type '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "nmos" ; Definition: - (define cell-additional - (lambda (cell) - (vector-ref cell |cell-additional#|) + (define mosfet-type + (lambda (mosfet) + (vector-ref mosfet |circuit-type#|) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell-additional INV-cell) '()) + (if (equal? (mosfet-type '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "nmos") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " cell-additional test" (current-error-port)) + (display " mosfet-type test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : cell-additional! --------------- - -; Contract: -; cell-additional! : cell list-of-string -> cell - -; Purpose: -; set additional informations in a cell description vector - -; Example: -; (cell-additional! INV-cell '()) => INV-cell - -; Definition: - (define cell-additional! - (lambda (cell list-of-symbols) - (vector-set! cell |cell-additional#| list-of-symbols) - cell - ) - ) - -;; ------------------------------------------------------------------- -;; TRANSISTOR DATA STRUCTURE -;; ------------------------------------------------------------------- - -; define transistor as vector: Example: -; +---------------+ -; #0 | circuit type | "nmos" -; +---------------+ -; #1 | gate node | "A" -; +---------------+ -; #2 | drain node | "X" -; +---------------+ -; #3 | source node | "VDD" -; +---------------+ -; #4 | bulk node | "VDD" -; +---------------+ -; #5 | stacked | 1 -; +---------------+ -; #6 | x-axis point | 1 -; +---------------+ -; #7 | y-axis point | 1 -; +---------------+ - -; define constants for vector indices - (define |circuit-type#| 0) - (define |gate-node#| 1) - (define |drain-node#| 2) - (define |source-node#| 3) - (define |bulk-node#| 4) - (define |stacked#| 5) - (define |xaxis-point#| 6) - (define |yaxis-point#| 7) - -;; ------------ getter function : mosfet-type ------------------- +;; ------------ setter function : mosfet-type! ------------------- ; Contract: -; mosfet-type : mosfet -> node +; mosfet-type! : mosfet string -> mosfet ; Purpose: -; get the mosfet type out of mosfet transistor vector +; set the mosfet type in a mosfet description vector ; Example: -; (mosfet-type '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "nmos" +; (mosfet-type! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1) "nmos") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) ; Definition: - (define mosfet-type - (lambda (transistor) - (vector-ref transistor |circuit-type#|) + (define mosfet-type! + (lambda (mosfet type) + (vector-set! mosfet |circuit-type#| type) + mosfet ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-type '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "nmos") + (if (equal? (mosfet-type! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1) "nmos") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " mosfet-type test" (current-error-port)) + (display " mosfet-type! test" (current-error-port)) (newline (current-error-port)) ) ) @@ -640,8 +468,8 @@ ; Definition: (define mosfet-nmos? - (lambda (transistor) - (equal? (vector-ref transistor |circuit-type#|) "nmos") + (lambda (mosfet) + (equal? (vector-ref mosfet |circuit-type#|) "nmos") ) ) @@ -669,9 +497,9 @@ ; Definition: (define mosfet-nmos! - (lambda (transistor) - (vector-set! transistor |circuit-type#| "nmos") - transistor + (lambda (mosfet) + (vector-set! mosfet |circuit-type#| "nmos") + mosfet ) ) @@ -700,8 +528,8 @@ ; Definition: (define mosfet-pmos? - (lambda (transistor) - (equal? (vector-ref transistor |circuit-type#|) "pmos") + (lambda (mosfet) + (equal? (vector-ref mosfet |circuit-type#|) "pmos") ) ) @@ -729,9 +557,9 @@ ; Definition: (define mosfet-pmos! - (lambda (transistor) - (vector-set! transistor |circuit-type#| "pmos") - transistor + (lambda (mosfet) + (vector-set! mosfet |circuit-type#| "pmos") + mosfet ) ) @@ -759,8 +587,8 @@ ; Definition: (define mosfet-gate - (lambda (transistor) - (vector-ref transistor |gate-node#|) + (lambda (mosfet) + (vector-ref mosfet |gate-node#|) ) ) @@ -788,9 +616,9 @@ ; Definition: (define mosfet-gate! - (lambda (transistor gate) - (vector-set! transistor |gate-node#| gate) - transistor + (lambda (mosfet gate) + (vector-set! mosfet |gate-node#| gate) + mosfet ) ) @@ -818,8 +646,8 @@ ; Definition: (define mosfet-drain - (lambda (transistor) - (vector-ref transistor |drain-node#|) + (lambda (mosfet) + (vector-ref mosfet |drain-node#|) ) ) @@ -847,9 +675,9 @@ ; Definition: (define mosfet-drain! - (lambda (transistor drain) - (vector-set! transistor |drain-node#| drain) - transistor + (lambda (mosfet drain) + (vector-set! mosfet |drain-node#| drain) + mosfet ) ) @@ -877,8 +705,8 @@ ; Definition: (define mosfet-source - (lambda (transistor) - (vector-ref transistor |source-node#|) + (lambda (mosfet) + (vector-ref mosfet |source-node#|) ) ) @@ -906,9 +734,9 @@ ; Definition: (define mosfet-source! - (lambda (transistor source) - (vector-set! transistor |source-node#| source) - transistor + (lambda (mosfet source) + (vector-set! mosfet |source-node#| source) + mosfet ) ) @@ -936,8 +764,8 @@ ; Definition: (define mosfet-bulk - (lambda (transistor) - (vector-ref transistor |bulk-node#|) + (lambda (mosfet) + (vector-ref mosfet |bulk-node#|) ) ) @@ -965,9 +793,9 @@ ; Definition: (define mosfet-bulk! - (lambda (transistor bulk) - (vector-set! transistor |bulk-node#| bulk) - transistor + (lambda (mosfet bulk) + (vector-set! mosfet |bulk-node#| bulk) + mosfet ) ) @@ -986,384 +814,1012 @@ ;; ------------ getter function : mosfet-stacked --------------- ; Contract: -; mosfet-stacked : mosfet -> number +; mosfet-stacked : mosfet -> number + +; Purpose: +; get the mosfet number of stacked transistors out of mosfet transistor vector + +; Example: +; (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => 1 + +; Definition: + (define mosfet-stacked + (lambda (mosfet) + (vector-ref mosfet |stacked#|) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-stacked test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ setter function : mosfet-stacked! --------------- + +; Contract: +; mosfet-stacked! : mosfet number -> mosfet + +; Purpose: +; set the number of stacked transistors in a mosfet description vector + +; Example: +; (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-stacked! + (lambda (mosfet stacked) + (vector-set! mosfet |stacked#| stacked) + mosfet + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1) 1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-stacked! test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ getter function : mosfet-xaxis ------------------- + +; Contract: +; mosfet-xaxis : mosfet -> number + +; Purpose: +; get the mosfet x-axis coordinate out of mosfet transistor vector + +; Example: +; (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => 1 + +; Definition: + (define mosfet-xaxis + (lambda (mosfet) + (vector-ref mosfet |xaxis-point#|) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-xaxis test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ setter function : mosfet-xaxis! --------------- + +; Contract: +; mosfet-xaxis! : mosfet number -> mosfet + +; Purpose: +; set the mosfet xaxis in a mosfet description vector + +; Example: +; (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-xaxis! + (lambda (mosfet xaxis) + (vector-set! mosfet |xaxis-point#| xaxis) + mosfet + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-xaxis! test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ getter function : mosfet-yaxis ------------------- + +; Contract: +; mosfet-yaxis : mosfet -> number + +; Purpose: +; get the mosfet y-axis coordinate out of mosfet transistor vector + +; Example: +; (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => -1 + +; Definition: + (define mosfet-yaxis + (lambda (mosfet) + (vector-ref mosfet |yaxis-point#|) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) -1) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-yaxis test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ setter function : mosfet-yaxis! --------------- + +; Contract: +; mosfet-yaxis! : mosfet number -> mosfet + +; Purpose: +; set the mosfet yaxis in a mosfet description vector + +; Example: +; (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) + +; Definition: + (define mosfet-yaxis! + (lambda (mosfet yaxis) + (vector-set! mosfet |yaxis-point#| yaxis) + mosfet + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 1 0) -1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-yaxis! test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ sanity check for mosfet --------------------------- + +; Contract: +; sanity-mosfet? : mosfet -> boolean + +; Purpose: +; check type / sanity for well-formed transistor descriptions + +; Example: +; (sanity-mosfet? mosfet) => #t + +; Definition + (define sanity-mosfet? + (lambda (mosfet) + (if build-in-sanity-checks? + (begin + ; circuit type + (let ((type (mosfet-type mosfet))) + (if (and (string? type) + (or (equal? type "pmos") + (equal? type "nmos"))) + #t + (begin + (display "!! insane mosfet-type check " (current-error-port)) + (display type (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; gate nodes + (let ((gate (mosfet-gate mosfet))) + (if (and (string? gate) + (input-space? gate)) + #t + (begin + (display "!! insane mosfet-gate check " (current-error-port)) + (display gate (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; drain nodes + (let ((drain (mosfet-drain mosfet))) + (if (and (string? drain) + (or (node-space? drain) + (output-space? drain))) + #t + (begin + (display "!! insane mosfet-drain check " (current-error-port)) + (display drain (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; source nodes + (let ((source (mosfet-source mosfet))) + (if (and (string? source) + (or (node-space? source) + (ground-space? source) + (supply-space? source))) + #t + (begin + (display "!! insane mosfet-source check " (current-error-port)) + (display source (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; bulk nodes + (let ((bulk (mosfet-bulk mosfet))) + (if (and (string? bulk) + (or ;(node-space? bulk) !! we do not expect shifted bulks + (ground-space? bulk) + (supply-space? bulk))) + #t + (begin + (display "!! insane mosfet-bulk check " (current-error-port)) + (display bulk (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; stacked transistors + (let ((stacked (mosfet-stacked mosfet))) + (if (and (integer? stacked) + (> stacked 0)) ; positive + #t + (begin + (display "!! insane mosfet-stacked check " (current-error-port)) + (display stacked (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; xaxis coordinates + (let ((xaxis (mosfet-xaxis mosfet))) + (if (and (integer? xaxis) + (> xaxis 0)) ; positive + #t + (begin + (display "!! insane mosfet-xaxis check " (current-error-port)) + (display xaxis (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; yaxis coordinates + (let ((yaxis (mosfet-yaxis mosfet))) + (if (integer? yaxis) ; positive as well as negative + #t + (begin + (display "!! insane mosfet-yaxis check " (current-error-port)) + (display yaxis (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ) + ) + ) + ) + +;; ------------------------------------------------------------------- +;; EXAMPLE CELLS +;; ------------------------------------------------------------------- + +;; ------------ Example : INV-cell ------------------------------- + +; ^ Vdd +; | +; | --+ +; A --o| | pmos +; | --+ +; | +; *---- Y +; | +; | --+ +; A ---| | nmos +; | --+ +; | +; _|_ Gnd + + (define INV-cell '#("INV" "a Not (or Inverter) gate" + ("A") ("Y") () + (#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + ()) + ) + +;; ------------ Example : NAND2-cell --------------------------- + +; ^ Vdd ^ Vdd +; | | +; | --+ | --+ +; A --o| | pmos B --o| | pmos +; | --+ | --+ +; | | +; *-------------------*---- Y +; | +; | --+ +; A ---| | nmos +; | --+ +; | N2 +; | +; | --+ +; B ---| | nmos +; | --+ +; | +; _|_ Gnd + + (define NAND2-cell '#("NAND2" "a 2-input Not-AND (or NAND) gate" + ("B" "A") ("Y") () + (#("pmos" "B" "Y" "VDD" "VDD" 1 2 1) + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "N2" "GND" 1 1 -1) + #("nmos" "B" "N2" "GND" "GND" 2 1 -2)) + ()) + ) + +;; ------------ Example : NOR2-cell --------------------------- + +; ^ Vdd +; | +; | --+ +; B --o| | pmos +; | --+ +; | +; | N1 +; | --+ +; A --o| | pmos +; | --+ +; | +; *-------------------*---- Y +; | | +; | --+ | --+ +; A ---| | nmos B ---| | nmos +; | --+ | --+ +; | | +; _|_ Gnd _|_ Gnd + + (define NOR2-cell '#("NAND2" "a 2-input Not-AND (or NAND) gate" + ("B" "A") ("Y") () + (#("pmos" "B" "N1" "VDD" "VDD" 2 1 2) + #("pmos" "A" "Z" "N1" "VDD" 1 1 1) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1) + #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) + ()) + ) + +;; ------------ Example : OAI21-cell --------------------------- + +; ^ Vdd +; | +; | --+ +; B1 --o| | pmos +; | --+ +; ^ Vdd | +; | | N1 +; | --+ | --+ +; A --o| | pmos B0 --o| | pmos +; | --+ | --+ +; | | +; *-------------------*---- Y +; | +; | --+ +; A ---| | nmos +; | --+ +; | N2 +; *-------------------* +; | | +; | --+ | --+ +; B0 ---| | nmos B1 ---| | nmos +; | --+ | --+ +; | | +; _|_ Gnd _|_ Gnd + + (define OAI21-cell '#("OAI21" "a 2-1-input OR-AND-Invert (or OAI) gate" + ("B1" "B0" "A") ("Y") () + (#("pmos" "B1" "N1" "VDD" "VDD" 2 2 2) + #("pmos" "B0" "Y" "N1" "VDD" 1 2 1) + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "N2" "GND" 1 1 -1) + #("nmos" "B0" "N2" "GND" "GND" 2 1 -2) + #("nmos" "B1" "N2" "GND" "GND" 2 2 -2)) + ()) + ) + +;; ------------ Example : AOI21-cell --------------------------- + +; ^ Vdd ^ Vdd +; | | +; | --+ | --+ +; B0 --o| | pmos B1 --o| | pmos +; | --+ | --+ +; | N1 | +; *-------------------* +; | +; | --+ +; A --o| | pmos +; | --+ +; | +; *-------------------*---- Y +; | | +; | --+ | --+ +; A ---| | nmos B0 ---| | nmos +; | --+ | --+ +; | | +; _|_ Gnd | N2 +; | --+ +; B1 ---| | nmos +; | --+ +; | +; _|_ Gnd + + (define AOI21-cell '#("AOI21" "a 2-1-input AND-OR-Invert (or AOI) gate" + ("B1" "B0" "A") ("Y") () + (#("pmos" "B1" "N1" "VDD" "VDD" 2 2 2) + #("pmos" "B0" "Y" "N1" "VDD" 2 1 2) + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1) + #("nmos" "B0" "Y" "N2" "GND" 2 2 -1) + #("nmos" "B1" "N2" "GND" "GND" 2 2 -2)) + ()) + ) + +;; ------------------------------------------------------------------- +;; CELL DATA STRUCTURE +;; ------------------------------------------------------------------- + +; define cell as vector: +; +---------------+ +; #0 | cell id | "INV" +; +---------------+ +; #1 | cell text | "a Not (or Inverter) gate" +; +---------------+ +; #2 | cell inputs | '("A") +; +---------------+ +; #3 | cell outputs | '("Y") +; +---------------+ +; #4 | cell clocks | '() ; for latches +; +---------------+ +; #5 | netlist | '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) +; +---------------+ #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) +; #6 | additional | '() ; e.g. handover ASCII-Art +; +---------------+ + +; define constants for vector indices + (define |cell-id#| 0) + (define |cell-text#| 1) + (define |cell-inputs#| 2) + (define |cell-outputs#| 3) + (define |cell-clocks#| 4) + (define |cell-netlist#| 5) + (define |cell-additional#| 6) + +;; ------------ generate empty cell --------------------------- + +; Contract: +; generate-cell : -> cell + +; Purpose: +; generate new and empty cell vector structure + +; Example: +; (generate-cell) => '#() + +; Definition + (define generate-cell + (lambda () + (make-vector 7 "") + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (generate-cell) #("" "" "" "" "" "" "")) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " generate-cell test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ getter function : cell-id ----------------------- + +; Contract: +; cell-id : cell -> string + +; Purpose: +; get the cell ID out of a cell description vector + +; Example: +; (cell-id INV-cell) => "INV" + +; Definitions: + (define cell-id + (lambda (cell) + (vector-ref cell |cell-id#|) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (cell-id INV-cell) "INV") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " cell-id test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ setter function : cell-id! ----------------------- + +; Contract: +; cell-id! : cell string -> cell + +; Purpose: +; set the cell ID in a cell description vector + +; Example: +; (cell-id! INV-cell "INV") => INV-cell + +; Definitions: + (define cell-id! + (lambda (cell id) + (vector-set! cell |cell-id#| id) + cell + ) + ) + +;; ------------ getter function : cell-text ------------------- + +; Contract: +; cell-text : cell -> string + +; Purpose: +; get the cell description out of a cell description vector + +; Example: +; (cell-text INV-cell) => "a Not (or Inverter) gate" + +; Definition: + (define cell-text + (lambda (cell) + (vector-ref cell |cell-text#|) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (cell-text INV-cell) "a Not (or Inverter) gate") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " cell-text test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ setter function : cell-text! ------------------- + +; Contract: +; cell-text! : cell string -> cell + +; Purpose: +; set the cell description in a cell description vector + +; Example: +; (cell-text! INV-cell "a Not (or Inverter) gate") => INV-cell + +; Definition: + (define cell-text! + (lambda (cell text) + (vector-set! cell |cell-text#| text) + cell + ) + ) + +;; ------------ getter function : cell-inputs ------------------- + +; Contract: +; cell-inputs : cell -> list-of-strings ; Purpose: -; get the mosfet number of stacked transistors out of mosfet transistor vector +; get the cell input list out of a cell description vector ; Example: -; (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => 1 +; (cell-inputs INV-cell) => '("A") ; Definition: - (define mosfet-stacked - (lambda (transistor) - (vector-ref transistor |stacked#|) + (define cell-inputs + (lambda (cell) + (vector-ref cell |cell-inputs#|) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) + (if (equal? (cell-inputs INV-cell) '("A")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " mosfet-stacked test" (current-error-port)) + (display " cell-inputs test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : mosfet-stacked! --------------- +;; ------------ setter function : cell-inputs! ------------------- ; Contract: -; mosfet-stacked! : mosfet number -> mosfet +; cell-inputs! : cell list-of-strings -> cell ; Purpose: -; set the number of stacked transistors in a mosfet description vector +; set the cell input list in a cell description vector ; Example: -; (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (cell-inputs! INV-cell '("A")) => INV-cell ; Definition: - (define mosfet-stacked! - (lambda (transistor stacked) - (vector-set! transistor |stacked#| stacked) - transistor - ) - ) - -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test? - (begin - (if (equal? (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1) 1) - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " mosfet-stacked! test" (current-error-port)) - (newline (current-error-port)) + (define cell-inputs! + (lambda (cell list-of-inputs) + (vector-set! cell |cell-inputs#| list-of-inputs) + cell ) ) -;; ------------ getter function : mosfet-xaxis ------------------- +;; ------------ getter function : cell-outputs ------------------- ; Contract: -; mosfet-xaxis : mosfet -> number +; cell-outputs : cell -> list-of-strings ; Purpose: -; get the mosfet x-axis coordinate out of mosfet transistor vector +; get the cell output list out of a cell description vector ; Example: -; (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => 1 +; (cell-outputs INV-cell) => '("Y") ; Definition: - (define mosfet-xaxis - (lambda (transistor) - (vector-ref transistor |xaxis-point#|) + (define cell-outputs + (lambda (cell) + (vector-ref cell |cell-outputs#|) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) + (if (equal? (cell-outputs INV-cell) '("Y")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " mosfet-xaxis test" (current-error-port)) + (display " cell-outputs test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : mosfet-xaxis! --------------- +;; ------------ setter function : cell-outputs! ------------------- ; Contract: -; mosfet-xaxis! : mosfet number -> mosfet +; cell-outputs! : cell list-of-strings -> cell ; Purpose: -; set the mosfet xaxis in a mosfet description vector +; set the cell output list of a cell description vector ; Example: -; (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (cell-outputs! INV-cell '("Y")) => INV-cell ; Definition: - (define mosfet-xaxis! - (lambda (transistor xaxis) - (vector-set! transistor |xaxis-point#| xaxis) - transistor - ) - ) - -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test? - (begin - (if (equal? (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " mosfet-xaxis! test" (current-error-port)) - (newline (current-error-port)) + (define cell-outputs! + (lambda (cell list-of-strings) + (vector-set! cell |cell-outputs#| list-of-strings) + cell ) ) -;; ------------ getter function : mosfet-yaxis ------------------- +;; ------------ getter function : cell-clocks ------------------- ; Contract: -; mosfet-yaxis : mosfet -> number +; cell-clocks : cell -> list-of-strings ; Purpose: -; get the mosfet y-axis coordinate out of mosfet transistor vector +; get the cell clock list out of a cell description vector ; Example: -; (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => -1 +; (cell-clocks INV-cell) => '() ; Definition: - (define mosfet-yaxis - (lambda (transistor) - (vector-ref transistor |yaxis-point#|) + (define cell-clocks + (lambda (cell) + (vector-ref cell |cell-clocks#|) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) -1) + (if (equal? (cell-clocks INV-cell) '()) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " mosfet-yaxis test" (current-error-port)) + (display " cell-clocks test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ setter function : mosfet-yaxis! --------------- +;; ------------ setter function : cell-clocks! ------------------- ; Contract: -; mosfet-yaxis! : mosfet number -> mosfet +; cell-clocks! : cell list-of-symbols -> cell ; Purpose: -; set the mosfet yaxis in a mosfet description vector +; set the cell clock in a cell description vector ; Example: -; (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (cell-clocks! INV-cell '()) => INV-cell ; Definition: - (define mosfet-yaxis! - (lambda (transistor yaxis) - (vector-set! transistor |yaxis-point#| yaxis) - transistor - ) - ) - -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test? - (begin - (if (equal? (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 1 0) -1) - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " mosfet-yaxis! test" (current-error-port)) - (newline (current-error-port)) + (define cell-clocks! + (lambda (cell list-of-symbols) + (vector-set! cell |cell-clocks#| list-of-symbols) + cell ) ) -;; ------------------------------------------------------------------- -;; NODE SPACES -;; ------------------------------------------------------------------- - -;; ------------ input node names ------------------------------- - (define input-space '(#\A #\B #\C #\D #\E #\F #\H #\I #\K #\L #\M #\P #\R #\S #\T #\U #\W)) +;; ------------ getter function : cell-netlist ------------------- ; Contract: -; input-space? : list-of-ports -> boolean +; cell-netlist : cell -> netlist ; Purpose: -; check whether node is in defined input space for cells +; get the netlist out of a cell description vector ; Example: -; (input-space? "A0") => #t -; (input-space? "Z") => #f +; (cell-netlist INV-cell) => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) ; Definition: - (define input-space? - (lambda (node) - (if (memq (string-ref node 0) input-space) #t #f) + (define cell-netlist + (lambda (cell) + (vector-ref cell |cell-netlist#|) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (and (input-space? "A0") (not (input-space? "Z"))) + (if (equal? (cell-netlist INV-cell) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " input-space? test" (current-error-port)) + (display " cell-netlist test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ output node names ------------------------------- - - (define output-space '(#\Q #\Y #\Z)) +;; ------------ setter function : cell-netlist! --------------- ; Contract: -; output-space? list-of-ports -> boolean +; cell-netlist! : cell netlist -> cell ; Purpose: -; check wether node is in defined output space for cells +; set the netlist in a cell description vector ; Example: -; (output-space? "A0") => #f -; (output-space? "Z") => #t +; (cell-netlist! INV-cell '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) +; #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) => INV-cell ; Definition: - (define output-space? - (lambda (node) - (if (memq (string-ref node 0) output-space) #t #f) - ) - ) - -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test? - (begin - (if (and (output-space? "Z") (not (output-space? "A0"))) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " output-space? test" (current-error-port)) - (newline (current-error-port)) + (define cell-netlist! + (lambda (cell netlist) + (vector-set! cell |cell-netlist#| netlist) + cell ) ) -;; ------------ clock node names ------------------------------- - - (define clock-space '(#\X)) +;; ------------ getter function : cell-additional --------------- ; Contract: -; clock-space? list-of-ports -> boolean +; cell-additional : cell -> list-of-strings ; Purpose: -; check wether node is in defined clock space for cells +; get additional informations for the cell out of a cell description vector ; Example: -; (clock-space? "X0") => #t +; (cell-additional INV-cell) => '() ; Definition: - (define clock-space? - (lambda (node) - (if (memq (string-ref node 0) clock-space) #t #f) + (define cell-additional + (lambda (cell) + (vector-ref cell |cell-additional#|) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (clock-space? "X0") + (if (equal? (cell-additional INV-cell) '()) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " clock-space? test" (current-error-port)) + (display " cell-additional test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ internal node names ------------------------------- - - (define node-space '(#\N)) +;; ------------ setter function : cell-additional! --------------- ; Contract: -; node-space? list-of-nodes -> boolean +; cell-additional! : cell list-of-strings -> cell ; Purpose: -; check wether node is in defined node space for cells +; set additional informations in a cell description vector ; Example: -; (node-space? "N2") => #t +; (cell-additional! INV-cell '()) => INV-cell ; Definition: - (define node-space? - (lambda (node) -; (if (memq (string-ref node 0) node-space) #t #f) - (if (member (string-ref node 0) node-space) #t #f) - ) - ) - -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test? - (begin - (if (node-space? "N2") - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " node-space? test" (current-error-port)) - (newline (current-error-port)) + (define cell-additional! + (lambda (cell list-of-symbols) + (vector-set! cell |cell-additional#| list-of-symbols) + cell ) ) -;; ------------ supply symbol node name space ------------------- - -; Usually, SCHEME is case-insensitive but some implementations (and R7RS) are not. -; Hence, the member compare has a string-ci=? option. - -; Definition: - (define supply-space (list "vcc" "vdd")) +;; ------------ sanity check for netlist ----------------------- ; Contract: -; sypply-symbol-space? list-of-ports -> boolean +; sanity-netlist? : netlist -> boolean ; Purpose: -; check wether node is in defined list for sypply symbols +; check sanity for well-formed netlist ; Example: -; (supply-space? "Vdd") => #t -; (supply-space? "GND") => #f +; (sanity-netlist? (cell-netlist INV-cell)) => #t -; Definition: - (define supply-space? - (lambda (node) - (if (member node supply-space string-ci=?) #t #f) - ) - ) - -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test? - (begin - (if (and (supply-space? "Vdd") (not (supply-space? "gnd"))) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " supply-space? test" (current-error-port)) - (newline (current-error-port)) +; Definition + (define sanity-netlist? + (lambda (netlist) + (if build-in-sanity-checks? + (if (and (list? netlist) + ; at least one list member + (> (length netlist) 0) + ; (every) member has to be a mosfet + (sanity-mosfet? (car netlist))) + #t + (begin + (display "!! insane cell-netlist check " (current-error-port)) + (display netlist (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) ) ) -;; ------------ ground plane node name space ------------------- - -; Usually, SCHEME is case-insensitive but some implementations are not. -; Hence, the member compare has a string-ci=? option. - -; Definition: - (define ground-space (list "gnd" "vss")) +;; ------------ sanity check for cell --------------------------- ; Contract: -; ground-space? list-of-ports -> boolean +; sanity-cell? : cell -> boolean ; Purpose: -; check wether node is in defined list for ground symbols +; check type / sanity for well-formed cell vector descriptions ; Example: -; (ground-space? "gnd") => #t -; (ground-space? "vdd") => #f +; (sanity-cell? INV-cell) => #t -; Definition: - (define ground-space? - (lambda (node) - (if (member node ground-space string-ci=?) #t #f) +; Definition + (define sanity-cell? + (lambda (cell) + (if build-in-sanity-checks? + (begin + ; cell-id + (let ((id (cell-id cell))) + (if (and (string? id) + ; one word only + (= (length (string-split id #[ ])) 1)) + #t + (begin + (display "!! insane cell-id check " (current-error-port)) + (display id (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; cell-text + (let ((text (cell-text cell))) + (if (and (string? text) + ; at least one word + (> (length (string-split text #[ ])) 0)) + #t + (begin + (display "!! insane cell-text check " (current-error-port)) + (display text (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; cell-inputs + (let ((inputs (cell-inputs cell))) + (if (and (list? inputs) + ; at least one list member + (> (length inputs) 0) ; !! filler-cells w/o inputs !! + ; (every) member has to be string + (string? (car inputs))) + #t + (begin + (display "!! insane cell-inputs check " (current-error-port)) + (display inputs (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; cell-outputs + (let ((outputs (cell-outputs cell))) + (if (and (list? outputs) + ; at least one list member + (> (length outputs) 0) ; !! filler-cells w/o outputs !! + ; (every) member has to be string + (string? (car outputs))) + #t + (begin + (display "!! insane cell-outputs check " (current-error-port)) + (display outputs (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ; cell-clocks + (let ((clocks (cell-clocks cell))) + (if (list? clocks) + ; at least one list member + (if (null? clocks) + #t + (if (string? (car clocks)) ; (every) member has to be string + #t + (begin + (display "!! insane cell-clocks check " (current-error-port)) + (display clocks (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) + ) + ) + ; cell-netlist + (sanity-netlist? (cell-netlist cell)) + ; cell-additional + ) + ) ) ) -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test? - (begin - (if (and (ground-space? "gnd") (not (ground-space? "vdd"))) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " ground-space? test" (current-error-port)) - (newline (current-error-port)) - ) - ) + (sanity-cell? INV-cell) + (sanity-cell? NAND2-cell) + (sanity-cell? NOR2-cell) + (sanity-cell? OAI21-cell) + (sanity-cell? AOI21-cell) ;; ------------------------------------------------------------------- ;; FUNCTIONS on NETLISTs @@ -1403,13 +1859,13 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (pullup-network (cell-netlist INV-cell)) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1))) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " pullup-network test" (current-error-port)) - (newline (current-error-port)) + (if (equal? (pullup-network (cell-netlist INV-cell)) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " pullup-network test" (current-error-port)) + (newline (current-error-port)) + ) ) -) ;; ------------ filter network for pull-down ------------------- @@ -1540,7 +1996,7 @@ ;; ------------ filter for input connected transistors ----------- ; Contract: -; input-mofets : netlist node -> netlist +; input-mosfets : netlist node -> netlist ; Purpose: ; get all transistors which are connected to input @@ -1592,15 +2048,15 @@ ; Definition: (define complementary-mosfets - (lambda (netlist transistor) - (let ((input (mosfet-gate transistor))) + (lambda (netlist mosfet) + (let ((input (mosfet-gate mosfet))) (cond ; pmos? get first transistor out-of-list - [(mosfet-pmos? transistor) + [(mosfet-pmos? mosfet) (car (input-mosfets (pulldown-network netlist) input))] ; nmos? get first transistor out-of-list - [(mosfet-nmos? transistor) + [(mosfet-nmos? mosfet) (car (input-mosfets (pullup-network netlist) input))] ; unknown circuit @@ -1621,38 +2077,149 @@ ) ) -;; ------------ filter for intermediate pullup nodes ----------- +;; ------------ mosfets? operator ------------------------------- + +; Purpose: +; sort-operator for sort-mosfet-descending + + (define mosfet>? + (lambda (kar kdr) + (string-ci>? (mosfet-gate kar) (mosfet-gate kdr)) + ) + ) + +;; ------------ sort mosfets ascending --------------------------- ; Contract: -; pullup-nodes : netlist -> list-of-nodes +; sort-mosfet-ascending : netlist -> netlist ; Purpose: -; filter all nodes from pullup network into one list +; sort all transistors regarding their names ; Example: -; (pullup-nodes (cell-netlist INV-Cell)) => '() -; (pullup-nodes (cell-netlist NOR2-Cell)) => '("N1") +; (sort-mosfet-ascending '(#("nmos" "B" "N2" "GND" "GND" 2 1 -2) #("nmos" "A" "Y" "N2" GND 1 1 -1))) => (pulldown-network (cell-netlist NAND2-cell)) ; Definition: - (define pullup-nodes + (define sort-mosfet-ascending (lambda (netlist) - (let ((network (pullup-network netlist))) - (if (null? network) - ; emtpy list? - network - (let ((node (mosfet-drain (car network))) - (feedback (pullup-nodes (cdr network)))) - (cond - ; node on drain in node-space? - [(and (node-space? node) (not (member node feedback))) - (cons node feedback) - ] - - ; just go down - [else (pullup-nodes (cdr network))] - ) - ) - ) + (list-sort mosfet netlist + +; Purpose: +; sort all transistors regarding their names + +; Example: +; (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) => (pullup-network (cell-netlist NAND2-cell)) + +; Definition: + (define sort-mosfet-descending + (lambda (netlist) + (list-sort mosfet>? netlist) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) (pullup-network (cell-netlist NAND2-cell))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " sort-mosfet-descending test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ filter mosfets with char-group nodes ----------- + +; Contract: +; filter-mosfet-char : netlist node -> netlist + +; Purpose: +; filter all transistors regarding one char group + +; Example: +; (filter-mosfet-char '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1)) "A") => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) + +; Definition: + (define filter-mosfet-char + (lambda (netlist node) + (cond + ; emtpy list? + [(null? netlist) netlist] + + ; if mosfet connected to node group, add them to netlist and go down recursive + [(equal? (string-ref (mosfet-gate (car netlist)) 0) (string-ref node 0)) + (cons (car netlist) (filter-mosfet-char (cdr netlist) node))] + + ; just go down + [else (filter-mosfet-char (cdr netlist) node)] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (filter-mosfet-char (cell-netlist NAND2-cell) "A") '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("nmos" "A" "Y" "N2" "GND" 1 1 -1))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " filter-mosfet-char" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ filter mosfet out with char-group nodes ----------- + +; Contract: +; filter-mosfet-remove : netlist node -> netlist + +; Purpose: +; filter all transistors out with similiar node group + +; Example: +; (filter-mosfet-remove '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1)) "B") => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) + +; Definition: + (define filter-mosfet-remove + (lambda (netlist node) + (cond + ; emtpy list? + [(null? netlist) netlist] + + ; if mosfet connected to input node, ignore and go down recursive + [(equal? (string-ref (mosfet-gate (car netlist)) 0) (string-ref node 0)) + (filter-mosfet-remove (cdr netlist) node)] + + ; add mosfet to netlist, and go down + [else (cons (car netlist) (filter-mosfet-remove (cdr netlist) node))] ) ) ) @@ -1660,45 +2227,41 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (display (pullup-nodes (cell-netlist NOR2-cell))) - (if (equal? (pullup-nodes (cell-netlist NOR2-cell)) '("N1")) + (if (equal? (filter-mosfet-remove (cell-netlist NAND2-cell) "B") '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("nmos" "A" "Y" "N2" "GND" 1 1 -1))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " pullup-nodes test" (current-error-port)) + (display " filter-mosfet-remove" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ filter for intermediate pulldown nodes ----------- +;; ------------ filter for input nodes --------------------------- ; Contract: -; pulldown-nodes : netlist -> list-of-nodes +; input-nodes : netlist -> list-of-nodes ; Purpose: -; filter all nodes from pulldown network into one list +; filter all input nodes from network into one list ; Example: -; (pulldown-nodes (cell-netlist INV-Cell)) => '() -; (pulldown-nodes (cell-netlist NAND2-Cell)) => '("N2") +; (input-nodes (cell-netlist INV-Cell)) => '("A") ; Definition: - (define pulldown-nodes + (define input-nodes (lambda (netlist) - (let ((network (pulldown-network netlist))) - (if (null? network) - ; emtpy list? - network - (let ((node (mosfet-drain (car network))) - (feedback (pulldown-nodes (cdr network)))) - (cond - ; node on drain in node-space? - [(and (node-space? node) (not (member node feedback))) - (cons node feedback) - ] - - ; just go down - [else (pulldown-nodes (cdr network))] - ) + (if (null? netlist) + ; emtpy list? + netlist + (let ((node (mosfet-gate (car netlist))) + (feedback (input-nodes (cdr netlist)))) + (cond + ; node on gate in input-space? + [(and (input-space? node) (not (member node feedback))) + (cons node feedback) + ] + + ; just go down + [else (input-nodes (cdr netlist))] ) ) ) @@ -1708,142 +2271,251 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (display (pulldown-nodes (cell-netlist NAND2-cell))) - (if (equal? (pulldown-nodes (cell-netlist NAND2-cell)) '("N2")) + (if (equal? (input-nodes (cell-netlist NOR2-cell)) '("A" "B")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " pulldown-nodes test" (current-error-port)) + (display " input-nodes test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ calculate next free pullup node --------------- +;; ------------ filter for intermediate nodes ------------------- -; Congtract: -; pullup-node : cell -> node +; Contract: +; intermediate-nodes : netlist -> list-of-nodes ; Purpose: -; search for highest used node in pullup network, calculate next +; filter all internal / intermediate nodes from network into one list ; Example: -; (pullup-node INV-cell) => N1 +; (intermediate-nodes (cell-netlist INV-Cell)) => '() +; (intermediate-nodes (cell-netlist NOR2-Cell)) => '("N1") ; Definition: - (define pullup-node - (lambda (cell) + (define intermediate-nodes + (lambda (netlist) + (if (null? netlist) + ; emtpy list? + netlist + (let ((node (mosfet-drain (car netlist))) + (feedback (intermediate-nodes (cdr netlist)))) + (cond + ; node on drain in node-space? + [(and (node-space? node) (not (member node feedback))) + (cons node feedback) + ] + + ; just go down + [else (intermediate-nodes (cdr netlist))] + ) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (intermediate-nodes (cell-netlist NOR2-cell)) '("N1")) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " intermediate-nodes test" (current-error-port)) + (newline (current-error-port)) ) ) -;; ------------ list-of-nodes + +; Purpose: +; filter all clock nodes from network into one list + +; Example: +; (clock-nodes (cell-netlist INV-Cell)) => '() + +; Definition: + (define clock-nodes + (lambda (netlist) + (if (null? netlist) + ; emtpy list? + netlist + (let ((node (mosfet-drain (car netlist))) + (feedback (clock-nodes (cdr netlist)))) (cond - ; 1st name is shorter than 2nd - [(< length-1st length-2nd) #t] - ; 1st name is longer than 2nd - [(> length-1st length-2nd) #f] - ; character of 1st name is smaller than 2nd - [(< (char->integer (string-ref 1st 0)) (char->integer (string-ref 2nd 0))) #t] - [else - (if (> length-1st 1) - ; check numbers - (< (string->number (substring 1st 1 length-1st)) (string->number (substring 2nd 1 length-2nd))) - ; otherwise false - #f) + ; node on input in clock-space? + [(and (clock-space? node) (not (member node feedback))) + (cons node feedback) ] + + ; just go down + [else (clock-nodes (cdr netlist))] ) ) ) ) ) -;; ------------ >mosfets operator ------------------------------- +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (clock-nodes (cell-netlist INV-cell)) '()) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " clock-nodes test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ filter for output nodes --------------------------- - (define >mosfet - (lambda (kar kdr) - (let ((1st (mosfet-gate kar)) - (2nd (mosfet-gate kdr))) - (let ((length-1st (string-length 1st)) - (length-2nd (string-length 2nd))) +; Contract: +; output-node : netlist -> list-of-nodes + +; Purpose: +; filter all output nodes from network into one list + +; Example: +; (output-nodes (cell-netlist INV-Cell)) => '("Y") + +; Definition: + (define output-nodes + (lambda (netlist) + (if (null? netlist) + ; emtpy list? + netlist + (let ((node (mosfet-drain (car netlist))) + (feedback (output-nodes (cdr netlist)))) (cond - ; 1st name is longer than 2nd - [(> length-1st length-2nd) #t] - ; 1st name is shorter than 2nd - [(< length-1st length-2nd) #f] - ; character of 1st name is bigger than 2nd - [(> (char->integer (string-ref 1st 0)) (char->integer (string-ref 2nd 0))) #t] - [else - (if (> length-1st 1) - ; check numbers - (> (string->number (substring 1st 1 length-1st 1)) (string->number (substring 2nd 1 length-2nd))) - ; otherwise false - #f) + ; node on input in output-space? + [(and (output-space? node) (not (member node feedback))) + (cons node feedback) ] + + ; just go down + [else (output-nodes (cdr netlist))] ) ) ) ) ) -;; ------------ sort mosfets ascending --------------------------- +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (output-nodes (cell-netlist INV-cell)) '()) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " output-nodes test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ length-1st length-2nd) #f] + ; character of 1st name is smaller than 2nd + [(< (char->integer (string-ref kar 0)) (char->integer (string-ref kdr 0))) #t] + [else + (if (> length-1st 1) + ; check numbers + (< (string->number (substring kar 1 length-1st)) (string->number (substring kdr 1 length-2nd))) + ; otherwise false + #f) + ] + ) + ) + ) + ) + +;; ------------ >node operator ----------------------------------- + + (define >node + (lambda (kar kdr) + (let ((length-1st (string-length kar)) + (length-2nd (string-length kdr))) + (cond + ; 1st name is longer than 2nd + [(> length-1st length-2nd) #t] + ; 1st name is shorter than 2nd + [(< length-1st length-2nd) #f] + ; character of 1st name is bigger than 2nd + [(> (char->integer (string-ref kar 0)) (char->integer (string-ref kdr 0))) #t] + [else + (if (> length-1st 1) + ; check numbers + (> (string->number (substring kar 1 length-1st)) (string->number (substring kdr 1 length-2nd))) + ; otherwise false + #f) + ] + ) + ) + ) + ) + +;; ------------ sort nodes descending --------------------------- ; Contract: -; sort-mosfet-ascending : netlist -> netlist +; sort-nodes-desscending : node-list -> node-list ; Purpose: -; sort all transistors regarding their names +; sort all nodes regarding their names ; Example: -; (sort-mosfet-ascending '(#("nmos" "B" "N2" "GND" "GND" 2 1 -2) #("nmos" "A" "Y" "N2" GND 1 1 -1))) => (pulldown-network (cell-netlist NAND2-cell)) +; (sort-nodes-descending '("N1" "N2" "N3")) => '("N3" "N2" "N1") ; Definition: - (define sort-mosfet-ascending - (lambda (netlist) - (list-sort node nodelist) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (sort-mosfet-ascending '(#("nmos" "B" "N2" "GND" "GND" 2 1 -2) #("nmos" "A" "Y" "N2" "GND" 1 1 -1))) (pulldown-network (cell-netlist NAND2-cell))) + (if (equal? (sort-nodes-descending '("N1" "N2" "N3")) '("N3" "N2" "N1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " sort-mosfet-ascending test" (current-error-port)) + (display " sort-nodes-descending test" (current-error-port)) (newline (current-error-port)) ) ) -;; ------------ sort mosfets descending ----------------------- +;; ------------ sort nodes ascending --------------------------- ; Contract: -; sort-mosfets-desscending : netlist -> netlist +; sort-nodes-ascending : node-list -> node-list ; Purpose: -; sort all transistors regarding their names +; sort all nodes regarding their names ; Example: -; (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) => (pullup-network (cell-netlist NAND2-cell)) +; (sort-nodes-ascending '("N3" "N2" "N1")) => '("N1" "N2" "N3") ; Definition: - (define sort-mosfet-descending - (lambda (netlist) - (list-sort >mosfet netlist) + (define sort-nodes-ascending + (lambda (nodelist) + (list-sort symbollist : list-of-strings -> list-of-symbols - -; Purpose: -; convert list of strings (e.g. ports) into list of symbols - -; Example: -; (stringlist->symbollist ("C" "B" "A") => ('C 'B 'A) - -; Definition: - (define stringlist->symbollist - (lambda (string-list) - (map string->symbol string-list) - ) - ) - -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test? - (begin - (if (equal? (stringlist->symbollist (list "C2" "B1" "A0")) '(C2 B1 A0)) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " stringlist->symbollist test" (current-error-port)) - (newline (current-error-port)) - ) - ) - -;; ------------ list of symbols into list of strings ----------- +;; ------------ convert string into mosfet ----------------------- ; Contract: -; symbollist->stringlist : list-of-symbols -> list-of-strings +; stringlist->mosfet : list-of-strings -> mosfet ; Purpose: -; convert list of symbols (e.g. ports) into list of strings +; convert list of strings into mosfet vector ; Example: -; (symbollist->stringlist '(C B A) => ("C" "B" "A") +; (stringlist->mosfet ("nmos" "A" "Y" "GND" "GND" "1" "1" "-1")) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) ; Definition: - (define symbollist->stringlist - (lambda (symbol-list) - (map symbol->string symbol-list) + (define stringlist->mosfet + (lambda (stringlist) + (let ((mosfet (generate-mosfet))) + (mosfet-type! mosfet (string-downcase (list-ref stringlist |circuit-type#|))) + (mosfet-gate! mosfet (string-upcase (list-ref stringlist |gate-node#|))) + (mosfet-drain! mosfet (string-upcase (list-ref stringlist |drain-node#|))) + (mosfet-source! mosfet (string-upcase (list-ref stringlist |source-node#|))) + (mosfet-bulk! mosfet (string-upcase (list-ref stringlist |bulk-node#|))) + (mosfet-stacked! mosfet (string->number (list-ref stringlist |stacked#|))) + (mosfet-xaxis! mosfet (string->number (list-ref stringlist |xaxis-point#|))) + (mosfet-yaxis! mosfet (string->number (list-ref stringlist |yaxis-point#|))) + mosfet + ) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (symbollist->stringlist '(C2 B1 A0)) (list "C2" "B1" "A0")) + (if (equal? (stringlist->mosfet '("nmos" "A" "Y" "GND" "GND" "1" "1" "-1")) '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " symbollist->stringlist test" (current-error-port)) + (display " stringlist->mosfet test" (current-error-port)) (newline (current-error-port)) ) ) diff --git a/Tools/popcorn/popcorn-verilog.scm b/Tools/popcorn/popcorn-verilog.scm index 7f991675..f52829d1 100644 --- a/Tools/popcorn/popcorn-verilog.scm +++ b/Tools/popcorn/popcorn-verilog.scm @@ -59,7 +59,7 @@ ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test #t) + (define build-in-self-test? #t) ;; ------------------------------------------------------------------- ;; AUXILARY FUNCTIONS @@ -74,7 +74,7 @@ ; transfer a list of input symbols into list of strings ; Example: -; (inputlist->stimulilist '(C B A)) => ("stimuli[2]" "stimuli[1]" "stimuli[0]") +; (inputlist->stimulilist '("C" "B" "A")) => ("stimuli[2]" "stimuli[1]" "stimuli[0]") ; Definition: (define inputlist->stimulilist @@ -89,9 +89,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (inputlist->stimulilist '(C B A)) '("stimuli[2]" "stimuli[1]" "stimuli[0]")) + (if (equal? (inputlist->stimulilist '("C" "B" "A")) '("stimuli[2]" "stimuli[1]" "stimuli[0]")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " inputlist->stimulilist test" (current-error-port)) @@ -108,7 +108,7 @@ ; transfer a list of output symbols into list of strings ; Example: -; (outputlist->responselist '(Z Y X)) => ("response[2]" "response[1]" "response[0]") +; (outputlist->responselist '("Z" "Y")) => ("response[1]" "response[0]") ; Definition: (define outputlist->responselist @@ -123,9 +123,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (outputlist->responselist '(Z Y X)) '("response[2]" "response[1]" "response[0]")) + (if (equal? (outputlist->responselist '("Z" "Y")) '("response[1]" "response[0]")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " outputlist->responselist test" (current-error-port)) @@ -142,7 +142,7 @@ ; transfer a list of clock symbols into list of strings ; Example: -; (clocklist->signallist '(Ckl)) => ("clk_tb") +; (clocklist->signallist '("X")) => ("clk_tb") ; Definition: (define clocklist->signallist @@ -155,9 +155,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (clocklist->signallist (list 'Clk)) '("clk_tb")) + (if (equal? (clocklist->signallist (list "X")) '("clk_tb")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " clocklist->signallist test" (current-error-port)) @@ -174,7 +174,7 @@ ; transfer lists of ports into well-formed table format ; Example: -; (portlists->tableformat '(Y A)) => ("\\t%b\\t:%b") +; (portlists->tableformat '("Y" "A")) => ("\\t%b\\t:%b") ; Definition: (define portlists->tableformat @@ -191,9 +191,9 @@ ) ; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test + (if build-in-self-test? (begin - (if (equal? (portlists->tableformat '(A Y)) "\\t%b\\t:%b") + (if (equal? (portlists->tableformat '("A" "Y")) "\\t%b\\t:%b") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " portlists->tableformat test" (current-error-port)) @@ -293,7 +293,7 @@ ) ;; ------------------------------------------------------------------- -;; WRITING CELL DESCRIPTIONS +;; WRITING VERILOG MODULE ;; ------------------------------------------------------------------- ;; ------------ export verilog module --------------------------- @@ -321,9 +321,9 @@ parameter gnd = 1'b0; " (cell-id cell) - (stringlist->csv (symbollist->stringlist (append (cell-outputs cell) (cell-inputs cell) (cell-clocks cell)))) - (stringlist->csv (symbollist->stringlist (cell-outputs cell))) - (stringlist->csv (symbollist->stringlist (cell-inputs cell))) + (stringlist->csv (append (cell-outputs cell) (cell-inputs cell) (cell-clocks cell))) + (stringlist->csv (cell-outputs cell)) + (stringlist->csv (cell-inputs cell)) ) ) ) @@ -338,7 +338,7 @@ ; generate Verilog '95 transistor line on STDOUT ; Example: -; (export-verilog-mosfet '#(nmos A Y VDD substrate 1 1 -1)) => -- +; (export-verilog-mosfet '#(nmos A Y GND GND 1 1 -1)) => -- ; Definition: (define export-verilog-mosfet diff --git a/Tools/popcorn/popcorn.scm b/Tools/popcorn/popcorn.scm index c96b8904..3f7cc785 100644 --- a/Tools/popcorn/popcorn.scm +++ b/Tools/popcorn/popcorn.scm @@ -89,40 +89,7 @@ Copyright (c) 2019 by chipforge - " ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test #t) - -;; ------------------------------------------------------------------- -;; DESCRIPTION -;; ------------------------------------------------------------------- - -;; In principle every combinatorial cell (in CMOS technology) contains -;; two functional complementary networks. The pull-up network - build -;; with pmos transistors - as well as the pull-down network - build -;; with nmos transistors. - -;; so every netlist becomes, well, a list of transistors - -;; ------------ Example : INV ----------------------------------- - -;; ^ Vdd -;; | -;; | --+ -;; A --o| | pmos -;; | --+ -;; | -;; | -;; *---- Y -;; | -;; | -;; | --+ -;; A ---| | nmos -;; | --+ -;; | -;; _|_ Gnd - - (define INV '(#(pmos A Y VDD VDD 1 1 1) - #(nmos A Y GND GND 1 1 -1)) - ) + (define build-in-self-test? #t) ;; ------------------------------------------------------------------- ;; GLOBAL OPTIONS @@ -164,7 +131,7 @@ Copyright (c) 2019 by chipforge - " (define stacked-limit 4) ; -m method - (define extension-method 'none) + (define expansion-method 'none) ; -T file (define technology-file "scmos.tech") @@ -197,7 +164,7 @@ Copyright (c) 2019 by chipforge - " [(equal? (car arguments) "-b") (let ([value (car (cdr arguments))] [tail (cddr arguments)]) - (set! buffer-limit value) ; !! value check missing + (set! buffer-limit (string->number value)) ; !! value check missing (set-parameters-with-args! eigen-name tail) ) ] @@ -230,7 +197,7 @@ Copyright (c) 2019 by chipforge - " [(equal? (car arguments) "-H") (let ([value (car (cdr arguments))] [tail (cddr arguments)]) - (set! track-high value) ; !! value check missing)] + (set! track-high (string->number value)) ; !! value check missing)] (set-parameters-with-args! eigen-name tail) ) ] @@ -239,7 +206,7 @@ Copyright (c) 2019 by chipforge - " [(equal? (car arguments) "-l") (let ([value (car (cdr arguments))] [tail (cddr arguments)]) - (set! stacked-limit value) ; !! value check missing)] + (set! stacked-limit (string->number value)) ; !! value check missing)] (set-parameters-with-args! eigen-name tail) ) ] @@ -248,7 +215,7 @@ Copyright (c) 2019 by chipforge - " [(equal? (car arguments) "-m") (let ([value (car (cdr arguments))] [tail (cddr arguments)]) - (set! extension-method value) ; !! value check missing)] + (set! expansion-method (string->symbol value)) (set-parameters-with-args! eigen-name tail) ) ] @@ -317,8 +284,8 @@ Copyright (c) 2019 by chipforge - " ; -m method (format (at-port) -"Extension Method: ~a" - extension-method) +"Expansion Method: ~a" + expansion-method) (newline (at-port)) ; -T file @@ -342,45 +309,6 @@ Copyright (c) 2019 by chipforge - " ) ) -;; ------------------------------------------------------------------- -;; FUNCTIONS -;; ------------------------------------------------------------------- - -;; ------------ get highest free nmos transistor --------------- - -; Contract: -; get-highest-nmos : netlist -> transistor - -; Purpose: -; find highest nmos transistor, which can be extended - -; Example: -; (get-highest-nmos INV) => #('nmos 'A 'X 'GND 'GND 1 1 -1) - -; Definition: - (define get-highest-nmos - (lambda (netlist) - (cond - ; emtpy list? - [(null? netlist) netlist] - - ;; !! - [else (get-highest-nmos (cdr netlist))] - ) - ) - ) - -; Test: !! replace code by a portable SRFI test environemt - (if build-in-self-test - (begin - (if (equal? (get-highest-nmos INV) '(#(nmos A Y GND GND 1 1 -1))) - (display "++ passed" (current-error-port)) - (display "-- failed" (current-error-port))) - (display " get-node-mosfets test" (current-error-port)) - (newline (current-error-port)) - ) - ) - ;; ------------------------------------------------------------------- ;; MAIN ;; ------------------------------------------------------------------- @@ -412,30 +340,31 @@ Copyright (c) 2019 by chipforge - " ) ] -; ; expand cell instead -; [(equal? export-format 'cell) -; (cond -; ; nand-wise -; [(equal? extension-method 'nand) -; (begin -; 0 ; exit value -; ) -; ] -; ; nor-wise -; [(equal? extension-method 'nor) -; (begin -; 0 ; exit value -; ) -; ] -; ; selection failed, unknown extension-method -; [else -; (begin -; (+usage+ eigen-name current-error-port) -; 2 ; exit value - wrong usage -; ) -; ] -; ) -; ] + ; expand cell instead + [(equal? export-format 'cell) + (cond + ; nand-wise + [(equal? expansion-method 'nand) + (begin + (write-cell-file (expand-cell-nand (read-cell-file cell-file) stacked-limit)) + 0 ; exit value + ) + ] + ; nor-wise + [(equal? expansion-method 'nor) +;; (begin +;; 0 ; exit value +;; ) + ] + ; selection failed, unknown expansion-method + [else + (begin + (+usage+ eigen-name current-error-port) + 2 ; exit value - wrong usage + ) + ] + ) + ] ; selection failed, unknown export-format value [else From 7292dbb3f182a0dbf8110d9fe67c76c61b94f9bb Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Jul 2019 13:43:56 +0000 Subject: [PATCH 017/673] Fixed Inputs and Ouputs conversion --- Tools/perl/cell2spice.pl | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index 818feb74..3e96f2f1 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -1,3 +1,5 @@ +#!/usr/bin/perl -w + open OUT,">libresilicon.sp"; foreach my $fn (<*.cell>) @@ -10,7 +12,7 @@ my $ios=""; while() { - if(m/^\.(INPUT|OUTPUT) (.*)/) + if(m/^\.(inputs|outputs) (.*)/) { $ios.=" ".$2; } From 5de7b84ef8207e3b3fc703a64fc0173f0ff42e9e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Jul 2019 13:44:42 +0000 Subject: [PATCH 018/673] Made the euler tool executable --- Tools/perl/euler.pl | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 Tools/perl/euler.pl diff --git a/Tools/perl/euler.pl b/Tools/perl/euler.pl old mode 100644 new mode 100755 From 306d15ae9bdc860922e0ace27c57495c67c77b98 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Jul 2019 13:45:36 +0000 Subject: [PATCH 019/673] Added message with the generated filename --- GNUmakefile | 1 + 1 file changed, 1 insertion(+) diff --git a/GNUmakefile b/GNUmakefile index b75d08f3..68375cea 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -83,6 +83,7 @@ help: dist: clean $(ECHO) "---- build a tarball with all important files ----" $(TAR) -cvf $(PROJECT)_$(DATE).tgz $(DISTRIBUTION) + echo $(PROJECT)_$(DATE).tgz has been written. # well, 'clean' directories before distributing From 43e138aac887c7d6584b9a28262237dd42bced24 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Jul 2019 15:54:25 +0000 Subject: [PATCH 020/673] Adding and updating the tools --- Tools/perl/demoboard.pl | 99 +++++++ Tools/perl/lefgen.pl | 197 ++++++++++++++ Tools/perl/libgen.pl | 440 ++++++++++++++++++++++++++++++ Tools/perl/librecells.pl | 35 +++ Tools/perl/painter.pl | 563 +++++++++++++++++++++++++++++++++++++++ Tools/perl/spice2cell.pl | 100 +++++++ 6 files changed, 1434 insertions(+) create mode 100755 Tools/perl/demoboard.pl create mode 100755 Tools/perl/lefgen.pl create mode 100755 Tools/perl/libgen.pl create mode 100755 Tools/perl/librecells.pl create mode 100644 Tools/perl/painter.pl create mode 100755 Tools/perl/spice2cell.pl diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl new file mode 100755 index 00000000..ddefae8c --- /dev/null +++ b/Tools/perl/demoboard.pl @@ -0,0 +1,99 @@ +#!/usr/bin/perl -w + +my @cells=(); + +foreach my $cell (<*.cell>) +{ + my $name=$cell; $name=~s/\.cell$//; + next unless (-f "$name.mag"); + push @cells,$cell; +} + +my $squared=sqrt(scalar(@cells)||1); + +print STDERR "Cells: ".scalar(@cells)." Squared: $squared\n"; + +sub mymin($$) +{ + return $_[1] unless(defined($_[0])); + return ($_[0]>$_[1])?$_[1]:$_[0]; +} + +sub mymax($$) +{ + return $_[1] unless(defined($_[0])); + return ($_[0]>$_[1])?$_[0]:$_[1]; +} + + + +my $counter=0; +our $x=0; +our $y=0; + +my $now=time(); + +print <) + { + $timestamp=$1 if(m/^timestamp (\d+)/); + if(m/^rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/) + { + my @a=split " ",$_; + foreach(1 .. 4) + { + $mins[$_&1]=mymin($mins[$_&1],$a[$_]); + $maxs[$_&1]=mymax($maxs[$_&1],$a[$_]); + } + } + } + close IN; + } + next unless(defined($maxs[0])); + + my $height=$maxs[0]-$mins[0]; + my $width=$maxs[1]-$mins[1]; + + + print "use $name $name"."_0\n"; + print "timestamp $timestamp\n"; + print "transform 1 0 $x 0 1 $y\n"; + print "box 0 0 $width $height\n"; + + my $lx=$x+$width/2; + my $ly=$y+$height/2; + $labels.="flabel space $lx $ly $lx $ly 0 FreeSans 1600 0 0 0 $name\n"; + + $x+=$width; + if(int($counter/$squared)>int(($counter-1)/$squared)) + { + $x=0; + $y+=$height; + } + + $counter++; +} +print "<< labels >>\n"; +print $labels; + +print "<< end >>\n"; + + diff --git a/Tools/perl/lefgen.pl b/Tools/perl/lefgen.pl new file mode 100755 index 00000000..0c5bfbea --- /dev/null +++ b/Tools/perl/lefgen.pl @@ -0,0 +1,197 @@ +#!/usr/bin/perl -w +use strict; + +print <) + { + print $_; + } + close IN; + print "\n"; +} + + +print "\nEND LIBRARY\n"; diff --git a/Tools/perl/libgen.pl b/Tools/perl/libgen.pl new file mode 100755 index 00000000..59baa014 --- /dev/null +++ b/Tools/perl/libgen.pl @@ -0,0 +1,440 @@ +#!/usr/bin/perl -w +use strict; + +#Liberty File generator +# + +print <$_[1])?$_[1]:$_[0]; +} + +sub mymax($$) +{ + return $_[1] unless(defined($_[0])); + return ($_[0]>$_[1])?$_[0]:$_[1]; +} + +foreach my $mag(<*.mag>) +{ + my $cellname=$mag; $cellname=~s/\.mag$//; + + my @mins=(); + my @maxs=(); + + if(open(IN,"<$mag")) + { + while() + { + if(m/^rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/) + { + my @a=split " ",$_; + foreach(1 .. 4) + { + $mins[$_&1]=mymin($mins[$_&1],$a[$_]); + $maxs[$_&1]=mymax($maxs[$_&1],$a[$_]); + } + } + } + close IN; + } + my @inputs=(); + my @outputs=(); + if(open(IN,"<$cellname.cell")) + { + print STDERR "Reading $cellname.cell\n"; + while() + { + @inputs=split " ",$1 if(m/^\.inputs (.*)/); + @outputs=split " ",$1 if(m/^\.outputs (.*)/); + } + close IN; + } + else + { + print STDERR "Could not read: $!\n"; + } + my $area=defined($maxs[0])?($maxs[0]-$mins[0])*($maxs[1]-$mins[1]):1; + + if($mag=~m/^PAD/) + { + print "cell ($cellname) { area : $area; dont_touch : true; }\n"; + } + else + { + + my $output= <) +{ + if(m/^\.subckt (\w+)/) + { + my $cellname=$1; + my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); + next if($cellname eq "CLKBUF3"); + my $cmd="lclayout --output-dir outputlib --tech librecell_tech.py --netlist $sp --cell $cellname -v $placer"; + print "$cmd\n"; + system $cmd; + + open OUT,"|magic -dnull -noconsole -T libresilicon >/dev/null 2>/dev/null"; + print OUT <> +rect -310 152 0 156 +rect 824 152 1126 156 +rect -310 128 -210 152 +rect 1026 128 1126 152 +rect -10 80 0 84 +rect 824 80 826 84 +rect -10 72 0 76 +rect 824 72 826 76 +rect -310 4 -210 28 +rect 1026 4 1126 28 +rect -310 0 0 4 +rect 824 0 1126 4 +<< metal2 >> +rect -6 36 -2 162 +rect 810 128 814 164 +rect 18 116 22 124 +rect 50 116 54 124 +rect 82 116 86 124 +rect 114 116 118 124 +rect 146 116 150 124 +rect 178 116 182 124 +rect 210 116 214 124 +rect 242 116 246 124 +rect 274 116 278 124 +rect 306 116 310 124 +rect 338 116 342 124 +rect 370 116 374 124 +rect 402 116 406 124 +rect 434 116 438 124 +rect 466 116 470 124 +rect 498 116 502 124 +rect 530 116 534 124 +rect 562 116 566 124 +rect 594 116 598 124 +rect 626 116 630 124 +rect 658 116 662 124 +rect 690 116 694 124 +rect 722 116 726 124 +rect 754 116 758 124 +rect 786 116 790 124 +rect 802 116 806 124 +rect 10 112 30 116 +rect 42 112 62 116 +rect 74 112 94 116 +rect 106 112 126 116 +rect 138 112 158 116 +rect 170 112 190 116 +rect 202 112 222 116 +rect 234 112 254 116 +rect 266 112 286 116 +rect 298 112 318 116 +rect 330 112 350 116 +rect 362 112 382 116 +rect 394 112 414 116 +rect 426 112 446 116 +rect 458 112 478 116 +rect 490 112 510 116 +rect 522 112 542 116 +rect 554 112 574 116 +rect 586 112 606 116 +rect 618 112 638 116 +rect 650 112 670 116 +rect 682 112 702 116 +rect 714 112 734 116 +rect 746 112 766 116 +rect 778 112 818 116 +rect 26 108 30 112 +rect 58 108 62 112 +rect 90 108 94 112 +rect 122 108 126 112 +rect 154 108 158 112 +rect 186 108 190 112 +rect 218 108 222 112 +rect 250 108 254 112 +rect 282 108 286 112 +rect 314 108 318 112 +rect 346 108 350 112 +rect 378 108 382 112 +rect 410 108 414 112 +rect 442 108 446 112 +rect 474 108 478 112 +rect 506 108 510 112 +rect 538 108 542 112 +rect 570 108 574 112 +rect 602 108 606 112 +rect 634 108 638 112 +rect 666 108 670 112 +rect 698 108 702 112 +rect 730 108 734 112 +rect 762 108 766 112 +rect 26 104 38 108 +rect 58 104 70 108 +rect 90 104 102 108 +rect 122 104 134 108 +rect 154 104 166 108 +rect 186 104 198 108 +rect 218 104 230 108 +rect 250 104 262 108 +rect 282 104 294 108 +rect 314 104 326 108 +rect 346 104 358 108 +rect 378 104 390 108 +rect 410 104 422 108 +rect 442 104 454 108 +rect 474 104 486 108 +rect 506 104 518 108 +rect 538 104 550 108 +rect 570 104 582 108 +rect 602 104 614 108 +rect 634 104 646 108 +rect 666 104 678 108 +rect 698 104 710 108 +rect 730 104 742 108 +rect 762 104 774 108 +rect 2 40 6 100 +rect 34 96 38 104 +rect 66 96 70 104 +rect 98 96 102 104 +rect 130 96 134 104 +rect 162 96 166 104 +rect 194 96 198 104 +rect 226 96 230 104 +rect 258 96 262 104 +rect 290 96 294 104 +rect 322 96 326 104 +rect 354 96 358 104 +rect 386 96 390 104 +rect 418 96 422 104 +rect 450 96 454 104 +rect 482 96 486 104 +rect 514 96 518 104 +rect 546 96 550 104 +rect 578 96 582 104 +rect 610 96 614 104 +rect 642 96 646 104 +rect 674 96 678 104 +rect 706 96 710 104 +rect 738 96 742 104 +rect 770 96 774 104 +rect 794 100 798 112 +rect 794 96 806 100 +rect 802 60 806 96 +rect 50 52 54 60 +rect 82 52 86 60 +rect 114 52 118 60 +rect 146 52 150 60 +rect 178 52 182 60 +rect 210 52 214 60 +rect 242 52 246 60 +rect 274 52 278 60 +rect 306 52 310 60 +rect 338 52 342 60 +rect 370 52 374 60 +rect 402 52 406 60 +rect 434 52 438 60 +rect 466 52 470 60 +rect 498 52 502 60 +rect 530 52 534 60 +rect 562 52 566 60 +rect 594 52 598 60 +rect 626 52 630 60 +rect 658 52 662 60 +rect 690 52 694 60 +rect 722 52 726 60 +rect 754 52 758 60 +rect 786 52 790 60 +rect 802 56 822 60 +rect 10 48 30 52 +rect 50 48 62 52 +rect 82 48 94 52 +rect 114 48 126 52 +rect 146 48 158 52 +rect 178 48 190 52 +rect 210 48 222 52 +rect 242 48 254 52 +rect 274 48 286 52 +rect 306 48 318 52 +rect 338 48 350 52 +rect 370 48 382 52 +rect 402 48 414 52 +rect 434 48 446 52 +rect 466 48 478 52 +rect 498 48 510 52 +rect 530 48 542 52 +rect 562 48 574 52 +rect 594 48 606 52 +rect 626 48 638 52 +rect 658 48 670 52 +rect 690 48 702 52 +rect 722 48 734 52 +rect 754 48 766 52 +rect 786 48 798 52 +rect 26 44 30 48 +rect 58 44 62 48 +rect 90 44 94 48 +rect 122 44 126 48 +rect 154 44 158 48 +rect 186 44 190 48 +rect 218 44 222 48 +rect 250 44 254 48 +rect 282 44 286 48 +rect 314 44 318 48 +rect 346 44 350 48 +rect 378 44 382 48 +rect 410 44 414 48 +rect 442 44 446 48 +rect 474 44 478 48 +rect 506 44 510 48 +rect 538 44 542 48 +rect 570 44 574 48 +rect 602 44 606 48 +rect 634 44 638 48 +rect 666 44 670 48 +rect 698 44 702 48 +rect 730 44 734 48 +rect 762 44 766 48 +rect 794 44 798 48 +rect 26 40 46 44 +rect 58 40 78 44 +rect 90 40 110 44 +rect 122 40 142 44 +rect 154 40 174 44 +rect 186 40 206 44 +rect 218 40 238 44 +rect 250 40 270 44 +rect 282 40 302 44 +rect 314 40 334 44 +rect 346 40 366 44 +rect 378 40 398 44 +rect 410 40 430 44 +rect 442 40 462 44 +rect 474 40 494 44 +rect 506 40 526 44 +rect 538 40 558 44 +rect 570 40 590 44 +rect 602 40 622 44 +rect 634 40 654 44 +rect 666 40 686 44 +rect 698 40 718 44 +rect 730 40 750 44 +rect 762 40 782 44 +rect 794 40 814 44 +rect -6 32 22 36 +rect 34 32 38 40 +rect 66 32 70 40 +rect 98 32 102 40 +rect 130 32 134 40 +rect 162 32 166 40 +rect 194 32 198 40 +rect 226 32 230 40 +rect 258 32 262 40 +rect 290 32 294 40 +rect 322 32 326 40 +rect 354 32 358 40 +rect 386 32 390 40 +rect 418 32 422 40 +rect 450 32 454 40 +rect 482 32 486 40 +rect 514 32 518 40 +rect 546 32 550 40 +rect 578 32 582 40 +rect 610 32 614 40 +rect 642 32 646 40 +rect 674 32 678 40 +rect 706 32 710 40 +rect 738 32 742 40 +rect 770 32 774 40 +rect 802 32 806 40 +use Library/magic/L500_CHAR_r L500_CHAR_r_0 +timestamp 1534323573 +transform 1 0 0 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_o L500_CHAR_o_0 +timestamp 1534323159 +transform 1 0 16 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_5 L500_CHAR_5_0 +timestamp 1534324893 +transform 1 0 32 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_o L500_CHAR_o_1 +timestamp 1534323159 +transform 1 0 32 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_1 L500_CHAR_1_0 +timestamp 1534326485 +transform 1 0 48 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_under L500_CHAR_under_0 +timestamp 1534325915 +transform 1 0 64 0 1 162 +box 0 0 12 4 +use Library/magic/L500_CHAR_n L500_CHAR_n_0 +timestamp 1534323117 +transform 1 0 80 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_a L500_CHAR_a_0 +timestamp 1534325357 +transform 1 0 96 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_n L500_CHAR_n_1 +timestamp 1534323117 +transform 1 0 112 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_d L500_CHAR_d_0 +timestamp 1534321738 +transform 1 0 128 0 1 162 +box 0 0 12 18 +use Library/magic/L500_CHAR_3 L500_CHAR_3_0 +timestamp 1534324785 +transform 1 0 144 0 1 162 +box 0 0 12 18 +use Library/magic/L500_TPAD_blank L500_TPAD_blank_1 +timestamp 1537343441 +transform 1 0 -310 0 1 28 +box 0 0 100 100 +use Library/magic/L500_SIGNATURE_vdd L500_SIGNATURE_vdd_0 +timestamp 1538544897 +transform 1 0 -170 0 1 100 +box 0 0 52 18 +use Library/magic/L500_SIGNATURE_gnd L500_SIGNATURE_gnd_0 +timestamp 1538544897 +transform 1 0 -200 0 1 38 +box 0 0 52 18 +use Library/magic/L500_TPAD_blank L500_TPAD_blank_0 +timestamp 1537343441 +transform 1 0 -110 0 1 28 +box 0 0 100 100 +use Library/magic/T10_NAND3 T10_NAND3_49 +timestamp 1533654785 +transform -1 0 32 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_48 +timestamp 1533654785 +transform -1 0 64 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_47 +timestamp 1533654785 +transform -1 0 96 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_46 +timestamp 1533654785 +transform -1 0 128 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_45 +timestamp 1533654785 +transform -1 0 160 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_44 +timestamp 1533654785 +transform -1 0 192 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_43 +timestamp 1533654785 +transform -1 0 224 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_42 +timestamp 1533654785 +transform -1 0 256 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_41 +timestamp 1533654785 +transform -1 0 288 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_40 +timestamp 1533654785 +transform -1 0 320 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_39 +timestamp 1533654785 +transform -1 0 352 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_38 +timestamp 1533654785 +transform -1 0 384 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_37 +timestamp 1533654785 +transform -1 0 416 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_36 +timestamp 1533654785 +transform -1 0 448 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_35 +timestamp 1533654785 +transform -1 0 480 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_34 +timestamp 1533654785 +transform -1 0 512 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_33 +timestamp 1533654785 +transform -1 0 544 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_32 +timestamp 1533654785 +transform -1 0 576 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_31 +timestamp 1533654785 +transform -1 0 608 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_30 +timestamp 1533654785 +transform -1 0 640 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_29 +timestamp 1533654785 +transform -1 0 672 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_28 +timestamp 1533654785 +transform -1 0 704 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_27 +timestamp 1533654785 +transform -1 0 736 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_26 +timestamp 1533654785 +transform -1 0 768 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_25 +timestamp 1533654785 +transform -1 0 800 0 -1 158 +box 0 0 32 80 +use Library/magic/T10_NAND2 T10_NAND2_1 +timestamp 1533654735 +transform -1 0 824 0 -1 158 +box 0 0 24 80 +use Library/magic/T10_NAND2 T10_NAND2_0 +timestamp 1533654735 +transform 1 0 0 0 1 -2 +box 0 0 24 80 +use Library/magic/T10_NAND3 T10_NAND3_0 +timestamp 1533654785 +transform 1 0 24 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_1 +timestamp 1533654785 +transform 1 0 56 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_2 +timestamp 1533654785 +transform 1 0 88 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_3 +timestamp 1533654785 +transform 1 0 120 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_4 +timestamp 1533654785 +transform 1 0 152 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_5 +timestamp 1533654785 +transform 1 0 184 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_6 +timestamp 1533654785 +transform 1 0 216 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_7 +timestamp 1533654785 +transform 1 0 248 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_8 +timestamp 1533654785 +transform 1 0 280 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_9 +timestamp 1533654785 +transform 1 0 312 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_10 +timestamp 1533654785 +transform 1 0 344 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_11 +timestamp 1533654785 +transform 1 0 376 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_12 +timestamp 1533654785 +transform 1 0 408 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_13 +timestamp 1533654785 +transform 1 0 440 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_14 +timestamp 1533654785 +transform 1 0 472 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_15 +timestamp 1533654785 +transform 1 0 504 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_16 +timestamp 1533654785 +transform 1 0 536 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_17 +timestamp 1533654785 +transform 1 0 568 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_18 +timestamp 1533654785 +transform 1 0 600 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_19 +timestamp 1533654785 +transform 1 0 632 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_20 +timestamp 1533654785 +transform 1 0 664 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_21 +timestamp 1533654785 +transform 1 0 696 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_22 +timestamp 1533654785 +transform 1 0 728 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_23 +timestamp 1533654785 +transform 1 0 760 0 1 -2 +box 0 0 32 80 +use Library/magic/T10_NAND3 T10_NAND3_24 +timestamp 1533654785 +transform 1 0 792 0 1 -2 +box 0 0 32 80 +use Library/magic/L500_TPAD_blank L500_TPAD_blank_2 +timestamp 1537343441 +transform 1 0 826 0 1 28 +box 0 0 100 100 +use Library/magic/L500_SIGNATURE_vdd L500_SIGNATURE_vdd_1 +timestamp 1538544897 +transform 1 0 936 0 1 100 +box 0 0 52 18 +use Library/magic/L500_SIGNATURE_gnd L500_SIGNATURE_gnd_1 +timestamp 1538544897 +transform 1 0 964 0 1 38 +box 0 0 52 18 +use Library/magic/L500_TPAD_blank L500_TPAD_blank_3 +timestamp 1537343441 +transform 1 0 1026 0 1 28 +box 0 0 100 100 +<< end >> + +EOF +; diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl new file mode 100755 index 00000000..db086ce0 --- /dev/null +++ b/Tools/perl/spice2cell.pl @@ -0,0 +1,100 @@ +#!/usr/bin/perl -w +use strict; + +my $demo=<'I','B'=>'I','C'=>'I','CLK'=>'I','D'=>'I','EN'=>'I','Q'=>'O','R'=>'I','S'=>'I','Y'=>'O','YC'=>'O','YS'=>'O','gnd'=>'','vdd'=>'','GND'=>'','VDD'=>'','Z'=>'O','DI'=>'I','DO'=>'O','OEN'=>'I','YPAD'=>'O','gnd2'=>'','vdd2'=>'','GND2'=>'','VDD2'=>'','vss'=>'','VSS'=>''); +my %mosmap=('pfet'=>'pmos','nfet'=>'nmos','nmos'=>'nmos','pmos'=>'pmos','hnfet'=>'nmos','hpfet'=>'pmos'); +our %internalnets=(); +our $internalcounter=0; +our $OUT; + +sub internal($) +{ + my $in=$_[0]; + return $in unless($in=~m/\#$/); + #print $OUT "#$in? $internalcounter\n"; + $internalnets{$in}=$internalcounter++ if(!defined($internalnets{$in})); + return $internalnets{$in}; +} + +if($ARGV[0] && open IN,"<$ARGV[0]") +{ + while() + { + if(m/^\.subckt (\w+) (.*)$/) + { + $name=$1; + $pins=$2; + %internalnets=(); + $internalcounter=1; + my %pins=(); + $pins{$iomap{$_}}{$_}++ foreach(split " ",$pins); + $seenpins{$_}++ foreach(split " ",$pins); + open $OUT,">$name.cell"; + print $OUT ".AUTOGENERATED by spice2cell script from $ARGV[0]\n"; + print $OUT ".inputs ".join(" ",reverse sort keys %{$pins{'I'}})."\n"; + print $OUT ".outputs ".join(" ",reverse sort keys %{$pins{'O'}})."\n"; + print $OUT ".ORDER \"Gate Drain Source MOSFET\"\n"; + } + elsif(m/^M\d+ (\w+#?) (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet)/) + { + my ($g,$d,$s,$m)=($2,$1,$3,$5); + $g=internal($g); + $d=internal($d); + $s=internal($s); + print $OUT "$g $d $s ".$mosmap{$m}."\n"; + } + elsif(m/^R\d+ /) + { + #TODO: Resistors for Padcells + } + elsif(m/^\+/) + { + } + elsif(m/^\s*$/) + { + } + elsif(m/^\.ends/) + { + $name="UNNAMED"; + $pins=""; + close $OUT; + } + else + { + print STDERR "Error: $_\n"; + } + + } + close IN; +} + +print "Seen pins:\n("; +foreach(sort keys %seenpins) +{ + next if(defined($iomap{$_})); + print "'$_'=>'I'," ; +} +print ")\n"; From 3d56b42a7da7349cb3ae45b76d882ad0c02c827a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Jul 2019 16:07:08 +0000 Subject: [PATCH 021/673] Improved the documentation on spice2cell --- Tools/perl/spice2cell.pl | 21 +++++++++++++++------ 1 file changed, 15 insertions(+), 6 deletions(-) diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl index db086ce0..c73e981f 100755 --- a/Tools/perl/spice2cell.pl +++ b/Tools/perl/spice2cell.pl @@ -1,7 +1,11 @@ #!/usr/bin/perl -w use strict; -my $demo=<\n"; + +# This is an example AND2X1 cell in SPICE format: +my $example=<'I','B'=>'I','C'=>'I','CLK'=>'I','D'=>'I','EN'=>'I','Q'=>'O','R'=>'I','S'=>'I','Y'=>'O','YC'=>'O','YS'=>'O','gnd'=>'','vdd'=>'','GND'=>'','VDD'=>'','Z'=>'O','DI'=>'I','DO'=>'O','OEN'=>'I','YPAD'=>'O','gnd2'=>'','vdd2'=>'','GND2'=>'','VDD2'=>'','vss'=>'','VSS'=>''); my %mosmap=('pfet'=>'pmos','nfet'=>'nmos','nmos'=>'nmos','pmos'=>'pmos','hnfet'=>'nmos','hpfet'=>'pmos'); our %internalnets=(); our $internalcounter=0; our $OUT; +# Generates and caches new names for the internal nets, which must be unique per cell sub internal($) { my $in=$_[0]; @@ -91,10 +97,13 @@ ($) close IN; } -print "Seen pins:\n("; -foreach(sort keys %seenpins) +if(scalar(keys %seenpins)) { - next if(defined($iomap{$_})); - print "'$_'=>'I'," ; + print "Not yet defined pins, please update them in the sourcecode:\n("; + foreach(sort keys %seenpins) + { + next if(defined($iomap{$_})); + print "'$_'=>'I'," ; + } + print ")\n"; } -print ")\n"; From 5549854bc996bf0c7333cc866ea5bd04afc70a8e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Jul 2019 16:33:00 +0000 Subject: [PATCH 022/673] Added documentation generator --- Catalog/doc/Makefile | 8 +++ Catalog/doc/StdCellLib.tex | 100 +++++++++++++++++++++++++++++++++++++ Catalog/doc/docu.sh | 30 +++++++++++ 3 files changed, 138 insertions(+) create mode 100644 Catalog/doc/Makefile create mode 100644 Catalog/doc/StdCellLib.tex create mode 100755 Catalog/doc/docu.sh diff --git a/Catalog/doc/Makefile b/Catalog/doc/Makefile new file mode 100644 index 00000000..befcec30 --- /dev/null +++ b/Catalog/doc/Makefile @@ -0,0 +1,8 @@ +all: + ./docu.sh + +clean: + rm -f *_schematic.tex cells.tex + rm -f *.aux *.idx *.log *.toc *.pdf *.png *.svg *_svg.tex + killall -q pdflatex + diff --git a/Catalog/doc/StdCellLib.tex b/Catalog/doc/StdCellLib.tex new file mode 100644 index 00000000..3a723fdc --- /dev/null +++ b/Catalog/doc/StdCellLib.tex @@ -0,0 +1,100 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/stdcelllib.tex +%% +%% Purpose: Top Level File for Standard Cell Library Documentation +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2018 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\documentclass[10pt,a4paper,twoside]{article} +\usepackage[utf8]{inputenc} +\usepackage[english]{babel} +%\usepackage{amsmath} +%\usepackage{amsfonts} +\usepackage{amssymb} +%\usepackage{gensymb} +%\usepackage{graphicx} +\usepackage[digital,srcmeas,semicon]{circdia} +% \usepackage[dvipsnames]{xcolor} +\usepackage[left=2cm,right=2cm,top=2cm,bottom=2cm]{geometry} + +\title{LibreSilicon Standard Cell Library} +\author{Hagen Sankowski} +\date{\today} + +\makeindex % usefull for ToC +\setlength{\parindent}{0pt} % get rid of annoying indents + +\begin{document} +\maketitle +\begin{abstract} +\begin{quote} +Copyright \textcopyright 2018 CHIPFORGE.ORG. All rights reserved. + +This process is licensed under the Libre Silicon public license; you can redistribute it and/or modify it under the terms of the Libre Silicon public license as published by the Libre Silicon alliance either version 2 of the License, or (at your option) any later version. + +This design is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the Libre Silicon Public License for more details. + +For further clarification consult the complete documentation of the process. +\end{quote} +\end{abstract} + +\clearpage +\tableofcontents +\clearpage + +\pagestyle{headings} + +\section{Considerations} +\newcommand{\stacktfour}{YES} +%\newcommand{\stacktfour}{NO} +\clearpage + +\section{Logical Cells} +\twocolumn + +\input{cells.tex} +\clearpage + + +%\onecolumn +%\section{Physical Cells} + +%\twocolumn +%\input{TIE0_manpage.tex} +%\input{TIE1_manpage.tex} +%\input{FILL_manpage.tex} + +VDDIO \\ +GND \\ +ANA + +\onecolumn +\ +\end{document} diff --git a/Catalog/doc/docu.sh b/Catalog/doc/docu.sh new file mode 100755 index 00000000..0a097e55 --- /dev/null +++ b/Catalog/doc/docu.sh @@ -0,0 +1,30 @@ +#!/bin/bash + +#cd .. +for a in *.cell +do + ../Tools/tcl/_schematic -i . -o doc $a +done +cd doc +echo "" >cells.tex +for a in *_schematic.tex +do + echo \\clearpage >>cells.tex + echo \\section{Cell ${a//_schematic\.tex/}} >>cells.tex + echo \\input{$a} >>cells.tex + #echo "Text below the schematic" >>cells.tex + + echo \\documentclass{article} >${a//_schematic/_svg} + echo \\usepackage[digital,srcmeas,semicon]{circdia} >>${a//_schematic/_svg} + echo \\begin{document} >>${a//_schematic/_svg} + echo \\thispagestyle{empty} >>${a//_schematic/_svg} + echo \\input {$a} >>${a//_schematic/_svg} + echo \\end{document} >>${a//_schematic/_svg} + pdflatex ${a//_schematic/_svg} + pdfcrop ${a//_schematic.tex/_svg.pdf} + pdf2svg ${a//_schematic.tex/_svg.pdf} ${a//_schematic.tex/_svg.svg} + convert -trim ${a//_schematic.tex/_svg.svg} ${a//_schematic.tex/_svg.png} +done +pdflatex StdCellLib.tex +pdflatex StdCellLib.tex + From aba51d14c78b517a26a4b24d14d13dc526a46787 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Jul 2019 16:33:57 +0000 Subject: [PATCH 023/673] Added documentation generator --- Catalog/GNUmakefile | 44 +++++++++++++++++++++++++++++++++++++++++--- 1 file changed, 41 insertions(+), 3 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 05649795..d7215535 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -54,7 +54,7 @@ DATE := $(shell date +%Y%m%d) # project tools POPCORN ?= ../Tools/tcl/popcorn -CELLS = INV.cell NAND2.cell NAND3.cell AND4.cell NOR2.cell NOR3.cell OR4.cell +CELLS = INV.cell NAND2.cell NAND3.cell AND4.cell NOR2.cell NOR3.cell OR4.cell AOI21.cell OAI21.cell LIMIT = 4 # ---------------------------------------------------------------- @@ -79,13 +79,33 @@ help: .PHONY: clean clean: # $(RM) *.aux *.idx *.log *.toc *.out + $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell # ---------------------------------------------------------------- # DOCUMENTATION TARGETS # ---------------------------------------------------------------- .PHONY: catalog -catalog: $(CELLS) +catalog: $(CELLS) libresilicon.sp libresilicon.lef libresilicon.lib qflow demoboard.mag doc/StdCellLib.pdf + +libresilicon.sp: *.cell + ../Tools/perl/cell2spice.pl + ../Tools/perl/librecells.pl + +demoboard.mag: *.cell libresilicon.sp + perl ../Tools/perl/demoboard.pl >demoboard.mag + +libresilicon.lef: *.cell libresilicon.sp + ../Tools/perl/lefgen.pl outputlib/*.lef >libresilicon.lef + +libresilicon.lib: *.cell libresilicon.sp + ../Tools/perl/libgen.pl >libresilicon.lib + +.PHONY: qflow +qflow: libresilicon.lef libresilicon.lib + mkdir -p /usr/local/share/qflow/tech/ls050 + cp libresilicon.lef /usr/local/share/qflow/tech/ls050/ls050_stdcells.lef + cp libresilicon.lib /usr/local/share/qflow/tech/ls050/ls05_stdcells.lib AND4.cell: NAND3.cell $(POPCORN) -l $(LIMIT) -n nand -c $@ -b $(LIMIT) $< @@ -96,6 +116,12 @@ NAND2.cell: INV.cell NAND3.cell: NAND2.cell $(POPCORN) -l $(LIMIT) -n nand -c $@ $< +AOI21.cell: INV.cell + $(POPCORN) -l $(LIMIT) -n aoi -c $@ $< + +OAI21.cell: INV.cell + $(POPCORN) -l $(LIMIT) -n oai -c $@ $< + NOR2.cell: INV.cell $(POPCORN) -l $(LIMIT) -n nor -c $@ $< @@ -103,5 +129,17 @@ NOR3.cell: NOR2.cell $(POPCORN) -l $(LIMIT) -n nor -c $@ $< OR4.cell: NOR3.cell - $(POPCORN) -l $(LIMIT) -n nor -b $(LIMIT) -c $@ $< + $(POPCORN) -l $(LIMIT) -n nor -c $@ -b $(LIMIT) $< + +doc/StdCellLib.pdf: *.cell libresilicon.sp + doc/docu.sh + +.PHONY: importQflow +importQflow: + ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/gscl45nm/gscl45nm.sp + ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/ls050/libresilicon.sp + ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/ls050/ls050_stdcells.sp + ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu018/osu018_stdcells.sp + ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu035/osu035_stdcells.sp + ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu050/osu050_stdcells.sp From a8ab20ef0b9673392aea76b1dec89a0fcbe6c124 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Jul 2019 16:44:37 +0000 Subject: [PATCH 024/673] Moved the tech files to the Tech directory --- Catalog/GNUmakefile | 1 + Tech/librecell_tech.py | 198 ++++ Tech/libresilicon.tech | 2092 ++++++++++++++++++++++++++++++++++++++ Tools/perl/librecells.pl | 4 +- 4 files changed, 2293 insertions(+), 2 deletions(-) create mode 100644 Tech/librecell_tech.py create mode 100644 Tech/libresilicon.tech diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index d7215535..ae86a27c 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -80,6 +80,7 @@ help: clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell + $(RM) *.mag # ---------------------------------------------------------------- # DOCUMENTATION TARGETS diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py new file mode 100644 index 00000000..726e99b2 --- /dev/null +++ b/Tech/librecell_tech.py @@ -0,0 +1,198 @@ +from lclayout.layout.layers import * + +# Physical size of one data base unit in meters. +db_unit = 1e-9 + +# Scale transistor width. +transistor_channel_width_sizing = 0.7 + +# GDS2 layer numbers for final output. +my_active = (1, 0) +my_nwell = (2, 0) +my_nwell2 = (2, 1) +my_pwell = (2, 7) +my_poly = (3, 0) +my_poly_contact = (4, 0) +my_diff_contact = (5, 0) +my_metal1 = (6, 0) +my_metal1_label = (6, 1) +my_metal1_pin = (6, 2) +my_via1 = (7, 0) +my_metal2 = (8, 0) +my_metal2_label = (8, 1) +my_metal2_pin = (8, 2) +my_abutment_box = (200, 0) + +# lclayout internally uses its own layer numbering scheme. +# For the final output the layers can be remapped with a mapping +# defined in this dictioinary. +output_map = { + l_active: my_active, + l_nwell: [my_nwell, my_nwell2], # Map l_nwell to two output layers. + l_pwell: [my_pwell], # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. + l_poly: my_poly, + l_poly_contact: my_poly_contact, + l_diff_contact: my_diff_contact, + l_metal1: my_metal1, + l_metal1_label: my_metal1_label, + l_metal1_pin: my_metal1_pin, + l_via1: my_via1, + l_metal2: my_metal2, + l_metal2_label: my_metal2_label, + l_metal2_pin: my_metal2_pin, + l_abutment_box: my_abutment_box +} + +# Define how layers can be used for routing. +# Example for a layer that can be used for horizontal and vertical tracks: {'MyLayer1' : 'hv'} +# Example for a layer that can be contacted but not used for routing: {'MyLayer2' : ''} +routing_layers = { + l_active: '', + l_poly: 'hv', + l_metal1: 'hv', + l_metal2: 'hv', +} + +# Minimum spacing rules for layer pairs. +min_spacing = { + (l_active, l_active): 50, + (l_active, l_poly_contact): 10, + (l_nwell, l_nwell): 50, + (l_nwell, l_pwell): 100, # This might be used when n-well and p-well layers are used for a twin-well process. + (l_pwell, l_pwell): 50, + (l_poly, l_nwell): 50, + (l_poly, l_active): 50, + (l_poly, l_poly): 50, + (l_poly, l_diff_contact): 10, + (l_metal1, l_metal1): 50, + (l_metal2, l_metal2): 100, +} + +# Layer for the pins. +pin_layer = l_metal2 + +# Power stripe layer +power_layer = l_metal2 + +# Layers that can be connected/merged without changing the schematic. +# This can be used to resolve spacing/notch violations by just filling the space. +connectable_layers = {l_nwell} + +# Standard cell dimensions. +# A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. +# `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. +unit_cell_width = 400 +unit_cell_height = 2400 + +# Width of the gate polysilicon stripe. +gate_length = 50 + +# Minimum length a polysilicon gate must overlap the silicon. +gate_extension = 100 + +# Routing pitch +routing_grid_pitch_x = unit_cell_width // 2 +routing_grid_pitch_y = unit_cell_height // 8 + +# Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) +grid_offset_x = routing_grid_pitch_x +grid_offset_y = routing_grid_pitch_y // 2 + +# Width of power rail. +power_rail_width = 360 + +# Minimum width of polysilicon gate stripes. +minimum_gate_width_nfet = 200 +minimum_gate_width_pfet = 200 + +# Minimum width for pins. +minimum_pin_width = 50 + +# Width of routing wires. +wire_width = { + l_poly: 100, + l_metal1: 100, + l_metal2: 100 +} + +# Width of horizontal routing wires (overwrites `wire_width`). +wire_width_horizontal = { + l_poly: 100, + l_metal1: 100, + l_metal2: 100 +} + +# Side lengths of vias (square shaped). +via_size = { + l_poly_contact: 100, + l_diff_contact: 100, + l_via1: 100 +} + +# Minimum width rules. +minimum_width = { + l_poly: gate_length, + l_metal1: 100, + l_metal2: 100 +} + +# Minimum enclosure rules. +# Syntax: {(outer layer, inner layer): minimum enclosure, ...} +minimum_enclosure = { + # Via enclosure + (l_active, l_diff_contact): 10, + (l_poly, l_poly_contact): 10, + (l_metal1, l_diff_contact): 10, + (l_metal1, l_poly_contact): 10, + (l_metal1, l_via1): 20, + (l_metal2, l_via1): 20, + + # l_nwell must overlap l_active + (l_nwell, l_active): 100 +} + +# Minimum notch rules. +minimum_notch = { + l_active: 50, + l_poly: 50, + l_metal1: 50, + l_metal2: 50, + l_nwell: 50 +} + +# Minimum area rules. +min_area = { + l_metal1: 100 * 100, + l_metal2: 100 * 100, +} + +# ROUTING # + +# Cost for changing routing direction (horizontal/vertical). +# This will avoid creating zig-zag routings. +orientation_change_penalty = 100 + +# Routing edge weights per data base unit. +weights_horizontal = { + l_poly: 2, + l_metal1: 1, + l_metal2: 1, +} +weights_vertical = { + l_poly: 2, + l_metal1: 1, + l_metal2: 2, +} + +# Via weights. +via_weights = { + (l_metal1, l_active): 500, + (l_metal1, l_poly): 500, + (l_metal1, l_metal2): 400 +} + +# Enable double vias between layers. +multi_via = { + (l_metal1, l_poly): 1, + (l_metal1, l_metal2): 1, +} diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech new file mode 100644 index 00000000..38604da6 --- /dev/null +++ b/Tech/libresilicon.tech @@ -0,0 +1,2092 @@ +# 1 "scmos.tech.out" +# 1 "" +# 1 "" +# 1 "/usr/include/stdc-predef.h" 1 3 4 +# 1 "" 2 +# 1 "scmos.tech.out" +# 351 "scmos.tech.out" +tech + format 28 + scmos +end + + + +version + version 0.1 + description "LibreSilicon process (1um)" +# 382 "scmos.tech.out" +end + +planes + well,w + implant,i + active,a + metal1,m1 + metal2,m2 + + + + metal3,m3 + metal4,m4 + oxide,ox +end + +types + + + well pwell,pw + well nwell,nw + well capwell,cwell,cw + well highvoltnwell,hvnwell,hnwell,hnw + well highvoltpwell,hvpwell,hpwell,hpw + active polysilicon,red,poly,p + active pbasepolysilicon,pbpoly,pbp + active nbasepolysilicon,nbpoly,nbp + active electrode,poly2,el,p2 + active capacitor,polycap,pcap,cap + active wellcapacitor,wellcap,wcap + active ndiffusion,ndiff,green + active pdiffusion,pdiff,brown + active highvoltndiffusion,hvndiff,hndiff + active highvoltpdiffusion,hvpdiff,hpdiff + metal1 metal1,m1,blue + metal2 metal2,m2,purple + metal3 metal3,m3,cyan + metal4 metcap,mcap + + + + active sonosntransistor,snfet + active sonosptransistor,spfet + active pbsonostransistor,pbsfet + active nbsonostransistor,nbsfet + active ntransistor,nfet + active ptransistor,pfet + active entransistor,enfet + active eptransistor,epfet + active doublentransistor,nfloating-gate,nfloatg,nfg,nffet + active doubleptransistor,pfloating-gate,pfloatg,pfg,pffet + active highvoltntransistor,hvnfet,hnfet + active highvoltptransistor,hvpfet,hpfet + + + + active collector,coll,col,co,cl + active emitter,emit,em + + + well pbase,pb + well nbase,nb + well pnbase,pnb + well nwpbase,nwpb + well nwpnbase,nwpnb + + active pbasecontact,pbcontact,pbc + active pbasendiffusion,pbndiff,pbnd + active pbasepdiffusion,pbpdiff,pbpd + active pbasendiffcontact,pbndcontact,pbnc + active pbasepdiffcontact,pbpdcontact,pbpc + + active nbasecontact,nbcontact,nbc + active nbasendiffusion,nbndiff,nbnd + active nbasepdiffusion,nbpdiff,nbpd + active nbasendiffcontact,nbndcontact,nbnc + active nbasepdiffcontact,nbpdcontact,nbpc + + + + implant bccdiffusion,bd + active nbccdiffusion,nbd + + + active rndiffusion,rndiff,rnd + active rpdiffusion,rpdiff,rpd + active rpoly,rp,resistor,res + active polycontact,pcontact,polycut,pc + active pdpolycontact,pdpcontact,pdpolycut,pdpc + active ndpolycontact,ndpcontact,ndpolycut,ndpc + active ndcontact,ndiffcut,ndc + active pdcontact,pdiffcut,pdc + active highvoltndcontact,hndiffcut,hndc + active highvoltpdcontact,hpdiffcut,hpdc + active capcontact,ccontact,capc,cc + active electrodecontact,econtact,ec,poly2contact,p2c + active collectorcontact,colcontact,colc,coc,clc + active emittercontact,emitcontact,emc + active nbccdiffcontact,nbdc + metal1 m2contact,m2cut,m2c,via,v + + + + metal2 m3contact,m3cut,m3c,via2,v2 + + + + + + + active psubstratepcontact,ppcontact,ppc,pwcontact,pwc,psc + active nsubstratencontact,nncontact,nnc,nwcontact,nwc,nsc + active psubstratepdiff,ppdiff,pohmic,ppd,psd + active nsubstratendiff,nndiff,nohmic,nnd,nsd + + active highvoltpsubcontact,hpwcontact,hpsc + active highvoltnsubcontact,hnwcontact,hnsc + active highvoltpsubdiff,hpohmic,hpsd + active highvoltnsubdiff,hnohmic,hnsd + + + active nplusdoping,ndoping,ndop + active pplusdoping,pdoping,pdop + metal1 genericcontact,gcontact,gc + + + oxide substrateopen,subopen,open + oxide pdiffusionstop,pdiffstop,pstop + + + metal2 pad + oxide glass + metal3 silk + + active polyndiff,plndiff + active polypdiff,plpdiff + +end + +contact + + ec poly2 metal1 + cc cap metal1 + pc poly metal1 + + ndc ndiff metal1 + pdc pdiff metal1 + nsc nsd metal1 + psc psd metal1 + hndc hndiff metal1 + hpdc hpdiff metal1 + hnsc hnsd metal1 + hpsc hpsd metal1 + + clc col metal1 + emc emit metal1 + + + + + + + + nbdc nbd metal1 + + m2c metal1 metal2 + + + + m3c metal2 metal3 + + + + + +end + +styles + styletype mos + + + cwell 10 + nwell 12 + pwell 13 + hnwell 18 + hpwell 11 + + poly 1 + poly2 14 + + ndiff 2 + pdiff 4 + psd 5 + nsd 3 + hndiff 2 + hndiff 11 + hpdiff 4 + hpdiff 18 + hpsd 5 + hpsd 11 + hnsd 3 + hnsd 18 + ndop 2 + ndop 38 + pdop 4 + pdop 38 + + snfet 6 + spfet 6 + nbsfet 6 + pbsfet 6 + nfet 6 + nfet 7 + pfet 8 + pfet 9 + + enfet 6 + enfet 30 + + epfet 8 + epfet 31 + + + nffet 6 + nffet 7 + + nffet 30 + pffet 8 + pffet 9 + + pffet 31 + + hnfet 6 + hnfet 7 + hnfet 30 + + hpfet 8 + hpfet 9 + hpfet 31 + + + pbase pdop_stripes + pbc 15 + pbc 20 + pbc 32 + pbnd 2 + pbpd 4 + pbnc 2 + pbpc 4 + pbnc 32 + pbpc 32 + + + nbase ndop_stripes + nbc 20 + nbc 32 + nbnd 2 + nbpd 4 + nbnc 2 + nbpc 4 + nbnc 32 + nbpc 32 + + + pnbase ndop_stripes + nwpnbase ndop_stripes + + nwpbase pdop_stripes + + + emit 16 + emc 16 + emc 20 + emc 32 + + col 3 + clc 3 + clc 20 + clc 32 + + cap 1 + cap 14 + wcap 6 + wcap 10 + cc 1 + cc 14 + cc 20 + cc 32 + + metal1 20 + metal2 21 + metal3 22 + metcap 23 + + gc 19 + + pcontact 26 + pcontact 32 + ec 14 + ec 20 + ec 32 + + ndpc 32 + pdpc 32 + ndc 2 + ndc 20 + ndc 32 + pdc 4 + pdc 20 + pdc 32 + psc 5 + psc 20 + psc 32 + nsc 3 + nsc 20 + nsc 32 + + hndc 2 + hndc 20 + hndc 32 + hndc 11 + hpdc 4 + hpdc 20 + hpdc 32 + hpdc 18 + hpsc 5 + hpsc 20 + hpsc 32 + hpsc 11 + hnsc 3 + hnsc 20 + hnsc 32 + hnsc 18 + + m2contact 20 + m2contact 21 + m2contact 33 + m3contact 21 + m3contact 22 + m3contact 37 + + pad 20 + pad 21 + pad 33 + pad 34 + glass 34 + + bd 17 + nbd 17 + nbd 3 + nbdc 3 + nbdc 17 + nbdc 20 + nbdc 32 + + open 2 + open 20 + pstop 8 + + error_p 42 + error_s 42 + error_ps 42 + res poly_resist poly_resist_stripes + rnd ndiffusion poly_resist_stripes + rpd pdiffusion poly_resist_stripes + plpdiff polysilicon ptransistor_stripes + plndiff polysilicon ntransistor_stripes + pbpoly polysilicon ptransistor_stripes + nbpoly polysilicon ntransistor_stripes + silk 47 +end +compose + + compose nfet poly hndiff + compose pfet poly hpdiff + compose nfet poly ndiff + compose pfet poly pdiff + compose hnfet poly2 hndiff + compose hpfet poly2 hpdiff + compose enfet poly2 ndiff + compose epfet poly2 pdiff + compose nffet nfet poly2 + compose pffet pfet poly2 + compose nffet enfet poly + compose pffet epfet poly + compose cap poly poly2 + + + + + + paint clc col clc + paint emc emit emc + + paint emc pbase emc + paint pbnd pbase pbnd + paint pbpd pbase pbpd + paint snfet pbase pbsfet + paint spfet pbase pbsfet + paint pbsfet pbase pbsfet + paint poly pbase pbpoly + paint pbpoly pbase pbpoly + paint ndiff pbase pbnd + paint pdiff pbase pbpd + paint ndc pbase pbnc + paint pdc pbase pbpc + paint pbpc pbase pbpc + paint pbnc pbase pbnc + paint ppc pbase pbpc + paint nnc pbase pbnc + + paint nbnd pbase nbnd + paint nbpd pbase nbpd + paint nbnc pbase nbnc + paint nbpc pbase nbpc + paint nbpoly pbase nbpoly + + paint emc nwpbase emc + paint pbnd nwpbase pbnd + paint pbpd nwpbase pbpd + paint snfet nwpbase pbsfet + paint spfet nwpbase pbsfet + paint pbsfet nwpbase pbsfet + paint poly nwpbase pbpoly + paint pbpoly nwpbase pbpoly + paint ndiff nwpbase pbnd + paint pdiff nwpbase pbpd + paint ndc nwpbase pbnc + paint pdc nwpbase pbpc + paint pbpc nwpbase pbpc + paint pbnc nwpbase pbnc + paint ppc nwpbase pbpc + paint nnc nwpbase pbnc + + paint nbnd nwpbase nbnd + paint nbpd nwpbase nbpd + paint nbnc nwpbase nbnc + paint nbpc nwpbase nbpc + paint nbpoly nwpbase nbpoly + + + paint nbnd nbase nbnd + paint nbpd nbase nbpd + paint snfet nbase nbsfet + paint spfet nbase nbsfet + paint nbsfet nbase nbsfet + paint poly nbase nbpoly + paint nbpoly nbase nbpoly + paint ndiff nbase nbnd + paint pdiff nbase nbpd + paint ndc nbase nbnc + paint pdc nbase nbpc + paint nbpc nbase nbpc + paint nbnc nbase nbnc + paint ppc nbase nbpc + paint nnc nbase nbnc + + + paint nwpbase nbase nwpnbase + paint nbnd nbase nbnd + paint pbase nbase pnbase + + paint nwpbase nwell nwpbase + paint pnbase nwell nwpnbase + paint nwpnbase nwell nwpnbase + + paint nwell pbase nwpbase + paint nbase pbase pnbase + paint nwpbase pbase nwpbase + + paint nwpnbase nwpbase nwpnbase + + + paint pbase nwell nwpbase + + + paint poly2 poly cap + paint poly poly2 cap + paint poly cap cap + paint poly2 cap cap + paint cap poly cap + paint cap poly2 cap + + + paint ec poly cc + + + + paint pdc pwell ndc + paint pfet pwell nfet + paint epfet pwell enfet + paint pffet pwell nffet + paint pdiff pwell ndiff + paint nsd pwell psd + paint nsc pwell psc + paint ndc nwell pdc + paint nfet nwell pfet + paint enfet nwell epfet + paint nffet nwell pffet + paint ndiff nwell pdiff + paint psd nwell nsd + paint psc nwell nsc + + paint pdc hpwell hndc + paint epfet hpwell hnfet + paint pffet hpwell hnfet + paint pdiff hpwell hndiff + paint nsd hpwell hpsd + paint nsc hpwell hpsc + paint ndc hnwell hpdc + paint enfet hnwell hpfet + paint nffet hnwell hpfet + paint ndiff hnwell hpdiff + paint psd hnwell hnsd + paint psc hnwell hnsc +# 905 "scmos.tech.out" + paint nfet cwell wcap + paint poly wcap wcap + paint ndiff wcap wcap + paint wcap poly wcap + paint wcap ndiff wcap + erase wcap poly ndiff + erase wcap ndiff poly + erase wcap cwell nfet + paint cwell nfet wcap active + erase wcap nfet cwell well + + + paint gc m1 gc + + + paint pad m1 pad + paint pad m2 pad + paint pad m3 pad + paint pad m2c pad + + + + + paint hpdc hpwell hndc + paint hpfet hpwell hnfet + paint hpdiff hpwell hndiff + paint hnsd hpwell hpsd + paint hnsc hpwell hpsc + paint hndc hnwell hpdc + paint hnfet hnwell hpfet + paint hndiff hnwell hpdiff + paint hpsd hnwell hnsd + paint hpsc hnwell hnsc + + paint hpdc pwell ndc + paint hpfet pwell enfet + paint hpdiff pwell ndiff + paint hnsd pwell psd + paint hnsc pwell psc + paint hndc nwell pdc + paint hnfet nwell epfet + paint hndiff nwell pdiff + paint hpsd nwell nsd + paint hpsc nwell nsc + +end + +connect + + + + + + + + nwell,nsc,nsd nwell,nsc,nsd + pwell,psc,psd pwell,psc,psd + + hnwell,hnsc,hnsd hnwell,hnsc,hnsd + hpwell,hpsc,hpsd hpwell,hpsc,hpsd + + ndiff,ndc/a cwell + + pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc + m2,m2c/m2,m3c/m2,pad m2,m2c/m2,m3c/m2,pad + m3,m3c/m3 m3,m3c/m3 + + poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly + poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet + + + + ndiff,ndc/a,ndop psd,psc/a,pdop,pstop + pdiff,pdc/a,pdop,pstop nsd,nsc/a,ndop + hndiff,hndc/a,ndop hpsd,hpsc/a,pdop,pstop + hpdiff,hpdc/a,pdop,pstop hnsd,hnsc/a,ndop + ndiff ndc + pdiff pdc + hndiff hndc + hpdiff hpdc + + nbd nbdc + + pbase pbc + collector clc,nwell + emitter emc + + gc ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a,nsd,nsc/a,psd,psc/a,hndiff,hndc/a,hpdiff,hpdc/a,metal1 + gc poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly + gc poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet + + pad pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc + pad m2,m2c/m2,m3c/m2,pad + pad m3,m3c/m3 + +end +# 1010 "scmos.tech.out" +cifoutput + + + + + + +# 1 "cif_template/objs/LSACIFout" 1 + + +style lambda=0.5(gen)(libresilicon) + scalefactor 50 5 + + templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,rpdiffusion,rndiffusion,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet + templayer silicide_stop rpoly,rpdiffusion,rndiffusion + templayer nimplant ndiff,nfet,ndc,nnd,nnc,pbnc,pbnd,nbnc,nbnd,snfet,pbsfet,hnfet,hndc,hndiff + templayer nimplant_only rnd,plndiff,ndpc + grow 100 + templayer pimplant pdiff,pfet,pdc,ppd,ppc,pbpc,pbpd,nbpc,nbpd,spfet,nbsfet,hpfet,hpdc,hpdiff + templayer pimplant_only rpd,plpdiff,pdpc + grow 100 + templayer contacts pc,pdc,ndc,ppc,nnc,pbc,ndpc,pdpc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,pc,pdc,ndc,ppc,nnc,pbc,hpdc,hndc + templayer first_metal m1,contacts,m2c + templayer first_via m2c + shrink 50 + templayer second_metal m2,m2c,m3c + templayer second_via m3c + shrink 50 + templayer third_metal m3,m3c,pad,silk + templayer pbase_parts pb,pbnc,pbpc,pbnd,pbpd,pbpoly,pbsfet,nwpnbase,nwpbase,pnbase,pbase + templayer nbase_parts nb,nbnc,nbpc,nbnd,nbpd,nbpoly,nbsfet,nwpnbase,pnbase,nbase + templayer sonos_parts snfet,spfet,nbsfet,pbsfet + templayer nwell_parts nwell,pnbase,nwpnbase,nwpbase + templayer pwell_parts pwell + templayer implant_stop_parts rpoly + grow 100 + + templayer fox_selects nimplant,pimplant + + templayer fox_nimplant nimplant + grow 100 + + templayer fox_pimplant pimplant + grow 100 + + templayer sti_wells nwell_parts,pwell_parts + shrink 50 + + templayer sti_contacts psc,nsc + grow 50 + + layer CWN nwell_parts + calma 2 0 + + layer CWP pwell_parts + calma 3 0 + + layer CWP pbase_parts + calma 4 0 + + layer CWP nbase_parts + calma 5 0 + + layer STI sti_wells,sti_contacts + calma 6 0 + + layer FOX fox_selects + calma 7 0 + + layer CPG sonos_parts + grow 50 + calma 8 0 + + layer CPG poly_parts + calma 9 0 + + layer CPG implant_stop_parts + calma 10 0 + + layer CSN fox_nimplant,nimplant_only + calma 11 0 + + layer CSP fox_pimplant,pimplant_only + calma 12 0 + + layer CRG silicide_stop + grow 100 + calma 13 0 + + layer CCA contacts + shrink 50 + calma 14 0 + + layer CMF first_metal + calma 15 0 + + layer CVA first_via + calma 16 0 + + layer CMS second_metal + calma 17 0 + + layer CVS second_via + calma 18 0 + + layer CMT third_metal + calma 19 0 + + layer COG glass + calma 20 0 +# 1018 "scmos.tech.out" 2 +# 1036 "scmos.tech.out" +style plot + scalefactor 100 50 + layer CM2 m2,m2c/m2,pad/m2 + labels m2 + layer CM1 pad + grow 100 + or m1,m2c/m1,pc/m1,ndc/m1,pdc/m1,ppcont/m1,nncont/m1 + labels m1,m2c/m1,pc/m1,ndc/m1,pdc/m1,ppcont/m1,nncont/m1,pad/m1 + layer CP poly,pc/active,nfet,pfet + labels poly,nfet,pfet + layer CND ndiff,ndc,nfet,pwc,psd + labels ndiff + layer CPD pdiff,pdc,pfet,nwc,nsd + labels pdiff + layer CNP + bloat-or nsd,nwc * 150 ndiff,pdiff,ndc/active,pdc/active,ppcont/active,nncont/active,pfet,nfet,psd,nsd 0 + layer CPP + bloat-or psd,pwc * 150 ndiff,pdiff,ndc/active,pdc/active,ppcont/active,nncont/active,pfet,nfet,psd,nsd 0 + layer CV m2c + squares 100 200 300 + layer CC ndc,pdc,pc,pwc,nwc + squares 200 + layer CNW nwell + grow 400 + shrink 400 + layer CG pad + shrink 600 + or glass + labels glass + + +end +# 1079 "scmos.tech.out" +cifinput +# The following section is defined to be able to import GDS2 cells generated by librecell +# 1097 "scmos.tech.out" +style generic + scalefactor 0.1 + + templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,rpdiffusion,rndiffusion,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet + templayer silicide_stop rpoly,rpdiffusion,rndiffusion + templayer nimplant ndiff,nfet,ndc,nnd,nnc,pbnc,pbnd,nbnc,nbnd,snfet,pbsfet,hnfet,hndc,hndiff + templayer nimplant_only rnd,plndiff,ndpc + grow 100 + templayer pimplant pdiff,pfet,pdc,ppd,ppc,pbpc,pbpd,nbpc,nbpd,spfet,nbsfet,hpfet,hpdc,hpdiff + templayer pimplant_only rpd,plpdiff,pdpc + grow 100 + templayer contacts pc,pdc,ndc,ppc,nnc,pbc,ndpc,pdpc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,pc,pdc,ndc,ppc,nnc,pbc,hpdc,hndc + templayer first_metal m1,contacts,m2c + templayer first_via m2c + shrink 50 + templayer second_metal m2,m2c,m3c + templayer second_via m3c + shrink 50 + templayer third_metal m3,m3c,pad,silk + templayer pbase_parts pb,pbnc,pbpc,pbnd,pbpd,pbpoly,pbsfet,nwpnbase,nwpbase,pnbase,pbase + templayer nbase_parts nb,nbnc,nbpc,nbnd,nbpd,nbpoly,nbsfet,nwpnbase,pnbase,nbase + templayer sonos_parts snfet,spfet,nbsfet,pbsfet + templayer nwell_parts nwell,pnbase,nwpnbase,nwpbase + templayer pwell_parts pwell + templayer implant_stop_parts rpoly + grow 100 + templayer fox_selects nimplant,pimplant + templayer fox_nimplant nimplant + templayer fox_pimplant pimplant + templayer sti_wells nwell_parts,pwell_parts + templayer sti_contacts psc,nsc + + + layer pdiffusion pdiffusion + calma pdiffusion 1 0 + + layer nwell nwell_parts + calma nwell 2 0 + + layer pwell pwell_parts + calma pwell 2 7 + + layer poly poly + calma poly 3 0 + + layer polycontact polycontact + calma polycontact 4 0 + + layer ndcontact ndcontact + calma ndcontact 5 0 + + layer metal1 metal1 + calma metal1 6 0 + calma metal1 6 1 + labels metal1 + + + layer m2contact m2contact + calma m2contact 7 0 + + layer metal2 metal2 + calma metal2 8 0 + calma metal2 8 1 + labels metal2 + + layer pdcontact pdcontact + calma pdcontact 4 0 + calma pdcontact 5 0 + +end + +mzrouter + style irouter + layer m2 32 64 256 1 + layer m1 64 32 256 1 + layer poly 128 128 512 1 + contact m2contact metal1 metal2 1024 + contact pcontact metal1 poly 2056 + notactive poly pcontact + style garouter + layer m2 32 64 256 1 + layer m1 64 32 256 1 + contact m2contact metal1 metal2 1024 +end + + + +drc +# 1129 "scmos.tech.out" + edge4way (~nwell)/w nwell 10 nwell nwell 10\ + "N-Well width must be at least 10 (MOSIS rule #1.1)" + edge4way (~pwell)/w pwell 10 pwell pwell 10\ + "P-Well width must be at least 10 (MOSIS rule #1.1)" +# 1149 "scmos.tech.out" + edge4way nwell (~nwell)/w 9 (~nwell)/w (~nwell)/w 9\ + "N-Well spacing must be at least 9 (MOSIS rule #1.2)" + edge4way pwell (~pwell)/w 9 (~pwell)/w (~pwell)/w 9\ + "P-Well spacing must be at least 9 (MOSIS rule #1.2)" +# 1188 "scmos.tech.out" + width ndiff,ndc/a,nfet,enfet,nffet,wcap 2 \ + "N-type Diffusion width must be at least 2" + width pdiff,pdc/a,pfet,epfet,pffet 2 \ + "P-type Diffusion width must be at least 2" + width nsd,nsc/a,psd,psc/a 2 \ + "Ohmic diffusion width must be at least 2" +# 1204 "scmos.tech.out" + spacing ndiff,ndc/a,nfet,enfet,nffet,wcap ndiff,ndc/a,nfet,enfet,nffet,wcap 3 touching_ok \ + "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" + spacing pdiff,pdc/a,pfet,epfet,pffet pdiff,pdc/a,pfet,epfet,pffet 3 touching_ok \ + "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" + spacing nsd,nsc/a nsd,nsc/a 3 touching_ok \ + "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" + spacing psd,psc/a psd,psc/a 3 touching_ok \ + "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" + + + + + + + spacing ndiff,ndc/a pdiff,pdc/a 10 touching_illegal \ + "P-type diffusion must be 10 away from N-type diffusion (MOSIS rule #2.3a)" +# 1229 "scmos.tech.out" + spacing ndiff,ndc/a nsd,nsc/a 8 touching_illegal \ + "N-type diffusion must be 8 away from N-substrate contact (MOSIS rule #2.3a,4a)" + spacing pdiff,pdc/a psd,psc/a 8 touching_illegal \ + "P-type diffusion must be 8 away from P-substrate contact (MOSIS rule #2.3a,4a)" + + + + spacing nsd,nsc/a psd,psc/a 6 touching_illegal \ + "Opposite well contacts must be separated by 6 (MOSIS rule #2.4)" +# 1246 "scmos.tech.out" + spacing ndiff,ndc/a,nfet,enfet,nffet,wcap nwell 5 touching_illegal \ + "N-diffusion and N-well must be separated by 5 (MOSIS rule #2.3a)" + spacing pdiff,pdc/a,pfet,epfet,pffet pwell 5 touching_illegal \ + "P-diffusion and P-well must be separated by 5 (MOSIS rule #2.3a)" + + + + spacing nsd,nsc/a pwell 3 touching_illegal \ + "N-substrate diffusion and P-well must be separated by 3 (MOSIS rule #2.4)" + spacing psd,psc/a nwell 3 touching_illegal \ + "P-substrate diffusion and N-well must be separated by 3 (MOSIS rule #2.4)" + + + + + spacing ndiff,ndc/a,nfet,enfet,nffet,wcap psd,psc/a 4 touching_ok \ + "Opposite diffusion spacing must be at least 4 (MOSIS extension rule)" + spacing pdiff,pdc/a,pfet,epfet,pffet nsd,nsc/a 4 touching_ok \ + "Opposite diffusion spacing must be at least 4 (MOSIS extension rule)" + + + + + + + width poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet 2 \ + "Polysilicon width must be at least 2 (MOSIS rule #3.1)" + + + + + + + spacing poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet 2 touching_ok \ + "Polysilicon spacing must be at least 2 (MOSIS rule #3.2a)" + + + + edge4way nfet,pfet poly,pc/act 2 poly,pc/act 0 0 \ + "Poly must overhang transistor by at least 2 (MOSIS rule #3.3)" + + + edge4way nfet,enfet ndiff,ndc/a 3 ndiff,ndc/a,nfet,enfet,nffet,wcap ndiff,ndc/a 3 \ + "Diffusion must overhang transistor by at least 3 (MOSIS rule #3.4)" + edge4way pfet,epfet pdiff,pdc/a 3 pdiff,pdc/a,pfet,epfet,pffet ndiff,ndc/a 3 \ + "Diffusion must overhang transistor by at least 3 (MOSIS rule #3.4)" + + + edge4way nfet,pfet space 1 poly 0 0 \ + "Transistor overhang is missing (MOSIS rule #3.3,4)" + edge4way enfet,epfet space 1 poly2 0 0 \ + "Transistor overhang is missing (MOSIS rule #3.3,4)" + edge4way nffet,pffet space 1 poly 0 0 \ + "Transistor overhang is missing (MOSIS rule #3.3,4)" + edge4way nffet,pffet space 1 poly2 0 0 \ + "Transistor overhang is missing (MOSIS rule #3.3,4)" + + + edge4way ndiff,ndc/a,pdiff,pdc/a,nsd,nsc/a,psd,psc/a poly,pc 1 space/a 0 1 \ + "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" + edge4way poly,pc ndiff,ndc/a,pdiff,pdc/a,nsd,nsc/a,psd,psc/a 1 space/a 0 1 \ + "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" + edge poly,pc space/a 1 space/a space/a 1 \ + "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" + edge nsd,nsc/a,psd,psc/a,ndiff,ndc/a,pdiff,pdc/a space/a 1 space/a space/a 1 \ + "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" +# 1335 "scmos.tech.out" + spacing pfet,epfet,pffet nsd,nsc/a 3 touching_illegal \ + "Transistors must be separated from substrate contacts by 3 (MOSIS rule #4.1.a)" + spacing nfet,enfet,nffet psd,psc/a 3 touching_illegal \ + "Transistors must be separated from substrate contacts by 3 (MOSIS rule #4.1.b)" + + edge4way psd,psc/a space/act 3 ~(nfet,enfet,nffet)/act psd,psc/a,ndiff,ndc/a 3 \ + "Transistors must be separated from selects(generated by well cont) by 3 (MOSIS rule #4.1.c)" + + edge4way nsd,nsc/a space/act 3 ~(pfet,epfet,pffet)/act nsd,nsc/a,pdiff,pdc/a 3 \ + "Transistors must be separated from selects(generated by well cont) by 3 (MOSIS rule #4.1.d)" + + edge4way psd,psc/a ~(ndiff,ndc,psc,psd)/act 4 ~(nfet,enfet)/act ~(ndiff,ndc,psc,psd)/act 4 \ + "Transistors must be separated from selects(generated by well cont) by 4 (MOSIS rule #4.1.e)" + + edge4way nsd,nsc/a ~(pdiff,pdc,nsc,nsd)/act 4 ~(pfet,epfet)/act ~(pdiff,pdc,nsc,nsd)/act 4 \ + "Transistors must be separated from selects(generated by well cont) by 4 (MOSIS rule #4.1.f)" + + + + edge4way ~(pdiff,pdc/a,pfet,epfet,pffet)/act pdiff,pdc,pfet 4 ~(nsd,nsc/a)/act pdiff,pdc/a,pfet,epfet,pffet 2 \ + "Backedge of diffusion must be 4 from substrate diff (MOSIS rule #4.2.a)" + edge4way ~(ndiff,ndc/a,nfet,enfet,nffet,wcap)/act ndiff,ndc,nfet 4 ~(psd,psc/a)/act ndiff,ndc/a,nfet,enfet,nffet,wcap 2 \ + "Backedge of diffusion must be 4 from substrate diff (MOSIS rule #4.2.b)" +# 1369 "scmos.tech.out" + width pc 4 \ + "Poly contact width must be at least 4 (MOSIS rule #5B.1,2,3)" + + + + + + + + edge4way poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet ~(poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet)/act 3 ~pc/act ~(poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet)/act 3 \ + "Poly contact must be at least 3 from other poly (MOSIS rule #5B.4,5)" + + + + + + spacing pc ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a 1 touching_illegal \ + "Poly contact must be 1 unit from diffusion (MOSIS rule #5B.6)" +# 1395 "scmos.tech.out" + width ndc,pdc 4 \ + "Diffusion contact width must be at least 4 (MOSIS rule #6B.1,2,3)" + width nsc,psc 4 \ + "Substrate contact width must be at least 4 (MOSIS rule #6B.1,2,3)" +# 1419 "scmos.tech.out" + edge4way ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a ~(ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a)/act 4 ~(ndc,pdc,nsc,psc)/act \ + ~(ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a)/act 4 \ + "Diffusion contacts must be 4 from other diffusions (MOSIS rule #6B.4,5)" + + + spacing pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc nfet,enfet,nffet,pfet,epfet,pffet 1 touching_illegal \ + "Diffusion contacts cannot touch transistors (MOSIS rule #6B.6)" + + + spacing pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc poly 1 touching_illegal \ + "Diffusion contact to field poly must be at least 1 (MOSIS rule #6B.7)" + + + + + spacing pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc pc/act 2 touching_illegal \ + "Poly contacts must be 2 away from diffusion contacts (MOSIS rule #6B.9)" +# 1444 "scmos.tech.out" + edge4way m3c/m3 ~m3c/m3 1 ~m3c/m3 (~m3c,m3c)/m3 1 \ + "Metal3 contacts must be rectangular (Magic rules)" + edge4way m2c/m2 ~m2c/m2 1 ~m2c/m2 (~m2c,m2c)/m2 1 \ + "Metal2 contacts must be rectangular (Magic rules)" + + edge4way ndc/m1 ~ndc/m1 1 ~ndc/m1 (~ndc,ndc)/m1 1 \ + "N-diffusion contacts must be rectangular (Magic rules)" + edge4way pdc/m1 ~pdc/m1 1 ~pdc/m1 (~pdc,pdc)/m1 1 \ + "P-diffusion contacts must be rectangular (Magic rules)" + edge4way psc/m1 ~psc/m1 1 ~psc/m1 (~psc,psc)/m1 1 \ + "P-substrate contacts must be rectangular (Magic rules)" + edge4way nsc/m1 ~nsc/m1 1 ~nsc/m1 (~nsc,nsc)/m1 1 \ + "N-substrate contacts must be rectangular (Magic rules)" + + edge4way pc/m1 ~pc/m1 1 ~pc/m1 (~pc,pc)/m1 1 \ + "Polysilicon contacts must be rectangular (Magic rules)" + edge4way ec/m1 ~ec/m1 1 ~ec/m1 (~ec,ec)/m1 1 \ + "Electrode contacts must be rectangular (Magic rules)" + edge4way cc/m1 ~cc/m1 1 ~cc/m1 (~cc,cc)/m1 1 \ + "Capacitor contacts must be rectangular (Magic rules)" + + edge4way emc/m1 ~emc/m1 1 ~emc/m1 (~emc,emc)/m1 1 \ + "Emitter contacts must be rectangular (Magic rules)" + edge4way clc/m1 ~clc/m1 1 ~clc/m1 (~clc,clc)/m1 1 \ + "Collector contacts must be rectangular (Magic rules)" + edge4way pbpc/m1 ~pbpc/m1 1 ~pbpc/m1 (~pbpc,pbpc)/m1 1 \ + "P-base Contacts must be rectangular (Magic rules)" + edge4way nbdc/m1 ~nbdc/m1 1 ~nbdc/m1 (~nbdc,nbdc)/m1 1 \ + "CCD-diffusion Contacts must be rectangular (Magic rules)" + + + + + + width pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 3 \ + "First-level metal width must be at least 3 (MOSIS rule #7.1)" + + + + + spacing pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 3 touching_ok \ + "First-level metal spacing must be at least 3 (MOSIS rule #7.2)" +# 1497 "scmos.tech.out" + width m2c 4 \ + "Contact width must be at least 4 (MOSIS rule #8.1,2,3)" +# 1526 "scmos.tech.out" + width m2,m2c/m2,m3c/m2,pad 3 \ + "Second-level metal width must be at least 3 (MOSIS rule #9.1)" +# 1538 "scmos.tech.out" + spacing m2,m2c/m2,m3c/m2,pad m2,m2c/m2,m3c/m2,pad 4 touching_ok \ + "Second-level metal spacing must be at least 4 (MOSIS rule #9.2a)" +# 1596 "scmos.tech.out" + width cap,capc/a 2 \ + "Electrode capacitor width must be at least 3 (MOSIS rule #11.1)" + + + spacing poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet 3 touching_ok \ + "Second-level poly spacing must be at least 3 (MOSIS rule #11.2,12.2)" + + + edge4way cap,cc space 1 0 0 0 \ + "Cap must be overlapped by poly or poly2 (MOSIS rule #11.3)" + edge4way cap,cc poly 2 poly poly 2 \ + "Cap must be overlapped by poly or poly2 (MOSIS rule #11.3)" + edge4way cap,cc poly2 2 poly2 poly2 2 \ + "Cap must be overlapped by poly or poly2 (MOSIS rule #11.3)" + + + edge4way nw,pw,cw ~(nw,pw,cw)/w 2 ~(cap,cc)/a ~(nw,pw,cw)/w 2 \ + "Cap must be on a flat surface (MOSIS rule #11.4)" active + edge4way ~(nw,pw,cw)/w nw,pw,cw 2 ~(cap,cc)/a nw,pw,cw 2 \ + "Cap must be on a flat surface (MOSIS rule #11.4)" active + edge4way cap ~(cap)/a 2 nfet,enfet,nffet,pfet,epfet,pffet,poly,poly2,space/a,cc/a \ + ndiff,ndc/a,pdiff,pdc/a,poly 2 "Cap must be on a flat surface (MOSIS rule #11.4)" active +# 1627 "scmos.tech.out" + width poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet 2 \ + "Electrode width must be at least 2 (MOSIS rule #12.1)" + + + + + + edge4way enfet,epfet poly2,ec/a 2 poly2,ec/a 0 0 \ + "Poly2 must overhang transistor by at least 2 (MOSIS rule #12.3)" + edge4way nffet,pffet cap 2 cap 0 0 \ + "Cap must overhang transistor by at least 2 (MOSIS rule #12.3)" + edge4way nffet ~(cap,nffet,enfet,nfet)/a 2 cap 0 0 \ + "Cap must overhang doubletransistor by at least 2 (MOSIS rule #12.3)" + edge4way pffet ~(cap,pffet,epfet,pfet)/a 2 cap 0 0 \ + "Cap must overhang doubletransistor by at least 2 (MOSIS rule #12.3)" + + + edge4way ndiff,ndc/a,pdiff,pdc/a,nsd,nsc/a,psd,psc/a el 1 space/a 0 1 \ + "Poly2 and diffusion must be separated by at least 1 (MOSIS rule #12.4)" + + + + + spacing poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet pc,ndc,pdc 2 touching_illegal \ + "Poly2 spacing to poly or diffusion contact must be at least 3 (MOSIS rule #12.6)" +# 1668 "scmos.tech.out" + width ec,capc 4 \ + "Electrode contact width must be at least 4 (MOSIS rule #13.1)" + + + + + + edge4way ec/a space 1 poly2 poly2 1 \ + "Electrode contact must be overlaped by poly2 (MOSIS rule #13.4)" + edge4way ec/a poly2 1 poly2 poly2 1 \ + "Electrode contact must be overlaped by poly2 by 1 (MOSIS rule #13.4)" + + + edge4way ndiff,ndc/a,pdiff,pdc/a,nsd,nsc/a,psd,psc/a ec 2 space/a 0 2 \ + "Poly2 and diffusion contact must be separated by at least 2 (MOSIS rule #13.5)" + + + + + + + + width m3c 4 \ + "Third-level metal contact width must be at least 4 (MOSIS rule #14.1,2,3)" +# 1703 "scmos.tech.out" + width m3,m3c/m3 4 \ + "Third-level metal width must be at least 4" +# 1720 "scmos.tech.out" + spacing m3,m3c/m3 m3,m3c/m3 4 touching_ok \ + "Third-level metal spacing must be at least 4 from other third-level metal (MOSIS rule #15.2a)" + + + + edge4way m3c/m3 ~m3c/m3 1 m3 m3 1 \ + "Mimimum metal3 overlap of via must be at least 1 (MOSIS rule #15.3)" +# 1735 "scmos.tech.out" + width clc,pbc,emc 4 \ + "Transistor contact width must be at least 4 (MOSIS rule #16.1)" +# 1746 "scmos.tech.out" + edge4way emc/a,emit pbase 4 pbase pbase 4 \ + "Pbase overlap of emitter must be at least 4 (MOSIS rule #16.3)" + + + + spacing pbc emc/a,emit 7 touching_illegal \ + "Base must be 7 (4+2+1) away from emitter (MOSIS rule #16.3,4,11)" + + + + + + edge4way pbc (~pbc)/a 3 pb,pbc/a pb,pbc/a 3 \ + "Pbase overlap of base contact must be at least 3 (MOSIS rule #16.5)" +# 1768 "scmos.tech.out" + width col,clc/a 6 \ + "Collector width must be at least 6 (MOSIS rule #16.6)" + + + + edge4way pbase space/a 6 nwell space/a 6 \ + "Nwell overlap of Pbase must be at least 6 (MOSIS rule #16.7)" well + + + edge4way pbase (~pbase)/a 4 ~(col,clc)/a ~(col,clc)/a 4 \ + "Pbase must be at least 4 away from collector (MOSIS rule #16.8)" + + + edge4way clc (~clc)/a 1 col col 1 \ + "Collector overlap of contact must be at least 1 (MOSIS rule #16.9)" + + + + + + + edge4way nw ~(nw)/w 3 ~(col,clc)/a ~(nw)/w 3 \ + "N-well overlap of collector must be at least 3 (MOSIS rule #16.11)" active + edge4way ~(nw)/w nw 3 ~(col,clc)/a nw 3 \ + "N-well overlap of collector must be at least 3 (MOSIS rule #16.11)" active + + + width em,emc/a 4 \ + "Emitter width must be at least 4 (Magic Bipolar Transistor rule)" + + + + spacing em,emc/a em,emc/a 7 touching_ok \ + "Unrelated emitter must be at least 7 apart (Magic Bipolar transistor rule)" + + + + + + width pbase,pbc/a 2 \ + "Pbase width must be at least 2 (MOSIS extension rule)" + + spacing pbase,pbc/a pbase,pbc/a 2 surround_ok \ + "Pbase spacing must be at least 2 (MOSIS extension rule)" +# 1821 "scmos.tech.out" + width cwell 10 \ + "Cap-well width must be at least 10 (MOSIS rule #17.1)" + + + spacing cwell cwell 9 touching_ok \ + "Cap-well spacing must be at least 9 (MOSIS rule #17.2)" + spacing cwell nwell 9 touching_illegal \ + "Cap-well spacing must be at least 9 (MOSIS rule #17.2)" + + + edge4way cwell space 5 ~(ndiff,ndc/a,nfet,enfet,nffet,wcap)/a ~(ndiff,ndc/a,nfet,enfet,nffet,wcap)/w 5 \ + "Cap-well spacing to external active must be at least 5 (MOSIS rule #17.3)" active + edge4way cwell space 3 ~(psd,psc/a)/a ~(psd,psc/a)/w 3 \ + "P-substrate diffusion and Cap-well must be separated by 3 (MOSIS rule #17.3)" active + + + + + + edge4way space cwell 3 (space,poly,pc)/a 0 0 \ + "Cap-well overlap of diffusion must be at least 3 (MOSIS rule #17.4)" active +# 1851 "scmos.tech.out" + width wcap 3 \ + "Well-capacitor must be at least 3 (MOSIS rule #18.1)" + + + + + + edge4way wcap space 1 poly poly 1 \ + "Well-capacitor overhang is missing (MOSIS rule #18.3)" + + + edge4way wcap ndiff 3 ndiff ndiff 3 \ + "N-diffusion overlap of well-capacitor must be at least 3 (MOSIS rule #18.4)" + + + + spacing wcap pc 2 touching_illegal \ + "Well-capacitor spacing to poly contact must be at least 2 (MOSIS rule #18.5)" + + + + + spacing wcap ndc 4 touching_illegal \ + "Well-capacitor spacing to diffusion contact must be at least 4 (MOSIS rule #18.6)" + + + + + + + + width nbd,nbdc,bd/a 4 \ + "CCD channel width must be at least 4 (MOSIS rule #19.1)" + width nbdc 4 \ + "CCD contact width must be at least 4 (MOSIS rule #19.1)" +# 1896 "scmos.tech.out" + edge4way nbd,nbdc ~(bd,nbd,nbdc)/a 4 (bd,space)/i 0 0 \ + "CCD channel spacing must be at least 4 (MOSIS rule #19.2)" implant + edge4way nbd,nbdc ~(poly,nbd,nbdc)/a 4 ~(poly,nbd,nbdc)/a ~(poly,nbd,nbdc)/a 4 \ + "CCD channel spacing must be at least 4 (MOSIS rule #19.2)" active + + + + + + + edge4way bd space 2 nbd,poly,cap,el 0 0 \ + "CCD channel overhang is missing (MOSIS rule #19.6)" active + + + + + spacing nbdc poly,el 1 touching_illegal \ + "CCD-diffusion contact spacing to poly must be at least 1 (MOSIS CCD rule)" + edge4way nbd poly,el 1 bd 0 0 \ + "Missing Buried CCD Difussion layer (MOSIS CCD rule)" implant +# 1928 "scmos.tech.out" + edge (~hnwell)/w hnwell 10 hnwell hnwell 10\ + "High-Voltage N-Well width must be at least 10 (MOSIS rule #1.1)" + edge (~hpwell)/w hpwell 10 hpwell hpwell 10\ + "High-Voltage P-Well width must be at least 10 (MOSIS rule #1.1)" + + edge hnwell space,pw,hpw 9 space,pw,hpw space,pw,hpw 9\ + "High-Voltage N-Well spacing to N-Well must be at least 9 (MOSIS rule #1.2)" + edge hpwell space,nw,hnw 9 space,nw,hnw space,nw,hnw 9\ + "High-Voltage P-Well spacing to P-Well must be at least 9 (MOSIS rule #1.2)" + edge hnwell space,pw,hpw,nw 12 space,pw,hpw,nw space,pw,hpw,nw 12\ + "High-Voltage N-Well spacing must be at least 12 (MOSIS rule #20.1)" + edge hpwell space,nw,hnw,pw 12 space,nw,hnw,pw space,nw,hnw,pw 12\ + "High-Voltage P-Well spacing must be at least 12 (MOSIS rule #20.1)" + + + + + + + edge4way ~(hndiff,hndc/a,hpdiff,hpdc/a)/a hndiff,hndc/a,hpdiff,hpdc/a 3 hndiff,hndc/a,hpdiff,hpdc/a hndiff,hndc/a,hpdiff,hpdc/a 3\ + "High-Voltage Diffusion width must be at least 3 (MOSIS rule #2.1)" + spacing hndiff,hndc/a,hnfet hndiff,hndc/a,hnfet 5 touching_ok \ + "High-Voltage Diffusion spacing must be at least 5 (MOSIS rule #20.2)" + spacing hpdiff,hpdc/a,hpfet hpdiff,hpdc/a,hpfet 5 touching_ok \ + "High-Voltage Diffusion spacing must be at least 5 (MOSIS rule #20.2)" + + + + + + spacing hndiff,hndc/a hpdiff,hpdc/a 14 touching_illegal \ + "P-type diffusion must be 14 away from N-type diffusion (MOSIS rule #20.3)" + spacing hndiff,hndc/a pdiff,pdc/a 12 touching_illegal \ + "P-type diffusion must be 12 away from N-type diffusion (MOSIS rule #20.3+2.3)" + spacing hpdiff,hpdc/a ndiff,ndc/a 12 touching_illegal \ + "P-type diffusion must be 12 away from N-type diffusion (MOSIS rule #20.3+2.3)" + + + spacing hndiff,hnfet,hndc/a hnwell 7 touching_illegal \ + "HVN-diffusion and HVN-well must be separated by 7 (MOSIS rule #20.3)" + spacing hpdiff,hpfet,hpdc/a hpwell 7 touching_illegal \ + "HVP-diffusion and HVP-well must be separated by 7 (MOSIS rule #20.3)" + spacing nsd,nsc/a hpwell 3 touching_illegal \ + "N-substrate diffusion and HVP-well must be separated by 3 (MOSIS rule #2.4+20.3)" + spacing psd,psc/a hnwell 3 touching_illegal \ + "P-substrate diffusion and HVN-well must be separated by 3 (MOSIS rule #2.4+20.3)" +# 1982 "scmos.tech.out" + edge (~hndc)/a hndc/a 6 hndc/a hndc/a 6\ + "High-Voltage Diffusion contact width must be at least 6 (MOSIS rule #20.5)" + edge (~hpdc)/a hpdc/a 6 hpdc/a hpdc/a 6\ + "High-Voltage Diffusion contact width must be at least 6 (MOSIS rule #20.5)" + + + + edge hpdiff,hpdc/a hpfet 4 hpfet 0 0 \ + "High-Voltage transistor must be at least 4 units long (MOSIS rule #20.6)" + edge hndiff,hndc/a hnfet 4 hnfet 0 0 \ + "High-Voltage transistor must be at least 4 units long (MOSIS rule #20.6)" + + + + + + exact_overlap m3c,m2c,ndc,pdc,pc,psc,nsc,ec,capc,clc,emc,pbc,hndc,hpdc,hnsc,hpsc + no_overlap pfet,nfet pfet,nfet + no_overlap epfet,enfet epfet,enfet + no_overlap pffet,nffet pffet,nffet + no_overlap hpfet,hnfet hpfet,hnfet + +end + + +extract + + + + + +# 1 "./extract_template/scmosExt.tech.in" 1 +# 97 "./extract_template/scmosExt.tech.in" +# 1 "./extract_template/scmosExtDiag.tech.in" 1 + + + + + + +style check_nwell + + lambda 100 + step 100 + + resist nwell 2000000 + areacap nw,nwc,nsd 100 + + + noplaneordering + +style check_pwell + + lambda 100 + step 100 + + resist pwell 2000000 + areacap pw,pwc,psd 100 + + noplaneordering + +style check_psubstr + + + + + + lambda 50 + step 200 + + areacap psd,psc 1000 + + noplaneordering + +style check_nsubstr + + + + + + lambda 50 + step 200 + + areacap nsd,nsc 1000 + + noplaneordering +# 97 "./extract_template/scmosExt.tech.in" 2 +# 2014 "scmos.tech.out" 2 +# 2819 "scmos.tech.out" +end + + +wiring + contact pdcontact 4 pdiff 0 metal1 0 + contact ndcontact 4 ndiff 0 metal1 0 + contact pcontact 4 poly 0 metal1 0 + contact ec 6 poly2 0 metal1 0 + contact m2contact 4 metal1 0 metal2 0 + contact m3contact 5 metal2 0 metal3 0 +end + +router + layer1 metal1 3 pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc 3 + layer2 metal2 3 m2,m2c/m2,m3c/m2,pad 4 poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet,ndiff,ndc/a,pdiff,pdc/a 1 + contacts m2contact 4 + gridspacing 8 +end + +plowing + fixed nfet,enfet,nffet,pfet,epfet,pffet,glass,pad + covered nfet,enfet,nffet,pfet,epfet,pffet + drag nfet,enfet,nffet,pfet,epfet,pffet +end + +plot + + style colorversatec + + ndiff,ndc yellow \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA + + ndiff,ndc cyan \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 + + + nsd,nsc,col,clc yellow \ + 1515 2A2A 5151 A2A2 \ + 1515 2A2A 5151 A2A2 \ + 1515 2A2A 5151 A2A2 \ + 1515 2A2A 5151 A2A2 + + nsd,nsc,col,clc cyan \ + 0000 1515 0000 5151 \ + 0000 1515 0000 5151 \ + 0000 1515 0000 5151 \ + 0000 1515 0000 5151 + + + pdiff,pdc yellow \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA + + pdiff,pdc cyan \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 + + pdiff,pdc magenta \ + AAAA 0000 AAAA 0000 \ + AAAA 0000 AAAA 0000 \ + AAAA 0000 AAAA 0000 \ + AAAA 0000 AAAA 0000 + + + psd,psc yellow \ + 1515 2A2A 5151 A2A2 \ + 1515 2A2A 5151 A2A2 \ + 1515 2A2A 5151 A2A2 \ + 1515 2A2A 5151 A2A2 + + psd,psc cyan \ + 0000 1515 0000 5151 \ + 0000 1515 0000 5151 \ + 0000 1515 0000 5151 \ + 0000 1515 0000 5151 + + psd,psc magenta \ + 2A2A 0000 A2A2 0000 \ + 2A2A 0000 A2A2 0000 \ + 2A2A 0000 A2A2 0000 \ + 2A2A 0000 A2A2 0000 + + + poly,pc/a magenta \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA + + + poly2,ec/a yellow \ + FFFF FFFF FFFF FFFF \ + FFFF FFFF FFFF FFFF \ + FFFF FFFF FFFF FFFF \ + FFFF FFFF FFFF FFFF + + + nfet yellow \ + 0505 8282 1414 0A0A \ + 5050 2828 4141 A0A0 \ + 0505 8282 1414 0A0A \ + 5050 2828 4141 A0A0 + + nfet cyan \ + 0000 0505 0000 1414 \ + 0000 5050 0000 4141 \ + 0000 0505 0000 1414 \ + 0000 5050 0000 4141 + + nfet magenta \ + 5050 2828 4141 A0A0 \ + 0505 8282 1414 0A0A \ + 5050 2828 4141 A0A0 \ + 0505 8282 1414 0A0A + + + enfet yellow \ + BABA 7575 EAEA D5D5 \ + ABAB 5757 AEAE 5D5D \ + BABA 7575 EAEA D5D5 \ + ABAB 5757 AEAE 5D5D + + enfet cyan \ + 4141 0A0A 0505 2828 \ + 1414 A0A0 5050 8282 \ + 4141 0A0A 0505 2828 \ + 1414 A0A0 5050 8282 + + + nffet yellow \ + 8E8E 0707 8B8B D5D5 \ + E8E8 7070 B8B8 5D5D \ + 8E8E 0707 8B8B D5D5 \ + E8E8 7070 B8B8 5D5D + + nffet cyan \ + 0101 0808 1414 2828 \ + 1010 8080 4141 8282 \ + 0101 0808 1414 2828 \ + 1010 8080 4141 8282 + + nffet magenta \ + 5050 A0A0 4040 0202 \ + 0505 0A0A 0404 2020 \ + 5050 A0A0 4040 0202 \ + 0505 0A0A 0404 2020 + + + pfet yellow \ + 6363 A0A0 5050 2828 \ + 3636 0A0A 0505 8282 \ + 6363 A0A0 5050 2828 \ + 3636 0A0A 0505 8282 + + pfet cyan \ + 0000 5151 0000 5454 \ + 0000 1515 0000 1515 \ + 0000 5151 0000 5454 \ + 0000 1515 0000 1515 + + pfet magenta \ + 9494 0A0A 2525 8282 \ + 4949 A0A0 5252 2828 \ + 9494 0A0A 2525 8282 \ + 4949 A0A0 5252 2828 + + + epfet yellow \ + BCBC 4F4F 2F2F D3D3 \ + CBCB F4F4 F2F2 3D3D \ + BCBC 4F4F 2F2F D3D3 \ + CBCB F4F4 F2F2 3D3D + + epfet cyan \ + 0000 A0A0 0000 2828 \ + 0000 0A0A 0000 8282 \ + 0000 A0A0 0000 2828 \ + 0000 0A0A 0000 8282 + + epfet magenta \ + 4141 0000 5050 0000 \ + 1414 0000 0505 0000 \ + 4141 0000 5050 0000 \ + 1414 0000 0505 0000 + + + pffet yellow \ + 7B7B F0F0 F0F0 E9E9 \ + B7B7 0F0F 0F0F 9E9E \ + 7B7B F0F0 F0F0 E9E9 \ + B7B7 0F0F 0F0F 9E9E + + pffet cyan \ + 0000 0101 0000 1414 \ + 0000 1010 0000 4141 \ + 0000 0101 0000 1414 \ + 0000 1010 0000 4141 + + pffet magenta \ + 8484 0A0A 2525 8282 \ + 4848 A0A0 5252 2828 \ + 8484 0A0A 2525 8282 \ + 4848 A0A0 5252 2828 + + + cap,cc/a yellow \ + 3E3E 7777 E3E3 C1C1 \ + E3E3 7777 3E3E 1C1C \ + 3E3E 7777 E3E3 C1C1 \ + E3E3 7777 3E3E 1C1C + + cap,cc/a magenta \ + 4141 8888 1414 2A2A \ + 1414 8888 4141 A2A2 \ + 4141 8888 1414 2A2A \ + 1414 8888 4141 A2A2 + + + pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc cyan \ + AAAA 0000 AAAA 0000 \ + AAAA 0000 AAAA 0000 \ + AAAA 0000 AAAA 0000 \ + AAAA 0000 AAAA 0000 + + + m2,m2c/m2,m3c/m2,pad cyan \ + 0000 1111 0000 4444 \ + 0000 1111 0000 4444 \ + 0000 1111 0000 4444 \ + 0000 1111 0000 4444 + + m2,m2c/m2,m3c/m2,pad magenta \ + 0000 4444 0000 1111 \ + 0000 4444 0000 1111 \ + 0000 4444 0000 1111 \ + 0000 4444 0000 1111 + + + m2c/m1 black \ + 0000 6666 6666 0000 \ + 0000 9999 9999 0000 \ + 0000 6666 6666 0000 \ + 0000 9999 9999 0000 + + + pad,glass black \ + 0300 0700 0E00 1C00 \ + 3800 7000 E000 C000 \ + 00C0 00E0 0070 0038 \ + 001C 000E 0007 0003 + + + nwell yellow \ + 0800 1000 2000 4000 \ + 8000 0001 0002 0004 \ + 0008 0010 0020 0040 \ + 0080 0010 0200 0400 + + nwell cyan \ + 1000 2000 4000 8000 \ + 0001 0002 0004 0008 \ + 0010 0020 0040 0080 \ + 0100 0200 0400 0800 + + + pwell yellow \ + 1000 0400 0400 0100 \ + 0100 0040 0040 0010 \ + 0010 0004 0004 0001 \ + 0001 4000 4000 1000 + + pwell cyan \ + 0000 0800 0000 0200 \ + 0000 0080 0000 0020 \ + 0000 0008 0000 0002 \ + 0000 8000 0000 2000 + + pwell magenta \ + 0800 0000 0200 0000 \ + 0080 0000 0020 0000 \ + 0008 0000 0002 0000 \ + 8000 0000 2000 0000 + + + bd yellow \ + 4444 8888 4444 8888 \ + 4444 8888 4444 8888 \ + 4444 8888 4444 8888 \ + 4444 8888 4444 8888 + + bd cyan \ + 0000 4444 0000 4444 \ + 0000 4444 0000 4444 \ + 0000 4444 0000 4444 \ + 0000 4444 0000 4444 + + bd magenta \ + 8888 0000 8888 0000 \ + 8888 0000 8888 0000 \ + 8888 0000 8888 0000 \ + 8888 0000 8888 0000 + + + nbd,nbdc yellow \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA \ + 5555 AAAA 5555 AAAA + + nbd,nbdc cyan \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 \ + 0000 5555 0000 5555 + + nbd,nbdc magenta \ + 8888 0000 8888 0000 \ + 8888 0000 8888 0000 \ + 8888 0000 8888 0000 \ + 8888 0000 8888 0000 + + + em,emc yellow \ + 4444 8888 4444 8888 \ + 4444 8888 4444 8888 \ + 4444 8888 4444 8888 \ + 4444 8888 4444 8888 + + em,emc cyan \ + 0000 4444 0000 4444 \ + 0000 4444 0000 4444 \ + 0000 4444 0000 4444 \ + 0000 4444 0000 4444 + + + pbase,pbc yellow \ + 5555 AAAA 0000 0000 \ + 5555 AAAA 0000 0000 \ + 5555 AAAA 0000 0000 \ + 5555 AAAA 0000 0000 + + pbase,pbc cyan \ + 0000 5555 0000 0000 \ + 0000 5555 0000 0000 \ + 0000 5555 0000 0000 \ + 0000 5555 0000 0000 + + pbase,pbc magenta \ + AAAA 0000 0000 0000 \ + AAAA 0000 0000 0000 \ + AAAA 0000 0000 0000 \ + AAAA 0000 0000 0000 + + + m3,m3c/m3 black \ + 0100 0000 0000 0000 \ + 1010 0000 0000 0000 \ + 0001 0000 0000 0000 \ + 1010 0000 0000 0000 + + m3,m3c/m3 cyan \ + 0280 0000 0820 0000 \ + 2008 0000 8002 0000 \ + 8002 0000 2008 0000 \ + 0820 0000 0280 0000 + + m3,m3c/m3 magenta \ + 0100 06C0 0440 1830 \ + 1010 600C 4004 8003 \ + 0001 C006 4004 3018 \ + 1010 0C60 0440 0380 + + + m3c/m2 black \ + 0820 0820 0820 0FE0 \ + E00F 2008 2008 2008 \ + 2008 2008 2008 E00F \ + 0000 0FE0 0820 0820 + + + error_p,error_s,error_ps black \ + 0000 3C3C 4646 4A4A \ + 5252 6262 3C3C 0000 \ + 0000 3C3C 4646 4A4A \ + 5252 6262 3C3C 0000 + + + magnet yellow \ + AAAA 0000 5555 0000 \ + AAAA 0000 5555 0000 \ + AAAA 0000 5555 0000 \ + AAAA 0000 5555 0000 + + + fence magenta \ + FFFF 0000 0000 0000 \ + 0000 0000 0000 0000 \ + FFFF 0000 0000 0000 \ + 0000 0000 0000 0000 + + + rotate cyan \ + 0000 E0E0 E0E0 E0E0 \ + 0000 0000 0000 0000 \ + 0000 E0E0 E0E0 E0E0 \ + 0000 0000 0000 0000 + + + pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,hpsc,hnsc,pc,ec,capc,nbdc,clc,emc,pbc X + + + style versatec + + pfet \ + 07c0 0f80 1f00 3e00 \ + 7c00 f800 f001 e003 \ + c007 800f 001f 003e \ + 00c7 00f8 01f0 03e0 + + + nfet \ + 1f00 0f80 07c0 03e0 \ + 01f0 00f8 007c 003e \ + 001f 800f c007 e003 \ + f001 f800 7c00 3e00 + + + m2c \ + c3c3 c3c3 0000 0000 \ + 0000 0000 c3c3 c3c3 \ + c3c3 c3c3 0000 0000 \ + 0000 0000 c3c3 c3c3 + + + pwell \ + 2020 2020 2020 2020 \ + 2020 2020 2020 2020 \ + 0000 0000 0000 0000 \ + 0000 0000 0000 0000 + + + nwell \ + 0808 0404 0202 0101 \ + 0000 0000 0000 0000 \ + 0808 0404 0202 0101 \ + 0000 0000 0000 0000 + + + poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet \ + 0808 0400 0202 0101 \ + 8080 4000 2020 1010 \ + 0808 0004 0202 0101 \ + 8080 0040 2020 1010 + + + pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc \ + 8080 0000 0000 0000 \ + 0808 0000 0000 0000 \ + 8080 0000 0000 0000 \ + 0808 0000 0000 0000 + + + pad,glass \ + 0000 0000 1c1c 3e3e \ + 3636 3e3e 1c1c 0000 \ + 0000 0000 1c1c 3e3e \ + 3636 3e3e 1c1c 0000 + + + nsd,nsc,col,clc \ + 0808 1414 2222 4141 \ + 8080 4040 2020 1010 \ + 0808 1414 2222 4141 \ + 8080 4040 2020 1010 + + + m2,m2c/m2,m3c/m2,pad \ + 0000 1111 0000 0000 \ + 0000 1111 0000 0000 \ + 0000 1111 0000 0000 \ + 0000 1111 0000 0000 + + + pdiff,pdc,pfet \ + 0000 0808 5555 8080 \ + 0000 8080 5555 0808 \ + 0000 0808 5555 8080 \ + 0000 8080 5555 0808 + + + psd,psc \ + 1414 2222 0000 2222 \ + 4141 2222 0000 2222 \ + 1414 2222 0000 2222 \ + 4141 2222 0000 2222 + + + ndiff,nfet,ndc \ + 0808 1010 2020 4040 \ + 8080 4141 2222 1414 \ + 0808 1010 2020 4040 \ + 8080 4141 2222 1414 + + + poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet \ + 0000 2020 5050 2020 \ + 0000 0202 0505 0202 \ + 0000 2020 5050 2020 \ + 0000 0202 0505 0202 + + + pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,hpsc,hnsc,pc,ec,capc,nbdc,clc,emc,pbc X + + + style gremlin + pfet 9 + nfet 10 + m2c 11 + pwell 15 + nwell 16 + poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet 19 + pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc 22 + pad,glass 23 + nsd,nsc 24 + m2,m2c/m2,m3c/m2,pad 28 + pdiff,pdc,pfet 29 + psd,psc 30 + ndiff,nfet,ndc 31 + m2c/m1,pc/m1,ndc/m1,pdc/m1,psc/m1,nsc/m1,pad/m1 X + + style postscript + + + + + 1 C0C0C0C0 C0C0C0C0 00000000 00000000 0C0C0C0C 0C0C0C0C 00000000 00000000 + 2 A0A0A0A0 0A0A0A0A A0A0A0A0 0A0A0A0A A0A0A0A0 0A0A0A0A A0A0A0A0 0A0A0A0A + 3 00030003 000C000C 00300030 00C000C0 03000300 0C000C00 30003000 C000C000 + 4 00000000 00000000 C0C0C0C0 00000000 00000000 00000000 0C0C0C0C 00000000 + 5 FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF + 6 07070707 0E0E0E0E 1C1C1C1C 38383838 70707070 E0E0E0E0 C1C1C1C1 83838383 + 7 18181818 30303030 60606060 C0C0C0C0 81818181 03030303 06060606 0C0C0C0C + 8 18181818 0C0C0C0C 06060606 03030303 81818181 C0C0C0C0 60606060 30303030 + 9 18181818 3C3C3C3C 3C3C3C3C 18181818 81818181 C3C3C3C3 C3C3C3C3 81818181 + 10 F0F0F0F0 60606060 06060606 0F0F0F0F 0F0F0F0F 06060606 60606060 F0F0F0F0 + 11 01000080 02000040 0C000030 F000000F 000FF000 00300C00 00400200 00800100 + 12 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 + 13 00000000 00000000 33333333 33333333 00000000 00000000 CCCCCCCC CCCCCCCC + + + + + 1 47 95 111 0 + 2 223 31 223 0 + 3 0 0 0 192 + 4 31 111 31 0 + 5 31 111 255 0 + 6 63 95 191 0 + 7 255 63 255 0 + 8 0 0 0 127 + 9 223 47 223 0 + 10 0 255 255 0 + 11 0 0 255 0 + 12 191 127 0 0 + 13 95 223 63 0 + 14 0 0 0 255 + 15 191 127 63 0 + 16 111 151 244 0 + 17 23 175 183 0 + + + + + cc,pc,ndc,pdc,psc,nsc 14 X + m2c,pad,glass 14 B + pad,glass 14 11 + m2c 14 13 + m2,m2c,pad 13 10 + pdc,ndc,psc,nsc,hpdc,hndc,hpsc,hnsc,pc,ec,capc,clc,emc,pbnd,pbpd,pbc,nbdc,m1,m2c,gc 12 9 + cap,cc,poly2 11 7 + nsd,nsc 7 1 + psd,psc 6 1 + nfet,nffet 9 8 + pfet,wcap,pffet 1 7 + poly,pc,cap,cc 10 5 + nfet 16 5 + pfet,wcap 17 5 + pdiff,pdc,pffet 1 5 + ndiff,ndc,nffet 9 5 + pwell 1 4 + nwell 2 4 + + silk 10 5 + + + + + style pnm + draw metal1 + draw metal2 + + draw metal3 + draw pwell + draw nwell + draw silk + map silk metal2 + + draw polysilicon + draw ndiffusion + draw pdiffusion + draw ntransistor + draw ptransistor + map psubstratepdiff pdiffusion + map nsubstratendiff ndiffusion + map polycontact polysilicon metal1 + map m2contact metal1 metal2 + map m3contact metal2 metal3 + map ndcontact ndiffusion metal1 + map pdcontact pdiffusion metal1 + map nsubstratencontact ndiffusion metal1 + map psubstratepcontact pdiffusion metal1 +end diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index bef51c67..8c4fd0c4 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -12,11 +12,11 @@ my $cellname=$1; my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); next if($cellname eq "CLKBUF3"); - my $cmd="lclayout --output-dir outputlib --tech librecell_tech.py --netlist $sp --cell $cellname -v $placer"; + my $cmd="lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer"; print "$cmd\n"; system $cmd; - open OUT,"|magic -dnull -noconsole -T libresilicon >/dev/null 2>/dev/null"; + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon >/dev/null 2>/dev/null"; print OUT < Date: Mon, 15 Jul 2019 08:27:42 +0000 Subject: [PATCH 025/673] Added a tool to concatenate GDS files into a GDS library --- Tools/python/concat4gds.py | 10 ++++++++++ 1 file changed, 10 insertions(+) create mode 100644 Tools/python/concat4gds.py diff --git a/Tools/python/concat4gds.py b/Tools/python/concat4gds.py new file mode 100644 index 00000000..f7b31e6b --- /dev/null +++ b/Tools/python/concat4gds.py @@ -0,0 +1,10 @@ +#!/usr/bin/python3 + +import gdspy +import sys + +for a in sys.argv: + gdspy.current_library.read_gds(a) + +gdspy.write_gds("library.gds") + From 7873b656313e737d77e61fcc199c66723c07d1b1 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 15 Jul 2019 08:28:18 +0000 Subject: [PATCH 026/673] Added a tool to convert magic files to SVG --- Tools/perl/mag2svg.pl | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100644 Tools/perl/mag2svg.pl diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl new file mode 100644 index 00000000..6c03b968 --- /dev/null +++ b/Tools/perl/mag2svg.pl @@ -0,0 +1,23 @@ +#!/usr/bin/perl -w + + +# Now we need magic 4.2 with kairos support compiled in for SVG export: +my $mag=$ARGV[0]; $mag=~s/\.mag$//i; $mag=~s/\.svg$//i; +my $svgvar=$mag; $svgvar.=".svg"; +my $svg=$ARGV[1] || $svgvar; + +print "mag2svg - Convert magic files to SVG\n"; +print "Usage: mag2svg input.mag output.svg\n"; + +if(-f "$mag.mag") +{ + open MAGIC,"|magic -d XR -noconsole -nowindow $mag"; + print MAGIC "plot svg $svg\nquit\n"; + close MAGIC; + print "$svg written.\n" if(-f $svg); + print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\n" if(! -f $svg); +} +else +{ + print STDERR "Error: Could not load magic file $mag.mag\n"; +} From 9d47a2d904df456980a7c8f2b3212781504686cc Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 15 Jul 2019 08:33:44 +0000 Subject: [PATCH 027/673] Added explanation when magic is missing cairo support --- Tools/perl/mag2svg.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) mode change 100644 => 100755 Tools/perl/mag2svg.pl diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl old mode 100644 new mode 100755 index 6c03b968..699908ba --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -15,7 +15,7 @@ print MAGIC "plot svg $svg\nquit\n"; close MAGIC; print "$svg written.\n" if(-f $svg); - print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\n" if(! -f $svg); + print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\nThe cairo library development files need to be installed and magic needs to be configured with --with-cairo.\nMake sure that magic configure says 'Cairo: yes' in the summary.\n" if(! -f $svg); } else { From d90dcd47b4ec5e5f2c64af69dc2e38a20390beac Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 15 Jul 2019 08:38:11 +0000 Subject: [PATCH 028/673] Fix: Moved the cells inside the block --- Tools/perl/libgen.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/libgen.pl b/Tools/perl/libgen.pl index 59baa014..c37dac4b 100755 --- a/Tools/perl/libgen.pl +++ b/Tools/perl/libgen.pl @@ -425,16 +425,16 @@ ($$) EOF ; } + print $output; print < Date: Mon, 15 Jul 2019 08:41:20 +0000 Subject: [PATCH 029/673] Added debug output control --- Tools/perl/librecells.pl | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 8c4fd0c4..44e16f0b 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -2,6 +2,8 @@ mkdir "outputlib"; +my $debug=0; + my $sp=$ARGV[0]||"libresilicon.sp"; open IN,"<$sp"; @@ -11,12 +13,12 @@ { my $cellname=$1; my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); - next if($cellname eq "CLKBUF3"); + next if($cellname eq "CLKBUF3");# TODO: CLKBUF3 currently takes too much time to generate so we have to skip it, librecell needs to be improved my $cmd="lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer"; print "$cmd\n"; system $cmd; - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon >/dev/null 2>/dev/null"; + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon ".($debug?"":">/dev/null 2>/dev/null"); print OUT < Date: Mon, 15 Jul 2019 21:38:06 +0000 Subject: [PATCH 030/673] Added global pathes to qflow --- Catalog/GNUmakefile | 3 +++ 1 file changed, 3 insertions(+) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index ae86a27c..e64da1ed 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -143,4 +143,7 @@ importQflow: ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu018/osu018_stdcells.sp ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu035/osu035_stdcells.sp ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu050/osu050_stdcells.sp + ../Tools/perl/spice2cell.pl /usr/share/qflow/tech/osu018/osu018_stdcells.sp + ../Tools/perl/spice2cell.pl /usr/share/qflow/tech/osu035/osu035_stdcells.sp + ../Tools/perl/spice2cell.pl /usr/share/qflow/tech/osu050/osu050_stdcells.sp From d26f7aff56b920acd9a8d949c509b83e60a5bc98 Mon Sep 17 00:00:00 2001 From: TheSourcerer8 Date: Tue, 16 Jul 2019 15:00:33 +0000 Subject: [PATCH 031/673] Copying the resulting PDF to the right directory --- Catalog/doc/docu.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/doc/docu.sh b/Catalog/doc/docu.sh index 0a097e55..54df1207 100755 --- a/Catalog/doc/docu.sh +++ b/Catalog/doc/docu.sh @@ -27,4 +27,4 @@ do done pdflatex StdCellLib.tex pdflatex StdCellLib.tex - +cp StdCellLib.pdf ../../Documents/StdCellLib.pdf From 280f9fff4d01a989d00984f3b2817e47a78f1869 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 22 Jul 2019 12:26:08 +0000 Subject: [PATCH 032/673] Avoid makefile abort if pdflatex isnt running --- Catalog/doc/Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/doc/Makefile b/Catalog/doc/Makefile index befcec30..5a599a49 100644 --- a/Catalog/doc/Makefile +++ b/Catalog/doc/Makefile @@ -4,5 +4,5 @@ all: clean: rm -f *_schematic.tex cells.tex rm -f *.aux *.idx *.log *.toc *.pdf *.png *.svg *_svg.tex - killall -q pdflatex + killall -q pdflatex || true From 87f814b2bf83082c82c755b31daebfeaae65a5c6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 22 Jul 2019 12:27:08 +0000 Subject: [PATCH 033/673] Renaming the makefile --- Catalog/doc/{Makefile => GNUmakefile} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename Catalog/doc/{Makefile => GNUmakefile} (100%) diff --git a/Catalog/doc/Makefile b/Catalog/doc/GNUmakefile similarity index 100% rename from Catalog/doc/Makefile rename to Catalog/doc/GNUmakefile From 66da1a498f263ddf9f0cac1f8825ca76390c87f5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 22 Jul 2019 13:25:35 +0000 Subject: [PATCH 034/673] Adding gitignore files --- .gitignore | 1 + Catalog/.gitignore | 9 +++++++++ Tech/.gitignore | 1 + 3 files changed, 11 insertions(+) create mode 100644 .gitignore create mode 100644 Catalog/.gitignore create mode 100644 Tech/.gitignore diff --git a/.gitignore b/.gitignore new file mode 100644 index 00000000..e7fc1a2b --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +StdCellLib*.tgz diff --git a/Catalog/.gitignore b/Catalog/.gitignore new file mode 100644 index 00000000..f750ad01 --- /dev/null +++ b/Catalog/.gitignore @@ -0,0 +1,9 @@ +*.mag +*.cell +*.svg +*.sp +*.pxi +!INV.cell +__pycache__ +libresilicon.lib +libresilicon.lef diff --git a/Tech/.gitignore b/Tech/.gitignore new file mode 100644 index 00000000..c18dd8d8 --- /dev/null +++ b/Tech/.gitignore @@ -0,0 +1 @@ +__pycache__/ From a4afece8af042e2ae5decc7cc363b6f6f9e29b7d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 22 Jul 2019 13:26:10 +0000 Subject: [PATCH 035/673] Extracted the transistor spice parameters to the Tech directory --- Tech/transistor.sp | 1 + Tools/perl/cell2spice.pl | 15 +++++++++++++-- 2 files changed, 14 insertions(+), 2 deletions(-) create mode 100644 Tech/transistor.sp diff --git a/Tech/transistor.sp b/Tech/transistor.sp new file mode 100644 index 00000000..1672fe6b --- /dev/null +++ b/Tech/transistor.sp @@ -0,0 +1 @@ +w=1.0um l=1.0um diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index 3e96f2f1..07c63ac1 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -1,5 +1,16 @@ #!/usr/bin/perl -w +my $tech="w=1.0u l=1.0u"; + +if(open IN,"<../Tech/transistor.sp") +{ + print "Loading tech specs from transistor.sp file\n"; + $tech=; + close IN; +} + +print "Tech specs: $tech\n"; + open OUT,">libresilicon.sp"; foreach my $fn (<*.cell>) @@ -20,13 +31,13 @@ { my($g,$d,$s,$t)=($1,$2,$3,$4); my $x=($t eq "pmos")?"vdd":"gnd"; - $transistors.="M$M $d $g $s $x $t w=0.5u l=0.05u\n"; + $transistors.="M$M $d $g $s $x $t $tech\n"; #M1 vdd B a_2_6# vdd pmos w=0.5u l=0.05u #M2 Y a_2_6# vdd vdd pmos w=0.5u l=0.05u #M3 a_9_6# A a_2_6# gnd nmos w=0.5u l=0.05u #M4 gnd B a_9_6# gnd nmos w=0.5u l=0.05u #M5 Y a_2_6# gnd gnd nmos w=0.25u l=0.05u - $transistors.="+ ad=0p pd=0u as=0p ps=0u\n"; + #$transistors.="+ ad=0p pd=0u as=0p ps=0u\n"; $M++; } } From e3c25d8440fe08c28340979381b26a244db8968d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Jul 2019 18:25:49 +0000 Subject: [PATCH 036/673] Trying to implement the design rules in librecell --- Tech/librecell_tech.py | 133 ++++++++++++++++++++++------------------- 1 file changed, 71 insertions(+), 62 deletions(-) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index 726e99b2..c4258371 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -1,19 +1,20 @@ from lclayout.layout.layers import * # Physical size of one data base unit in meters. -db_unit = 1e-9 +# Libresilicon: 100nm was chosen, so 1 lambda is 5 units of 1e-7, so every lambda value has to be multiplied by 5 +db_unit = 1e-7 # Scale transistor width. -transistor_channel_width_sizing = 0.7 +transistor_channel_width_sizing = 1 # GDS2 layer numbers for final output. -my_active = (1, 0) +my_active = (1, 0) # all DIFF's + all FET's my_nwell = (2, 0) -my_nwell2 = (2, 1) +#my_nwell2 = (2, 1) # a copy of the nwell layer due to limitations of other tools we don't need my_pwell = (2, 7) my_poly = (3, 0) -my_poly_contact = (4, 0) -my_diff_contact = (5, 0) +my_poly_contact = (4, 0) # Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" +my_diff_contact = (5, 0) # Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" my_metal1 = (6, 0) my_metal1_label = (6, 1) my_metal1_pin = (6, 2) @@ -28,8 +29,8 @@ # defined in this dictioinary. output_map = { l_active: my_active, - l_nwell: [my_nwell, my_nwell2], # Map l_nwell to two output layers. - l_pwell: [my_pwell], # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. + l_nwell: my_nwell, # [my_nwell, my_nwell2], # Map l_nwell to two output layers. + l_pwell: my_pwell, # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. l_poly: my_poly, l_poly_contact: my_poly_contact, l_diff_contact: my_diff_contact, @@ -55,115 +56,123 @@ # Minimum spacing rules for layer pairs. min_spacing = { - (l_active, l_active): 50, - (l_active, l_poly_contact): 10, - (l_nwell, l_nwell): 50, - (l_nwell, l_pwell): 100, # This might be used when n-well and p-well layers are used for a twin-well process. - (l_pwell, l_pwell): 50, - (l_poly, l_nwell): 50, - (l_poly, l_active): 50, - (l_poly, l_poly): 50, - (l_poly, l_diff_contact): 10, - (l_metal1, l_metal1): 50, - (l_metal2, l_metal2): 100, + (l_active, l_active): 15, # 3 -> 3l + (l_active, l_poly_contact): 20, # 2.6.6 -> 4l + (l_nwell, l_nwell): 50, # 3 -> 10l + (l_nwell, l_pwell): 50, # 2.2.2->10l + (l_pwell, l_pwell): 50, # 3 -> 10l + #(l_poly, l_nwell): 10, # No rule? + (l_poly, l_active): 5, # 2.4.6 -> 1l + (l_poly, l_poly): 10, # 3 POLY -> 2l + (l_poly, l_diff_contact): 10, # The maximum "minimum spacing" from poly to anything else is 2l + (l_metal1, l_metal1): 20, # 3 METAL1 -> 4l # !!!! WARNING: Spacing to BigMetal (>=10um) needs to be 6l ! + (l_metal2, l_metal2): 20, # 3 METAL2 -> 4l + (l_via1, l_via1): 15, # 3 VIA1 -> 3l + (l_via1, l_diff_contact): 10, # 2.8.3 -> 2l + (l_via1, l_active): 10, # 2.8.4 -> 2l } # Layer for the pins. pin_layer = l_metal2 # Power stripe layer -power_layer = l_metal2 +power_layer = l_metal1 # Was recommended by leviathanch due to lesser resistance # Layers that can be connected/merged without changing the schematic. # This can be used to resolve spacing/notch violations by just filling the space. -connectable_layers = {l_nwell} +connectable_layers = {l_nwell, l_pwell} # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. # `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. -unit_cell_width = 400 -unit_cell_height = 2400 +unit_cell_width = 80 +unit_cell_height = 360 # Width of the gate polysilicon stripe. -gate_length = 50 +gate_length = 10 # 2.4.1 -> 2l # Minimum length a polysilicon gate must overlap the silicon. -gate_extension = 100 +gate_extension = 10 # 2.4.4 -> 2l # Routing pitch -routing_grid_pitch_x = unit_cell_width // 2 -routing_grid_pitch_y = unit_cell_height // 8 +routing_grid_pitch_x = 20 # unit_cell_width // 8 +routing_grid_pitch_y = 20 # unit_cell_height // 30 # Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) -grid_offset_x = routing_grid_pitch_x -grid_offset_y = routing_grid_pitch_y // 2 +grid_offset_x = 10 # routing_grid_pitch_x +grid_offset_y = 0 # routing_grid_pitch_y // 2 # Width of power rail. -power_rail_width = 360 +power_rail_width = 40 +# Between 2 and 3 um # Minimum width of polysilicon gate stripes. -minimum_gate_width_nfet = 200 -minimum_gate_width_pfet = 200 +minimum_gate_width_nfet = 10 +minimum_gate_width_pfet = 10 # Minimum width for pins. -minimum_pin_width = 50 +minimum_pin_width = 10 # Width of routing wires. wire_width = { - l_poly: 100, - l_metal1: 100, - l_metal2: 100 +# l_nwell: 20, # ?!? Why is there a wire with for nwell/pwell?!? +# l_pwell: 20, + l_poly: 10, # 2.4.1 -> 2l + l_metal1: 20, # 2.7.1 -> 4l + l_metal2: 20, # 2.9.1 -> 4l } # Width of horizontal routing wires (overwrites `wire_width`). wire_width_horizontal = { - l_poly: 100, - l_metal1: 100, - l_metal2: 100 + l_poly: 10, # 2.4.1 -> 2l + l_metal1: 20, # 2.7.1 -> 4l + l_metal2: 20, # 2.9.1 -> 4l } # Side lengths of vias (square shaped). via_size = { - l_poly_contact: 100, - l_diff_contact: 100, - l_via1: 100 + l_poly_contact: 10, # 2.6.1 -> 2l + l_diff_contact: 10, # 2.6.1 -> 2l + l_via1: 10 # 2.8.1 -> 2l +# l_via2: 10 # 2.10.1 -> 2l } # Minimum width rules. minimum_width = { - l_poly: gate_length, - l_metal1: 100, - l_metal2: 100 + l_poly: gate_length, # 2.4.1-> 2l + l_metal1: 20, # 2.7.1 -> 4l + l_metal2: 20, # 2.9.1 -> 4l } # Minimum enclosure rules. # Syntax: {(outer layer, inner layer): minimum enclosure, ...} minimum_enclosure = { # Via enclosure - (l_active, l_diff_contact): 10, - (l_poly, l_poly_contact): 10, - (l_metal1, l_diff_contact): 10, - (l_metal1, l_poly_contact): 10, - (l_metal1, l_via1): 20, - (l_metal2, l_via1): 20, + (l_active, l_diff_contact): 30, # 2.3.3 -> 6l Source/Drain are DIFF's + (l_poly, l_poly_contact): 5, # 2.6.2 -> 1l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT + (l_metal1, l_diff_contact): 5, # 2.7.3 -> 1l + (l_metal1, l_poly_contact): 5, # 2.7.3 -> 1l + (l_metal1, l_via1): 5,# 2.7.3 -> 1l + (l_metal2, l_via1): 5,# 2.9.3 -> 1l # l_nwell must overlap l_active - (l_nwell, l_active): 100 + (l_nwell, l_active): 15, # 2.3.4 -> 3l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT + (l_pwell, l_active): 15, # 2.3.4 -> 3l } # Minimum notch rules. minimum_notch = { - l_active: 50, - l_poly: 50, - l_metal1: 50, - l_metal2: 50, - l_nwell: 50 + l_active: 5, + l_poly: 5, + l_metal1: 5, + l_metal2: 5, + l_nwell: 5 } # Minimum area rules. min_area = { - l_metal1: 100 * 100, - l_metal2: 100 * 100, +# l_metal1: 100 * 100, +# l_metal2: 100 * 100, } # ROUTING # @@ -174,12 +183,12 @@ # Routing edge weights per data base unit. weights_horizontal = { - l_poly: 2, + l_poly: 10, l_metal1: 1, - l_metal2: 1, + l_metal2: 2, } weights_vertical = { - l_poly: 2, + l_poly: 10, l_metal1: 1, l_metal2: 2, } From d88d07a6d82728a27c0e97b6b72616c3b1960b53 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Jul 2019 18:26:23 +0000 Subject: [PATCH 037/673] Trying to convert the abutment --- Tech/libresilicon.tech | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech index 38604da6..4cccc289 100644 --- a/Tech/libresilicon.tech +++ b/Tech/libresilicon.tech @@ -1,3 +1,4 @@ +# This is the tech-file for magic # 1 "scmos.tech.out" # 1 "" # 1 "" @@ -836,6 +837,7 @@ style generic layer m2contact m2contact calma m2contact 7 0 + labels metal2 layer metal2 metal2 calma metal2 8 0 @@ -846,6 +848,10 @@ style generic calma pdcontact 4 0 calma pdcontact 5 0 + templayer abutment + calma abutment 200 0 + boundary + end mzrouter From 887cb9793022b1101df3f2c8f63ecfd4143d69d4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Jul 2019 18:26:53 +0000 Subject: [PATCH 038/673] Corrected the format for SPICE --- Tech/transistor.sp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech/transistor.sp b/Tech/transistor.sp index 1672fe6b..9e0758e0 100644 --- a/Tech/transistor.sp +++ b/Tech/transistor.sp @@ -1 +1 @@ -w=1.0um l=1.0um +w=1.0u l=1.0u From 8d6c93c4a28adab5156844661d14eac3027cd632 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Jul 2019 18:28:21 +0000 Subject: [PATCH 039/673] Adding a template SPICE file --- Tech/libresilicon.m | 164 ++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 164 insertions(+) create mode 100755 Tech/libresilicon.m diff --git a/Tech/libresilicon.m b/Tech/libresilicon.m new file mode 100755 index 00000000..009f876b --- /dev/null +++ b/Tech/libresilicon.m @@ -0,0 +1,164 @@ +# This is a template file, it needs to be adapted to our real values + +.model NMOS_VTL nmos level = 54 + ++version = 4.0 binunit = 1 paramchk= 1 mobmod = 0 ++capmod = 2 igcmod = 1 igbmod = 1 geomod = 1 ++diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1 ++permod = 1 acnqsmod= 0 trnqsmod= 0 + +* parameters related to the technology node ++tnom = 27 epsrox = 3.9 ++eta0 = 0.0049 nfactor = 2.1 wint = 5e-09 ++cgso = 1.1e-10 cgdo = 1.1e-10 xl = -2e-08 + +* parameters customized by the user ++toxe = 1.75e-09 toxp = 1.1e-09 toxm = 1.75e-09 toxref = 1.75e-09 ++dtox = 6.5e-10 lint = 3.75e-09 ++vth0 = 0.471 k1 = 0.53 u0 = 0.04359 vsat = 147390 ++rdsw = 155 ndep = 3.3e+18 xj = 1.4e-08 + +* secondary parameters ++ll = 0 wl = 0 lln = 1 wln = 1 ++lw = 0 ww = 0 lwn = 1 wwn = 1 ++lwl = 0 wwl = 0 xpart = 0 ++k2 = 0.01 k3 = 0 ++k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2 ++dvt2 = -0.032 dvt0w = 0 dvt1w = 0 dvt2w = 0 ++dsub = 0.1 minv = 0.05 voffl = 0 dvtp0 = 1.0e-009 ++dvtp1 = 0.1 lpe0 = 0 lpeb = 0 ++ngate = 2e+020 nsd = 2e+020 phin = 0 ++cdsc = 0.000 cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.13 etab = 0 ++vfb = -0.55 ua = 6e-010 ub = 1.2e-018 ++uc = 0 a0 = 1.0 ags = 1e-020 ++a1 = 0 a2 = 1.0 b0 = 0 b1 = 0 ++keta = 0.04 dwg = 0 dwb = 0 pclm = 0.04 ++pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = -0.005 drout = 0.5 ++pvag = 1e-020 delta = 0.01 pscbe1 = 8.14e+008 pscbe2 = 1e-007 ++fprout = 0.2 pdits = 0.08 pditsd = 0.23 pditsl = 2.3e+006 ++rsh = 5 rsw = 85 rdw = 85 ++rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 0 ++prwb = 6.8e-011 wr = 1 alpha0 = 0.074 alpha1 = 0.005 ++beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002 ++egidl = 0.8 + ++aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002 ++nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004 ++eigbinv = 1.1 nigbinv = 3 aigc = 0.012 bigc = 0.0028 ++cigc = 0.002 aigsd = 0.012 bigsd = 0.0028 cigsd = 0.002 ++nigc = 1 poxedge = 1 pigcd = 1 ntox = 1 + ++xrcrg1 = 12 xrcrg2 = 5 ++cgbo = 2.56e-011 cgdl = 2.653e-10 ++cgsl = 2.653e-10 ckappas = 0.03 ckappad = 0.03 acde = 1 ++moin = 15 noff = 0.9 voffcv = 0.02 + ++kt1 = -0.11 kt1l = 0 kt2 = 0.022 ute = -1.5 ++ua1 = 4.31e-009 ub1 = 7.61e-018 uc1 = -5.6e-011 prt = 0 ++at = 33000 + ++fnoimod = 1 tnoimod = 0 + ++jss = 0.0001 jsws = 1e-011 jswgs = 1e-010 njs = 1 ++ijthsfwd= 0.01 ijthsrev= 0.001 bvs = 10 xjbvs = 1 ++jsd = 0.0001 jswd = 1e-011 jswgd = 1e-010 njd = 1 ++ijthdfwd= 0.01 ijthdrev= 0.001 bvd = 10 xjbvd = 1 ++pbs = 1 cjs = 0.0005 mjs = 0.5 pbsws = 1 ++cjsws = 5e-010 mjsws = 0.33 pbswgs = 1 cjswgs = 3e-010 ++mjswgs = 0.33 pbd = 1 cjd = 0.0005 mjd = 0.5 ++pbswd = 1 cjswd = 5e-010 mjswd = 0.33 pbswgd = 1 ++cjswgd = 5e-010 mjswgd = 0.33 tpb = 0.005 tcj = 0.001 ++tpbsw = 0.005 tcjsw = 0.001 tpbswg = 0.005 tcjswg = 0.001 ++xtis = 3 xtid = 3 + ++dmcg = 0e-006 dmci = 0e-006 dmdg = 0e-006 dmcgt = 0e-007 ++dwj = 0.0e-008 xgw = 0e-007 xgl = 0e-008 + ++rshg = 0.4 gbmin = 1e-010 rbpb = 5 rbpd = 15 ++rbps = 15 rbdb = 15 rbsb = 15 ngcon = 1 + + + + + +.model PMOS_VTL pmos level = 54 + ++version = 4.0 binunit = 1 paramchk= 1 mobmod = 0 ++capmod = 2 igcmod = 1 igbmod = 1 geomod = 1 ++diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1 ++permod = 1 acnqsmod= 0 trnqsmod= 0 + +* parameters related to the technology node ++tnom = 27 epsrox = 3.9 ++eta0 = 0.0049 nfactor = 2.1 wint = 5e-09 ++cgso = 1.1e-10 cgdo = 1.1e-10 xl = -2e-08 + +* parameters customized by the user ++toxe = 1.85e-09 toxp = 1.1e-09 toxm = 1.85e-09 toxref = 1.85e-09 ++dtox = 7.5e-10 lint = 3.75e-09 ++vth0 = -0.423 k1 = 0.491 u0 = 0.00432 vsat = 70000 ++rdsw = 155 ndep = 2.54e+18 xj = 1.4e-08 + +*secondary parameters ++ll = 0 wl = 0 lln = 1 wln = 1 ++lw = 0 ww = 0 lwn = 1 wwn = 1 ++lwl = 0 wwl = 0 xpart = 0 ++k2 = -0.01 k3 = 0 ++k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2 ++dvt2 = -0.032 dvt0w = 0 dvt1w = 0 dvt2w = 0 ++dsub = 0.1 minv = 0.05 voffl = 0 dvtp0 = 1e-009 ++dvtp1 = 0.05 lpe0 = 0 lpeb = 0 ++ngate = 2e+020 nsd = 2e+020 phin = 0 ++cdsc = 0.000 cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.126 etab = 0 ++vfb = 0.55 ua = 2.0e-009 ub = 0.5e-018 ++uc = 0 a0 = 1.0 ags = 1e-020 ++a1 = 0 a2 = 1 b0 = -1e-020 b1 = 0 ++keta = -0.047 dwg = 0 dwb = 0 pclm = 0.12 ++pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = 3.4e-008 drout = 0.56 ++pvag = 1e-020 delta = 0.01 pscbe1 = 8.14e+008 pscbe2 = 9.58e-007 ++fprout = 0.2 pdits = 0.08 pditsd = 0.23 pditsl = 2.3e+006 ++rsh = 5 rsw = 85 rdw = 85 ++rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 3.22e-008 ++prwb = 6.8e-011 wr = 1 alpha0 = 0.074 alpha1 = 0.005 ++beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002 ++egidl = 0.8 + ++aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002 ++nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004 ++eigbinv = 1.1 nigbinv = 3 aigc = 0.69 bigc = 0.0012 ++cigc = 0.0008 aigsd = 0.0087 bigsd = 0.0012 cigsd = 0.0008 ++nigc = 1 poxedge = 1 pigcd = 1 ntox = 1 + ++xrcrg1 = 12 xrcrg2 = 5 ++cgbo = 2.56e-011 cgdl = 2.653e-10 ++cgsl = 2.653e-10 ckappas = 0.03 ckappad = 0.03 acde = 1 ++moin = 15 noff = 0.9 voffcv = 0.02 + ++kt1 = -0.11 kt1l = 0 kt2 = 0.022 ute = -1.5 ++ua1 = 4.31e-009 ub1 = 7.61e-018 uc1 = -5.6e-011 prt = 0 ++at = 33000 + ++fnoimod = 1 tnoimod = 0 + ++jss = 0.0001 jsws = 1e-011 jswgs = 1e-010 njs = 1 ++ijthsfwd= 0.01 ijthsrev= 0.001 bvs = 10 xjbvs = 1 ++jsd = 0.0001 jswd = 1e-011 jswgd = 1e-010 njd = 1 ++ijthdfwd= 0.01 ijthdrev= 0.001 bvd = 10 xjbvd = 1 ++pbs = 1 cjs = 0.0005 mjs = 0.5 pbsws = 1 ++cjsws = 5e-010 mjsws = 0.33 pbswgs = 1 cjswgs = 3e-010 ++mjswgs = 0.33 pbd = 1 cjd = 0.0005 mjd = 0.5 ++pbswd = 1 cjswd = 5e-010 mjswd = 0.33 pbswgd = 1 ++cjswgd = 5e-010 mjswgd = 0.33 tpb = 0.005 tcj = 0.001 ++tpbsw = 0.005 tcjsw = 0.001 tpbswg = 0.005 tcjswg = 0.001 ++xtis = 3 xtid = 3 + ++dmcg = 0e-006 dmci = 0e-006 dmdg = 0e-006 dmcgt = 0e-007 ++dwj = 0.0e-008 xgw = 0e-007 xgl = 0e-008 + ++rshg = 0.4 gbmin = 1e-010 rbpb = 5 rbpd = 15 ++rbps = 15 rbdb = 15 rbsb = 15 ngcon = 1 + + + From 1fd7b8a31ff4cccc2895d4a20e40634fac2274f3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Jul 2019 18:29:17 +0000 Subject: [PATCH 040/673] Added explanations --- Tech/libresilicon.m | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech/libresilicon.m b/Tech/libresilicon.m index 009f876b..7acae4da 100755 --- a/Tech/libresilicon.m +++ b/Tech/libresilicon.m @@ -1,4 +1,4 @@ -# This is a template file, it needs to be adapted to our real values +# This is a template file for characterization, it needs to be adapted to our real values .model NMOS_VTL nmos level = 54 From fafa2892a125b45d48a58aaef38b8eb5e12eab17 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Jul 2019 18:30:04 +0000 Subject: [PATCH 041/673] Removed empty lines --- Tools/perl/cell2spice.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index 07c63ac1..dd2a8ab1 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -5,7 +5,7 @@ if(open IN,"<../Tech/transistor.sp") { print "Loading tech specs from transistor.sp file\n"; - $tech=; + $tech=; $tech=~s/[\n\r]//s; close IN; } From 19b7486aa673c101a1aa28a397014dbef4392e30 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Jul 2019 18:30:22 +0000 Subject: [PATCH 042/673] Added a tool to search for a valid Tech Rules file --- Tools/perl/searchTechRules.pl | 87 +++++++++++++++++++++++++++++++++++ 1 file changed, 87 insertions(+) create mode 100755 Tools/perl/searchTechRules.pl diff --git a/Tools/perl/searchTechRules.pl b/Tools/perl/searchTechRules.pl new file mode 100755 index 00000000..f2c9eceb --- /dev/null +++ b/Tools/perl/searchTechRules.pl @@ -0,0 +1,87 @@ +#!/usr/bin/perl -w +use strict; + +print "searchTechRules.pl searches for working Tech Rules\n"; + +system "perl ../Tools/perl/cell2spice.pl"; + +my @params=( + ["unit_cell_width",50,300,5], + ["unit_cell_height",100,500,5], + ["routing_grid_pitch_x",5,40,5], + ["routing_grid_pitch_y",5,40,5], + ["grid_offset_x",0,30,5], + ["grid_offset_y",0,30,5], + ["power_rail_width",20,50,5], + ["minimum_gate_width_nfet",5,30,5], + ["minimum_gate_width_pfet",5,30,5], + ["minimum_pin_width",5,20,5], +); + +mkdir "str"; +chdir "str"; + +our $ende=0; + +my $cell=$ARGV[0] || "INV"; + +while(!$ende) +{ + if(-f ".end") + { + unlink ".end"; + print "Exiting...\n"; + exit; + } + + my %par=(); + my @b=(); + foreach my $a (@params) + { + my $v=$$a[1]+int(rand(($$a[2]-$$a[1]+1)/$$a[3]))*$$a[3]; + print "$$a[0] $$a[1]-$$a[2] steps:$$a[3] -> $v\n"; + exit if($v>$$a[2] || $v<$$a[1]); + #exit if($v ==$$a[2]); + $par{$$a[0]}=$v; + push @b,$v; + } + + my $fn="ls".join("_",@b); + + open IN,"<../../Tech/libresilicon.py"; + open OUT,">$fn.py"; + while() + { + if(m/(\w+)\s*=/) + { + #print "? $1\n"; + if(defined($par{$1})) + { + #print "Exchanging $par{$1} into $_"; + $_="$1 = $par{$1}\n"; + } + } + print OUT $_; + } + close IN; + close OUT; + + #unlink "$cell.gds"; + system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v 2>$fn.err"; + if(-f "$cell.gds") + { + print "We found a solution\n"; + system "touch $fn.solution"; + system "klayout $cell.gds" if(-f "$cell.gds"); + exit; + } + system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v --debug-routing-graph 2>$fn.gerr"; + if(-f "$cell.gds") + { + rename "$cell.gds","$fn.gds"; + system "klayout $fn.gds &" unless(-f ".hide"); + } + + # exit; +} + From 26237df89f4602c6363a7f8aae3555879b27e22c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 24 Jul 2019 17:27:26 +0000 Subject: [PATCH 043/673] Changed the filename --- Tools/perl/searchTechRules.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/searchTechRules.pl b/Tools/perl/searchTechRules.pl index f2c9eceb..9d818273 100755 --- a/Tools/perl/searchTechRules.pl +++ b/Tools/perl/searchTechRules.pl @@ -48,7 +48,7 @@ my $fn="ls".join("_",@b); - open IN,"<../../Tech/libresilicon.py"; + open IN,"<../../Tech/librecell_tech.py"; open OUT,">$fn.py"; while() { From 013a1766fba83860d7e435fb931dc9ef1590232e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 24 Jul 2019 17:27:57 +0000 Subject: [PATCH 044/673] Annotation tool for the resulting GDS files from the Search tool --- Tools/perl/annotate.pl | 20 ++++++++++++++++++++ 1 file changed, 20 insertions(+) create mode 100644 Tools/perl/annotate.pl diff --git a/Tools/perl/annotate.pl b/Tools/perl/annotate.pl new file mode 100644 index 00000000..014c095f --- /dev/null +++ b/Tools/perl/annotate.pl @@ -0,0 +1,20 @@ +#!/usr/bin/perl -w + +foreach() +{ + next if(-f "$_.ann"); + system "klayout $_"; + print "Is it good?\n"; + my $val=; + print "So you think $val?\n"; + if($val=~m/[eq]/i) + { + exit; + } + if($val=~m/[yn]/) + { + open OUT,">$_.ann"; + print OUT $val; + close OUT; + } +} From 376075053ffc5bdac566ae699a0e3bf4ce214ff6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 24 Jul 2019 17:28:22 +0000 Subject: [PATCH 045/673] Reporting for the Search Tool --- Tools/perl/report.pl | 111 +++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 111 insertions(+) create mode 100644 Tools/perl/report.pl diff --git a/Tools/perl/report.pl b/Tools/perl/report.pl new file mode 100644 index 00000000..9e761252 --- /dev/null +++ b/Tools/perl/report.pl @@ -0,0 +1,111 @@ +#!/usr/bin/perl -w + +sub readfile($) +{ + if(open MYRIN,"<$_[0]") + { + my $old=$/; + undef $/; + my $content=; + close MYRIN; + $/=$old; + return $content; + } + return undef; +} + +our %stats=(); + +open OUT,">report.csv"; +foreach my $py() +{ + my $params=$1 if($py=~m/ls(.*)\.py$/); + my $err=$py; $err=~s/\.py$/.err/; + my $gerr=$py; $gerr=~s/\.py$/.gerr/; + my $gds=$py; $gds=~s/\.py$/.gds/; + my $good=$py; $good=~s/\.py$/.good/; + my $mag=$py; $mag=~s/\.py$/.mag/; + my $ann=$gds.".ann"; + + my $errcontent=readfile($err); + my $gerrcontent=readfile($err); + my $anncontent=readfile($ann)||""; + my $isgds=-s $gds; + my $isgood=($anncontent=~m/y/i); + my $isworking=-s $mag; + my $error="UNKNOWN"; + my $weight=0; + + if($isworking) + { + $error="working"; + $weight=100; + } + elsif($isgood) + { + $error="good"; + $weight=90; + } + elsif($errcontent=~m/Gate not x-aligned on grid/) # Bug or parameters not checked + { # assert (center_x - tech.grid_offset_x) % tech.routing_grid_pitch_x == 0, Exception("Gate not x-aligned on grid.") + $error="gateXalign"; + } + elsif($errcontent=~m/Terminal node not x-aligned/) # Bug or parameters not checked + { # assert next_x == x, Exception("Terminal node not x-aligned.") + $error="terminalXalign"; + } + elsif($errcontent=~m/Graph has been disconnected/) # Bug or parameters not checked + { # Exception("Graph has been disconnected by removal of reserved nodes.") + $error="GraphDisconnect"; + } + elsif($gerrcontent=~m/ZeroDivisionError:/) # Bug caused by grid_offset_x=0 + { + $error="ZeroDivision"; + } + elsif($errcontent=~m/assert nx\.is_connected\(graph\)/) # Bug or parameters not checked + { + $error="connectedGraph"; + } + elsif($errcontent=~m/Nets without terminals/) # Bug or parameters not checked + { + $error="NetsWithoutTerminals"; + } + elsif($errcontent=~m/min\(\) arg is an empty seq/) # Bug + { + $error="minArgEmpty"; + } + elsif($errcontent=~m/KeyError.*metal1/) # Bug or parameters not checked + { + $error="KeyErrorMetal1"; + } + elsif($errcontent=~m/Keyboard/) # It was interrupted by the user, not a bug + { + unlink $py; + unlink $gds; + unlink $err; + unlink $gerr; + next; + } + elsif($errcontent=~m/has no attribute 'multi_via'/) # Tech file was empty + { + next; + } + else + { + #print "Unknown status: $errcontent\n"; + $error="UNKNOWN-TO-BE-CLASSIFIED"; + } + print OUT "".join(";",split("_",$params)).";".$error.";$weight\n"; + $stats{$error}++; + $stats{$weight}++; + + +} + + +foreach (sort keys %stats) +{ + print "$_: $stats{$_}\n"; +} + + From 89090ef12769e35691f4d21636a26584d7b7042b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 30 Jul 2019 13:47:09 +0000 Subject: [PATCH 046/673] Improved the Design Rules --- Tech/librecell_tech.py | 56 +++++++++++++++++++++++++----------------- 1 file changed, 33 insertions(+), 23 deletions(-) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index c4258371..23ac5a1f 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -8,11 +8,11 @@ transistor_channel_width_sizing = 1 # GDS2 layer numbers for final output. -my_active = (1, 0) # all DIFF's + all FET's +my_active = (1, 0) # all DIFF's + all FET's (pdiff+ndiff) my_nwell = (2, 0) #my_nwell2 = (2, 1) # a copy of the nwell layer due to limitations of other tools we don't need my_pwell = (2, 7) -my_poly = (3, 0) +my_poly = (3, 0) # poly silicium for gates -> poly + ntransistor + ptransistor my_poly_contact = (4, 0) # Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" my_diff_contact = (5, 0) # Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" my_metal1 = (6, 0) @@ -59,17 +59,19 @@ (l_active, l_active): 15, # 3 -> 3l (l_active, l_poly_contact): 20, # 2.6.6 -> 4l (l_nwell, l_nwell): 50, # 3 -> 10l - (l_nwell, l_pwell): 50, # 2.2.2->10l + (l_nwell, l_pwell): 60, # 2.2.4->12l (l_pwell, l_pwell): 50, # 3 -> 10l #(l_poly, l_nwell): 10, # No rule? (l_poly, l_active): 5, # 2.4.6 -> 1l - (l_poly, l_poly): 10, # 3 POLY -> 2l + (l_poly, l_poly): 5, # 3 POLY -> 2l XXX: TODO: THIS NEEDS TO BE INCREASED TO 10 (2l) BUT AT THE MOMENT IT WOULD BREAK THE ROUTING (l_poly, l_diff_contact): 10, # The maximum "minimum spacing" from poly to anything else is 2l + (l_diff_contact, l_diff_contact): 10, # 3 -> 2l (l_metal1, l_metal1): 20, # 3 METAL1 -> 4l # !!!! WARNING: Spacing to BigMetal (>=10um) needs to be 6l ! (l_metal2, l_metal2): 20, # 3 METAL2 -> 4l (l_via1, l_via1): 15, # 3 VIA1 -> 3l (l_via1, l_diff_contact): 10, # 2.8.3 -> 2l (l_via1, l_active): 10, # 2.8.4 -> 2l + (l_poly_contact, l_diff_contact): 20, } # Layer for the pins. @@ -81,14 +83,8 @@ # Layers that can be connected/merged without changing the schematic. # This can be used to resolve spacing/notch violations by just filling the space. connectable_layers = {l_nwell, l_pwell} - -# Standard cell dimensions. -# A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. -# `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. -unit_cell_width = 80 -unit_cell_height = 360 - # Width of the gate polysilicon stripe. +# is reused as the minimum_width for the l_poly layer gate_length = 10 # 2.4.1 -> 2l # Minimum length a polysilicon gate must overlap the silicon. @@ -98,25 +94,35 @@ routing_grid_pitch_x = 20 # unit_cell_width // 8 routing_grid_pitch_y = 20 # unit_cell_height // 30 +# Standard cell dimensions. +# A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. +# `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. +unit_cell_width = routing_grid_pitch_x * 1 * 2 +unit_cell_height = max(routing_grid_pitch_y * 1 * 10,160) # minimum 16um due to pwell width + nwell-pwell spacing +# due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 + # Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) -grid_offset_x = 10 # routing_grid_pitch_x -grid_offset_y = 0 # routing_grid_pitch_y // 2 +grid_offset_x = routing_grid_pitch_x +grid_offset_y = (routing_grid_pitch_y // 2 ) -0 # Width of power rail. -power_rail_width = 40 +power_rail_width = 30 # Between 2 and 3 um # Minimum width of polysilicon gate stripes. -minimum_gate_width_nfet = 10 +# I think this should be (extension over active) + (minimum width of active) + (extension over active) +# No, it seems to be something else. +# It increases w and l from the spice netlist, so it must be width from the spice netlist +minimum_gate_width_nfet = 10 minimum_gate_width_pfet = 10 # Minimum width for pins. -minimum_pin_width = 10 +minimum_pin_width = 10 # 2l said leviathanch # Width of routing wires. wire_width = { -# l_nwell: 20, # ?!? Why is there a wire with for nwell/pwell?!? -# l_pwell: 20, + l_nwell: 10, # ?!? Why is there a wire with for nwell/pwell?!? + l_pwell: 10, l_poly: 10, # 2.4.1 -> 2l l_metal1: 20, # 2.7.1 -> 4l l_metal2: 20, # 2.9.1 -> 4l @@ -134,11 +140,12 @@ l_poly_contact: 10, # 2.6.1 -> 2l l_diff_contact: 10, # 2.6.1 -> 2l l_via1: 10 # 2.8.1 -> 2l -# l_via2: 10 # 2.10.1 -> 2l +# l_via2: 10 # 2.10.1 -> 2l librecell only goes to metal2, via2 would go to metal3 } # Minimum width rules. minimum_width = { + l_active: 10, # 4 l l_poly: gate_length, # 2.4.1-> 2l l_metal1: 20, # 2.7.1 -> 4l l_metal2: 20, # 2.9.1 -> 4l @@ -148,7 +155,7 @@ # Syntax: {(outer layer, inner layer): minimum enclosure, ...} minimum_enclosure = { # Via enclosure - (l_active, l_diff_contact): 30, # 2.3.3 -> 6l Source/Drain are DIFF's + (l_active, l_diff_contact): 5, # 2.3.3 -> 6l Source/Drain are DIFF's (l_poly, l_poly_contact): 5, # 2.6.2 -> 1l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT (l_metal1, l_diff_contact): 5, # 2.7.3 -> 1l (l_metal1, l_poly_contact): 5, # 2.7.3 -> 1l @@ -156,8 +163,10 @@ (l_metal2, l_via1): 5,# 2.9.3 -> 1l # l_nwell must overlap l_active - (l_nwell, l_active): 15, # 2.3.4 -> 3l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT - (l_pwell, l_active): 15, # 2.3.4 -> 3l + (l_nwell, l_active): 10, # 2.3.3 -> 2l + (l_pwell, l_active): 10, # 2.3.3 -> 2l + (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment + (l_abutment_box, l_pwell): 0, } # Minimum notch rules. @@ -166,7 +175,8 @@ l_poly: 5, l_metal1: 5, l_metal2: 5, - l_nwell: 5 + l_nwell: 5, + l_pwell: 5, } # Minimum area rules. From 2cf8dd1243ec897fe9ab2cd7f9567985ad8bfdc7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 30 Jul 2019 13:48:16 +0000 Subject: [PATCH 047/673] Added layer names --- Tools/perl/annotate.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/annotate.pl b/Tools/perl/annotate.pl index 014c095f..3bcab351 100644 --- a/Tools/perl/annotate.pl +++ b/Tools/perl/annotate.pl @@ -3,7 +3,7 @@ foreach() { next if(-f "$_.ann"); - system "klayout $_"; + system "klayout -l librecell.lyp $_"; print "Is it good?\n"; my $val=; print "So you think $val?\n"; From 92c30e7103220e3a2523c09d15698f8faf88eef7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 30 Jul 2019 13:48:39 +0000 Subject: [PATCH 048/673] Added layer names and parallel viewing of results --- Tools/perl/searchTechRules.pl | 47 ++++++++++++++++++++--------------- 1 file changed, 27 insertions(+), 20 deletions(-) diff --git a/Tools/perl/searchTechRules.pl b/Tools/perl/searchTechRules.pl index 9d818273..eb294538 100755 --- a/Tools/perl/searchTechRules.pl +++ b/Tools/perl/searchTechRules.pl @@ -6,16 +6,16 @@ system "perl ../Tools/perl/cell2spice.pl"; my @params=( - ["unit_cell_width",50,300,5], - ["unit_cell_height",100,500,5], - ["routing_grid_pitch_x",5,40,5], - ["routing_grid_pitch_y",5,40,5], - ["grid_offset_x",0,30,5], - ["grid_offset_y",0,30,5], - ["power_rail_width",20,50,5], - ["minimum_gate_width_nfet",5,30,5], - ["minimum_gate_width_pfet",5,30,5], - ["minimum_pin_width",5,20,5], + #["unit_cell_width",20,100,5], + #["unit_cell_height",100,200,5], + #["routing_grid_pitch_x",20,20,5], + #["routing_grid_pitch_y",20,20,5], + #["grid_offset_x",5,50,5], + #["grid_offset_y",0,40,5], + #["power_rail_width",30,30,5], + #["minimum_gate_width_nfet",35,35,5], + #["minimum_gate_width_pfet",35,35,5], + #["minimum_pin_width",5,15,5], ); mkdir "str"; @@ -66,22 +66,29 @@ close IN; close OUT; - #unlink "$cell.gds"; - system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v 2>$fn.err"; + unlink "$cell.gds"; + my $found=""; + system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v --debug-routing-graph 2>$fn.gerr"; if(-f "$cell.gds") { - print "We found a solution\n"; - system "touch $fn.solution"; - system "klayout $cell.gds" if(-f "$cell.gds"); - exit; + rename "$cell.gds","$fn.graph.gds"; + $found.="$fn.graph.gds"; } - system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v --debug-routing-graph 2>$fn.gerr"; + + system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v 2>$fn.err"; if(-f "$cell.gds") { - rename "$cell.gds","$fn.gds"; - system "klayout $fn.gds &" unless(-f ".hide"); + rename "$cell.gds","$fn.final.gds"; + $found.=" $fn.final.gds"; } - # exit; + system "cat $fn.gerr $fn.err"; + + if($found ne "") + { + my $names=""; $names="-l ../librecell.lyp"; + system "klayout $names ../*.gds $found" unless(-f ".hide"); + } + exit; } From 8bc7ddaaea2b668f8f96da94452c4c4764f2323a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 30 Jul 2019 13:49:31 +0000 Subject: [PATCH 049/673] Added Layer names for KLayout --- Catalog/librecell.lyp | 259 ++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 259 insertions(+) create mode 100644 Catalog/librecell.lyp diff --git a/Catalog/librecell.lyp b/Catalog/librecell.lyp new file mode 100644 index 00000000..257511af --- /dev/null +++ b/Catalog/librecell.lyp @@ -0,0 +1,259 @@ + + + + #ff80a8 + #ff80a8 + 0 + 0 + I9 + + true + true + false + + false + false + 0 + active + 1/0@1 + + + #c080ff + #c080ff + 0 + 0 + I5 + + true + true + false + + false + false + 0 + nwell + 2/0@1 + + + #c080ff + #c080ff + 0 + 0 + I9 + + true + true + false + + false + false + 0 + pwell + 2/7@1 + + + #9580ff + #9580ff + 0 + 0 + I5 + + true + true + false + + false + false + 0 + poly + 3/0@1 + + + #8086ff + #8086ff + 0 + 0 + I9 + + true + true + false + + false + false + 0 + polycontact + 4/200@1 + + + #ff0000 + #ff0000 + 0 + 0 + I5 + + true + true + false + + false + false + 0 + metal1 + 6/0@1 + + + #ff0080 + #ff0080 + 0 + 0 + I9 + + true + true + false + + false + false + 0 + via1 + 7/200@1 + + + #ff00ff + #ff00ff + 0 + 0 + I5 + + true + true + false + + false + false + 0 + metal2 + 8/0@1 + + + #afff80 + #afff80 + 0 + 0 + I9 + + true + true + false + + false + false + 0 + abutment + 200/0@1 + + + #c080ff + #c080ff + 0 + 0 + I5 + + true + true + false + 1 + false + false + 0 + nwell2 + 2/1@1 + + + #9580ff + #9580ff + 0 + 0 + I9 + + true + true + false + 1 + false + false + 0 + poly_debug + 3/200@1 + + + #8086ff + #8086ff + 0 + 0 + I5 + + true + true + false + 1 + false + false + 0 + poly_conact + 4/0@1 + + + #80a8ff + #80a8ff + 0 + 0 + I9 + + true + true + false + 1 + false + false + 0 + diff_contact + 5/0@1 + + + #ff0080 + #ff0080 + 0 + 0 + I5 + + true + true + false + 1 + false + false + 0 + via1 + 7/0@1 + + + #8000ff + #8000ff + 0 + 0 + I9 + + true + true + false + 1 + false + false + 0 + + 9/200@1 + + + From 1c82b32c6456a3dd0370b9c86128c942037c672c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 1 Aug 2019 16:44:53 +0000 Subject: [PATCH 050/673] Added lctime testcalls --- Catalog/GNUmakefile | 29 +++++++++++++++++++++++++++-- 1 file changed, 27 insertions(+), 2 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index e64da1ed..57712c47 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -80,7 +80,7 @@ help: clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.mag + $(RM) *.mag *.svg # ---------------------------------------------------------------- # DOCUMENTATION TARGETS @@ -89,7 +89,7 @@ clean: .PHONY: catalog catalog: $(CELLS) libresilicon.sp libresilicon.lef libresilicon.lib qflow demoboard.mag doc/StdCellLib.pdf -libresilicon.sp: *.cell +libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/transistor.sp ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl @@ -147,3 +147,28 @@ importQflow: ../Tools/perl/spice2cell.pl /usr/share/qflow/tech/osu035/osu035_stdcells.sp ../Tools/perl/spice2cell.pl /usr/share/qflow/tech/osu050/osu050_stdcells.sp +mags=$(wildcard *.mag) +svgs=$(mags:.mag=.svg) + +.PHONY: svg +svg: $(svgs) + +test: + lctime --debug --liberty libresilicon.lib \ + --include ../Tech/libresilicon.m \ + --spice INV.sp \ + --cell INV \ + --output INV.lib + +test2: + /usr/local/bin/lctime --debug --liberty ~/FreePDK45/osu_soc/lib/files/gscl45nm.lib \ + --include ~/FreePDK45/osu_soc/lib/files/gpdk45nm.m \ + --spice ~/FreePDK45/osu_soc/lib/source/netlists/AND2X1.pex.netlist \ + --cell AND2X1 \ + --output /tmp/and2x1.lib + libertyviz -l libresilicon.lib --cell INV --pin Y --related-pin A --table cell_rise + +%.svg: + perl ../Tools/perl/mag2svg.pl $@ + + From da7dc1e3002cb3fe07d1559424d21519f666e11e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 2 Aug 2019 13:50:50 +0000 Subject: [PATCH 051/673] Converted all .cell files to the new format --- Catalog/AND4.cell | 20 ++++++++++---------- Catalog/AOI21.cell | 12 ++++++------ Catalog/AOI31.cell | 16 ++++++++-------- Catalog/INV.cell | 2 +- Catalog/NAND2.cell | 8 ++++---- Catalog/NAND3.cell | 2 +- Catalog/NOR2.cell | 8 ++++---- Catalog/NOR3.cell | 12 ++++++------ Catalog/OAI21.cell | 12 ++++++------ Catalog/OAI31.cell | 16 ++++++++-------- Catalog/OR4.cell | 20 ++++++++++---------- 11 files changed, 64 insertions(+), 64 deletions(-) diff --git a/Catalog/AND4.cell b/Catalog/AND4.cell index d098baad..da16f364 100644 --- a/Catalog/AND4.cell +++ b/Catalog/AND4.cell @@ -2,13 +2,13 @@ .inputs D C B A .outputs Z .ORDER "Gate Drain Source MOSFET" -A Y vdd pmos -B Y vdd pmos -C Y vdd pmos -D Y vdd pmos -Y Z vdd pmos -A Y 1 nmos -B 1 2 nmos -C 2 3 nmos -D 3 gnd nmos -Y Z gnd nmos +pmos A Y vdd +pmos B Y vdd +pmos C Y vdd +pmos D Y vdd +pmos Y Z vdd +nmos A Y 1 +nmos B 1 2 +nmos C 2 3 +nmos D 3 gnd +nmos Y Z gnd diff --git a/Catalog/AOI21.cell b/Catalog/AOI21.cell index 2a955fe2..c96c6d09 100644 --- a/Catalog/AOI21.cell +++ b/Catalog/AOI21.cell @@ -3,9 +3,9 @@ .inputs A B B1 .outputs Y .ORDER "Gate Drain Source MOSFET" -A Y 1 pmos -B 1 vdd pmos -B1 1 vdd pmos -A Y gnd nmos -B Y 2 nmos -B1 2 gnd nmos +pmos A Y 1 +pmos B 1 vdd +pmos B1 1 vdd +nmos A Y gnd +nmos B Y 2 +nmos B1 2 gnd diff --git a/Catalog/AOI31.cell b/Catalog/AOI31.cell index 59127a13..e32844ce 100644 --- a/Catalog/AOI31.cell +++ b/Catalog/AOI31.cell @@ -3,11 +3,11 @@ .inputs A B B1 B2 .outputs Y .ORDER "Gate Drain Source MOSFET" -A Y 1 pmos -B 1 vdd pmos -B1 1 vdd pmos -B2 1 vdd pmos -A Y gnd nmos -B Y 2 nmos -B1 2 3 nmos -B2 3 gnd nmos +pmos A Y 1 +pmos B 1 vdd +pmos B1 1 vdd +pmos B2 1 vdd +nmos A Y gnd +nmos B Y 2 +nmos B1 2 3 +nmos B2 3 gnd diff --git a/Catalog/INV.cell b/Catalog/INV.cell index 1226dda9..e924aac6 100644 --- a/Catalog/INV.cell +++ b/Catalog/INV.cell @@ -1,4 +1,4 @@ -a Not (or Inverter) gate +.DESCRIPTION a Not (or Inverter) gate .cell INV .inputs A .outputs Y diff --git a/Catalog/NAND2.cell b/Catalog/NAND2.cell index 14d7494d..6495cc05 100644 --- a/Catalog/NAND2.cell +++ b/Catalog/NAND2.cell @@ -2,7 +2,7 @@ .inputs B A .outputs Y .ORDER "Gate Drain Source MOSFET" -A Y vdd pmos -B Y vdd pmos -A Y 1 nmos -B 1 gnd nmos +pmos A Y vdd +pmos B Y vdd +nmos A Y 1 +nmos B 1 gnd diff --git a/Catalog/NAND3.cell b/Catalog/NAND3.cell index 41e99406..e8bd19b1 100644 --- a/Catalog/NAND3.cell +++ b/Catalog/NAND3.cell @@ -1,4 +1,4 @@ -a 3-input Not-AND (or NAND) gate +.DESCRIPTION a 3-input Not-AND (or NAND) gate .cell NAND3 .inputs C B A .outputs Y diff --git a/Catalog/NOR2.cell b/Catalog/NOR2.cell index 2740bfaf..54fd7eaa 100644 --- a/Catalog/NOR2.cell +++ b/Catalog/NOR2.cell @@ -2,7 +2,7 @@ .inputs B A .outputs Y .ORDER "Gate Drain Source MOSFET" -A Y 1 pmos -B 1 vdd pmos -A Y gnd nmos -B Y gnd nmos +pmos A Y 1 +pmos B 1 vdd +nmos A Y gnd +nmos B Y gnd diff --git a/Catalog/NOR3.cell b/Catalog/NOR3.cell index 16af03a6..e861f929 100644 --- a/Catalog/NOR3.cell +++ b/Catalog/NOR3.cell @@ -2,9 +2,9 @@ .inputs C B A .outputs Y .ORDER "Gate Drain Source MOSFET" -A Y 1 pmos -B 1 2 pmos -C 2 vdd pmos -A Y gnd nmos -B Y gnd nmos -C Y gnd nmos +pmos A Y 1 +pmos B 1 2 +pmos C 2 vdd +nmos A Y gnd +nmos B Y gnd +nmos C Y gnd diff --git a/Catalog/OAI21.cell b/Catalog/OAI21.cell index 97cc331f..03a0f12d 100644 --- a/Catalog/OAI21.cell +++ b/Catalog/OAI21.cell @@ -3,9 +3,9 @@ .inputs A B B1 .outputs Y .ORDER "Gate Drain Source MOSFET" -A Y vdd pmos -B Y 1 pmos -B1 1 vdd pmos -A Y 2 nmos -B 2 gnd nmos -B1 2 gnd nmos +pmos A Y vdd +pmos B Y 1 +pmos B1 1 vdd +nmos A Y 2 +nmos B 2 gnd +nmos B1 2 gnd diff --git a/Catalog/OAI31.cell b/Catalog/OAI31.cell index 5c635ccf..3a8ef305 100644 --- a/Catalog/OAI31.cell +++ b/Catalog/OAI31.cell @@ -3,11 +3,11 @@ .inputs A B B1 B2 .outputs Y .ORDER "Gate Drain Source MOSFET" -A Y vdd pmos -B Y 1 pmos -B1 1 3 pmos -B2 3 vdd pmos -A Y 2 nmos -B 2 gnd nmos -B1 2 gnd nmos -B2 2 gnd nmos +pmos A Y vdd +pmos B Y 1 +pmos B1 1 3 +pmos B2 3 vdd +nmos A Y 2 +nmos B 2 gnd +nmos B1 2 gnd +nmos B2 2 gnd diff --git a/Catalog/OR4.cell b/Catalog/OR4.cell index a06b2dec..e3207720 100644 --- a/Catalog/OR4.cell +++ b/Catalog/OR4.cell @@ -2,13 +2,13 @@ .inputs D C B A .outputs Z .ORDER "Gate Drain Source MOSFET" -A Y 1 pmos -B 1 2 pmos -C 2 3 pmos -D 3 vdd pmos -Y Z vdd pmos -A Y gnd nmos -B Y gnd nmos -C Y gnd nmos -D Y gnd nmos -Y Z gnd nmos +pmos A Y 1 +pmos B 1 2 +pmos C 2 3 +pmos D 3 vdd +pmos Y Z vdd +nmos A Y gnd +nmos B Y gnd +nmos C Y gnd +nmos D Y gnd +nmos Y Z gnd From d8dc70bfe214c64196726d9ea71d722761d4025f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 2 Aug 2019 14:01:19 +0000 Subject: [PATCH 052/673] Migrated to the new .cell format --- Tools/perl/cell2spice.pl | 4 ++-- Tools/perl/euler.pl | 4 ++-- Tools/perl/librecells.pl | 8 ++++++-- Tools/perl/spice2cell.pl | 4 ++-- Tools/perl/truthtable.pl | 4 ++-- 5 files changed, 14 insertions(+), 10 deletions(-) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index dd2a8ab1..7f802577 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -27,9 +27,9 @@ { $ios.=" ".$2; } - if(m/^(\w+) (\w+) (\w+) ([pn]mos)/i) + if(m/^([pn]mos) (\w+) (\w+) (\w+)/i) { - my($g,$d,$s,$t)=($1,$2,$3,$4); + my($t,$g,$d,$s)=($1,$2,$3,$4); my $x=($t eq "pmos")?"vdd":"gnd"; $transistors.="M$M $d $g $s $x $t $tech\n"; #M1 vdd B a_2_6# vdd pmos w=0.5u l=0.05u diff --git a/Tools/perl/euler.pl b/Tools/perl/euler.pl index 126d0a02..cb85e38c 100755 --- a/Tools/perl/euler.pl +++ b/Tools/perl/euler.pl @@ -98,9 +98,9 @@ sub eulerPath { %graphs=(); while() # reading each line { - if(m/^(\w+) (\w+) (\w+) ([pn]mos)/i) # Does the line define a transistor? + if(m/^([pn]mos) (\w+) (\w+) (\w+)/i) # Does the line define a transistor? { - my($g,$d,$s,$t)=($1,$2,$3,$4); # Extract all the parameters from the line + my($t,$g,$d,$s)=($1,$2,$3,$4); # Extract all the parameters from the line next if(lc($network) eq "pmos" && lc($t) eq "nmos"); # If we only look for pmos transistors we skip nmos transistors next if(lc($network) eq "nmos" && lc($t) eq "pmos"); # and vice versa print "$_" if($debug); diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 44e16f0b..41a32afc 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -13,7 +13,11 @@ { my $cellname=$1; my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); - next if($cellname eq "CLKBUF3");# TODO: CLKBUF3 currently takes too much time to generate so we have to skip it, librecell needs to be improved + if($cellname eq "CLKBUF3") + { + print STDERR "TODO: CLKBUF3 currently takes too much time to generate so we have to skip it, librecell needs to be improved\n"; + next; + } my $cmd="lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer"; print "$cmd\n"; system $cmd; @@ -30,7 +34,7 @@ EOF ; close OUT; - #system "gds2mag --config /root/libresilicon/gds2mag/example/example_config.toml -i outputlib/$1.gds -o _$1.mag"; + #system "gds2mag --config ~/libresilicon/gds2mag/example/example_config.toml -i outputlib/$1.gds -o _$1.mag"; # exit; # Stop after doing one cell } } diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl index c73e981f..d2b51163 100755 --- a/Tools/perl/spice2cell.pl +++ b/Tools/perl/spice2cell.pl @@ -62,7 +62,7 @@ ($) print $OUT ".AUTOGENERATED by spice2cell script from $ARGV[0]\n"; print $OUT ".inputs ".join(" ",reverse sort keys %{$pins{'I'}})."\n"; print $OUT ".outputs ".join(" ",reverse sort keys %{$pins{'O'}})."\n"; - print $OUT ".ORDER \"Gate Drain Source MOSFET\"\n"; + print $OUT ".ORDER \"MOSFET Gate Drain Source\"\n"; } elsif(m/^M\d+ (\w+#?) (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet)/) { @@ -70,7 +70,7 @@ ($) $g=internal($g); $d=internal($d); $s=internal($s); - print $OUT "$g $d $s ".$mosmap{$m}."\n"; + print $OUT $mosmap{$m}." $g $d $s\n"; } elsif(m/^R\d+ /) { diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index 706351d9..4e036702 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -48,9 +48,9 @@ sub truth { s/\s+$//m; verb "Line: $_\n"; - if(m/^(\w+) (\w+) (\w+) ([pn]mos)/i) + if(m/^([pn]mos) (\w+) (\w+) (\w+)/i) { - my ($s,$g,$d,$tr)=($1,$2,$3,$4); + my ($tr,$s,$g,$d)=($1,$2,$3,$4); $g=~s/^(\d+)$/$tr$1/; $d=~s/^(\d+)$/$tr$1/; verb "Transistor: $_\n"; From 095fd735335c4cb9345a41957727278a056dcf4b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 2 Aug 2019 14:54:09 +0000 Subject: [PATCH 053/673] Adapted to the new .cell format --- Tools/tcl/popcorn | 60 ++++++++++++++++++++++++----------------------- 1 file changed, 31 insertions(+), 29 deletions(-) diff --git a/Tools/tcl/popcorn b/Tools/tcl/popcorn index 95b2fa78..4a379891 100755 --- a/Tools/tcl/popcorn +++ b/Tools/tcl/popcorn @@ -116,11 +116,11 @@ proc export! {path cellid library} { # write out puts $f ".AUTOGENERATED by Popcorn Tcl Script" - set myinputs [join [inputs? $cellid] " "] + set myinputs [join [lsort [inputs? $cellid]] " "] puts $f ".inputs $myinputs" - set myoutputs [join [outputs? $cellid] " "] + set myoutputs [join [lsort [outputs? $cellid]] " "] puts $f ".outputs $myoutputs" - puts $f ".ORDER \"Gate Drain Source MOSFET\"" + puts $f ".ORDER \"MOSFET Gate Drain Source\"" set sorted [lsort -dictionary [dict keys [dict get [dict get $library $cellid] pullup]]] @@ -129,7 +129,7 @@ proc export! {path cellid library} { set drain [dict get [dict get [dict get [dict get $library $cellid] pullup] $mosfet] d] set source [dict get [dict get [dict get [dict get $library $cellid] pullup] $mosfet] s] set type [dict get [dict get [dict get [dict get $library $cellid] pullup] $mosfet] t] - puts $f "$gate $drain $source $type" + puts $f "$type $gate $drain $source" } set sorted [lsort -dictionary [dict keys [dict get [dict get $library $cellid] pulldown]]] @@ -139,7 +139,7 @@ proc export! {path cellid library} { set drain [dict get [dict get [dict get [dict get $library $cellid] pulldown] $mosfet] d] set source [dict get [dict get [dict get [dict get $library $cellid] pulldown] $mosfet] s] set type [dict get [dict get [dict get [dict get $library $cellid] pulldown] $mosfet] t] - puts $f "$gate $drain $source $type" + puts $f "$type $gate $drain $source" } # done @@ -159,22 +159,22 @@ proc import {f} { seek $f 0 start while {[gets $f line] >= 0} { if {[lsearch -glob [split $line] ".*"] == -1} { - if {[lindex $line 3] == "pmos"} { - # pullup network - set mosfet [lindex $line 0] + if {[lindex $line 0] == "pmos"} { + verb "pullup network" + set mosfet [lindex $line 1] dict set netlist pullup $mosfet {} - dict set netlist pullup $mosfet g [lindex $line 0] - dict set netlist pullup $mosfet d [lindex $line 1] - dict set netlist pullup $mosfet s [lindex $line 2] - dict set netlist pullup $mosfet t [lindex $line 3] - } elseif {[lindex $line 3] == "nmos"} { - # pulldown network - set mosfet [lindex $line 0] + dict set netlist pullup $mosfet g [lindex $line 1] + dict set netlist pullup $mosfet d [lindex $line 2] + dict set netlist pullup $mosfet s [lindex $line 3] + dict set netlist pullup $mosfet t [lindex $line 0] + } elseif {[lindex $line 0] == "nmos"} { + verb "pulldown network" + set mosfet [lindex $line 1] dict set netlist pulldown $mosfet {} - dict set netlist pulldown $mosfet g [lindex $line 0] - dict set netlist pulldown $mosfet d [lindex $line 1] - dict set netlist pulldown $mosfet s [lindex $line 2] - dict set netlist pulldown $mosfet t [lindex $line 3] + dict set netlist pulldown $mosfet g [lindex $line 1] + dict set netlist pulldown $mosfet d [lindex $line 2] + dict set netlist pulldown $mosfet s [lindex $line 3] + dict set netlist pulldown $mosfet t [lindex $line 0] } } } @@ -267,7 +267,7 @@ proc anchor? {start end network} { # verbose message if {$verbose} { puts "anchor?: $anchor" - set enter [gets stdin] + #set enter [gets stdin] } # done @@ -402,39 +402,41 @@ proc enlarge_nand! {nameing netlist} { verb "enlarge_nand!" verb "start with pulldown network" set network [dict get $netlist pulldown] - set original [anchor_pulldown? $netlist] ; # find anchor transistor + verb "find anchor transistor" + set original [anchor_pulldown? $netlist] + verb "checking original" if {$original eq {}} { set netlist {} } else { - # prepare replacement + verb "prepare replacement" set inode [expr [maxnode? $netlist] +1] ; # get highest node, add 2, for connectivity set gate [dict get [dict get $network $original] g] ; # get gate name set drain [dict get [dict get $network $original] d] ; # get drain side node name / number set src [dict get [dict get $network $original] s] ; # get source side node name / number set type [dict get [dict get $network $original] t] ; # get transistor type - # generate replacement for original with higher node on source + verb "generate replacement for original with higher node on source" dict set orgfet g $original dict set orgfet d $drain dict set orgfet s $inode ; # intermediate node number dict set orgfet t $type - # generate next mosfet name regarding 'proc naming' + verb "generate next mosfet name regarding 'proc naming'" if {$nameing eq "number"} { set newname [input_number! $gate] } else { set newname [input_char! $gate] } - # generate next mosfet itself + verb "generate next mosfet itself" dict set newfet g $newname dict set newfet d $inode dict set newfet s $src dict set newfet t $type - # build new pulldown network + verb "build new pulldown network" dict set pulldown $newname $newfet dict for {key value} $network { if {$key eq $original} { @@ -444,16 +446,16 @@ proc enlarge_nand! {nameing netlist} { } } - # follow up with pullup network + verb "follow up with pullup network" set network [dict get $netlist pullup] - # prepare replacement now on pullup network + verb "prepare replacement now on pullup network $original" set gate [dict get [dict get $network $original] g] ; # get gate name set drain [dict get [dict get $network $original] d] ; # get drain side node name / number set src [dict get [dict get $network $original] s] ; # get source side node name / number set type [dict get [dict get $network $original] t] ; # get transistor type - # generate newfet as "original double" + verb "generate newfet as \"original double\"" dict set newfet g $newname ; # just change the input gate / name dict set newfet d $drain dict set newfet s $src From 8f386fabaab90df80da725047eacbbd8c7a3b4c7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 2 Aug 2019 14:56:24 +0000 Subject: [PATCH 054/673] Corrected cell comments --- Catalog/AND4.cell | 4 ++-- Catalog/AOI21.cell | 17 +++++++---------- Catalog/AOI31.cell | 2 +- Catalog/NAND2.cell | 4 ++-- Catalog/NAND3.cell | 19 +++++++++---------- Catalog/NOR2.cell | 4 ++-- Catalog/NOR3.cell | 4 ++-- Catalog/OAI21.cell | 17 +++++++---------- Catalog/OAI31.cell | 2 +- Catalog/OR4.cell | 4 ++-- 10 files changed, 35 insertions(+), 42 deletions(-) diff --git a/Catalog/AND4.cell b/Catalog/AND4.cell index da16f364..42124a3c 100644 --- a/Catalog/AND4.cell +++ b/Catalog/AND4.cell @@ -1,7 +1,7 @@ .AUTOGENERATED by Popcorn Tcl Script -.inputs D C B A +.inputs A B C D .outputs Z -.ORDER "Gate Drain Source MOSFET" +.ORDER "MOSFET Gate Drain Source" pmos A Y vdd pmos B Y vdd pmos C Y vdd diff --git a/Catalog/AOI21.cell b/Catalog/AOI21.cell index c96c6d09..b5fa13ab 100644 --- a/Catalog/AOI21.cell +++ b/Catalog/AOI21.cell @@ -1,11 +1,8 @@ -.DESCRIPTION "a 2-1-input AND-OR-Invert gate" -.SEE_ALSO "AOI21 - a 2-1-input AND-OR-Invert gate" -.inputs A B B1 +.AUTOGENERATED by Popcorn Tcl Script +.inputs A A1 .outputs Y -.ORDER "Gate Drain Source MOSFET" -pmos A Y 1 -pmos B 1 vdd -pmos B1 1 vdd -nmos A Y gnd -nmos B Y 2 -nmos B1 2 gnd +.ORDER "MOSFET Gate Drain Source" +pmos A Y vdd +pmos A1 Y vdd +nmos A Y 1 +nmos A1 1 gnd diff --git a/Catalog/AOI31.cell b/Catalog/AOI31.cell index e32844ce..7719e040 100644 --- a/Catalog/AOI31.cell +++ b/Catalog/AOI31.cell @@ -2,7 +2,7 @@ .SEE_ALSO "AOI31 - a 3-1-input AND-OR-Invert gate" .inputs A B B1 B2 .outputs Y -.ORDER "Gate Drain Source MOSFET" +.ORDER "MOSFET Gate Drain Source" pmos A Y 1 pmos B 1 vdd pmos B1 1 vdd diff --git a/Catalog/NAND2.cell b/Catalog/NAND2.cell index 6495cc05..068f975c 100644 --- a/Catalog/NAND2.cell +++ b/Catalog/NAND2.cell @@ -1,7 +1,7 @@ .AUTOGENERATED by Popcorn Tcl Script -.inputs B A +.inputs A B .outputs Y -.ORDER "Gate Drain Source MOSFET" +.ORDER "MOSFET Gate Drain Source" pmos A Y vdd pmos B Y vdd nmos A Y 1 diff --git a/Catalog/NAND3.cell b/Catalog/NAND3.cell index e8bd19b1..c381e0af 100644 --- a/Catalog/NAND3.cell +++ b/Catalog/NAND3.cell @@ -1,11 +1,10 @@ -.DESCRIPTION a 3-input Not-AND (or NAND) gate -.cell NAND3 -.inputs C B A +.AUTOGENERATED by Popcorn Tcl Script +.inputs A B C .outputs Y -pmos C Y vdd vdd 1 1 1 -pmos B Y vdd vdd 1 2 1 -pmos A Y vdd vdd 1 3 1 -nmos A Y 2 gnd 1 1 -1 -nmos B 2 4 gnd 2 1 -2 -nmos C 4 gnd gnd 3 1 -3 -.end +.ORDER "MOSFET Gate Drain Source" +pmos A Y vdd +pmos B Y vdd +pmos C Y vdd +nmos A Y 1 +nmos B 1 2 +nmos C 2 gnd diff --git a/Catalog/NOR2.cell b/Catalog/NOR2.cell index 54fd7eaa..4867eca3 100644 --- a/Catalog/NOR2.cell +++ b/Catalog/NOR2.cell @@ -1,7 +1,7 @@ .AUTOGENERATED by Popcorn Tcl Script -.inputs B A +.inputs A B .outputs Y -.ORDER "Gate Drain Source MOSFET" +.ORDER "MOSFET Gate Drain Source" pmos A Y 1 pmos B 1 vdd nmos A Y gnd diff --git a/Catalog/NOR3.cell b/Catalog/NOR3.cell index e861f929..ec19e63b 100644 --- a/Catalog/NOR3.cell +++ b/Catalog/NOR3.cell @@ -1,7 +1,7 @@ .AUTOGENERATED by Popcorn Tcl Script -.inputs C B A +.inputs A B C .outputs Y -.ORDER "Gate Drain Source MOSFET" +.ORDER "MOSFET Gate Drain Source" pmos A Y 1 pmos B 1 2 pmos C 2 vdd diff --git a/Catalog/OAI21.cell b/Catalog/OAI21.cell index 03a0f12d..f496a779 100644 --- a/Catalog/OAI21.cell +++ b/Catalog/OAI21.cell @@ -1,11 +1,8 @@ -.DESCRIPTION "a 2-1-input OR-AND-Invert gate" -.SEE_ALSO "OAI21 - a 2-1-input OR-AND-Invert gate" -.inputs A B B1 +.AUTOGENERATED by Popcorn Tcl Script +.inputs A A1 .outputs Y -.ORDER "Gate Drain Source MOSFET" -pmos A Y vdd -pmos B Y 1 -pmos B1 1 vdd -nmos A Y 2 -nmos B 2 gnd -nmos B1 2 gnd +.ORDER "MOSFET Gate Drain Source" +pmos A Y 1 +pmos A1 1 vdd +nmos A Y gnd +nmos A1 Y gnd diff --git a/Catalog/OAI31.cell b/Catalog/OAI31.cell index 3a8ef305..5b379fe4 100644 --- a/Catalog/OAI31.cell +++ b/Catalog/OAI31.cell @@ -2,7 +2,7 @@ .SEE_ALSO "OAI31 - a 3-1-input OR-AND-Invert gate" .inputs A B B1 B2 .outputs Y -.ORDER "Gate Drain Source MOSFET" +.ORDER "MOSFET Gate Drain Source" pmos A Y vdd pmos B Y 1 pmos B1 1 3 diff --git a/Catalog/OR4.cell b/Catalog/OR4.cell index e3207720..f0824cd2 100644 --- a/Catalog/OR4.cell +++ b/Catalog/OR4.cell @@ -1,7 +1,7 @@ .AUTOGENERATED by Popcorn Tcl Script -.inputs D C B A +.inputs A B C D .outputs Z -.ORDER "Gate Drain Source MOSFET" +.ORDER "MOSFET Gate Drain Source" pmos A Y 1 pmos B 1 2 pmos C 2 3 From 175a7819ccd08c58d19e63b6423bc06214389269 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 2 Aug 2019 15:06:13 +0000 Subject: [PATCH 055/673] Migrated to new .cell format --- Tools/tcl/_schematic | 26 +++++++++++++------------- Tools/tcl/_switch | 18 +++++++++--------- 2 files changed, 22 insertions(+), 22 deletions(-) diff --git a/Tools/tcl/_schematic b/Tools/tcl/_schematic index 27834f9e..b5d18884 100755 --- a/Tools/tcl/_schematic +++ b/Tools/tcl/_schematic @@ -94,15 +94,15 @@ proc length? {path from to netlist} { set result 0 foreach mosfet $netlist { # check mosfet type - if {[string compare -nocase [lindex $mosfet 2] $from] == 0} { - if {[string compare -nocase [lindex $mosfet 1] $to] == 0} { + if {[string compare -nocase [lindex $mosfet 3] $from] == 0} { + if {[string compare -nocase [lindex $mosfet 2] $to] == 0} { if {$length == 0} { # 1st founding set length 1 } } else { # while current $to does not match target, go deeper and check result - set result [expr [length? [list $path $mosfet] [lindex $mosfet 1] $to $netlist] +1] + set result [expr [length? [list $path $mosfet] [lindex $mosfet 2] $to $netlist] +1] if {$result > $length} { # means, last recursive call gots a longer path set length $result @@ -125,7 +125,7 @@ proc tpmos {netlist} { # collect pmos transistors set net {} foreach mosfet $netlist { - if {[string compare -nocase [lindex $mosfet 3] "pmos"] == 0} { + if {[string compare -nocase [lindex $mosfet 0] "pmos"] == 0} { set net [lappend net $mosfet] } } @@ -149,7 +149,7 @@ proc tnmos {netlist} { # collect nmos transistor set net {} foreach mosfet $netlist { - if {[string compare -nocase [lindex $mosfet 3] "nmos"] == 0} { + if {[string compare -nocase [lindex $mosfet 0] "nmos"] == 0} { set net [lappend net $mosfet] } } @@ -188,8 +188,8 @@ proc eval_mosfets {node ttype netlist} { # collect 'ttype' transistor set unsorted {} foreach mosfet $netlist { - if {[string compare -nocase [lindex $mosfet 3] $ttype] == 0} { - if {[string compare -nocase [lindex $mosfet 1] $node] == 0} { + if {[string compare -nocase [lindex $mosfet 0] $ttype] == 0} { + if {[string compare -nocase [lindex $mosfet 2] $node] == 0} { set unsorted [lappend unsorted $mosfet] } } @@ -213,7 +213,7 @@ proc eval_nodes {netlist} { set nodes {} foreach mosfet $netlist { - set nodes [lappend nodes [lindex $mosfet 2]] + set nodes [lappend nodes [lindex $mosfet 3]] } # verbose message @@ -231,7 +231,7 @@ proc outputs? {netlist} { # collect nodes on drain set unsorted {} foreach mosfet $netlist { - set drain [lindex $mosfet 1] + set drain [lindex $mosfet 2] if {![string is entier $drain]} { lappend unsorted $drain } @@ -433,8 +433,8 @@ puts $f " \\wire\{$xstart\}\{$ystart\}\{$xend\}\{$yend\}" proc stages {netlist} { foreach mosfet $netlist { # If there is a transistor with Y as input we definitely have at least 2 stages - verb "Searching for Y: [lindex $mosfet 0]" - if {[string compare -nocase [lindex $mosfet 0] "Y"] == 0} { + verb "Searching for Y: [lindex $mosfet 1]" + if {[string compare -nocase [lindex $mosfet 1] "Y"] == 0} { return 2 } } @@ -564,7 +564,7 @@ if {[file exist $option_cell]} { for {set i 0} {$i < $length} {incr i} { set mosfet [lindex $todo $i] verb "pulldown nmos i:$i xgrid:$xgrid xjunc:$xjunc yjunc:$yjunc mosfet:$mosfet" - puts_nmos $f [lindex $mosfet 0] [string cat "N" [lindex $mosfet 0]] [expr ($i * $xgrid) + $xjunc ] $yjunc + puts_nmos $f [lindex $mosfet 1] [string cat "N" [lindex $mosfet 1]] [expr ($i * $xgrid) + $xjunc ] $yjunc if {$i >= 1} { # TODO: Here we are potentially drawing too many and connecting nets that are not supposed to be connected. We should check for that puts_wire $f [expr ($i * $xgrid) - $xgrid + $xjunc ] $yjunc [expr ($i * $xgrid) + $xjunc ] $yjunc @@ -618,7 +618,7 @@ if {[file exist $option_cell]} { # layout known mosfet for 'node' for {set i 0} {$i < $length} {incr i} { set mosfet [lindex $todo $i] - puts_pmos $f [lindex $mosfet 0] [string cat "P" [lindex $mosfet 0]] [expr ($i * $xgrid) + $xjunc ] $yjunc + puts_pmos $f [lindex $mosfet 1] [string cat "P" [lindex $mosfet 1]] [expr ($i * $xgrid) + $xjunc ] $yjunc if {$i >= 1} { # TODO: Here we are potentially drawing too many and connecting nets that are not supposed to be connected. We should check for that puts_wire $f [expr ($i * $xgrid) - $xgrid + $xjunc ] $yjunc [expr ($i * $xgrid) + $xjunc ] $yjunc diff --git a/Tools/tcl/_switch b/Tools/tcl/_switch index f9a297c7..b4845e54 100755 --- a/Tools/tcl/_switch +++ b/Tools/tcl/_switch @@ -88,7 +88,7 @@ proc inputs? {netlist} { # collect all inputs from all networks set unsorted {} foreach mosfet $netlist { - lappend unsorted [lindex $mosfet 0] + lappend unsorted [lindex $mosfet 1] } # sort inputs @@ -114,7 +114,7 @@ proc outputs? {netlist} { # collect nodes on drain set unsorted {} foreach mosfet $netlist { - set drain [lindex $mosfet 1] + set drain [lindex $mosfet 2] if {![string is entier $drain]} { lappend unsorted $drain } @@ -142,7 +142,7 @@ proc nodes? {netlist} { set nodes {} foreach mosfet $netlist { - set nodes [lappend nodes [lindex $mosfet 2]] + set nodes [lappend nodes [lindex $mosfet 3]] } # verbose message @@ -255,29 +255,29 @@ puts $f "" puts $f "// type name (drain source gate);" foreach mosfet $netlist { - set ttype [lindex $mosfet 3] + set ttype [lindex $mosfet 0] puts -nonewline $f "$ttype " ; # type if {[string compare -nocase $ttype "pmos"] == 0} { -puts -nonewline $f "MP[lindex $mosfet 0] " ; # name +puts -nonewline $f "MP[lindex $mosfet 1] " ; # name } elseif {[string compare -nocase $ttype "nmos"] == 0} { -puts -nonewline $f "MN[lindex $mosfet 0] " ; # name +puts -nonewline $f "MN[lindex $mosfet 1] " ; # name } - set drain [lindex $mosfet 1] + set drain [lindex $mosfet 2] if {[string is entier $drain]} { puts -nonewline $f "\(n$drain, " } else { puts -nonewline $f "\($drain, " } - set src [lindex $mosfet 2] + set src [lindex $mosfet 3] if {[string is entier $src]} { puts -nonewline $f "n$src, " } else { puts -nonewline $f "$src, " } - set gate [lindex $mosfet 0] + set gate [lindex $mosfet 1] if {[string is entier $gate]} { puts $f "n$gate\);" } else { From 4a9ed19058543adac7c39c5a904bc182be642820 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 2 Aug 2019 15:07:12 +0000 Subject: [PATCH 056/673] Added usage comment --- Catalog/doc/docu.sh | 2 ++ 1 file changed, 2 insertions(+) diff --git a/Catalog/doc/docu.sh b/Catalog/doc/docu.sh index 54df1207..573b1665 100755 --- a/Catalog/doc/docu.sh +++ b/Catalog/doc/docu.sh @@ -28,3 +28,5 @@ done pdflatex StdCellLib.tex pdflatex StdCellLib.tex cp StdCellLib.pdf ../../Documents/StdCellLib.pdf +echo "You can view the generated documentation by calling:" +echo "evince doc/StdCellLib.pdf" From dc0953c26d522f9be922e0a2bb3814ddaacb82ae Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 12 Aug 2019 20:25:31 +0200 Subject: [PATCH 057/673] [TOOLS] boost popcorn functionality --- Tools/popcorn.1 | 8 +- Tools/popcorn/popcorn-cell.scm | 627 ++++++++++++++++++++++++++++++--- Tools/popcorn/popcorn-lib.scm | 511 ++++++++++++++++++++++++++- Tools/popcorn/popcorn.scm | 25 +- 4 files changed, 1106 insertions(+), 65 deletions(-) diff --git a/Tools/popcorn.1 b/Tools/popcorn.1 index cf463622..cf3ee6cf 100644 --- a/Tools/popcorn.1 +++ b/Tools/popcorn.1 @@ -68,7 +68,7 @@ .Sh DESCRIPTION First, the .Nm -utility enlarges a given combinatorial cell by different methods ( +utility expands a given combinatorial cell by different methods ( .Em nand , .Em nor , .Em aoi , @@ -107,7 +107,7 @@ Example Schematic for Inverter Cell (INV) .Pp .RE .Nm -functionality is to take one combinatorial cell and enlarge both networks +functionality is to take one combinatorial cell and expand both networks by adding one transistor per network. The new combinatorial cell can be exported in different file formats for documentation, layout and simulation. @@ -165,7 +165,7 @@ Limit the longest path of stacked transistors between output and any supply line to .Em number . .Ss \-m method -Enlarge cell with one of following methods: +Expand cell with one of following methods: .Bl -ohang .It Em nand Add nMOS transistor in serial into highest free pull-down path. @@ -182,7 +182,7 @@ Use next index for enumeration. .El .Pp An addition in one network implies an addition - a transisor in parallel - in the complementary network also. -In some cases, the enlargement with one method is not feasible while the limit of stacked transistors is already reached. +In some cases, the expansion with one method is not feasible while the limit of stacked transistors is already reached. .Ss \-T file Specify the .Xr magic 1 diff --git a/Tools/popcorn/popcorn-cell.scm b/Tools/popcorn/popcorn-cell.scm index 99fbac09..20b7fa21 100644 --- a/Tools/popcorn/popcorn-cell.scm +++ b/Tools/popcorn/popcorn-cell.scm @@ -58,14 +58,13 @@ expand-cell-nand expand-cell-nor expand-cell-aoi - expand-cell-oai - expand-cell-buffer) + expand-cell-oai) (begin ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test? #t) + (define build-in-self-test? #f) ;; ------------ build-in sanity checks --------------------------- @@ -230,33 +229,68 @@ ; take netlist and format them into string ; Example -; (netlist->string (cell-netlist INV-cell)) => "pmos A" Y VDD VDD" 1 1 1\nnmos A Y GND GND 1 1 -1) +; (netlist->string (cell-netlist INV-cell)) => "pmos A" Y VDD VDD" 1 1 1\nnmos A Y GND GND 1 1 -1" ; Definition: (define netlist->string (lambda (netlist) (cond - [(null? netlist) ""] + [(null? netlist) '()] [else - (string-join (list (mosfet->string (car netlist)) (netlist->string (cdr netlist))) "\n")] + (cons (mosfet->string (car netlist)) (netlist->string (cdr netlist)))] ) ) ) -#| ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (netlist->string (cell-netlist INV-cell)) "pmos A Y VDD VDD 1 1 1\nnmos A Y GND GND 1 1 -1") + (if (equal? (netlist->string (cell-netlist INV-cell)) '("pmos A Y VDD VDD 1 1 1" "nmos A Y GND GND 1 1 -1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " netlist->string test" (current-error-port)) (newline (current-error-port)) ) ) -|# ; !! expected value has to have newline -;; ------------ write description line --------------------------- +;; ------------ multiline format ------------------------------- + +; Contract: +; multiline-format : list-of-string -> - + +; Purpose: +; take list-of-string and feed format instruction + +; Example: +; (multiline-format (current-output-port) (netlist->string (cell-netlist INV-cell))) => ("pmos A" Y VDD VDD" 1 1 1" "nmos A Y GND GND 1 1 -1") + +; Definitimn: + (define multiline-format + (lambda (at-port stringlist) + (if (null? stringlist) + "" + (begin + (format (at-port) +"~a +" (car stringlist)) + (multiline-format at-port (cdr stringlist)) + ) + ) + ) + ) +#| +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (multiline-formast (current-output-port) (cell-netlist INV-cell)) '("pmos A Y VDD VDD 1 1 1" "nmos A Y GND GND 1 1 -1") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " netlist->string test" (current-error-port)) + (newline (current-error-port)) + ) + ) +|# +;; ------------ write cell description --------------------------- ; Contract: ; write-cell-file : cell -> -- @@ -275,7 +309,7 @@ ; first line header (if (null? (cell-text cell)) (format (at-port) -"proudly AUTOGENERATED by \"Popcorn\" R7RS Scheme tool +".AUTOGENERATED by \'Popcorn\' R7RS Scheme tool " ) (format (at-port) "~a @@ -290,21 +324,20 @@ (string-join (cell-outputs cell))) ; optional clock line (if (null? (cell-clocks cell)) - '() + "" (format (at-port) ".clocks ~a " (string-join (cell-clocks cell))) ) ; additional, e.g. schematic (if (null? (cell-additional cell)) - '() - (format (at-port) -"~a -" (string-join (cell-additional cell) "\n")) + "" + (multiline-format at-port (cell-additional cell)) ) ; netlist - (format (at-port) -"~a" (netlist->string (cell-netlist cell))) +; (format (at-port) +;"~a" (netlist->string (cell-netlist cell))) + (multiline-format at-port (netlist->string (cell-netlist cell))) ; done (format (at-port) ".end @@ -314,6 +347,292 @@ ) ) +;; ------------------------------------------------------------------- +;; DRAW NETLIST SCHEMATIC +;; ------------------------------------------------------------------- + +;; ------------ format node name ------------------------------- + +; Contract: +; format-node: node -> string + +; Purpose: +; format fix-length string out ouf node name + +; Example: +; (format-node "A") => "A " + +; Definition: + (define format-node + (lambda (node) + (let ((len (string-length node))) + (cond + [(eq? len 0) (string-append node " ")] + [(eq? len 1) (string-append node " ")] + [(eq? len 2) (string-append node " ")] + [else (string-copy node 0 3)] + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (format-node "A") "A ") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " format-node test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ netlist->sprites ------------------------------- + +; Contract: +; netlist->sprites: list-of-sprite -> hierarchichal list-of-strings + +; Purpose: +; return all strings, representing the netlist as schematic of mosfet circuit + +; Example: +; (netlist->sprites ('#("nmos" "A" "Y" "GND" "GND" 1 1 -1))) => '(" | GND " +; " | +-- " +; " A ---| | nMOS " +; " | +-- " +; " | Y ")) + +; Definition: + (define mosfet->sprites + (lambda (netlist xpos) + (if (null? netlist) + '() + (let ((mosfet (car netlist)) + (empty-sprite (list " " + " " + " " + " " + " "))) + (if (equal? (mosfet-xaxis mosfet) xpos) + ; mosfet found for this coordinates + (cond + [(mosfet-nmos? mosfet) + (cons + (list (string-append " | " (format-node (mosfet-source mosfet)) " ") + " | +-- " + (string-append (format-node (mosfet-gate mosfet)) "---| | nMOS ") + " | +-- " + (string-append " | " (format-node (mosfet-drain mosfet)) " ")) + (mosfet->sprites (cdr netlist) (+ xpos 1)))] + [(mosfet-pmos? mosfet) + (cons + (list (string-append " | " (format-node (mosfet-drain mosfet)) " ") + " | +-- " + (string-append (format-node (mosfet-gate mosfet)) "--o| | pMOS ") + " | +-- " + (string-append " | " (format-node (mosfet-source mosfet)) " ")) + (mosfet->sprites (cdr netlist) (+ xpos 1)))] + [else + (cons empty-sprite (mosfet->sprites (cdr netlist) (+ xpos 1)))] + ) + ; position (coordinates) is empty + (cons empty-sprite (mosfet->sprites netlist (+ xpos 1))) + ) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 2 -1)) 1) + '((" " + " " + " " + " " + " ") + (" | GND " + " | +-- " + "A ---| | nMOS " + " | +-- " + " | Y "))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet->sprites test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ get one sprite line --------------------------- + +; Contract: +; get-one-sprite-line : list-of-sprites -> string + +; Purpose: +; return dedicated line of given sprites as string + +; Example: +; (get-one-sprite-line (mosfet->sprites ('#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) 3) => "---| | nMOS" + +; Definition: + (define get-one-sprite-line + (lambda (sprites line) + (if (or (null? sprites) (= line 0)) + "" + (string-append (list-ref (car sprites) (- line 1)) (get-one-sprite-line (cdr sprites) line)) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (get-one-sprite-line (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1) #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) 1) 3) "A ---| | nMOS B ---| | nMOS ") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " get-one-sprite-line test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ get one row of sprites --------------------------- + +; Contract: +; get-one-sprite-row: list-of-sprites -> list-of-string + +; Purpose: +; return all lines of given sprites as strings + +; Example: +; (get-one-sprite-row (mosfet->sprites ('#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) 5) => ? + +; Definition: + (define get-one-sprite-row + (lambda (sprites lines) + (if (or (null? sprites) (= lines 0)) + '() + (cons (string-append "# " (get-one-sprite-line sprites lines)) (get-one-sprite-row sprites (- lines 1))) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (get-one-sprite-row (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1) #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) 1) 5) + '("# | Y | Y " + "# | +-- | +-- " + "# A ---| | nMOS B ---| | nMOS " + "# | +-- | +-- " + "# | GND | GND ")) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " get-one-sprite-row test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ get-all-rows ----------------------------------- + +; Contract: +; get-all-rows : netlist highest-row -> list-of-string + +; Purpose: +; crawl netlist and generate ascci-art line by line + +; Example: +; (get-all-rows (cell-netlist INV-cell)) => ? + +; Definition: + (define get-all-rows + (lambda (netlist row) + (cond + [(= row 0) + ; !! connectivity + ; no row zero, go down + (get-all-rows netlist (- row 1))] + ; pullup network + [(> row 0) + (let ((mosfets-on-row (sort-mosfet-ascending (filter-mosfet-row netlist row)))) + (if (null? mosfets-on-row) + '() + (append + (get-one-sprite-row (mosfet->sprites mosfets-on-row 1) 5) + ; !! connectivity + (get-all-rows netlist (- row 1)) + ) + ) + )] + ; pulldown network + [(< row 0) + (let ((mosfets-on-row (sort-mosfet-ascending (filter-mosfet-row netlist row)))) + (if (null? mosfets-on-row) + '() + (append + (get-one-sprite-row (mosfet->sprites mosfets-on-row 1) 5) + ; !! connectivity + (get-all-rows netlist (- row 1)) + ) + ) + )] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (get-all-rows (cell-netlist INV-cell) 1) '("# | VDD " + "# | +-- " + "# A --o| | pMOS " + "# | +-- " + "# | Y " + ; !! connectivity + "# | Y " + "# | +-- " + "# A ---| | nMOS " + "# | +-- " + "# | GND ")) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " get-all-rows test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ ascii-art schematic ------------------------------- + +; Contract: +; ascii-art-schematic : netlist -> list-of-string + +; Purpose: +; crawl netlist and generate ascii-art line by line + +; Example: +; (ascii-art-schematic (cell-netlist INV-cell)) => ? + +; Definition: + (define ascii-art-schematic + (lambda (netlist) + (let ((yaxis (metric-highest-yaxis netlist))) + (get-all-rows netlist yaxis) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (ascii-art-schematic (cell-netlist INV-cell)) (cell-additional INV-cell)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " ascii-art-schematic test" (current-error-port)) + (newline (current-error-port)) + ) + ) + + ;; ------------------------------------------------------------------- ;; EXPAND CELL DESCRIPTIONS ;; ------------------------------------------------------------------- @@ -370,8 +689,7 @@ (lambda (node-list) (let ((sorted-list (sort-nodes-descending node-list))) (cond - [(null? sorted-list) - "A"] + [(null? sorted-list) "A"] [else (string (car (cdr (memq (string-ref (car sorted-list) 0) input-space))))] ) @@ -406,10 +724,10 @@ (lambda (node-list) (let ((sorted-list (sort-nodes-descending node-list))) (cond - [(null? sorted-list) - "A"] + [(null? sorted-list) "A1"] + [(eqv? (string-length (car sorted-list)) 1) + (string (string-ref (car sorted-list) 0) #\1)] [else -; (string (car (cdr (memq (string-ref (car sorted-list) 0) input-space))))] (string (string-ref (car sorted-list) 0) (integer->char (+ 1 (char->integer (string-ref (car sorted-list) 1)))))] ) ) @@ -427,6 +745,41 @@ ) ) +;; ------------ calculate next output node ----------------------- + +; Congtract: +; next-output-node: node-list -> node + +; Purpose: +; search for highest used output node, calculate next + +; Example: +; (next-output-node "Y") => "Z" + +; Definition: + (define next-output-node + (lambda (node-list) + (let ((sorted-list (sort-nodes-descending node-list))) + (cond + [(null? sorted-list) "Y"] + [else + (string (car (cdr (memq (string-ref (car sorted-list) 0) output-space))))] + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (next-output-node '("Y")) "Z") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " next-output-node test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ find mosfet to expand --------------------------- ; Contract: @@ -515,7 +868,7 @@ (mosfet-yaxis! new-mosfet (+ (mosfet-yaxis org-mosfet) 1)) ) ; return - (cons org-mosfet (cons new-mosfet '())) + (cons new-mosfet netlist) ) )] [else @@ -527,9 +880,9 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-netlist-serial (pulldown-network (cell-netlist INV-cell)) "N2" "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) - '(#("nmos" "A" "Y" "N2" "GND" 1 1 -1) - #("nmos" "B" "N2" "GND" "GND" 2 1 -2))) + (if (equal? (expand-netlist-serial (pulldown-network (cell-netlist INV-cell)) "N1" "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + '(#("nmos" "A" "Y" "N1" "GND" 1 1 -1) + #("nmos" "B" "N1" "GND" "GND" 2 1 -2))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " expand-netlist-serial test" (current-error-port)) @@ -596,6 +949,66 @@ ) ) +;; ------------ expand netlist w/ buffer ----------------------- + +; Contract: +; expand-netlist-buffer : netlist new-node -> netlist + +; Purpose: +; expand network by adding buffer + +; Example: +; (expand-netlist-buffer (cell-netlist INV-cell) "Y" 1) => (cell-netlist BUF-cell) + +; Definition: + (define expand-netlist-buffer + (lambda (netlist new-node new-output) + (let ((nmos-mosfet (generate-mosfet)) + (pmos-mosfet (generate-mosfet)) + (xaxis (metric-highest-xaxis netlist))) + (begin + ; use pMOS and nMOS as types + (mosfet-type! pmos-mosfet "pmos") + (mosfet-type! nmos-mosfet "nmos") + ; use new generated node as gate + (mosfet-gate! pmos-mosfet new-node) + (mosfet-gate! nmos-mosfet new-node) + ; use power rails for source + (mosfet-source! pmos-mosfet (car supply-space)) + (mosfet-source! nmos-mosfet (car ground-space)) + ; use same drain as original + (mosfet-drain! pmos-mosfet new-output) + (mosfet-drain! nmos-mosfet new-output) + ; use same bulk as source + (mosfet-bulk! pmos-mosfet (car supply-space)) + (mosfet-bulk! nmos-mosfet (car ground-space)) + ; use same stacked transistor always one + (mosfet-stacked! pmos-mosfet 1) + (mosfet-stacked! nmos-mosfet 1) + ; increment xasis number + (mosfet-xaxis! pmos-mosfet (+ xaxis 1)) + (mosfet-xaxis! nmos-mosfet (+ xaxis 1)) + ; use default yaxis numbers + (mosfet-yaxis! pmos-mosfet 1) + (mosfet-yaxis! nmos-mosfet -1) + ; return + (cons pmos-mosfet (cons nmos-mosfet netlist)) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (replace-nodes (expand-netlist-buffer (cell-netlist INV-cell) "N1" "Z") "Y" "N1") (cell-netlist BUF-cell)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " expand-netlist-buffer test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ expand cell nand-wise --------------------------- ; Contract: @@ -609,27 +1022,36 @@ ; Definition: (define expand-cell-nand - (lambda (cell limit) + (lambda (cell stacked-limit buffer-limit) (let ((netlist (cell-netlist cell))) - (let ((anchor (find-mosfet-anchor (pulldown-network netlist) limit))) + (let ((anchor (find-mosfet-anchor (pulldown-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) - (new-node (next-node-number (intermediate-nodes netlist))) + (1st-node (next-node-number (intermediate-nodes netlist))) (new-gate (next-input-char-node (input-nodes netlist)))) - (begin - ; set new cell-id - (cell-id! cell "dummy") - ; set new cell description - (cell-text! cell "dummy") - ; set input nodes - (cell-inputs! cell (cons new-gate (input-nodes netlist))) - ; set output nodes - (cell-outputs! cell (output-nodes netlist)) - ; set clock nodes - (cell-clocks! cell (clock-nodes netlist)) - ; set netlist - (cell-netlist! cell (expand-netlist-parallel (expand-netlist-serial netlist new-node new-gate anchor) new-gate complementary)) - ; set additionals - (cell-additional! cell '("#")) + (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) + (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) + (begin + ; netlist + (if (and (null? (buffer-network new-netlist)) (>= (metric-highest-stacked new-netlist) buffer-limit)) + ; netlist is yet still not buffered but already on level + (cell-netlist! cell (sort-netlist (replace-nodes (expand-netlist-buffer new-netlist (next-node-number (intermediate-nodes new-netlist)) "Z") "Y" 2nd-node))) + ; already bufferd, set netlist + (cell-netlist! cell (sort-netlist new-netlist)) + ) + ; set new cell-id + (cell-id! cell "CELL?") + ; set new cell description + (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") + ; set input nodes + (cell-inputs! cell (sort-nodes-descending (input-nodes (cell-netlist cell)))) + ; set output nodes + (cell-outputs! cell (sort-nodes-descending (output-nodes (cell-netlist cell)))) + ; set clock nodes + (cell-clocks! cell (sort-nodes-descending (clock-nodes (cell-netlist cell)))) + ; set additionals + (cell-additional! cell (ascii-art-schematic (cell-netlist cell))) + ) + ) ) ) ) @@ -640,7 +1062,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-cell-nand INV-cell 2) NAND2-cell) + (if (equal? (expand-cell-nand INV-cell 4 4) NAND2-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " expand-cell-nand test" (current-error-port)) @@ -657,18 +1079,51 @@ ; expand cell description by adding mosfet nor-like ; Example: -; (expand-cell-nor INV-cell) => NOR2-cell +; (expand-cell-nor INV-cell 4 4) => NOR2-cell ; Definition: (define expand-cell-nor - (lambda (cell limit) + (lambda (cell stacked-limit buffer-limit) + (let ((netlist (cell-netlist cell))) + (let ((anchor (find-mosfet-anchor (pullup-network netlist) stacked-limit))) + (let ((complementary (complementary-mosfets netlist anchor)) + (1st-node (next-node-number (intermediate-nodes netlist))) + (new-gate (next-input-char-node (input-nodes netlist)))) + (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) + (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) + (begin + ; netlist + (if (and (null? (buffer-network new-netlist)) (>= (metric-highest-stacked new-netlist) buffer-limit)) + ; netlist is yet still not buffered but already on level + (cell-netlist! cell (sort-netlist (replace-nodes (expand-netlist-buffer new-netlist (next-node-number (intermediate-nodes new-netlist)) "Z") "Y" 2nd-node))) + ; already bufferd, set netlist + (cell-netlist! cell (sort-netlist new-netlist)) + ) + ; set new cell-id + (cell-id! cell "CELL?") + ; set new cell description + (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") + ; set input nodes + (cell-inputs! cell (sort-nodes-descending (input-nodes (cell-netlist cell)))) + ; set output nodes + (cell-outputs! cell (sort-nodes-descending (output-nodes (cell-netlist cell)))) + ; set clock nodes + (cell-clocks! cell (sort-nodes-descending (clock-nodes (cell-netlist cell)))) + ; set additionals + (cell-additional! cell (ascii-art-schematic (cell-netlist cell))) + ) + ) + ) + ) + ) + ) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-cell-nor INV-cell 2) NOR2-cell) + (if (equal? (expand-cell-nor INV-cell 4 4) NOR2-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " expand-cell-nor test" (current-error-port)) @@ -689,14 +1144,47 @@ ; Definition: (define expand-cell-oai - (lambda (cell limit) + (lambda (cell stacked-limit buffer-limit) + (let ((netlist (cell-netlist cell))) + (let ((anchor (find-mosfet-anchor (pullup-network netlist) stacked-limit))) + (let ((complementary (complementary-mosfets netlist anchor)) + (1st-node (next-node-number (intermediate-nodes netlist))) + (new-gate (next-input-num-node (input-nodes netlist)))) + (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) + (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) + (begin + ; netlist + (if (and (null? (buffer-network new-netlist)) (>= (metric-highest-stacked new-netlist) buffer-limit)) + ; netlist is yet still not buffered but already on level + (cell-netlist! cell (sort-netlist (replace-nodes (expand-netlist-buffer new-netlist (next-node-number (intermediate-nodes new-netlist)) "Z") "Y" 2nd-node))) + ; already bufferd, set netlist + (cell-netlist! cell (sort-netlist new-netlist)) + ) + ; set new cell-id + (cell-id! cell "CELL?") + ; set new cell description + (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") + ; set input nodes + (cell-inputs! cell (sort-nodes-descending (input-nodes (cell-netlist cell)))) + ; set output nodes + (cell-outputs! cell (sort-nodes-descending (output-nodes (cell-netlist cell)))) + ; set clock nodes + (cell-clocks! cell (sort-nodes-descending (clock-nodes (cell-netlist cell)))) + ; set additionals + (cell-additional! cell (ascii-art-schematic (cell-netlist cell))) + ) + ) + ) + ) + ) + ) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-cell-oai NAND2-cell 2) OAI21-cell) + (if (equal? (expand-cell-oai NAND2-cell 4 4) OAI21-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " expand-cell-oai test" (current-error-port)) @@ -717,14 +1205,47 @@ ; Definition: (define expand-cell-aoi - (lambda (cell limit) + (lambda (cell stacked-limit buffer-limit) + (let ((netlist (cell-netlist cell))) + (let ((anchor (find-mosfet-anchor (pulldown-network netlist) stacked-limit))) + (let ((complementary (complementary-mosfets netlist anchor)) + (1st-node (next-node-number (intermediate-nodes netlist))) + (new-gate (next-input-num-node (input-nodes netlist)))) + (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) + (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) + (begin + ; netlist + (if (and (null? (buffer-network new-netlist)) (>= (metric-highest-stacked new-netlist) buffer-limit)) + ; netlist is yet still not buffered but already on level + (cell-netlist! cell (sort-netlist (replace-nodes (expand-netlist-buffer new-netlist (next-node-number (intermediate-nodes new-netlist)) "Z") "Y" 2nd-node))) + ; already bufferd, set netlist + (cell-netlist! cell (sort-netlist new-netlist)) + ) + ; set new cell-id + (cell-id! cell "CELL?") + ; set new cell description + (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") + ; set input nodes + (cell-inputs! cell (sort-nodes-descending (input-nodes (cell-netlist cell)))) + ; set output nodes + (cell-outputs! cell (sort-nodes-descending (output-nodes (cell-netlist cell)))) + ; set clock nodes + (cell-clocks! cell (sort-nodes-descending (clock-nodes (cell-netlist cell)))) + ; set additionals + (cell-additional! cell (ascii-art-schematic (cell-netlist cell))) + ) + ) + ) + ) + ) + ) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-cell-aoi NOR2-cell 2) AOI21-cell) + (if (equal? (expand-cell-aoi NOR2-cell 4 4) AOI21-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " expand-cell-aoi test" (current-error-port)) diff --git a/Tools/popcorn/popcorn-lib.scm b/Tools/popcorn/popcorn-lib.scm index 1105d2bb..f95ba4b3 100644 --- a/Tools/popcorn/popcorn-lib.scm +++ b/Tools/popcorn/popcorn-lib.scm @@ -73,6 +73,7 @@ sanity-mosfet? ; example cells INV-cell + BUF-cell NAND2-cell NOR2-cell OAI21-cell @@ -88,6 +89,7 @@ cell-additional cell-additional! sanity-cell? ; functions on netlists + buffer-network pullup-network pulldown-network vdd-mosfets @@ -96,14 +98,25 @@ complementary-mosfets sort-mosfet-ascending sort-mosfet-descending + sort-netlist filter-mosfet-char filter-mosfet-remove + filter-mosfet-column + filter-mosfet-row input-nodes clock-nodes output-nodes + replace-nodes intermediate-nodes sort-nodes-ascending sort-nodes-descending + ; metrics on netlists + metric-tp-stacked + metric-tn-stacked + metric-highest-stacked + metric-highest-xaxis + metric-highest-yaxis + metric-lowest-yaxis ; auxilary stuff copyleft-year stringlist->csv @@ -267,7 +280,7 @@ ; Hence, the member compare has a string-ci=? option. ; Definition: - (define supply-space (list "vcc" "vdd")) + (define supply-space (list "VDD" "VCC")) ; Contract: ; sypply-symbol-space? list-of-ports -> boolean @@ -303,7 +316,7 @@ ; Hence, the member compare has a string-ci=? option. ; Definition: - (define ground-space (list "gnd" "vss")) + (define ground-space (list "GND" "VSS")) ; Contract: ; ground-space? list-of-ports -> boolean @@ -1148,6 +1161,31 @@ ()) ) +;; ------------ Example : BUF-cell ------------------------------- + +; ^ Vdd ^ Vdd +; | | +; | --+ | --+ +; A --o| | pmos +--o| | pmos +; | --+ | | --+ +; | | | +; *-----------* *---- Z +; | | | +; | --+ | | --+ +; A ---| | nmos +---| | nmos +; | --+ | --+ +; | | +; _|_ Gnd _|_ Gnd + + (define BUF-cell '#("BUF" "a non-inverting Buffer gate" + ("A") ("Z") () + (#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1) + #("nmos" "N1" "Z" "GND" "GND" 1 2 -1) + #("pmos" "A" "N1" "VDD" "VDD" 1 1 1) + #("nmos" "A" "N1" "GND" "GND" 1 1 -1)) + ()) + ) + ;; ------------ Example : NAND2-cell --------------------------- ; ^ Vdd ^ Vdd @@ -1825,6 +1863,46 @@ ;; FUNCTIONS on NETLISTs ;; ------------------------------------------------------------------- +;; ------------ filter network for output buffer --------------- + +; Contract: +; buffer-network : netlist -> netlist + +; Purpose: +; get network with buffering transistors only + +; Example: +; (buffer-network (cell-netlist BUF-cell)) => '(#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1) +; #("nmos" "N1" "Z" "GND" "GND" 1 2 -1)) +; Definition: + (define buffer-network + (lambda (netlist) + (cond + ; empty list? + [(null? netlist) netlist] + + ; mosfet belongs to output, append + [(equal? (mosfet-drain (car netlist)) "Z") + (cons (car netlist) (buffer-network (cdr netlist)))] + + ; mosfet does not drive output, go down + [else + (buffer-network (cdr netlist))] + ) + ) + ) + + ; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (buffer-network (cell-netlist BUF-cell)) '(#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1) #("nmos" "N1" "Z" "GND" "GND" 1 2 -1))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " buffer-network test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ filter network for pull-up ------------------- ; Contract: @@ -1846,6 +1924,10 @@ ; empty list? [(null? netlist) netlist] + ; exclude mosfet which buffers output, go down + [(eqv? (mosfet-drain (car netlist)) "Z") + (pullup-network (cdr netlist))] + ; if pMOS than add mosfet to netlist, go down recursive [(mosfet-pmos? (car netlist)) (cons (car netlist) (pullup-network (cdr netlist)))] @@ -1888,6 +1970,10 @@ ; empty list? [(null? netlist) netlist] + ; exclude mosfet which buffers output, go down + [(eqv? (mosfet-drain (car netlist)) "Z") + (pullup-network (cdr netlist))] + ; if nMOS than add mosfet to netlist, go down recursive [(mosfet-nmos? (car netlist)) (cons (car netlist) (pulldown-network (cdr netlist)))] @@ -2157,6 +2243,41 @@ ) ) +;; ------------ sort netlist ----------------------------------- + +; Contract: +; sort-netlist : netlist -> netlist + +; Purpose: +; sort pullup network descending, pulldown network ascending + +; Example: +; (sort-netlist '(#())) => (cell-netlist NAND2-cell) + +; Definition; + (define sort-netlist + (lambda (netlist) + (let ((pullup (sort-mosfet-descending (pullup-network netlist))) + (pulldown (sort-mosfet-ascending (pulldown-network netlist)))) + (append pullup pulldown) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (sort-netlist '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1) + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Y" "N2" "GND" 1 1 -1) + #("nmos" "B" "N2" "GND" "GND" 2 1 -2))) (cell-netlist NAND2-cell)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " sort-netlist test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ filter mosfets with char-group nodes ----------- ; Contract: @@ -2235,6 +2356,84 @@ ) ) +;; ------------ filter mosfet regarding column number ----------- + +; Contract: +; filter-mosfet-column : netlist column -> netlist + +; Purpose: +; return all transistors in one column + +; Example: +; (filter-mosfet-column '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 1 2)) 2) => '(#("pmos" "B" "Y" "VDD" "VDD" 1 1 2)) + +; Definition: + (define filter-mosfet-column + (lambda (netlist column) + (cond + ; emtpy list? + [(null? netlist) netlist] + + ; if mosfet placed on this column, add them to netlist and go down recursive + [(= (mosfet-xaxis (car netlist)) column) + (cons (car netlist) (filter-mosfet-column (cdr netlist) column))] + + ; just go down + [else (filter-mosfet-column (cdr netlist) column)] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (filter-mosfet-column (cell-netlist NAND2-cell) 2) '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " filter-mosfet-column" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ filter mosfet regarding row number --------------- + +; Contract: +; filter-mosfet-row : netlist row -> netlist + +; Purpose: +; return all transistors in one row + +; Example: +; (filter-mosfet-row '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1)) 1) => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1)) + +; Definition: + (define filter-mosfet-row + (lambda (netlist row) + (cond + ; emtpy list? + [(null? netlist) netlist] + + ; if mosfet placed on this row, add them to netlist and go down recursive + [(= (mosfet-yaxis (car netlist)) row) + (cons (car netlist) (filter-mosfet-row (cdr netlist) row))] + + ; just go down + [else (filter-mosfet-row (cdr netlist) row)] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (filter-mosfet-row (cell-netlist NAND2-cell) 1) '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " filter-mosfet-row" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ filter for input nodes --------------------------- ; Contract: @@ -2279,6 +2478,61 @@ ) ) +;; ------------ replace node on all occurrencies --------------- + +; Contract: +; replace-nodes : netlist node node -> netlist + +; Purpose: +; crawl netlist for nodes and replace them by another name + +; Example: +; (replace-nodes (cell-netlist INV-cell) "Y" "Z") => '(#("pmos" "A" "Z" "VDD" "VDD" 1 1 1) +; #("nmos" "A" "Z" "GND" "GND" 1 1 -1)) + +; Definition: + (define replace-nodes + (lambda (netlist old-node new-node) + (if (null? netlist) + netlist + (let ((original (car netlist)) + (mosfet (generate-mosfet))) + (begin + (mosfet-type! mosfet (mosfet-type original)) + (if (equal? (mosfet-gate original) old-node) + (mosfet-gate! mosfet new-node) + (mosfet-gate! mosfet (mosfet-gate original))) + (if (equal? (mosfet-source original) old-node) + (mosfet-source! mosfet new-node) + (mosfet-source! mosfet (mosfet-source original))) + (if (equal? (mosfet-drain original) old-node) + (mosfet-drain! mosfet new-node) + (mosfet-drain! mosfet (mosfet-drain original))) + (if (equal? (mosfet-bulk original) old-node) + (mosfet-bulk! mosfet new-node) + (mosfet-bulk! mosfet (mosfet-bulk original))) + (mosfet-stacked! mosfet (mosfet-stacked original)) + (mosfet-xaxis! mosfet (mosfet-xaxis original)) + (mosfet-yaxis! mosfet (mosfet-yaxis original)) + (cons mosfet (replace-nodes (cdr netlist) old-node new-node)) + ) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (replace-nodes (cell-netlist INV-cell) "Y" "Z") '(#("pmos" "A" "Z" "VDD" "VDD" 1 1 1) + #("nmos" "A" "Z" "GND" "GND" 1 1 -1))) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " replace-nodes test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ filter for intermediate nodes ------------------- ; Contract: @@ -2404,7 +2658,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (output-nodes (cell-netlist INV-cell)) '()) + (if (equal? (output-nodes (cell-netlist INV-cell)) '("Y")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " output-nodes test" (current-error-port)) @@ -2520,6 +2774,257 @@ ) ) +;; ------------------------------------------------------------------- +;; METRICS ON NETLISTS +;; ------------------------------------------------------------------- + +;; ------------ stacked pmos transistors ----------------------- + +; Contract: +; metric-tp-stacked : netlist -> number + +; Purpose: +; crawl netlist and get highest number of stacked pmos transistors + +; Example: +; (metric-tp-stacked (cell-netlist OAI21-cell)) => 2 + +; Definition: + (define metric-tp-stacked + (lambda (netlist) + (cond + [(null? netlist) 0] + [(mosfet-nmos? (car netlist)) + ; do not check nmos transistors for tp + (metric-tp-stacked (cdr netlist))] + [else + (let ((stacked (mosfet-stacked (car netlist)))) + (max stacked (metric-tp-stacked (cdr netlist))) + ) + ] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (metric-tp-stacked (cell-netlist OAI21-cell)) 2) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " metric-tp-stacked test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ stacked nmos transistors ----------------------- + +; Contract: +; metric-tn-stacked : netlist -> number + +; Purpose: +; crawl netlist and get highest number of stacked nmos transistors + +; Example: +; (metric-tn-stacked (cell-netlist OAI21-cell)) => 2 + +; Definition: + (define metric-tn-stacked + (lambda (netlist) + (cond + [(null? netlist) 0] + [(mosfet-pmos? (car netlist)) + ; do not check pmos transistors for tn + (metric-tn-stacked (cdr netlist))] + [else + (let ((stacked (mosfet-stacked (car netlist)))) + (max stacked (metric-tn-stacked (cdr netlist))) + ) + ] + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (metric-tn-stacked (cell-netlist OAI21-cell)) 2) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " metric-tn-stacked test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ stacked both transistors ----------------------- + +; Contract: +; metric-highest-stacked : netlist -> number + +; Purpose: +; take highest number from pullup / pulldown network + +; Example: +; (metric-highest-stacked (cell-netlist NAND2-cell) => 2 + +; Definition: + (define metric-highest-stacked + (lambda (netlist) + (max (metric-tp-stacked netlist) (metric-tn-stacked netlist)) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (metric-highest-stacked (cell-netlist NAND2-cell)) 2) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " metric-highest-stacked test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ find highest xaxis number ----------------------- + +; Contract: +; metric-highest-xaxis : netlist -> number + +; Purpose: +; crawl netlist and find highest xaxis number + +; Example: +; (metric-highest-xaxis (cell-netlist OAI21-cell)) => 2 + +; Definition: + (define metric-highest-xaxis + (lambda (netlist) + (if (null? netlist) + 0 + (let ((xaxis (mosfet-xaxis (car netlist)))) + (max xaxis (metric-highest-xaxis (cdr netlist))) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (metric-highest-xaxis (cell-netlist OAI21-cell)) 2) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " metric-highest-xaxis test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ find highest yaxis number ----------------------- + +; Contract: +; metric-highest-yaxis : netlist -> number + +; Purpose: +; crawl netlist and find highest yaxis number + +; Example: +; (metric-highest-yaxis (cell-netlist AOI21-cell)) => 2 + +; Definition: + (define metric-highest-yaxis + (lambda (netlist) + (if (null? netlist) + 0 + (let ((yaxis (mosfet-yaxis (car netlist)))) + (max yaxis (metric-highest-yaxis (cdr netlist))) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (metric-highest-yaxis (cell-netlist AOI21-cell)) 2) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " metric-highest-yaxis test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ find lowest yaxis number ----------------------- + +; Contract: +; metric-lowest-yaxis : netlist -> number + +; Purpose: +; crawl netlist and find lowest yaxis number + +; Example: +; (metric-lowest-yaxis (cell-netlist AOI21-cell)) => -2 + +; Definition: + (define metric-lowest-yaxis + (lambda (netlist) + (if (null? netlist) + 0 + (let ((yaxis (mosfet-yaxis (car netlist)))) + (min yaxis (metric-lowest-yaxis (cdr netlist))) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (metric-lowest-yaxis (cell-netlist AOI21-cell)) -2) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " metric-lowest-yaxis test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ find mosfet by position ----------------------- + +; Purpose: +; mosfet-by-position : netlist -> mosfet + +; Purpose: +; crwal netlist and find mosfet by positon coordinates + +; Example: +; (mosfet-by-position (cell-netlist INV-cell) 1 1) => #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) + +; Definition: + (define mosfet-by-position + (lambda (netlist xaxis yaxis) + (if (null? netlist) + netlist + (let ((mosfet (car netlist))) + ; check coordinates + (if (and (equal? (mosfet-xaxis mosfet) xaxis) + (equal? (mosfet-yaxis mosfet) yaxis)) + mosfet + (mosfet-by-position netlist xaxis yaxis)) + ) + ) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-by-position (cell-netlist INV-cell) 1 1) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-by-position test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------------------------------------------------------------- ;; AUXILARY STUFF ;; ------------------------------------------------------------------- diff --git a/Tools/popcorn/popcorn.scm b/Tools/popcorn/popcorn.scm index 3f7cc785..f127bac3 100644 --- a/Tools/popcorn/popcorn.scm +++ b/Tools/popcorn/popcorn.scm @@ -346,15 +346,30 @@ Copyright (c) 2019 by chipforge - " ; nand-wise [(equal? expansion-method 'nand) (begin - (write-cell-file (expand-cell-nand (read-cell-file cell-file) stacked-limit)) + (write-cell-file (expand-cell-nand (read-cell-file cell-file) stacked-limit buffer-limit)) 0 ; exit value - ) + ) ] ; nor-wise [(equal? expansion-method 'nor) -;; (begin -;; 0 ; exit value -;; ) + (begin + (write-cell-file (expand-cell-nor (read-cell-file cell-file) stacked-limit buffer-limit)) + 0 ; exit value + ) + ] + ; aoi-wise + [(equal? expansion-method 'aoi) + (begin + (write-cell-file (expand-cell-aoi (read-cell-file cell-file) stacked-limit buffer-limit)) + 0 ; exit value + ) + ] + ; oai-wise + [(equal? expansion-method 'oai) + (begin + (write-cell-file (expand-cell-oai (read-cell-file cell-file) stacked-limit buffer-limit)) + 0 ; exit value + ) ] ; selection failed, unknown expansion-method [else From a8d766c5916cd9c3b9b1086f0ae2ffadf743bcf2 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 13 Aug 2019 16:40:28 +0200 Subject: [PATCH 058/673] [CELLS] save current makefile version --- Catalog/GNUmakefile | 34 +++++++++++++++++++++++----------- 1 file changed, 23 insertions(+), 11 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 05649795..83719532 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -51,11 +51,17 @@ RM ?= rm -f TAR ?= tar -zh DATE := $(shell date +%Y%m%d) -# project tools +# project settings +# Attention! limit/buffer changes will impact cell catalog -POPCORN ?= ../Tools/tcl/popcorn -CELLS = INV.cell NAND2.cell NAND3.cell AND4.cell NOR2.cell NOR3.cell OR4.cell LIMIT = 4 +BUFFER = $(LIMIT) +CELLS = AOI21.cell \ + NAND2.cell NAND3.cell AND4.cell \ + NOR2.cell NOR3.cell OR4.cell \ + OAI21.cell + +POPCORN ?= ../Tools/popcorn/popcorn -l $(LIMIT) -b $(BUFFER) # ---------------------------------------------------------------- # DEFAULT TARGETS @@ -78,30 +84,36 @@ help: .PHONY: clean clean: -# $(RM) *.aux *.idx *.log *.toc *.out + $(RM) $(CELLS) # ---------------------------------------------------------------- -# DOCUMENTATION TARGETS +# CELL TARGETS # ---------------------------------------------------------------- .PHONY: catalog catalog: $(CELLS) AND4.cell: NAND3.cell - $(POPCORN) -l $(LIMIT) -n nand -c $@ -b $(LIMIT) $< + $(POPCORN) -m nand $< > $@ + +AOI21.cell: NOR2.cell + $(POPCORN) -m aoi $< > $@ NAND2.cell: INV.cell - $(POPCORN) -l $(LIMIT) -n nand -c $@ $< + $(POPCORN) -m nand $< > $@ NAND3.cell: NAND2.cell - $(POPCORN) -l $(LIMIT) -n nand -c $@ $< + $(POPCORN) -m nand $< > $@ NOR2.cell: INV.cell - $(POPCORN) -l $(LIMIT) -n nor -c $@ $< + $(POPCORN) -m nor $< > $@ NOR3.cell: NOR2.cell - $(POPCORN) -l $(LIMIT) -n nor -c $@ $< + $(POPCORN) -m nor $< > $@ + +OAI21.cell: NAND2.cell + $(POPCORN) -m oai $< > $@ OR4.cell: NOR3.cell - $(POPCORN) -l $(LIMIT) -n nor -b $(LIMIT) -c $@ $< + $(POPCORN) -m nor $< > $@ From 5050322c46a9f68c7c7ed8634a596568283f404d Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 16 Aug 2019 14:42:16 +0200 Subject: [PATCH 059/673] [CELLS] fix bulk node for INV --- Catalog/INV.cell | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/INV.cell b/Catalog/INV.cell index 1226dda9..af635eb0 100644 --- a/Catalog/INV.cell +++ b/Catalog/INV.cell @@ -18,5 +18,5 @@ a Not (or Inverter) gate # | # _|_ Gnd pmos A Y vdd vdd 1 1 1 -nmos A Y gnd vdd 1 1 -1 +nmos A Y gnd gnd 1 1 -1 .end From 6eedf6c46d8bb8f57700eebb62d0cf7d43cfc4e3 Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 16 Aug 2019 14:52:33 +0200 Subject: [PATCH 060/673] [CELLS] split catalog dependencies for cell generation on level-based sub-makefiles --- Catalog/GNUmakefile | 68 ++++++------- Catalog/stacked2_cells.mk | 91 +++++++++++++++++ Catalog/stacked3_cells.mk | 200 ++++++++++++++++++++++++++++++++++++++ Catalog/stacked4_cells.mk | 173 +++++++++++++++++++++++++++++++++ Catalog/stacked5_cells.mk | 92 ++++++++++++++++++ 5 files changed, 590 insertions(+), 34 deletions(-) create mode 100644 Catalog/stacked2_cells.mk create mode 100644 Catalog/stacked3_cells.mk create mode 100644 Catalog/stacked4_cells.mk create mode 100644 Catalog/stacked5_cells.mk diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 83719532..7c2443aa 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -17,7 +17,8 @@ # # //////////////////////////////////////////////////////////////// # -# Copyright (c) 2018 by chipforge +# Copyright (c) 2018, 2019 by +# chipforge - # All rights reserved. # # This Standard Cell Library is licensed under the Libre Silicon @@ -52,16 +53,16 @@ TAR ?= tar -zh DATE := $(shell date +%Y%m%d) # project settings + +CELLS = + # Attention! limit/buffer changes will impact cell catalog -LIMIT = 4 -BUFFER = $(LIMIT) -CELLS = AOI21.cell \ - NAND2.cell NAND3.cell AND4.cell \ - NOR2.cell NOR3.cell OR4.cell \ - OAI21.cell +LIMIT ?= 5 +BUFFER ?= $(LIMIT) # recommended, but free to reduce +LELVEL ?= $(LIMIT) # hack for fencing expand algorithmen -POPCORN ?= ../Tools/popcorn/popcorn -l $(LIMIT) -b $(BUFFER) +POPCORN ?= ../Tools/popcorn/popcorn -l $(LEVEL) -b $(BUFFER) # ---------------------------------------------------------------- # DEFAULT TARGETS @@ -78,9 +79,14 @@ help: $(ECHO) " help - print this help screen" $(ECHO) " clean - clean up all intermediate files" $(ECHO) "" - $(ECHO) " catalog - compile catalog cells" + $(ECHO) " catalog - compile *all* catalog cells (see list below)" + $(ECHO) "" + $(ECHO) "-------------------------------------------------------------------" + $(ECHO) " available cells [limit=" $(LIMIT) "+ buffer=" $(BUFFER)"]:" + $(ECHO) "-------------------------------------------------------------------" + $(ECHO) "" + $(ECHO) $(CELLS) $(ECHO) "" - .PHONY: clean clean: @@ -90,30 +96,24 @@ clean: # CELL TARGETS # ---------------------------------------------------------------- +# include makefile with highest number of (allowed) stacked transistors + +ifeq ($(LIMIT),2) + include stacked2_cells.mk +else +ifeq ($(LIMIT),3) + include stacked3_cells.mk +else +ifeq ($(LIMIT),4) + include stacked4_cells.mk +else +ifeq ($(LIMIT),5) + include stacked5_cells.mk +endif +endif +endif +endif + .PHONY: catalog catalog: $(CELLS) -AND4.cell: NAND3.cell - $(POPCORN) -m nand $< > $@ - -AOI21.cell: NOR2.cell - $(POPCORN) -m aoi $< > $@ - -NAND2.cell: INV.cell - $(POPCORN) -m nand $< > $@ - -NAND3.cell: NAND2.cell - $(POPCORN) -m nand $< > $@ - -NOR2.cell: INV.cell - $(POPCORN) -m nor $< > $@ - -NOR3.cell: NOR2.cell - $(POPCORN) -m nor $< > $@ - -OAI21.cell: NAND2.cell - $(POPCORN) -m oai $< > $@ - -OR4.cell: NOR3.cell - $(POPCORN) -m nor $< > $@ - diff --git a/Catalog/stacked2_cells.mk b/Catalog/stacked2_cells.mk new file mode 100644 index 00000000..0adc8b72 --- /dev/null +++ b/Catalog/stacked2_cells.mk @@ -0,0 +1,91 @@ +# ************ LibreSilicon's StdCellLibrary ******************* +# +# Organisation: Chipforge +# Germany / European Union +# +# Profile: Chipforge focus on fine System-on-Chip Cores in +# Verilog HDL Code which are easy understandable and +# adjustable. For further information see +# www.chipforge.org +# there are projects from small cores up to PCBs, too. +# +# File: StdCellLib/Catalog/stacked2_cells.mk +# +# Purpose: Makefile for Cell Generation with popcorn +# +# ************ GNU Make 3.80 Source Code **************** +# +# //////////////////////////////////////////////////////////////// +# +# Copyright (c) 2018, 2019 by +# chipforge - +# All rights reserved. +# +# This Standard Cell Library is licensed under the Libre Silicon +# public license; you can redistribute it and/or modify it under +# the terms of the Libre Silicon public license as published by +# the Libre Silicon alliance, either version 1 of the License, or +# (at your option) any later version. +# +# This design is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +# See the Libre Silicon Public License for more details. +# +# //////////////////////////////////////////////////////////////////// + +# ---------------------------------------------------------------- +# DESCRIPTION +# ---------------------------------------------------------------- + +# list all dependencies for cells with up to 2 stacked transistors + +# ---------------------------------------------------------------- +# CELL TARGETS +# ---------------------------------------------------------------- + +ifeq ($(BUFFER),2) + +# -------- now buffered ------------------------------------ + +CELLS += AND2.cell \ + AO21.cell \ + OA21.cell \ + OR2.cell + +AND2.cell: INV.cell + $(POPCORN) -m nand $< > $@ + +AO21.cell: OR2.cell + $(POPCORN) -m aoi $< > $@ + +OA21.cell: AND2.cell + $(POPCORN) -m oai $< > $@ + +OR2.cell: INV.cell + $(POPCORN) -m nor $< > $@ + +BUFFERED = true + +else + +# -------- not buffered ------------------------------------ + +CELLS += AOI21.cell \ + NAND2.cell \ + NOR2.cell \ + OAI21.cell + +AOI21.cell: NOR2.cell + $(POPCORN) -m aoi $< > $@ + +NAND2.cell: INV.cell + $(POPCORN) -m nand $< > $@ + +NOR2.cell: INV.cell + $(POPCORN) -m nor $< > $@ + +OAI21.cell: NAND2.cell + $(POPCORN) -m oai $< > $@ + +endif diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk new file mode 100644 index 00000000..c0675008 --- /dev/null +++ b/Catalog/stacked3_cells.mk @@ -0,0 +1,200 @@ +# ************ LibreSilicon's StdCellLibrary ******************* +# +# Organisation: Chipforge +# Germany / European Union +# +# Profile: Chipforge focus on fine System-on-Chip Cores in +# Verilog HDL Code which are easy understandable and +# adjustable. For further information see +# www.chipforge.org +# there are projects from small cores up to PCBs, too. +# +# File: StdCellLib/Catalog/stacked3_cells.mk +# +# Purpose: Makefile for Cell Generation with popcorn +# +# ************ GNU Make 3.80 Source Code **************** +# +# //////////////////////////////////////////////////////////////// +# +# Copyright (c) 2018, 2019 by +# chipforge - +# All rights reserved. +# +# This Standard Cell Library is licensed under the Libre Silicon +# public license; you can redistribute it and/or modify it under +# the terms of the Libre Silicon public license as published by +# the Libre Silicon alliance, either version 1 of the License, or +# (at your option) any later version. +# +# This design is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +# See the Libre Silicon Public License for more details. +# +# //////////////////////////////////////////////////////////////////// + +# ---------------------------------------------------------------- +# DESCRIPTION +# ---------------------------------------------------------------- + +# list all dependencies for cells with 3 stacked transistors +# +include stacked2_cells.mk + +# ---------------------------------------------------------------- +# CELL TARGETS +# ---------------------------------------------------------------- + +ifdef BUFFERED + +# -------- already buffered -------------------------------- + +CELLS += AND3.cell \ + AO211.cell \ + AO31.cell \ + AO311.cell \ + AOA211.cell \ + AOA221.cell \ + OA211.cell \ + OA31.cell \ + OA311.cell \ + OAO211.cell \ + OR3.cell + +AND3.cell: AND2.cell + $(POPCORN) -m nand $< > $@ + +AO211.cell: OR3.cell + $(POPCORN) -m aoi $< > $@ + +AO31.cell: AO21.cell + $(POPCORN) -m aoi $< > $@ + +AO311.cell: AO211.cell + $(POPCORN) -m aoi $< > $@ + +AOA211.cell: OA21.cell + $(POPCORN) -m nand $< > $@ + +AOA221.cell: OA31.cell + $(POPCORN) -m nand $< > $@ + +OA211.cell: AND3.cell + $(POPCORN) -m oai $< > $@ + +OA31.cell: OA21.cell + $(POPCORN) -m oai $< > $@ + +OA311.cell: OA211.cell + $(POPCORN) -m oai $< > $@ + +OAO211.cell: AO21.cell + $(POPCORN) -m nor $< > $@ + +OR3.cell: OR2.cell + $(POPCORN) -m nor $< > $@ + +else +ifeq ($(BUFFER),3) + +# -------- now buffered ------------------------------------ + +CELLS += AND3.cell \ + AO211.cell \ + AO31.cell \ + AO311.cell \ + AOA211.cell \ + AOA221.cell \ + OA211.cell \ + OA31.cell \ + OA311.cell \ + OAO211.cell \ + OR3.cell + +AND3.cell: NAND2.cell + $(POPCORN) -m nand $< > $@ + +AO211.cell: OR3.cell + $(POPCORN) -m aoi $< > $@ + +AO31.cell: AOI21.cell + $(POPCORN) -m aoi $< > $@ + +AO311.cell: AO211.cell + $(POPCORN) -m aoi $< > $@ + +AOA211.cell: OAI21.cell + $(POPCORN) -m nand $< > $@ + +AOA221.cell: OA31.cell + $(POPCORN) -m nand $< > $@ + +OA211.cell: AND3.cell + $(POPCORN) -m oai $< > $@ + +OA31.cell: OAI21.cell + $(POPCORN) -m oai $< > $@ + +OA311.cell: OA211.cell + $(POPCORN) -m oai $< > $@ + +OAO211.cell: AOI21.cell + $(POPCORN) -m nor $< > $@ + +OR3.cell: NOR2.cell + $(POPCORN) -m nor $< > $@ + +BUFFERED = true + +else + +# -------- not buffered ------------------------------------ + +CELLS += AOAI211.cell \ + AOAI221.cell \ + AOI211.cell \ + AOI31.cell \ + AOI311.cell \ + NAND3.cell \ + NOR3.cell \ + OAI211.cell \ + OAI31.cell \ + OAI311.cell \ + OAOI211.cell \ + +AOAI211.cell: OAI21.cell + $(POPCORN) -m nand $< > $@ + +AOAI221.cell: OAI31.cell + $(POPCORN) -m nand $< > $@ + +AOI211.cell: NOR3.cell + $(POPCORN) -m aoi $< > $@ + +AOI31.cell: AOI21.cell + $(POPCORN) -m aoi $< > $@ + +AOI311.cell: AOI211.cell + $(POPCORN) -m aoi $< > $@ + +NAND3.cell: NAND2.cell + $(POPCORN) -m nand $< > $@ + +NOR3.cell: NOR2.cell + $(POPCORN) -m nor $< > $@ + +OAI211.cell: NAND3.cell + $(POPCORN) -m oai $< > $@ + +OAI31.cell: OAI21.cell + $(POPCORN) -m oai $< > $@ + +OAI311.cell: OAI211.cell + $(POPCORN) -m oai $< > $@ + +OAOI211.cell: AOI21.cell + $(POPCORN) -m nor $< > $@ + +endif +endif diff --git a/Catalog/stacked4_cells.mk b/Catalog/stacked4_cells.mk new file mode 100644 index 00000000..da2ae68b --- /dev/null +++ b/Catalog/stacked4_cells.mk @@ -0,0 +1,173 @@ +# ************ LibreSilicon's StdCellLibrary ******************* +# +# Organisation: Chipforge +# Germany / European Union +# +# Profile: Chipforge focus on fine System-on-Chip Cores in +# Verilog HDL Code which are easy understandable and +# adjustable. For further information see +# www.chipforge.org +# there are projects from small cores up to PCBs, too. +# +# File: StdCellLib/Catalog/stacked4_cells.mk +# +# Purpose: Makefile for Cell Generation with popcorn +# +# ************ GNU Make 3.80 Source Code **************** +# +# //////////////////////////////////////////////////////////////// +# +# Copyright (c) 2018, 2019 by +# chipforge - +# All rights reserved. +# +# This Standard Cell Library is licensed under the Libre Silicon +# public license; you can redistribute it and/or modify it under +# the terms of the Libre Silicon public license as published by +# the Libre Silicon alliance, either version 1 of the License, or +# (at your option) any later version. +# +# This design is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +# See the Libre Silicon Public License for more details. +# +# //////////////////////////////////////////////////////////////////// + +# ---------------------------------------------------------------- +# DESCRIPTION +# ---------------------------------------------------------------- + +# list all dependencies for cells with 4 stacked transistors +# +include stacked3_cells.mk + +# ---------------------------------------------------------------- +# CELL TARGETS +# ---------------------------------------------------------------- + +# -------- already buffered -------------------------------- + +ifdef BUFFERED + +CELLS += AND4.cell \ + AO2111.cell \ + AOA2111.cell \ + AOA311.cell \ + OA211.cell \ + OA2111.cell \ + OA41.cell \ + OAO2111.cell \ + OR4.cell + +AND4.cell: AND3.cell + $(POPCORN) -m nand $< > $@ + +AO2111.cell: OR4.cell + $(POPCORN) -m aoi $< > $@ + +AOA2111.cell: OA211.cell + $(POPCORN) -m nand $< > $@ + +AOA311.cell: AOA211.cell + $(POPCORN) -m aoi $< > $@ + +OA211.cell: AND3.cell + $(POPCORN) -m oai $< > $@ + +OA2111.cell: AND4.cell + $(POPCORN) -m oai $< > $@ + +OA41.cell: OA31.cell + $(POPCORN) -m oai $< > $@ + +OAO2111.cell: AO211.cell + $(POPCORN) -m nor $< > $@ + +OR4.cell: OR3.cell + $(POPCORN) -m nor $< > $@ + +# -------- now buffered ------------------------------------ + +else +ifeq ($(BUFFER),4) + +CELLS += AND4.cell \ + AO2111.cell \ + AOA2111.cell \ + AOA311.cell \ + OA211.cell \ + OA2111.cell \ + OA41.cell \ + OAO2111.cell \ + OR4.cell + +AND4.cell: NAND3.cell + $(POPCORN) -m nand $< > $@ + +AO2111.cell: OR4.cell + $(POPCORN) -m aoi $< > $@ + +AOA2111.cell: OAI211.cell + $(POPCORN) -m nand $< > $@ + +AOA311.cell: AOAI211.cell + $(POPCORN) -m aoi $< > $@ + +OA211.cell: AND3.cell + $(POPCORN) -m oai $< > $@ + +OA2111.cell: AND4.cell + $(POPCORN) -m oai $< > $@ + +OA41.cell: OAI31.cell + $(POPCORN) -m oai $< > $@ + +OAO2111.cell: AOI211.cell + $(POPCORN) -m nor $< > $@ + +OR4.cell: NOR3.cell + $(POPCORN) -m nor $< > $@ + +BUFFERED = true + +# -------- not buffered ------------------------------------ + +else + +CELLS += AOAI2111.cell \ + AOAI311.cell \ + AOI2111.cell \ + NAND4.cell \ + NOR4.cell \ + OAI211.cell \ + OAI2111.cell \ + OAI41.cell \ + OAOI2111.cell + +AOAI2111.cell: OAI211.cell + $(POPCORN) -m nand $< > $@ + +AOAI311.cell: AOAI211.cell + $(POPCORN) -m aoi $< > $@ + +AOI2111.cell: NOR4.cell + $(POPCORN) -m aoi $< > $@ + +NAND4.cell: NAND3.cell + $(POPCORN) -m nand $< > $@ + +NOR4.cell: NOR3.cell + $(POPCORN) -m nor $< > $@ + +OAI2111.cell: NAND4.cell + $(POPCORN) -m oai $< > $@ + +OAI41.cell: OAI31.cell + $(POPCORN) -m oai $< > $@ + +OAOI2111.cell: AOI211.cell + $(POPCORN) -m nor $< > $@ + +endif +endif diff --git a/Catalog/stacked5_cells.mk b/Catalog/stacked5_cells.mk new file mode 100644 index 00000000..14e314db --- /dev/null +++ b/Catalog/stacked5_cells.mk @@ -0,0 +1,92 @@ +# ************ LibreSilicon's StdCellLibrary ******************* +# +# Organisation: Chipforge +# Germany / European Union +# +# Profile: Chipforge focus on fine System-on-Chip Cores in +# Verilog HDL Code which are easy understandable and +# adjustable. For further information see +# www.chipforge.org +# there are projects from small cores up to PCBs, too. +# +# File: StdCellLib/Catalog/stacked5_cells.mk +# +# Purpose: Makefile for Cell Generation with popcorn +# +# ************ GNU Make 3.80 Source Code **************** +# +# //////////////////////////////////////////////////////////////// +# +# Copyright (c) 2018, 2019 by +# chipforge - +# All rights reserved. +# +# This Standard Cell Library is licensed under the Libre Silicon +# public license; you can redistribute it and/or modify it under +# the terms of the Libre Silicon public license as published by +# the Libre Silicon alliance, either version 1 of the License, or +# (at your option) any later version. +# +# This design is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +# See the Libre Silicon Public License for more details. +# +# //////////////////////////////////////////////////////////////////// + +# ---------------------------------------------------------------- +# DESCRIPTION +# ---------------------------------------------------------------- + +# list all dependencies for cells with 5 stacked transistors +# +include stacked4_cells.mk + +# ---------------------------------------------------------------- +# CELL TARGETS +# ---------------------------------------------------------------- + +# -------- already buffered -------------------------------- + +ifdef BUFFERED + +CELLS += AND5.cell \ + OR5.cell + +AND5.cell: AND4.cell + $(POPCORN) -m nand $< > $@ + +OR5.cell: OR4.cell + $(POPCORN) -m nor $< > $@ + +# -------- now buffered ------------------------------------ + +else +ifeq ($(BUFFER),5) + +CELLS += AND5.cell \ + OR5.cell + +AND5.cell: NAND4.cell + $(POPCORN) -m nand $< > $@ + +OR5.cell: NOR4.cell + $(POPCORN) -m nor $< > $@ + +BUFFERED = true + +# -------- not buffered ------------------------------------ + +else + +CELLS += NAND5.cell \ + NOR5.cell + +NAND5.cell: NAND4.cell + $(POPCORN) -m nand $< > $@ + +NOR5.cell: NOR4.cell + $(POPCORN) -m nor $< > $@ + +endif +endif From 5dfbcc362cfc824b31bc710aec2c2f04d36104ba Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 16 Aug 2019 15:38:31 +0000 Subject: [PATCH 061/673] Added resistor capability to cell<->spice converters --- Tools/perl/cell2spice.pl | 6 ++++++ Tools/perl/spice2cell.pl | 28 +++++++++++++++++++++++++--- 2 files changed, 31 insertions(+), 3 deletions(-) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index 7f802577..a1a34786 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -40,6 +40,12 @@ #$transistors.="+ ad=0p pd=0u as=0p ps=0u\n"; $M++; } + if(m/^res (\w+) (\w+) (\w+)/i) + { + my($n1,$n2,$v)=($1,$2,$3); + $transistors.="R$M $n1 $n2 $v\n"; + $M++; + } } $ios=~s/,/ /g;$ios=~s/ / /g; $ios=~s/^ //; $ios=~s/ $//; print OUT ".subckt $short vdd gnd $ios\n"; diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl index d2b51163..a666451d 100755 --- a/Tools/perl/spice2cell.pl +++ b/Tools/perl/spice2cell.pl @@ -44,12 +44,20 @@ ($) $internalnets{$in}=$internalcounter++ if(!defined($internalnets{$in})); return $internalnets{$in}; } +sub internal2($) +{ + my $in=$_[0]; + return $in unless($in=~m/\@/); + #print $OUT "#$in? $internalcounter\n"; + $internalnets{$in}=$internalcounter++ if(!defined($internalnets{$in})); + return $internalnets{$in}; +} if($ARGV[0] && open IN,"<$ARGV[0]") { while() { - if(m/^\.subckt (\w+) (.*)$/) + if(m/^\.subckt (\w+) (.*)$/ || m/TOP LEVEL CELL: (\w+)\{sch\}()/) { $name=$1; $pins=$2; @@ -72,9 +80,23 @@ ($) $s=internal($s); print $OUT $mosmap{$m}." $g $d $s\n"; } - elsif(m/^R\d+ /) + elsif(m/^M(n|p)mos\@\d+ (\w+\@?\d*) (\w+\@?\d*) (\w+\@?\d*) (\w+\@?\d*)/) + { + my ($g,$d,$s,$m)=($3,$2,$4,$1."mos"); + $g=internal2($g); + $d=internal2($d); + $s=internal2($s); + print $OUT $mosmap{$m}." $g $d $s\n"; + } + elsif(m/^R\w+\@\d+ (\w+\@?\d*) (\w+\@?\d*) (\d+\.?\d*)/) + { # Rres@0 net@25 YPAD 100 + my ($n1,$n2,$v)=($1,$2,$3); + $n1=internal2($n1); + $n2=internal2($n2); + print $OUT "res $n1 $n2 $v\n"; + } + elsif(m/^\*/) { - #TODO: Resistors for Padcells } elsif(m/^\+/) { From 5afcd344a94898aac8ae82346b585dc1db8548de Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 16 Aug 2019 15:49:30 +0000 Subject: [PATCH 062/673] Moved to lambda scale --- Tech/librecell_tech.py | 103 +++++++++++++++++++++-------------------- 1 file changed, 52 insertions(+), 51 deletions(-) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index 23ac5a1f..3e462aff 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -4,6 +4,9 @@ # Libresilicon: 100nm was chosen, so 1 lambda is 5 units of 1e-7, so every lambda value has to be multiplied by 5 db_unit = 1e-7 +# Lambda - how many db_units is 1 lambda? +l = 5 + # Scale transistor width. transistor_channel_width_sizing = 1 @@ -56,22 +59,22 @@ # Minimum spacing rules for layer pairs. min_spacing = { - (l_active, l_active): 15, # 3 -> 3l - (l_active, l_poly_contact): 20, # 2.6.6 -> 4l - (l_nwell, l_nwell): 50, # 3 -> 10l - (l_nwell, l_pwell): 60, # 2.2.4->12l - (l_pwell, l_pwell): 50, # 3 -> 10l + (l_active, l_active): 3*l, # 3 -> 3l + (l_active, l_poly_contact): 4*l, # 2.6.6 -> 4l + (l_nwell, l_nwell): 10*l, # 3 -> 10l + (l_nwell, l_pwell): 12*l, # 2.2.4->12l + (l_pwell, l_pwell): 10*l, # 3 -> 10l #(l_poly, l_nwell): 10, # No rule? - (l_poly, l_active): 5, # 2.4.6 -> 1l - (l_poly, l_poly): 5, # 3 POLY -> 2l XXX: TODO: THIS NEEDS TO BE INCREASED TO 10 (2l) BUT AT THE MOMENT IT WOULD BREAK THE ROUTING - (l_poly, l_diff_contact): 10, # The maximum "minimum spacing" from poly to anything else is 2l - (l_diff_contact, l_diff_contact): 10, # 3 -> 2l - (l_metal1, l_metal1): 20, # 3 METAL1 -> 4l # !!!! WARNING: Spacing to BigMetal (>=10um) needs to be 6l ! - (l_metal2, l_metal2): 20, # 3 METAL2 -> 4l - (l_via1, l_via1): 15, # 3 VIA1 -> 3l - (l_via1, l_diff_contact): 10, # 2.8.3 -> 2l - (l_via1, l_active): 10, # 2.8.4 -> 2l - (l_poly_contact, l_diff_contact): 20, + (l_poly, l_active): 1*l, # 2.4.6 -> 1l + (l_poly, l_poly): 1*l, # 3 POLY -> 2l XXX: TODO: THIS NEEDS TO BE INCREASED TO 10 (2l) BUT AT THE MOMENT IT WOULD BREAK THE ROUTING + (l_poly, l_diff_contact): 2*l, # The maximum "minimum spacing" from poly to anything else is 2l + (l_diff_contact, l_diff_contact): 2*l, # 3 -> 2l + (l_metal1, l_metal1): 4*l, # 3 METAL1 -> 4l # !!!! WARNING: Spacing to BigMetal (>=10um) needs to be 6l ! + (l_metal2, l_metal2): 4*l, # 3 METAL2 -> 4l + (l_via1, l_via1): 3*l, # 3 VIA1 -> 3l + (l_via1, l_diff_contact): 2*l, # 2.8.3 -> 2l + (l_via1, l_active): 2*l, # 2.8.4 -> 2l + (l_poly_contact, l_diff_contact): 4*l, } # Layer for the pins. @@ -85,14 +88,14 @@ connectable_layers = {l_nwell, l_pwell} # Width of the gate polysilicon stripe. # is reused as the minimum_width for the l_poly layer -gate_length = 10 # 2.4.1 -> 2l +gate_length = 2*l # 2.4.1 -> 2l # Minimum length a polysilicon gate must overlap the silicon. -gate_extension = 10 # 2.4.4 -> 2l +gate_extension = 2*l # 2.4.4 -> 2l # Routing pitch -routing_grid_pitch_x = 20 # unit_cell_width // 8 -routing_grid_pitch_y = 20 # unit_cell_height // 30 +routing_grid_pitch_x = 4*l # unit_cell_width // 8 +routing_grid_pitch_y = 4*l # unit_cell_height // 30 # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. @@ -106,77 +109,75 @@ grid_offset_y = (routing_grid_pitch_y // 2 ) -0 # Width of power rail. -power_rail_width = 30 +power_rail_width = 6*l # Between 2 and 3 um # Minimum width of polysilicon gate stripes. # I think this should be (extension over active) + (minimum width of active) + (extension over active) # No, it seems to be something else. # It increases w and l from the spice netlist, so it must be width from the spice netlist -minimum_gate_width_nfet = 10 -minimum_gate_width_pfet = 10 +minimum_gate_width_nfet = 2*l +minimum_gate_width_pfet = 2*l # Minimum width for pins. -minimum_pin_width = 10 # 2l said leviathanch +minimum_pin_width = 2*l # 2l said leviathanch # Width of routing wires. wire_width = { - l_nwell: 10, # ?!? Why is there a wire with for nwell/pwell?!? - l_pwell: 10, - l_poly: 10, # 2.4.1 -> 2l - l_metal1: 20, # 2.7.1 -> 4l - l_metal2: 20, # 2.9.1 -> 4l + l_poly: 2*l, # 2.4.1 -> 2l + l_metal1: 4*l, # 2.7.1 -> 4l + l_metal2: 4*l, # 2.9.1 -> 4l } # Width of horizontal routing wires (overwrites `wire_width`). wire_width_horizontal = { - l_poly: 10, # 2.4.1 -> 2l - l_metal1: 20, # 2.7.1 -> 4l - l_metal2: 20, # 2.9.1 -> 4l + l_poly: 2*l, # 2.4.1 -> 2l + l_metal1: 4*l, # 2.7.1 -> 4l + l_metal2: 4*l, # 2.9.1 -> 4l } # Side lengths of vias (square shaped). via_size = { - l_poly_contact: 10, # 2.6.1 -> 2l - l_diff_contact: 10, # 2.6.1 -> 2l - l_via1: 10 # 2.8.1 -> 2l + l_poly_contact: 2*l, # 2.6.1 -> 2l + l_diff_contact: 2*l, # 2.6.1 -> 2l + l_via1: 2*l # 2.8.1 -> 2l # l_via2: 10 # 2.10.1 -> 2l librecell only goes to metal2, via2 would go to metal3 } # Minimum width rules. minimum_width = { - l_active: 10, # 4 l + l_active: 2*l, # 4 l l_poly: gate_length, # 2.4.1-> 2l - l_metal1: 20, # 2.7.1 -> 4l - l_metal2: 20, # 2.9.1 -> 4l + l_metal1: 4*l, # 2.7.1 -> 4l + l_metal2: 4*l, # 2.9.1 -> 4l } # Minimum enclosure rules. # Syntax: {(outer layer, inner layer): minimum enclosure, ...} minimum_enclosure = { # Via enclosure - (l_active, l_diff_contact): 5, # 2.3.3 -> 6l Source/Drain are DIFF's - (l_poly, l_poly_contact): 5, # 2.6.2 -> 1l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT - (l_metal1, l_diff_contact): 5, # 2.7.3 -> 1l - (l_metal1, l_poly_contact): 5, # 2.7.3 -> 1l - (l_metal1, l_via1): 5,# 2.7.3 -> 1l - (l_metal2, l_via1): 5,# 2.9.3 -> 1l + (l_active, l_diff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's + (l_poly, l_poly_contact): 1*l, # 2.6.2 -> 1l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT + (l_metal1, l_diff_contact): 1*l, # 2.7.3 -> 1l + (l_metal1, l_poly_contact): 1*l, # 2.7.3 -> 1l + (l_metal1, l_via1): 1*l,# 2.7.3 -> 1l + (l_metal2, l_via1): 1*l,# 2.9.3 -> 1l # l_nwell must overlap l_active - (l_nwell, l_active): 10, # 2.3.3 -> 2l - (l_pwell, l_active): 10, # 2.3.3 -> 2l + (l_nwell, l_active): 2*l, # 2.3.3 -> 2l + (l_pwell, l_active): 2*l, # 2.3.3 -> 2l (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment (l_abutment_box, l_pwell): 0, } # Minimum notch rules. minimum_notch = { - l_active: 5, - l_poly: 5, - l_metal1: 5, - l_metal2: 5, - l_nwell: 5, - l_pwell: 5, + l_active: 1*l, + l_poly: 1*l, + l_metal1: 1*l, + l_metal2: 1*l, + l_nwell: 1*l, + l_pwell: 1*l, } # Minimum area rules. From e755f5863ea8a5381340c2afe583a28160c4062a Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 16 Aug 2019 19:37:05 +0200 Subject: [PATCH 063/673] [CELLS] Popcorn makefile for stacked limit 2 complete --- Catalog/stacked2_cells.mk | 22 +++++++++++++++++++++- 1 file changed, 21 insertions(+), 1 deletion(-) diff --git a/Catalog/stacked2_cells.mk b/Catalog/stacked2_cells.mk index 0adc8b72..d98f81f9 100644 --- a/Catalog/stacked2_cells.mk +++ b/Catalog/stacked2_cells.mk @@ -50,7 +50,9 @@ ifeq ($(BUFFER),2) CELLS += AND2.cell \ AO21.cell \ + AO22.cell \ OA21.cell \ + OA22.cell \ OR2.cell AND2.cell: INV.cell @@ -59,9 +61,17 @@ AND2.cell: INV.cell AO21.cell: OR2.cell $(POPCORN) -m aoi $< > $@ +AO22.cell: LEVEL = 2 +AO22.cell: AO21.cell + $(POPCORN) -m oai $< > $@ + OA21.cell: AND2.cell $(POPCORN) -m oai $< > $@ +OA22.cell: LEVEL = 2 +OA22.cell: OA21.cell + $(POPCORN) -m oai $< > $@ + OR2.cell: INV.cell $(POPCORN) -m nor $< > $@ @@ -72,13 +82,19 @@ else # -------- not buffered ------------------------------------ CELLS += AOI21.cell \ + AOI22.cell \ NAND2.cell \ NOR2.cell \ - OAI21.cell + OAI21.cell \ + OAI22.cell AOI21.cell: NOR2.cell $(POPCORN) -m aoi $< > $@ +AOI22.cell: LEVEL = 2 +AOI22.cell: AOI21.cell + $(POPCORN) -m oai $< > $@ + NAND2.cell: INV.cell $(POPCORN) -m nand $< > $@ @@ -88,4 +104,8 @@ NOR2.cell: INV.cell OAI21.cell: NAND2.cell $(POPCORN) -m oai $< > $@ +OAI22.cell: LEVEL = 2 +OAI22.cell: OAI21.cell + $(POPCORN) -m oai $< > $@ + endif From c6a85191d637074e39f5153d894e0f05c777a9d3 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 18 Aug 2019 21:44:44 +0200 Subject: [PATCH 064/673] [CELLS] move INV.cell to INV only --- Catalog/{INV.cell => INV} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename Catalog/{INV.cell => INV} (100%) diff --git a/Catalog/INV.cell b/Catalog/INV similarity index 100% rename from Catalog/INV.cell rename to Catalog/INV From 3583e8f5ac22da3863ebf68ec7fd01b0bff20bef Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 18 Aug 2019 21:49:28 +0200 Subject: [PATCH 065/673] [CELLS] remove file ending, make Makefile easier --- Catalog/GNUmakefile | 2 +- Catalog/stacked2_cells.mk | 80 ++++---- Catalog/stacked3_cells.mk | 420 ++++++++++++++++++++++++++++---------- Catalog/stacked4_cells.mk | 229 ++++++++++++++------- Catalog/stacked5_cells.mk | 36 ++-- 5 files changed, 521 insertions(+), 246 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 7c2443aa..af7bbe1e 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -60,7 +60,7 @@ CELLS = LIMIT ?= 5 BUFFER ?= $(LIMIT) # recommended, but free to reduce -LELVEL ?= $(LIMIT) # hack for fencing expand algorithmen +LEVEL ?= $(LIMIT) # hack for fencing expand algorithmen POPCORN ?= ../Tools/popcorn/popcorn -l $(LEVEL) -b $(BUFFER) diff --git a/Catalog/stacked2_cells.mk b/Catalog/stacked2_cells.mk index d98f81f9..c0fae2cb 100644 --- a/Catalog/stacked2_cells.mk +++ b/Catalog/stacked2_cells.mk @@ -48,32 +48,32 @@ ifeq ($(BUFFER),2) # -------- now buffered ------------------------------------ -CELLS += AND2.cell \ - AO21.cell \ - AO22.cell \ - OA21.cell \ - OA22.cell \ - OR2.cell +CELLS += AND2 \ + AO21 \ + AO22 \ + OA21 \ + OA22 \ + OR2 -AND2.cell: INV.cell - $(POPCORN) -m nand $< > $@ +AND2: INV + $(POPCORN) -m nand -c $@ $< > $@ -AO21.cell: OR2.cell - $(POPCORN) -m aoi $< > $@ +AO21: OR2 + $(POPCORN) -m aoi -c $@ $< > $@ -AO22.cell: LEVEL = 2 -AO22.cell: AO21.cell - $(POPCORN) -m oai $< > $@ +AO22: LEVEL = 2 +AO22: AO21 + $(POPCORN) -m oai -c $@ $< > $@ -OA21.cell: AND2.cell - $(POPCORN) -m oai $< > $@ +OA21: AND2 + $(POPCORN) -m oai -c $@ $< > $@ -OA22.cell: LEVEL = 2 -OA22.cell: OA21.cell - $(POPCORN) -m oai $< > $@ +OA22: LEVEL = 2 +OA22: OA21 + $(POPCORN) -m oai -c $@ $< > $@ -OR2.cell: INV.cell - $(POPCORN) -m nor $< > $@ +OR2: INV + $(POPCORN) -m nor -c $@ $< > $@ BUFFERED = true @@ -81,31 +81,31 @@ else # -------- not buffered ------------------------------------ -CELLS += AOI21.cell \ - AOI22.cell \ - NAND2.cell \ - NOR2.cell \ - OAI21.cell \ - OAI22.cell +CELLS += AOI21 \ + AOI22 \ + NAND2 \ + NOR2 \ + OAI21 \ + OAI22 -AOI21.cell: NOR2.cell - $(POPCORN) -m aoi $< > $@ +AOI21: NOR2 + $(POPCORN) -m aoi -c $@ $< > $@ -AOI22.cell: LEVEL = 2 -AOI22.cell: AOI21.cell - $(POPCORN) -m oai $< > $@ +AOI22: LEVEL = 2 +AOI22: AOI21 + $(POPCORN) -m oai -c $@ $< > $@ -NAND2.cell: INV.cell - $(POPCORN) -m nand $< > $@ +NAND2: INV + $(POPCORN) -m nand -c $@ $< > $@ -NOR2.cell: INV.cell - $(POPCORN) -m nor $< > $@ +NOR2: INV + $(POPCORN) -m nor -c $@ $< > $@ -OAI21.cell: NAND2.cell - $(POPCORN) -m oai $< > $@ +OAI21: NAND2 + $(POPCORN) -m oai -c $@ $< > $@ -OAI22.cell: LEVEL = 2 -OAI22.cell: OAI21.cell - $(POPCORN) -m oai $< > $@ +OAI22: LEVEL = 2 +OAI22: OAI21 + $(POPCORN) -m oai -c $@ $< > $@ endif diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index c0675008..0400baf8 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -50,151 +50,355 @@ ifdef BUFFERED # -------- already buffered -------------------------------- -CELLS += AND3.cell \ - AO211.cell \ - AO31.cell \ - AO311.cell \ - AOA211.cell \ - AOA221.cell \ - OA211.cell \ - OA31.cell \ - OA311.cell \ - OAO211.cell \ - OR3.cell +CELLS += AND3 \ + AO211 \ + AO31 \ + AO311 \ + AO32 \ + AO321 \ + AO33 \ + AO331 \ + AO332 \ + AO333 \ + AOA211 \ + AOA221 \ + AOAO2111 \ + OA211 \ + OA31 \ + OA311 \ + OA32 \ + OA321 \ + OA33 \ + OA331 \ + OA332 \ + OA333 \ + OAO211 \ + OAO221 \ + OAOA2111 \ + OR3 + +AND3: AND2 + $(POPCORN) -m nand -c $(*F) -c $@ $< > $@ + +AO211: OR3 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO31: AO21 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO311: AO211 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO32: AO22 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO321: AO221 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO33: LEVEL = 3 +AO33: AO32 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO331: LEVEL = 3 +AO331: AO321 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO332: LEVEL = 3 +AO332: AO331 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO333: LEVEL = 3 +AO333: AO332 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOA211: OA21 + $(POPCORN) -m nand -c $@ $< > $@ + +AOA221: OA31 + $(POPCORN) -m nand -c $@ $< > $@ + +AOAO2111: OAO211 + $(POPCORN) -m nand -c $@ $< > $@ + +OA211: AND3 + $(POPCORN) -m oai -c $@ $< > $@ + +OA31: OA21 + $(POPCORN) -m oai -c $@ $< > $@ + +OA311: OA211 + $(POPCORN) -m oai -c $@ $< > $@ + +OA32: OA22 + $(POPCORN) -m oai -c $@ $< > $@ + +OA321: OA221 + $(POPCORN) -m oai -c $@ $< > $@ + +OA33: LEVEL = 3 +OA33: OA32 + $(POPCORN) -m oai -c $@ $< > $@ + +OA331: LEVEL = 3 +OA331: OA321 + $(POPCORN) -m oai -c $@ $< > $@ + +OA332: LEVEL = 3 +OA332: OA331 + $(POPCORN) -m oai -c $@ $< > $@ + +OA333: LEVEL = 3 +OA333: OA332 + $(POPCORN) -m oai -c $@ $< > $@ + +OAO211: AO21 + $(POPCORN) -m nor -c $@ $< > $@ -AND3.cell: AND2.cell - $(POPCORN) -m nand $< > $@ +OAO221: AO31 + $(POPCORN) -m nor -c $@ $< > $@ -AO211.cell: OR3.cell - $(POPCORN) -m aoi $< > $@ +OAOA2111: AOA211 + $(POPCORN) -m nor -c $@ $< > $@ -AO31.cell: AO21.cell - $(POPCORN) -m aoi $< > $@ +OR3: OR2 + $(POPCORN) -m nor -c $@ $< > $@ -AO311.cell: AO211.cell - $(POPCORN) -m aoi $< > $@ +else +ifeq ($(BUFFER),3) -AOA211.cell: OA21.cell - $(POPCORN) -m nand $< > $@ +# -------- now buffered ------------------------------------ -AOA221.cell: OA31.cell - $(POPCORN) -m nand $< > $@ +CELLS += AND3 \ + AO211 \ + AO31 \ + AO311 \ + AO32 \ + AO321 \ + AO33 \ + AO331 \ + AO332 \ + AO333 \ + AOA211 \ + AOA221 \ + AOAO2111 \ + OA211 \ + OA31 \ + OA311 \ + OA32 \ + OA321 \ + OA33 \ + OA331 \ + OA332 \ + OA333 \ + OAO211 \ + OAO221 \ + OAOA2111 \ + OR3 -OA211.cell: AND3.cell - $(POPCORN) -m oai $< > $@ +AND3: NAND2 + $(POPCORN) -m nand -c $@ $< > $@ -OA31.cell: OA21.cell - $(POPCORN) -m oai $< > $@ +AO211: OR3 + $(POPCORN) -m aoi -c $@ $< > $@ -OA311.cell: OA211.cell - $(POPCORN) -m oai $< > $@ +AO31: AOI21 + $(POPCORN) -m aoi -c $@ $< > $@ -OAO211.cell: AO21.cell - $(POPCORN) -m nor $< > $@ +AO311: AO211 + $(POPCORN) -m aoi -c $@ $< > $@ -OR3.cell: OR2.cell - $(POPCORN) -m nor $< > $@ +AO32: AOI22 + $(POPCORN) -m aoi -c $@ $< > $@ -else -ifeq ($(BUFFER),3) +AO321: AO221 + $(POPCORN) -m aoi -c $@ $< > $@ -# -------- now buffered ------------------------------------ +AO33: LEVEL = 3 +AO33: AO32 + $(POPCORN) -m aoi -c $@ $< > $@ -CELLS += AND3.cell \ - AO211.cell \ - AO31.cell \ - AO311.cell \ - AOA211.cell \ - AOA221.cell \ - OA211.cell \ - OA31.cell \ - OA311.cell \ - OAO211.cell \ - OR3.cell +AO331: LEVEL = 3 +AO331: AO321 + $(POPCORN) -m aoi -c $@ $< > $@ -AND3.cell: NAND2.cell - $(POPCORN) -m nand $< > $@ +AO332: LEVEL = 3 +AO332: AO331 + $(POPCORN) -m aoi -c $@ $< > $@ -AO211.cell: OR3.cell - $(POPCORN) -m aoi $< > $@ +AO333: LEVEL = 3 +AO333: AO332 + $(POPCORN) -m aoi -c $@ $< > $@ -AO31.cell: AOI21.cell - $(POPCORN) -m aoi $< > $@ +AOA211: OAI21 + $(POPCORN) -m nand -c $@ $< > $@ -AO311.cell: AO211.cell - $(POPCORN) -m aoi $< > $@ +AOA221: OA31 + $(POPCORN) -m nand -c $@ $< > $@ -AOA211.cell: OAI21.cell - $(POPCORN) -m nand $< > $@ +AOAO2111: OAO211 + $(POPCORN) -m nand -c $@ $< > $@ -AOA221.cell: OA31.cell - $(POPCORN) -m nand $< > $@ +OA211: AND3 + $(POPCORN) -m oai -c $@ $< > $@ -OA211.cell: AND3.cell - $(POPCORN) -m oai $< > $@ +OA31: OAI21 + $(POPCORN) -m oai -c $@ $< > $@ -OA31.cell: OAI21.cell - $(POPCORN) -m oai $< > $@ +OA311: OA211 + $(POPCORN) -m oai -c $@ $< > $@ -OA311.cell: OA211.cell - $(POPCORN) -m oai $< > $@ +OA32: OAI22 + $(POPCORN) -m oai -c $@ $< > $@ -OAO211.cell: AOI21.cell - $(POPCORN) -m nor $< > $@ +OA321: OA221 + $(POPCORN) -m oai -c $@ $< > $@ -OR3.cell: NOR2.cell - $(POPCORN) -m nor $< > $@ +OA33: LEVEL = 3 +OA33: OA32 + $(POPCORN) -m oai -c $@ $< > $@ -BUFFERED = true +OA331: LEVEL = 3 +OA331: OA321 + $(POPCORN) -m oai -c $@ $< > $@ -else +OA332: LEVEL = 3 +OA332: OA331 + $(POPCORN) -m oai -c $@ $< > $@ -# -------- not buffered ------------------------------------ - -CELLS += AOAI211.cell \ - AOAI221.cell \ - AOI211.cell \ - AOI31.cell \ - AOI311.cell \ - NAND3.cell \ - NOR3.cell \ - OAI211.cell \ - OAI31.cell \ - OAI311.cell \ - OAOI211.cell \ - -AOAI211.cell: OAI21.cell - $(POPCORN) -m nand $< > $@ - -AOAI221.cell: OAI31.cell - $(POPCORN) -m nand $< > $@ +OA333: LEVEL = 3 +OA333: OA332 + $(POPCORN) -m oai -c $@ $< > $@ + +OAO211: AOI21 + $(POPCORN) -m nor -c $@ $< > $@ -AOI211.cell: NOR3.cell - $(POPCORN) -m aoi $< > $@ +OAO221: AO31 + $(POPCORN) -m nor -c $@ $< > $@ -AOI31.cell: AOI21.cell - $(POPCORN) -m aoi $< > $@ +OAOA2111: AOA211 + $(POPCORN) -m nor -c $@ $< > $@ -AOI311.cell: AOI211.cell - $(POPCORN) -m aoi $< > $@ +OR3: NOR2 + $(POPCORN) -m nor -c $@ $< > $@ -NAND3.cell: NAND2.cell - $(POPCORN) -m nand $< > $@ +BUFFERED = true -NOR3.cell: NOR2.cell - $(POPCORN) -m nor $< > $@ +else -OAI211.cell: NAND3.cell - $(POPCORN) -m oai $< > $@ +# -------- not buffered ------------------------------------ -OAI31.cell: OAI21.cell - $(POPCORN) -m oai $< > $@ +CELLS += AOAI211 \ + AOAI221 \ + AOAOI2111 \ + AOI211 \ + AOI31 \ + AOI311 \ + AOI32 \ + AOI321 \ + AOI33 \ + AOI331 \ + AOI332 \ + AOI333 \ + NAND3 \ + NOR3 \ + OAI211 \ + OAI31 \ + OAI311 \ + OAI32 \ + OAI321 \ + OAI33 \ + OAI331 \ + OAI332 \ + OAI333 \ + OAOAI2111 \ + OAOI211 \ + OAOI221 + +AOAI211: OAI21 + $(POPCORN) -m nand -c $@ $< > $@ + +AOAI221: OAI31 + $(POPCORN) -m nand -c $@ $< > $@ + +AOAOI2111: OAOI211 + $(POPCORN) -m nand -c $@ $< > $@ + +AOI211: NOR3 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI31: AOI21 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI311: AOI211 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI32: AOI22 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI321: AOI221 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI33: LEVEL = 3 +AOI33: AOI32 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI331: LEVEL = 3 +AOI331: AOI321 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI332: LEVEL = 3 +AOI332: AOI331 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI333: LEVEL = 3 +AOI333: AOI332 + $(POPCORN) -m aoi -c $@ $< > $@ + +NAND3: NAND2 + $(POPCORN) -m nand -c $@ $< > $@ + +NOR3: NOR2 + $(POPCORN) -m nor -c $@ $< > $@ + +OAI211: NAND3 + $(POPCORN) -m oai -c $@ $< > $@ + +OAI31: OAI21 + $(POPCORN) -m oai -c $@ $< > $@ + +OAI311: OAI211 + $(POPCORN) -m oai -c $@ $< > $@ + +OAI32: OAI22 + $(POPCORN) -m oai -c $@ $< > $@ + +OAI321: OAI221 + $(POPCORN) -m oai -c $@ $< > $@ + +OAI33: LEVEL = 3 +OAI33: OAI32 + $(POPCORN) -m oai -c $@ $< > $@ + +OAI331: LEVEL = 3 +OAI331: OAI321 + $(POPCORN) -m oai -c $@ $< > $@ + +OAI332: LEVEL = 3 +OAI332: OAI331 + $(POPCORN) -m oai -c $@ $< > $@ + +OAI333: LEVEL = 3 +OAI333: OAI332 + $(POPCORN) -m oai -c $@ $< > $@ + +OAOAI2111: AOAI211 + $(POPCORN) -m nor -c $@ $< > $@ -OAI311.cell: OAI211.cell - $(POPCORN) -m oai $< > $@ +OAOI211: AOI21 + $(POPCORN) -m nor -c $@ $< > $@ -OAOI211.cell: AOI21.cell - $(POPCORN) -m nor $< > $@ +OAOI221: AOI31 + $(POPCORN) -m nor -c $@ $< > $@ endif endif diff --git a/Catalog/stacked4_cells.mk b/Catalog/stacked4_cells.mk index da2ae68b..0a184cc4 100644 --- a/Catalog/stacked4_cells.mk +++ b/Catalog/stacked4_cells.mk @@ -50,84 +50,132 @@ include stacked3_cells.mk ifdef BUFFERED -CELLS += AND4.cell \ - AO2111.cell \ - AOA2111.cell \ - AOA311.cell \ - OA211.cell \ - OA2111.cell \ - OA41.cell \ - OAO2111.cell \ - OR4.cell +CELLS += AND4 \ + AO2111 \ + AO41 \ + AOA2111 \ + AOA311 \ + OA211 \ + OA2111 \ + OA3111 \ + OA41 \ + OA4111 \ + OAO2111 \ + OAO311 \ + OAOA2211 \ + OAOA3211 \ + OR4 -AND4.cell: AND3.cell - $(POPCORN) -m nand $< > $@ +AND4: AND3 + $(POPCORN) -m nand -c $@ $< > $@ -AO2111.cell: OR4.cell - $(POPCORN) -m aoi $< > $@ +AO2111: OR4 + $(POPCORN) -m aoi -c $@ $< > $@ -AOA2111.cell: OA211.cell - $(POPCORN) -m nand $< > $@ +AO41: AO31 + $(POPCORN) -m aoi -c $@ $< > $@ -AOA311.cell: AOA211.cell - $(POPCORN) -m aoi $< > $@ +AOA2111: OA211 + $(POPCORN) -m nand -c $@ $< > $@ -OA211.cell: AND3.cell - $(POPCORN) -m oai $< > $@ +AOA311: AOA211 + $(POPCORN) -m aoi -c $@ $< > $@ -OA2111.cell: AND4.cell - $(POPCORN) -m oai $< > $@ +OA211: AND3 + $(POPCORN) -m oai -c $@ $< > $@ -OA41.cell: OA31.cell - $(POPCORN) -m oai $< > $@ +OA2111: AND4 + $(POPCORN) -m oai -c $@ $< > $@ -OAO2111.cell: AO211.cell - $(POPCORN) -m nor $< > $@ +OA3111: OA2111 + $(POPCORN) -m oai -c $@ $< > $@ -OR4.cell: OR3.cell - $(POPCORN) -m nor $< > $@ +OA41: OA31 + $(POPCORN) -m oai -c $@ $< > $@ + +OA4111: OA3111 + $(POPCORN) -m oai -c $@ $< > $@ + +OAO2111: AO211 + $(POPCORN) -m nor -c $@ $< > $@ + +OAO311: OAO211 + $(POPCORN) -m oai -c $@ $< > $@ + +OAOA2211: AOA311 + $(POPCORN) -m nor -c $@ $< > $@ + +OAOA3211: OAOA2211 + $(POPCORN) -m oai -c $@ $< > $@ + +OR4: OR3 + $(POPCORN) -m nor -c $@ $< > $@ # -------- now buffered ------------------------------------ else ifeq ($(BUFFER),4) -CELLS += AND4.cell \ - AO2111.cell \ - AOA2111.cell \ - AOA311.cell \ - OA211.cell \ - OA2111.cell \ - OA41.cell \ - OAO2111.cell \ - OR4.cell +CELLS += AND4 \ + AO2111 \ + AO41 \ + AOA2111 \ + AOA311 \ + OA211 \ + OA2111 \ + OA3111 \ + OA41 \ + OA4111 \ + OAO2111 \ + OAO311 \ + OAOA2211 \ + OAOA3211 \ + OR4 + +AND4: NAND3 + $(POPCORN) -m nand -c $@ $< > $@ + +AO2111: OR4 + $(POPCORN) -m aoi -c $@ $< > $@ + +AO41: AOI31 + $(POPCORN) -m aoi -c $@ $< > $@ -AND4.cell: NAND3.cell - $(POPCORN) -m nand $< > $@ +AOA2111: OAI211 + $(POPCORN) -m nand -c $@ $< > $@ -AO2111.cell: OR4.cell - $(POPCORN) -m aoi $< > $@ +AOA311: AOAI211 + $(POPCORN) -m aoi -c $@ $< > $@ -AOA2111.cell: OAI211.cell - $(POPCORN) -m nand $< > $@ +OA211: AND3 + $(POPCORN) -m oai -c $@ $< > $@ -AOA311.cell: AOAI211.cell - $(POPCORN) -m aoi $< > $@ +OA2111: AND4 + $(POPCORN) -m oai -c $@ $< > $@ -OA211.cell: AND3.cell - $(POPCORN) -m oai $< > $@ +OA3111: OA2111 + $(POPCORN) -m oai -c $@ $< > $@ -OA2111.cell: AND4.cell - $(POPCORN) -m oai $< > $@ +OA41: OAI31 + $(POPCORN) -m oai -c $@ $< > $@ -OA41.cell: OAI31.cell - $(POPCORN) -m oai $< > $@ +OA4111: OA3111 + $(POPCORN) -m oai -c $@ $< > $@ -OAO2111.cell: AOI211.cell - $(POPCORN) -m nor $< > $@ +OAO2111: AOI211 + $(POPCORN) -m nor -c $@ $< > $@ -OR4.cell: NOR3.cell - $(POPCORN) -m nor $< > $@ +OAO311: OAOI211 + $(POPCORN) -m oai -c $@ $< > $@ + +OAOA2211: AOA311 + $(POPCORN) -m nor -c $@ $< > $@ + +OAOA3211: OAOA2211 + $(POPCORN) -m oai -c $@ $< > $@ + +OR4: NOR3 + $(POPCORN) -m nor -c $@ $< > $@ BUFFERED = true @@ -135,39 +183,62 @@ BUFFERED = true else -CELLS += AOAI2111.cell \ - AOAI311.cell \ - AOI2111.cell \ - NAND4.cell \ - NOR4.cell \ - OAI211.cell \ - OAI2111.cell \ - OAI41.cell \ - OAOI2111.cell +CELLS += AOAI2111 \ + AOAI311 \ + AOI2111 \ + AOI41 \ + NAND4 \ + NOR4 \ + OAI2111 \ + OAI3111 \ + OAI41 \ + OAI4111 \ + OAOAI2211 \ + OAOAI3211 \ + OAOI2111 \ + OAOI311 + +AOAI2111: OAI211 + $(POPCORN) -m nand -c $@ $< > $@ + +AOAI311: AOAI211 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI2111: NOR4 + $(POPCORN) -m aoi -c $@ $< > $@ + +AOI41: AOI31 + $(POPCORN) -m aoi -c $@ $< > $@ + +NAND4: NAND3 + $(POPCORN) -m nand -c $@ $< > $@ + +NOR4: NOR3 + $(POPCORN) -m nor -c $@ $< > $@ -AOAI2111.cell: OAI211.cell - $(POPCORN) -m nand $< > $@ +OAI2111: NAND4 + $(POPCORN) -m oai -c $@ $< > $@ -AOAI311.cell: AOAI211.cell - $(POPCORN) -m aoi $< > $@ +OAI41: OAI31 + $(POPCORN) -m oai -c $@ $< > $@ -AOI2111.cell: NOR4.cell - $(POPCORN) -m aoi $< > $@ +OAI3111: OAI2111 + $(POPCORN) -m oai -c $@ $< > $@ -NAND4.cell: NAND3.cell - $(POPCORN) -m nand $< > $@ +OAI4111: OAI3111 + $(POPCORN) -m oai -c $@ $< > $@ -NOR4.cell: NOR3.cell - $(POPCORN) -m nor $< > $@ +OAOAI2211: AOAI311 + $(POPCORN) -m nor -c $@ $< > $@ -OAI2111.cell: NAND4.cell - $(POPCORN) -m oai $< > $@ +OAOAI3211: OAOAI2211 + $(POPCORN) -m oai -c $@ $< > $@ -OAI41.cell: OAI31.cell - $(POPCORN) -m oai $< > $@ +OAOI2111: AOI211 + $(POPCORN) -m nor -c $@ $< > $@ -OAOI2111.cell: AOI211.cell - $(POPCORN) -m nor $< > $@ +OAOI311: OAOI211 + $(POPCORN) -m oai -c $@ $< > $@ endif endif diff --git a/Catalog/stacked5_cells.mk b/Catalog/stacked5_cells.mk index 14e314db..589056b9 100644 --- a/Catalog/stacked5_cells.mk +++ b/Catalog/stacked5_cells.mk @@ -50,28 +50,28 @@ include stacked4_cells.mk ifdef BUFFERED -CELLS += AND5.cell \ - OR5.cell +CELLS += AND5 \ + OR5 -AND5.cell: AND4.cell - $(POPCORN) -m nand $< > $@ +AND5: AND4 + $(POPCORN) -m nand -c $@ $< > $@ -OR5.cell: OR4.cell - $(POPCORN) -m nor $< > $@ +OR5: OR4 + $(POPCORN) -m nor -c $@ $< > $@ # -------- now buffered ------------------------------------ else ifeq ($(BUFFER),5) -CELLS += AND5.cell \ - OR5.cell +CELLS += AND5 \ + OR5 -AND5.cell: NAND4.cell - $(POPCORN) -m nand $< > $@ +AND5: NAND4 + $(POPCORN) -m nand -c $@ $< > $@ -OR5.cell: NOR4.cell - $(POPCORN) -m nor $< > $@ +OR5: NOR4 + $(POPCORN) -m nor -c $@ $< > $@ BUFFERED = true @@ -79,14 +79,14 @@ BUFFERED = true else -CELLS += NAND5.cell \ - NOR5.cell +CELLS += NAND5 \ + NOR5 -NAND5.cell: NAND4.cell - $(POPCORN) -m nand $< > $@ +NAND5: NAND4 + $(POPCORN) -m nand -c $@ $< > $@ -NOR5.cell: NOR4.cell - $(POPCORN) -m nor $< > $@ +NOR5: NOR4 + $(POPCORN) -m nor -c $@ $< > $@ endif endif From 533b6e872a3d9b76be199c2826698107e99b10f6 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 18 Aug 2019 21:53:37 +0200 Subject: [PATCH 066/673] [TOOLS] trace cell name from command line parameter to output files --- Tools/popcorn/popcorn-cell.scm | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/Tools/popcorn/popcorn-cell.scm b/Tools/popcorn/popcorn-cell.scm index 20b7fa21..0ba1241a 100644 --- a/Tools/popcorn/popcorn-cell.scm +++ b/Tools/popcorn/popcorn-cell.scm @@ -1022,7 +1022,7 @@ ; Definition: (define expand-cell-nand - (lambda (cell stacked-limit buffer-limit) + (lambda (cell stacked-limit buffer-limit cell-name) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pulldown-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) @@ -1039,7 +1039,7 @@ (cell-netlist! cell (sort-netlist new-netlist)) ) ; set new cell-id - (cell-id! cell "CELL?") + (cell-id! cell cell-name) ; set new cell description (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") ; set input nodes @@ -1083,7 +1083,7 @@ ; Definition: (define expand-cell-nor - (lambda (cell stacked-limit buffer-limit) + (lambda (cell stacked-limit buffer-limit cell-name) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pullup-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) @@ -1100,7 +1100,7 @@ (cell-netlist! cell (sort-netlist new-netlist)) ) ; set new cell-id - (cell-id! cell "CELL?") + (cell-id! cell cell-name) ; set new cell description (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") ; set input nodes @@ -1144,7 +1144,7 @@ ; Definition: (define expand-cell-oai - (lambda (cell stacked-limit buffer-limit) + (lambda (cell stacked-limit buffer-limit cell-name) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pullup-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) @@ -1161,7 +1161,7 @@ (cell-netlist! cell (sort-netlist new-netlist)) ) ; set new cell-id - (cell-id! cell "CELL?") + (cell-id! cell cell-name) ; set new cell description (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") ; set input nodes @@ -1205,7 +1205,7 @@ ; Definition: (define expand-cell-aoi - (lambda (cell stacked-limit buffer-limit) + (lambda (cell stacked-limit buffer-limit cell-name) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pulldown-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) @@ -1222,7 +1222,7 @@ (cell-netlist! cell (sort-netlist new-netlist)) ) ; set new cell-id - (cell-id! cell "CELL?") + (cell-id! cell cell-name) ; set new cell description (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") ; set input nodes From f8cb6670227b6444fdc6963fa155d061019035ec Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 18 Aug 2019 21:55:20 +0200 Subject: [PATCH 067/673] [TOOLS] fix popcorn scheme lib, do not check gates for input-nodes only --- Tools/popcorn/popcorn-lib.scm | 12 +++++++----- 1 file changed, 7 insertions(+), 5 deletions(-) diff --git a/Tools/popcorn/popcorn-lib.scm b/Tools/popcorn/popcorn-lib.scm index f95ba4b3..ad707876 100644 --- a/Tools/popcorn/popcorn-lib.scm +++ b/Tools/popcorn/popcorn-lib.scm @@ -126,7 +126,7 @@ ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test? #t) + (define build-in-self-test? #f) ;; ------------ build-in sanity checks --------------------------- @@ -1037,7 +1037,9 @@ ; gate nodes (let ((gate (mosfet-gate mosfet))) (if (and (string? gate) - (input-space? gate)) + (or + (input-space? gate) + (node-space? gate))) #t (begin (display "!! insane mosfet-gate check " (current-error-port)) @@ -1366,14 +1368,14 @@ ; Definition (define generate-cell (lambda () - (make-vector 7 "") + (make-vector 7 '()) ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (generate-cell) #("" "" "" "" "" "" "")) + (if (equal? (generate-cell) #('() '() '() '() '() '() '())) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " generate-cell test" (current-error-port)) @@ -3081,7 +3083,7 @@ ; Definition: (define stringlist->csv (lambda (string-list) - (if (equal? (cdr string-list) ()) + (if (equal? (length string-list) 1) (car string-list) ; last value in list (string-append (car string-list) ", " (stringlist->csv (cdr string-list))) ) From 03d0e548e345452f3412d50fa5cc52e645b59d4c Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 18 Aug 2019 21:56:16 +0200 Subject: [PATCH 068/673] [TOOLS] fix popcorn verilog output --- Tools/popcorn/popcorn-verilog.scm | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) diff --git a/Tools/popcorn/popcorn-verilog.scm b/Tools/popcorn/popcorn-verilog.scm index f52829d1..6f3e33ef 100644 --- a/Tools/popcorn/popcorn-verilog.scm +++ b/Tools/popcorn/popcorn-verilog.scm @@ -59,7 +59,7 @@ ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test? #t) + (define build-in-self-test? #f) ;; ------------------------------------------------------------------- ;; AUXILARY FUNCTIONS @@ -236,7 +236,7 @@ // Cell: ~a // // Purpose: ~a -// proudly AUTOGENERATED by \"Popcorn\" R7RS Scheme tool +// AUTOGENERATED by \"Popcorn\" R7RS Scheme tool // // ************ IEEE Std 1364-1995 (Verilog '95 HDL) *********** // @@ -285,7 +285,8 @@ (let ((at-port current-output-port)) (begin (format (at-port) -"endmodule +" +endmodule " ) ) ) @@ -317,8 +318,8 @@ output ~a; input ~a; - parameter vdd = 1'b1; - parameter gnd = 1'b0; + parameter VDD = 1'b1; + parameter GND = 1'b0; " (cell-id cell) (stringlist->csv (append (cell-outputs cell) (cell-inputs cell) (cell-clocks cell))) From ce5a75438c3de022499cc971d6ad24a7814fae72 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 18 Aug 2019 21:56:50 +0200 Subject: [PATCH 069/673] [TOOLS] trace cell name from command line parameter to output files --- Tools/popcorn/popcorn.scm | 29 ++++++++++++++++++++++++----- 1 file changed, 24 insertions(+), 5 deletions(-) diff --git a/Tools/popcorn/popcorn.scm b/Tools/popcorn/popcorn.scm index f127bac3..618762d8 100644 --- a/Tools/popcorn/popcorn.scm +++ b/Tools/popcorn/popcorn.scm @@ -68,7 +68,7 @@ (define +version+ (lambda (eigen-name at-port) (format (at-port) -"~a (\"Popcorn\") - Version 2019-04-12 +"~a (\"Popcorn\") - Version 2019-08-13 This source is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by @@ -102,6 +102,7 @@ Copyright (c) 2019 by chipforge - " (format (at-port) "Usage: ~a - Generate new combinatorial cells -b number set threshold value for output buffer + -c cellname cell name for generated output -e format specify cell export format -h | --help print help screen and exit -H number set cell high in metal tracks @@ -121,6 +122,9 @@ Copyright (c) 2019 by chipforge - " ; -b number (define buffer-limit 4) +; -c cellname + (define cell-name "CELL") + ; -e format (define export-format 'cell) @@ -169,6 +173,15 @@ Copyright (c) 2019 by chipforge - " ) ] + ; -c cellname + [(equal? (car arguments) "-c") + (let ([value (car (cdr arguments))] + [tail (cddr arguments)]) + (set! cell-name value) + (set-parameters-with-args! eigen-name tail) + ) + ] + ; -e format [(equal? (car arguments) "-e") (let ([value (car (cdr arguments))] @@ -264,6 +277,12 @@ Copyright (c) 2019 by chipforge - " buffer-limit) (newline (at-port)) + ; -c cellname + (format (at-port) +"Cell Name: ~a" + cell-name) + (newline (at-port)) + ; -e format (format (at-port) "Export Format: ~a" @@ -346,28 +365,28 @@ Copyright (c) 2019 by chipforge - " ; nand-wise [(equal? expansion-method 'nand) (begin - (write-cell-file (expand-cell-nand (read-cell-file cell-file) stacked-limit buffer-limit)) + (write-cell-file (expand-cell-nand (read-cell-file cell-file) stacked-limit buffer-limit cell-name)) 0 ; exit value ) ] ; nor-wise [(equal? expansion-method 'nor) (begin - (write-cell-file (expand-cell-nor (read-cell-file cell-file) stacked-limit buffer-limit)) + (write-cell-file (expand-cell-nor (read-cell-file cell-file) stacked-limit buffer-limit cell-name)) 0 ; exit value ) ] ; aoi-wise [(equal? expansion-method 'aoi) (begin - (write-cell-file (expand-cell-aoi (read-cell-file cell-file) stacked-limit buffer-limit)) + (write-cell-file (expand-cell-aoi (read-cell-file cell-file) stacked-limit buffer-limit cell-name)) 0 ; exit value ) ] ; oai-wise [(equal? expansion-method 'oai) (begin - (write-cell-file (expand-cell-oai (read-cell-file cell-file) stacked-limit buffer-limit)) + (write-cell-file (expand-cell-oai (read-cell-file cell-file) stacked-limit buffer-limit cell-name)) 0 ; exit value ) ] From 7ad451ae46b1e6f10cc4fe940300686107a957d2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Aug 2019 09:32:50 +0000 Subject: [PATCH 070/673] Removed circular data-movements --- Catalog/GNUmakefile | 2 -- 1 file changed, 2 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 57712c47..59a03d22 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -138,8 +138,6 @@ doc/StdCellLib.pdf: *.cell libresilicon.sp .PHONY: importQflow importQflow: ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/gscl45nm/gscl45nm.sp - ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/ls050/libresilicon.sp - ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/ls050/ls050_stdcells.sp ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu018/osu018_stdcells.sp ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu035/osu035_stdcells.sp ../Tools/perl/spice2cell.pl /usr/local/share/qflow/tech/osu050/osu050_stdcells.sp From d08d0b5a21b8c69060029505693906c3dbb0bd62 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Aug 2019 09:34:03 +0000 Subject: [PATCH 071/673] Improved output and added resistor handling --- Tools/perl/spice2cell.pl | 22 +++++++++++++++++++--- 1 file changed, 19 insertions(+), 3 deletions(-) diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl index a666451d..70902c1e 100755 --- a/Tools/perl/spice2cell.pl +++ b/Tools/perl/spice2cell.pl @@ -1,8 +1,11 @@ #!/usr/bin/perl -w use strict; -print "spice2cell converts SPICE compatible .sp files to Popcorn compatible .cell files\n"; -print "Usage: spice2cell \n"; +if($#ARGV) +{ + print "spice2cell converts SPICE compatible .sp files to Popcorn compatible .cell files\n"; + print "Usage: spice2cell \n"; +} # This is an example AND2X1 cell in SPICE format: my $example=< Date: Wed, 21 Aug 2019 16:04:39 +0200 Subject: [PATCH 072/673] [CELLS] re-order testbench nameing --- TBench/spice/{tb_INV.sp => INV_tb.sp} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename TBench/spice/{tb_INV.sp => INV_tb.sp} (100%) diff --git a/TBench/spice/tb_INV.sp b/TBench/spice/INV_tb.sp similarity index 100% rename from TBench/spice/tb_INV.sp rename to TBench/spice/INV_tb.sp From 518c0804e8bd95a3c26b4b9aefc93494ddc3476b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Aug 2019 16:47:47 +0000 Subject: [PATCH 073/673] Added resistor support, various improvements --- Tools/perl/truthtable.pl | 74 +++++++++++++++++++++++++++++++++------- 1 file changed, 61 insertions(+), 13 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index 4e036702..32428147 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -48,11 +48,37 @@ sub truth { s/\s+$//m; verb "Line: $_\n"; + if(m/^res (\w+) (\w+) (\d+\.?\d*)/i) + { + my ($n1,$n2,$v)=($1,$2,$3); + verb "Resistor found\n"; + my $i1=($n1=~m/^(vdd|gnd)$/i)?$n1:(defined($iv{$n1}) && $iv{$n1}=~m/^(vdd|gnd|0|1)$/i)?$iv{$n1}:undef; + verb "i1: ".($i1||"")."\n"; + my $i2=($n2=~m/^(vdd|gnd)$/i)?$n2:(defined($iv{$n2}) && $iv{$n2}=~m/^(vdd|gnd|0|1)$/i)?$iv{$n2}:undef; + verb "i2: ".($i2||"")."\n"; + if((defined($i1) && defined($i2)) && (($i1=~m/vdd/i && $i2=~m/gnd/i) || ($i1=~m/vdd/i && $i2=~m/gnd/i))) + { + die "ERROR: Short cirtuit detected: $n1->$i1->$iv{$n1} $n2->$i2->$iv{$2}!\n"; + } + if(defined($i1)) + { + verb "Setting: $n2 <= $i1\n"; + $iv{$n2}=$i1; + } + if(defined($i2)) + { + verb "Setting: $n1 <= $i2\n"; + $iv{$n1}=$i2; + } + $hadwork=1 if(defined($i1) || defined($i2)); + push @nexttodo,$_ if((!defined($iv{$n1})) && (!defined($iv{$n2}))); + verb "Status: Net1: $n1-".($iv{$n1}||"")." Net2: $n2-".($iv{$n2}||"")."\n"; + } if(m/^([pn]mos) (\w+) (\w+) (\w+)/i) { my ($tr,$s,$g,$d)=($1,$2,$3,$4); - $g=~s/^(\d+)$/$tr$1/; - $d=~s/^(\d+)$/$tr$1/; + #$g=~s/^(\d+)$/$tr$1/; This was necessary when popcorn generated same names for different internal nets in nmos and pmos + #$d=~s/^(\d+)$/$tr$1/; But it failed for less structured cells (e.g. transmission gates) verb "Transistor: $_\n"; if(defined($iv{$s})) @@ -73,9 +99,17 @@ sub truth { die "ERROR: Short cirtuit detected: $g->$ig->$iv{$g} $d->$id->$iv{$d}!\n"; } - $iv{$d}=$ig if(defined($ig)); - $iv{$g}=$id if(defined($id)); - $hadwork=1 if(defined($id) || defined($ig)); + if(defined($ig)) + { + verb "Setting: $d <= $ig\n"; + $iv{$d}=$ig; + } + if(defined($id)) + { + verb "Setting: $g <= $id\n"; + $iv{$g}=$id; + } + $hadwork=1 if(defined($id) || defined($ig)); push @nexttodo,$_ if((!defined($iv{$d})) && (!defined($iv{$g}))); verb "Status: Source: $d-".($iv{$d}||"")." Drain: $g-".($iv{$g}||"")."\n"; } @@ -94,6 +128,10 @@ sub truth if(!$hadwork) { verb "No further progress. Exiting.\n"; + foreach(sort keys %iv) + { + verb "Status: $_ : $iv{$_}\n"; + } last; } verb "Still to be done:\n@nexttodo\n\n"; @@ -131,20 +169,28 @@ sub truth my %outputs=(); # Here we are parsing all transistor lines for input-, output- and intermediate nets + # But this is just a guess: foreach(@lines) { next if(m/^#/); # Ignore comment lines - $inputs{$1}=1 if(m/^([A-W]\d*) .*[pn]mos/); - $intermediates{$1}=1 if(m/^([X-Y]\d*) .*[pn]mos/); - $outputs{$1}=1 if(m/^\w+ ([X-Z]\d*) .*[pn]mos/); + $inputs{$1}=1 if(m/^[pn]mos\s*([A-W]+\d*)/); + $intermediates{$1}=1 if(m/^[pn]mos.*([X-Y]\w*\d*)/); + $outputs{$1}=1 if(m/^[pn]mos.*\w+ ([X-Z]\w*\d*)/); } delete($outputs{"Y"}) if(defined($outputs{"Z"})); # If we have Z, then Y is an internal net and Z is the output net my @ins=sort keys %inputs; my @outs=sort keys %outputs; - my $ninputs=scalar(keys %inputs); - my $noutputs=scalar(keys %outputs); + # Now we are parsing for the real inputs and ouputs if they are available + foreach my $line(@lines) + { + @ins=split(" ",$1) if($line=~m/^\.inputs (\w.*)/i); + @outs=split(" ",$1) if($line=~m/^\.outputs (\w.*)/i) + } + + my $ninputs=scalar(@ins); + my $noutputs=scalar(@outs); my $combinations=2**$ninputs; # We calculate the number of possible combinations in the truthtable verb "Number of Inputs: $ninputs (".join(",",@ins).") -> Combinations: $combinations\n"; @@ -239,6 +285,7 @@ sub truth # Now we are analyzing the results foreach my $out (@outs) { + $res{$out}="HIGH-Z" if(!defined($res{$out})); $sum{$out}{$res{$out}}++; # We are counting the occurance of all output values of the whole truthtable to decide, which value is more often used, which helps to decide whether the function can be represented in a shorter way with a negation my @a=(); foreach(@ins) @@ -266,15 +313,16 @@ sub truth foreach my $out (@outs) # We might have more than one output of a cell { - my $not=$sum{$out}{0}>$sum{$out}{1}?1:0; + my $not=($sum{$out}{0}||0)>($sum{$out}{1}||0)?1:0; # If we have more 0 than 1 results, then the negated inverse is shorted: + # TODO: When there are HIGH-Z outputs we should split the HIGH-Z outputs from the others and give a function for output-enable and HIGH-Z if($not) { - print "FUNCTION: $out = (".join(" || ",@{$results{$out}{$not}}).")"; + print "FUNCTION: $out = (".join(" || ",@{$results{$out}{$not}}).") "; } else { - print "FUNCTION: $out = ! (".join(" || ",@{$results{$out}{$not}}).")"; + print "FUNCTION: $out = ! (".join(" || ",@{$results{$out}{$not}}).") "; } # TODO: We should try more functional representations like AOI, OAI, OR, NOR and see which one is the shortest representation } From 57b772cff6db018c365e632fecdc489886563bb0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Aug 2019 16:48:16 +0000 Subject: [PATCH 074/673] Converter for cell to KiCad eeschema --- Tools/perl/cell2sch.pl | 261 +++++++++++++++++++++++++++++++++++++++++ 1 file changed, 261 insertions(+) create mode 100644 Tools/perl/cell2sch.pl diff --git a/Tools/perl/cell2sch.pl b/Tools/perl/cell2sch.pl new file mode 100644 index 00000000..5ae7fb77 --- /dev/null +++ b/Tools/perl/cell2sch.pl @@ -0,0 +1,261 @@ +#!/usr/bin/perl -w +use strict; + +print STDERR "Converts .cell files to .sch files for eeschema and SVG files\n"; +print STDERR "Usage: cell2sch.pl INV.cell\n"; + +my $cellfn=$ARGV[0]; + +# Min and Max functions that always prefers defined values +sub mymin +{ + return $_[1] if(!defined($_[0])); + return $_[0] if(!defined($_[1])); + return $_[0]>$_[1]?$_[1]:$_[0]; +} +sub mymax +{ + return $_[1] if(!defined($_[0])); + return $_[0] if(!defined($_[1])); + return $_[0]>$_[1]?$_[0]:$_[1]; +} + + +# Loading the .cell file: +open IN,"<$cellfn"; +# Using graphviz dot to do the placement of the transistors and resistors on the schematic +open OUT,"|dot >$cellfn.g"; + +# Graphviz header +print OUT <) +{ + push @devs,$_ if(m/^(pmos|nmos|res)/); + $inputs=$1 if(m/^\.inputs (.*)/); + $outputs=$1 if(m/^\.outputs (.*)/); +} +close IN; + +# Defining nodes and edges in the graphviz graph +my $count=0; +foreach(@devs) +{ + if(m/^(n|p)mos (\w+) (\w+) (\w+)/) + { + my ($t,$g,$s,$d)=(lc($1),$2,$3,$4); + my $dev="$t"."mos".$count; + print OUT "$dev [shape=\"rectangle\"]\n"; # node + print OUT "$g -- $dev\n" unless($g=~m/(vdd|gnd)/); # edge + print OUT "$s -- $dev\n" unless($s=~m/(vdd|gnd)/); # edge + print OUT "$dev -- $d\n" unless($d=~m/(vdd|gnd)/); # edge + $count++; + } + elsif(m/^res (\w+) (\w+) (\d+)/) + { + my ($n1,$n2)=($1,$2); + my $dev="res".$count; + print OUT "$dev [shape=\"rectangle\"]\n"; + print OUT "$n1 -- $dev\n" unless($n1=~m/(vdd|gnd)/); + print OUT "$dev -- $n2\n" unless($n2=~m/(vdd|gnd)/); + $count++; + } +} + +print OUT "}\n"; +close OUT; + +# Now generating SVG output for debugging +system "dot -T svg <$cellfn.g >$cellfn.svg"; +print "firefox $cellfn.svg\n"; + +our %coordx=(); +our %coordy=(); + +# Reading the resulting graphviz file and extracting the coordinates +open IN,"<$cellfn.g"; +undef $/; +my $g=; +my %stat=(); +while($g=~s/(pmos|nmos|res)(\d+)\s*\[height=\d+\.?\d*,\s*pos="(\d+),(\d+)"//sm) +{ + $coordx{$2}=$3; + $stat{'minx'}=mymin($stat{'minx'},$3); + $stat{'maxx'}=mymax($stat{'maxx'},$3); + $coordy{$2}=$4; + $stat{'miny'}=mymin($stat{'miny'},$4); + $stat{'maxy'}=mymax($stat{'maxy'},$4); + #print "$2 $3 $4\n"; +} +close IN; + +#print "X: $stat{minx} - $stat{maxx}\n"; +#print "Y: $stat{miny} - $stat{maxy}\n"; + +#Generating a Schematic file for KiCad's EESchema from a cell netlist + +open OUT,">$ARGV[0].sch"; + +print OUT < Date: Wed, 21 Aug 2019 16:49:02 +0000 Subject: [PATCH 075/673] Generate a list of all cells and their function in HTML format --- Tools/perl/funclist.pl | 26 ++++++++++++++++++++++++++ 1 file changed, 26 insertions(+) create mode 100644 Tools/perl/funclist.pl diff --git a/Tools/perl/funclist.pl b/Tools/perl/funclist.pl new file mode 100644 index 00000000..f029e923 --- /dev/null +++ b/Tools/perl/funclist.pl @@ -0,0 +1,26 @@ +#!/usr/bin/perl -w +use strict; +print "Writing functions.html\n"; +open OUT,">functions.html"; +print OUT < +Cell Functions + + +

Cell functions:

+
".join("",@ins)."".join("",@outs)."
+ +EOF +; + +foreach(<*.cell>) +{ + my $func1=`../Tools/perl/truthtable.pl --format=text $_`; + my $func2=""; $func2=$1 if($func1=~m/FUNCTION: (.*)/); $func2=~s/FUNCTION://; + print OUT ""; +} + +print OUT "
CellFunction
$_$func2
\n"; +close OUT; + +print "You can now execute:\nfirefox functions.html\n"; From efe4b93b3c7a2d43a3a7704efde939908db43c51 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 26 Aug 2019 20:50:04 +0200 Subject: [PATCH 076/673] [DOC] Fix Scheme Version in README.md to R^7RS --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index db91b07d..0e921ee2 100644 --- a/README.md +++ b/README.md @@ -29,7 +29,7 @@ Additionally we use the great CirDia LaTeX package for drawing circuit diagrams ### Scheme -Popcorn (as the tool which does the Voodoo stuff and generates the Standard Cells) is written in R7RS Scheme. While this Standard is already a couple of years old, not so many tools supporting them. Chibi-Scheme as a pre-build package is not available on most systems, so we are using Gauche Scheme (or gosh) in Version 0.9.6 or higher. +Popcorn (as the tool which does the Voodoo stuff and generates the Standard Cells) is written in R^7RS Scheme. While this Standard is already a couple of years old, not so many tools supporting them. Chibi-Scheme as a pre-build package is not available on most systems, so we are using Gauche Scheme (or gosh) in Version 0.9.6 or higher. ``` apt-get install gauche From b9edd08b576c0fa8e6175c7351b74dcc985da2f7 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 26 Aug 2019 20:51:05 +0200 Subject: [PATCH 077/673] [BUILD] Allow Spice Simulations --- GNUmakefile | 23 +++++++++++++++++++---- include.mk | 2 +- simulation.mk | 47 ++++++++++++++++++++++++++++++++++++++--------- 3 files changed, 58 insertions(+), 14 deletions(-) diff --git a/GNUmakefile b/GNUmakefile index b75d08f3..c234dd6f 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -37,13 +37,19 @@ include include.mk -DISTRIBUTION = $(CATALOGDIR)/*.cell \ +DISTRIBUTION = $(CATALOGDIR)/ \ $(DOCUMENTSDIR)/*.pdf \ - $(SIMULATIONDIR) \ + $(RELEASEDIR) \ +# $(SIMULATIONDIR) \ $(SOURCESDIR) \ $(SYNTHESISDIR) \ $(TBENCHDIR) +# collect available cells + +IGNORE := $(wildcard $(CATALOGDIR)/*.mk $(CATALOGDIR)/GNUmakefile) +CELLS := $(notdir $(filter-out $(IGNORE), $(wildcard $(CATALOGDIR)/*))) + # ---------------------------------------------------------------- # DEFAULT TARGETS # ---------------------------------------------------------------- @@ -65,6 +71,7 @@ help: $(ECHO) " doc - generate data book" $(ECHO) "" $(ECHO) " alf [CELL=] - generate ALF export" + $(ECHO) " record [CELL=] - measure / characterize cell" $(ECHO) " magic [CELL=] - generate MAGIC layout" $(ECHO) " spice [CELL=] - generate SPICE models" $(ECHO) " svg [CELL=] - generate SVG layout" @@ -99,7 +106,7 @@ clean: # prepare Popcorn before usage -.PHONY: tools +.PHONY: tools tools: $(MAKE) -C $(TOOLSDIR)/popcorn -f GNUmakefile $@ @@ -116,13 +123,21 @@ catalog: tools $(MAKE) -C $(CATALOGDIR) -f GNUmakefile $@ # ---------------------------------------------------------------- -# GENERATION TARGETS +# CELL TARGETS # ---------------------------------------------------------------- +# generate truth table + .PHONY: table-file table-file: $(MAKE) -f simulation.mk CELL=$(CELL) table-file +# measure / characterize cells + +.PHONY: record +record: + $(MAKE) -f simulation.mk CELL=$(CELL) record + # ---------------------------------------------------------------- # DOCUMENTATION TARGETS # ---------------------------------------------------------------- diff --git a/include.mk b/include.mk index 2bdb4c44..5c6f6002 100644 --- a/include.mk +++ b/include.mk @@ -51,6 +51,7 @@ SYNTHESISDIR = Synthesis TEMPDIR = Intermediate TBENCHDIR = TBench TOOLSDIR = Tools +RELEASEDIR = Library # tool variables @@ -70,4 +71,3 @@ SCHEMATIC ?= $(TOOLSDIR)/tcl/_schematic -o $(DOCUMENTSDIR)/LaTeX -i $(CATALOG MANUAL ?= $(TOOLSDIR)/tcl/_manpage -o $(DOCUMENTSDIR)/LaTeX -i $(CATALOGDIR) -g LaTeX SWITCH ?= $(TOOLSDIR)/tcl/_switch -o $(SOURCESDIR)/verilog -i $(CATALOGDIR) -f verilog -.SUFFIXES: # delete all default suffix rules diff --git a/simulation.mk b/simulation.mk index 6d754c7e..7da4f505 100644 --- a/simulation.mk +++ b/simulation.mk @@ -37,12 +37,22 @@ include include.mk -# simulation tool variables +# 3rd party simulation tool variables + +SIMULATOR1 ?= iverilog -g2 # -Wall +SIMULATOR2 ?= vvp # -v +WAVEVIEWER ?= gtkwave + +SPICE ?= ngspice -b -c +#NETLIST ?= gnetlist -g spice-noqsi -o +#NETLIST ?= gnetlist -g spice -o +NETLIST ?= gnetlist -g spice-sdb -o +#NETLIST ?= lepton-netlist -g spice-sdb -o + +# temporary simulation directory + +VERILOGTMP ?= $(SIMULATIONDIR)/verilog -WORKDIR ?= $(SIMULATIONDIR)/verilog -SIMULATOR1 ?= iverilog -g2 # -Wall -SIMULATOR2 ?= vvp # -v -WAVEVIEWER ?= gtkwave .PHONY: clean clean: @@ -50,23 +60,42 @@ clean: -$(RM) $(SIMULATIONDIR)/verilog/*_bench.v -$(RM) $(SIMULATIONDIR)/verilog/*.vpp -$(RM) $(SIMULATIONDIR)/verilog/*.table + -$(RM) $(SIMULATIONDIR)/spice/*_record.txt + -$(RM) $(TBENCHDIR)/spice/*_tb.sp + -$(RM) $(RELEASEDIR)/spice/*.cir # ---------------------------------------------------------------- # RUN VERILOG SIMULATION # ---------------------------------------------------------------- verilog-slm: - $(POPCORN) -e $@ $(CATALOGDIR)/$(CELL).cell > $(SIMULATIONDIR)/verilog/$(CELL).v + $(POPCORN) -e $@ $(CATALOGDIR)/$(CELL) > $(SIMULATIONDIR)/verilog/$(CELL).v verilog-bench: - $(POPCORN) -e $@ $(CATALOGDIR)/$(CELL).cell > $(SIMULATIONDIR)/verilog/$(CELL)_bench.v + $(POPCORN) -e $@ $(CATALOGDIR)/$(CELL) > $(SIMULATIONDIR)/verilog/$(CELL)_bench.v .PHONY: table-file table-file: PROJECT_DEFINES += -DDUMPFILE=\"$@.vcd\" table-file: verilog-slm verilog-bench $(MKDIR) $(TEMPDIR) - $(SIMULATOR1) $(PROJECT_DEFINES) -o $(WORKDIR)/$(CELL)_bench.vpp $(WORKDIR)/$(CELL).v $(WORKDIR)/$(CELL)_bench.v - $(SIMULATOR2) $(WORKDIR)/$(CELL)_bench.vpp | $(GREP) '^\.' | $(SED) 's/^.//g' > $(TEMPDIR)/$(CELL).table + $(SIMULATOR1) $(PROJECT_DEFINES) -o $(VERILOGTMP)/$(CELL)_bench.vpp $(VERILOGTMP)/$(CELL).v $(VERILOGTMP)/$(CELL)_bench.v + $(SIMULATOR2) $(VERILOGTMP)/$(CELL)_bench.vpp | $(GREP) '^\.' | $(SED) 's/^.//g' > $(TEMPDIR)/$(CELL).table ifeq ($(MODE), gui) $(WAVEVIEWER) -f $@.vcd -a $(SIMULATIONDIR)/verilog/$(CELL).do endif + +# ---------------------------------------------------------------- +# RUN SPICE SIMULATION +# ---------------------------------------------------------------- + +record: $(SIMULATIONDIR)/spice/$(CELL)_record.txt + +$(SIMULATIONDIR)/spice/$(CELL)_record.txt: $(RELEASEDIR)/spice/$(CELL).cir $(RELEASEDIR)/spice/BUF2.cir $(TBENCHDIR)/spice/$(CELL)_tb.sp + $(SPICE) $? > $@ + +$(TBENCHDIR)/spice/$(CELL)_tb.sp: $(TBENCHDIR)/geda/$(CELL)_tb.sch $(TBENCHDIR)/spice/$(CELL)_tb.cmd + $(NETLIST) $@ $< + +$(RELEASEDIR)/spice/$(CELL).cir: $(SOURCESDIR)/geda/$(CELL).sch + $(NETLIST) $@ $? + From aca025c3ed69169d4b29a17adb98fff413a04715 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 26 Aug 2019 20:52:34 +0200 Subject: [PATCH 078/673] [BUILD] rectify cell man page with horizontal argument bar --- Tools/cell.5 | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/Tools/cell.5 b/Tools/cell.5 index 3f753c86..0121cc05 100644 --- a/Tools/cell.5 +++ b/Tools/cell.5 @@ -42,7 +42,7 @@ .\" .\" GNU General Public License v3.0 - http://www.gnu.org/licenses/gpl-3.0.html .\" /////////////////////////////////////////////////////////////////// -.Dd April 12, 2019 +.Dd August 19, 2019 .Dt CELL 5 "Standard Cell Library" .Os LibreSilicon .Sh NAME @@ -82,6 +82,9 @@ indicates the end of file. All circuit lines are an ordered, white-space-separated list of strings (also terminated by the end of the line) describing one circuit (a pMOS or nMOS transistor) with some arguments. .Pp Every circuits line contains this arguments in the following order: +.Bd -literal +type gate drain source bulk stacked xgrid ygrid +.Ed .Bl -ohang .It Em type The transistor type used for this circuit (e.g., pmos or nmos). From c9bc5f7fc0c99f0bfeb2909f15d73dbc6272b325 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 26 Aug 2019 21:00:25 +0200 Subject: [PATCH 079/673] [DOC] Fix Scheme Version in README.md to R^7RS --- Tools/popcorn/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/popcorn/README.md b/Tools/popcorn/README.md index f5cfd73f..1c6f064b 100644 --- a/Tools/popcorn/README.md +++ b/Tools/popcorn/README.md @@ -1,7 +1,7 @@ # Popcorn This Repository contains the Source Code for the Standard Cell Generator, called Popcorn. -Started once as a Tcl Script, Popcorn is now rewritten in R^5RS-Scheme. +Started once as a Tcl Script, Popcorn is now rewritten in R^7RS-Scheme. The code was developed with *Gauche scheme shell, version 0.9.6* and is still Work-in-Progress. Please stay tuned. From ac372e5554494d7ea976d4899eb9fe91cbf63ceb Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 28 Aug 2019 17:23:51 +0200 Subject: [PATCH 080/673] [CELLS] add geda schematics for try-out --- Sources/geda/BUF2.sch | 181 ++++++++++++++++++++++++++++++++++++++ Sources/geda/BUF2.sym | 60 +++++++++++++ Sources/geda/FO4.sch | 190 ++++++++++++++++++++++++++++++++++++++++ Sources/geda/FO4.sym | 60 +++++++++++++ TBench/geda/BUF2_tb.sch | 172 ++++++++++++++++++++++++++++++++++++ gafrc | 42 +++++++++ gnetlistrc | 43 +++++++++ 7 files changed, 748 insertions(+) create mode 100644 Sources/geda/BUF2.sch create mode 100644 Sources/geda/BUF2.sym create mode 100644 Sources/geda/FO4.sch create mode 100644 Sources/geda/FO4.sym create mode 100644 TBench/geda/BUF2_tb.sch create mode 100644 gafrc create mode 100644 gnetlistrc diff --git a/Sources/geda/BUF2.sch b/Sources/geda/BUF2.sch new file mode 100644 index 00000000..f5f38047 --- /dev/null +++ b/Sources/geda/BUF2.sch @@ -0,0 +1,181 @@ +v 20130925 2 +T 50100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +C 51600 43000 1 0 0 asic-nmos-1.sym +{ +T 53000 43800 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 52400 43800 5 10 1 1 0 0 1 +refdes=M2 +T 52400 43600 5 8 1 1 0 0 1 +model-name=nmos4 +T 52400 43300 5 8 1 0 0 0 1 +w='Wunit' +T 52400 43100 5 8 1 0 0 0 1 +l=1u +} +C 54000 43000 1 0 0 asic-nmos-1.sym +{ +T 55400 43800 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54800 43800 5 10 1 1 0 0 1 +refdes=M4 +T 54800 43600 5 8 1 1 0 0 1 +model-name=nmos4 +T 54800 43300 5 8 1 0 0 0 1 +w='2*Wunit' +T 54800 43100 5 8 1 0 0 0 1 +l=1u +} +C 51600 44500 1 0 0 asic-pmos-1.sym +{ +T 53000 45300 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 52400 45300 5 10 1 1 0 0 1 +refdes=M1 +T 52400 45100 5 8 1 1 0 0 1 +model-name=pmos4 +T 52400 44800 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 52400 44600 5 8 1 0 0 0 1 +l=1u +} +C 54000 44500 1 0 0 asic-pmos-1.sym +{ +T 55400 45300 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54800 45300 5 10 1 1 0 0 1 +refdes=M3 +T 54800 45100 5 8 1 1 0 0 1 +model-name=pmos4 +T 54800 44800 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 54800 44600 5 8 1 0 0 0 1 +l=1u +} +C 49500 40000 1 0 0 cvstitleblock-1.sym +{ +T 50100 40400 5 10 1 1 0 0 1 +date=2019-08-21 +T 54000 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 54000 40100 5 10 1 1 0 0 1 +auth= +T 50100 40700 5 10 1 1 0 0 1 +fname=BUF2.sch +T 52900 41100 5 14 1 1 0 4 1 +title=BUF2 - non-inverting Buffer, 2x driver strength +} +C 48000 46500 1 0 0 spice-model-1.sym +{ +T 48100 47100 5 10 1 1 0 0 1 +refdes=A1 +T 49300 46800 5 10 1 1 0 0 1 +model-name=nmos4 +T 48500 46600 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 51300 46500 1 0 0 spice-model-1.sym +{ +T 51400 47100 5 10 1 1 0 0 1 +refdes=A2 +T 52600 46800 5 10 1 1 0 0 1 +model-name=pmos4 +T 51800 46600 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 55400 44000 1 0 0 spice-subcircuit-IO-1.sym +{ +T 55800 44600 5 10 1 1 180 0 1 +refdes=P1 +} +C 50900 44600 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50500 44500 5 10 1 1 0 0 1 +refdes=P2 +} +N 51300 45000 51600 45000 4 +N 51600 43500 51300 43500 4 +N 51300 43500 51300 45000 4 +N 52200 44500 52200 44000 4 +N 53700 45000 54000 45000 4 +N 54000 43500 53700 43500 4 +N 53700 43500 53700 45000 4 +N 52200 44300 53700 44300 4 +N 54600 46000 54600 45500 4 +N 54600 44500 54600 44000 4 +N 54600 43000 54600 42400 4 +N 54600 44300 55600 44300 4 +{ +T 55200 44400 5 10 1 1 0 0 1 +netname=Z +} +N 50700 44300 51300 44300 4 +{ +T 50900 44400 5 10 1 1 0 0 1 +netname=A +} +N 50600 46000 54800 46000 4 +{ +T 50900 46100 5 10 1 1 0 0 1 +netname=VDD +} +N 50700 42400 54800 42400 4 +{ +T 51000 42500 5 10 1 1 0 0 1 +netname=GND +} +T 51900 41900 9 10 1 0 0 0 2 +1. Stage: +- common inverter +T 53800 41900 9 10 1 0 0 0 2 +2. Stage: +- inverter with higher (2x) driving strength +N 54800 45000 54800 46000 4 +N 54700 45000 54800 45000 4 +N 54800 42400 54800 43500 4 +N 54700 43500 54800 43500 4 +N 52200 45500 52200 46000 4 +N 52300 45000 52400 45000 4 +N 52400 45000 52400 46000 4 +N 52200 43000 52200 42400 4 +N 52300 43500 52400 43500 4 +N 52400 43500 52400 42400 4 +C 48000 45100 1 0 0 spice-directive-1.sym +{ +T 48100 45400 5 10 0 1 0 0 1 +device=directive +T 48100 45500 5 10 1 1 0 0 1 +refdes=A4 +T 48100 45200 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 48000 44500 1 0 0 spice-directive-1.sym +{ +T 48100 44800 5 10 0 1 0 0 1 +device=directive +T 48100 44900 5 10 1 1 0 0 1 +refdes=A5 +T 48100 44600 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 51100 42100 1 0 0 gnd-1.sym +C 54600 46700 1 0 0 spice-subcircuit-LL-1.sym +{ +T 54700 47100 5 10 1 1 0 0 1 +refdes=A3 +T 54700 46800 5 10 1 1 0 0 1 +model-name=BUF2 +} +C 50800 46300 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50400 46200 5 10 1 1 0 0 1 +refdes=P3 +} +C 50900 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50500 42600 5 10 1 1 0 0 1 +refdes=P4 +} diff --git a/Sources/geda/BUF2.sym b/Sources/geda/BUF2.sym new file mode 100644 index 00000000..9abd91ba --- /dev/null +++ b/Sources/geda/BUF2.sym @@ -0,0 +1,60 @@ +v 20130925 2 +L 300 100 300 700 3 0 0 0 -1 -1 +L 300 100 800 400 3 0 0 0 -1 -1 +L 300 700 800 400 3 0 0 0 -1 -1 +P 1100 400 800 400 1 0 0 +{ +T 800 450 5 10 0 0 0 6 1 +pintype=out +T 800 450 5 10 0 0 0 6 1 +pinseq=1 +T 742 392 9 10 0 1 0 6 1 +pinlabel=Z +T 892 442 5 10 0 1 0 0 1 +pinnumber=1 +} +P 0 400 300 400 1 0 0 +{ +T 100 450 5 10 0 0 0 0 1 +pintype=in +T 100 450 5 10 0 0 0 0 1 +pinseq=2 +T 358 392 9 10 0 1 0 0 1 +pinlabel=A +T 208 442 5 10 0 1 0 6 1 +pinnumber=2 +} +P 600 800 600 500 1 0 0 +{ +T 650 700 5 10 0 0 270 0 1 +pintype=pwr +T 650 700 5 10 0 0 270 0 1 +pinseq=3 +T 600 445 9 10 0 1 90 6 1 +pinlabel=VDD +T 550 595 5 10 0 1 90 0 1 +pinnumber=3 +} +P 600 0 600 300 1 0 0 +{ +T 550 100 5 10 0 0 90 0 1 +pintype=pwr +T 550 100 5 10 0 0 90 0 1 +pinseq=4 +T 600 355 9 10 0 1 90 0 1 +pinlabel=GND +T 550 205 5 10 0 1 90 6 1 +pinnumber=4 +} +T 292 292 5 16 1 1 0 0 1 +device=BUF2 +T 1292 2092 8 10 0 1 0 0 1 +description=BUF2 - Non-inverting Buffer (2x) +T 292 789 5 10 1 1 0 0 1 +refdes=X? +T 1892 1192 8 10 0 0 0 0 1 +footprint=none +T -8 -208 8 10 0 1 0 0 1 +source=BUF2.sch +T 600 -100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/FO4.sch b/Sources/geda/FO4.sch new file mode 100644 index 00000000..e310a367 --- /dev/null +++ b/Sources/geda/FO4.sch @@ -0,0 +1,190 @@ +v 20130925 2 +C 51600 43000 1 0 0 asic-nmos-1.sym +{ +T 53000 43800 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 52400 43800 5 10 1 1 0 0 1 +refdes=M2 +T 52400 43600 5 8 1 1 0 0 1 +model-name=nmos4 +T 52400 43300 5 8 1 0 0 0 1 +w='Fan*Wunit' +T 52400 43100 5 8 1 0 0 0 1 +l=1u +} +T 50100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +C 54000 43000 1 0 0 asic-nmos-1.sym +{ +T 55400 43800 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54800 43800 5 10 1 1 0 0 1 +refdes=M4 +T 54800 43600 5 8 1 1 0 0 1 +model-name=nmos4 +T 54800 43300 5 8 1 0 0 0 1 +w='Fan*Fan*Wunit' +T 54800 43100 5 8 1 0 0 0 1 +l=1u +} +C 51600 44500 1 0 0 asic-pmos-1.sym +{ +T 53000 45300 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 52400 45300 5 10 1 1 0 0 1 +refdes=M1 +T 52400 45100 5 8 1 1 0 0 1 +model-name=pmos4 +T 52400 44800 5 8 1 0 0 0 1 +w='Fan*PNratio*Wunit' +T 52400 44600 5 8 1 0 0 0 1 +l=1u +} +C 54000 44500 1 0 0 asic-pmos-1.sym +{ +T 55400 45300 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54800 45300 5 10 1 1 0 0 1 +refdes=M3 +T 54800 45100 5 8 1 1 0 0 1 +model-name=pmos4 +T 54800 44800 5 8 1 0 0 0 1 +w='Fan*Fan*PNratio*Wunit' +T 54800 44600 5 8 1 0 0 0 1 +l=1u +} +C 49500 40000 1 0 0 cvstitleblock-1.sym +{ +T 50100 40400 5 10 1 1 0 0 1 +date=2019-08-27 +T 54000 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 54000 40100 5 10 1 1 0 0 1 +auth= +T 50100 40700 5 10 1 1 0 0 1 +fname=FO4.sch +T 52900 41100 5 14 1 1 0 4 1 +title=FO4 - TBench Load with high (4x) fan-in +} +C 48000 46500 1 0 0 spice-model-1.sym +{ +T 48100 47100 5 10 1 1 0 0 1 +refdes=A1 +T 49300 46800 5 10 1 1 0 0 1 +model-name=nmos4 +T 48500 46600 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 51300 46500 1 0 0 spice-model-1.sym +{ +T 51400 47100 5 10 1 1 0 0 1 +refdes=A2 +T 52600 46800 5 10 1 1 0 0 1 +model-name=pmos4 +T 51800 46600 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 55400 44000 1 0 0 spice-subcircuit-IO-1.sym +{ +T 55800 44600 5 10 1 1 180 0 1 +refdes=P1 +} +C 50900 44600 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50500 44500 5 10 1 1 0 0 1 +refdes=P2 +} +N 51300 45000 51600 45000 4 +N 51600 43500 51300 43500 4 +N 51300 43500 51300 45000 4 +N 52200 44500 52200 44000 4 +N 53700 45000 54000 45000 4 +N 54000 43500 53700 43500 4 +N 53700 43500 53700 45000 4 +N 52200 44300 53700 44300 4 +N 54600 46000 54600 45500 4 +N 54600 44500 54600 44000 4 +N 54600 43000 54600 42400 4 +N 54600 44300 55600 44300 4 +{ +T 55200 44400 5 10 1 1 0 0 1 +netname=Z +} +N 50700 44300 51300 44300 4 +{ +T 50900 44400 5 10 1 1 0 0 1 +netname=A +} +N 50600 46000 54800 46000 4 +{ +T 50900 46100 5 10 1 1 0 0 1 +netname=VDD +} +N 50700 42400 54800 42400 4 +{ +T 51000 42500 5 10 1 1 0 0 1 +netname=GND +} +T 51700 41900 9 10 1 0 0 0 2 +1. Stage: +- inverter with high fan-in +T 53800 41900 9 10 1 0 0 0 2 +2. Stage: +- inverter with even higher fan-in +N 54800 45000 54800 46000 4 +N 54700 45000 54800 45000 4 +N 54800 42400 54800 43500 4 +N 54700 43500 54800 43500 4 +N 52200 45500 52200 46000 4 +N 52300 45000 52400 45000 4 +N 52400 45000 52400 46000 4 +N 52200 43000 52200 42400 4 +N 52300 43500 52400 43500 4 +N 52400 43500 52400 42400 4 +C 48000 45100 1 0 0 spice-directive-1.sym +{ +T 48100 45400 5 10 0 1 0 0 1 +device=directive +T 48100 45500 5 10 1 1 0 0 1 +refdes=A4 +T 48100 45200 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 48000 44500 1 0 0 spice-directive-1.sym +{ +T 48100 44800 5 10 0 1 0 0 1 +device=directive +T 48100 44900 5 10 1 1 0 0 1 +refdes=A5 +T 48100 44600 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 51100 42100 1 0 0 gnd-1.sym +C 54600 46700 1 0 0 spice-subcircuit-LL-1.sym +{ +T 54700 47100 5 10 1 1 0 0 1 +refdes=A3 +T 54700 46800 5 10 1 1 0 0 1 +model-name=FO4 +} +C 50800 46300 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50400 46200 5 10 1 1 0 0 1 +refdes=P3 +} +C 50900 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50500 42600 5 10 1 1 0 0 1 +refdes=P4 +} +C 48000 43900 1 0 0 spice-directive-1.sym +{ +T 48100 44200 5 10 0 1 0 0 1 +device=directive +T 48100 44300 5 10 1 1 0 0 1 +refdes=A6 +T 48100 44000 5 10 1 1 0 0 1 +value=.PARAM Fan=4 +} diff --git a/Sources/geda/FO4.sym b/Sources/geda/FO4.sym new file mode 100644 index 00000000..a956afac --- /dev/null +++ b/Sources/geda/FO4.sym @@ -0,0 +1,60 @@ +v 20130925 2 +L 300 100 300 700 3 0 0 0 -1 -1 +L 300 100 800 400 3 0 0 0 -1 -1 +L 300 700 800 400 3 0 0 0 -1 -1 +P 1100 400 800 400 1 0 0 +{ +T 800 450 5 10 0 0 0 6 1 +pintype=out +T 800 450 5 10 0 0 0 6 1 +pinseq=1 +T 742 392 9 10 0 1 0 6 1 +pinlabel=Z +T 892 442 5 10 0 1 0 0 1 +pinnumber=1 +} +P 0 400 300 400 1 0 0 +{ +T 100 450 5 10 0 0 0 0 1 +pintype=in +T 100 450 5 10 0 0 0 0 1 +pinseq=2 +T 358 392 9 10 0 1 0 0 1 +pinlabel=A +T 208 442 5 10 0 1 0 6 1 +pinnumber=2 +} +P 600 800 600 500 1 0 0 +{ +T 650 700 5 10 0 0 270 0 1 +pintype=pwr +T 650 700 5 10 0 0 270 0 1 +pinseq=3 +T 600 445 9 10 0 1 90 6 1 +pinlabel=VDD +T 550 595 5 10 0 1 90 0 1 +pinnumber=3 +} +P 600 0 600 300 1 0 0 +{ +T 550 100 5 10 0 0 90 0 1 +pintype=pwr +T 550 100 5 10 0 0 90 0 1 +pinseq=4 +T 600 355 9 10 0 1 90 0 1 +pinlabel=GND +T 550 205 5 10 0 1 90 6 1 +pinnumber=4 +} +T 292 292 5 16 1 1 0 0 1 +device=FO4 +T 1292 2092 8 10 0 1 0 0 1 +description=FO4 - TBench Load with high (4x) fan-in +T 292 789 5 10 1 1 0 0 1 +refdes=X? +T 1892 1192 8 10 0 0 0 0 1 +footprint=none +T -8 -208 8 10 0 1 0 0 1 +source=FO4.sch +T 600 -100 9 10 0 0 0 0 1 +numslots=0 diff --git a/TBench/geda/BUF2_tb.sch b/TBench/geda/BUF2_tb.sch new file mode 100644 index 00000000..d38ebbca --- /dev/null +++ b/TBench/geda/BUF2_tb.sch @@ -0,0 +1,172 @@ +v 20130925 2 +C 45800 43000 1 0 0 vdc-1.sym +{ +T 46500 43650 5 10 1 1 0 0 1 +refdes=VDD +T 46500 43850 5 10 0 0 0 0 1 +device=VOLTAGE_SOURCE +T 46500 44050 5 10 0 0 0 0 1 +footprint=none +T 46500 43450 5 10 1 1 0 0 1 +value=DC 'SUPPLY' +} +C 48700 38900 1 0 0 cvstitleblock-1.sym +{ +T 49300 39300 5 10 1 1 0 0 1 +date=2019-08-23 +T 53200 39300 5 10 1 1 0 0 1 +rev=$Revision$ +T 53200 39000 5 10 1 1 0 0 1 +auth= +T 49300 39600 5 10 1 1 0 0 1 +fname=BUF2_tb.sch +T 51900 40100 5 14 1 1 0 4 1 +title=BUF2 - non-inverting Buffer, 2x driver strength +} +T 49300 39000 9 10 1 0 0 0 1 +1 +T 50800 39000 9 10 1 0 0 0 1 +1 +C 42100 41500 1 0 0 spice-include-1.sym +{ +T 42200 41800 5 10 0 1 0 0 1 +device=include +T 42200 41900 5 10 1 1 0 0 1 +refdes=A4 +T 42600 41600 5 10 1 1 0 0 1 +file=TBench/spice/BUF2_tb.cmd +} +C 42100 44100 1 0 0 spice-directive-1.sym +{ +T 42200 44400 5 10 0 1 0 0 1 +device=directive +T 42200 44500 5 10 1 1 0 0 1 +refdes=A1 +T 42200 44200 5 10 1 1 0 0 1 +value=.PARAM SUPPLY=5.0 +} +C 42100 43100 1 0 0 spice-model-1.sym +{ +T 42200 43700 5 10 1 1 0 0 1 +refdes=A2 +T 43400 43400 5 10 1 1 0 0 1 +model-name=BUF2 +T 42600 43200 5 10 1 1 0 0 1 +file=Library/spice/BUF2.cir +} +C 47800 41100 1 0 0 vpulse-1.sym +{ +T 48500 41750 5 10 1 1 0 0 1 +refdes=V2 +T 48500 41950 5 10 0 0 0 0 1 +device=vpulse +T 48500 42150 5 10 0 0 0 0 1 +footprint=none +T 44500 41850 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n +} +N 48100 42300 48100 42500 4 +C 45900 44400 1 0 0 vdd-1.sym +C 46000 42500 1 0 0 gnd-1.sym +N 46100 44400 46100 44200 4 +{ +T 46100 44400 5 10 1 1 0 0 1 +netname=VDD +} +N 46100 42800 46100 43000 4 +{ +T 46100 42800 5 10 1 1 0 0 1 +netname=GND +} +C 48000 40800 1 0 0 gnd-1.sym +C 55600 41000 1 0 0 gnd-1.sym +C 55500 42300 1 270 0 capacitor-1.sym +{ +T 56200 42100 5 10 0 0 270 0 1 +device=CAPACITOR +T 56000 42100 5 10 1 1 270 0 1 +refdes=CL +T 56400 42100 5 10 0 0 270 0 1 +symversion=0.1 +T 55800 41600 5 10 1 1 0 0 1 +value=10n +} +C 54500 42400 1 0 0 resistor-2.sym +{ +T 54900 42750 5 10 0 0 0 0 1 +device=RESISTOR +T 54700 42700 5 10 1 1 0 0 1 +refdes=RL +T 54700 42200 5 10 1 1 0 0 1 +value=100k +} +N 55400 42500 55700 42500 4 +{ +T 55400 42600 5 10 1 1 0 0 1 +netname=resp +} +N 55700 42500 55700 42300 4 +N 55700 41400 55700 41300 4 +N 48100 42500 49700 42500 4 +{ +T 48700 42600 5 10 1 1 0 0 1 +netname=stim +} +N 50800 42500 51500 42500 4 +{ +T 51100 42600 5 10 1 1 0 0 1 +netname=A +} +N 52600 42500 53200 42500 4 +{ +T 52800 42600 5 10 1 1 0 0 1 +netname=Z +} +N 54300 42500 54500 42500 4 +C 49700 42100 1 0 0 BUF2.sym +{ +T 49992 42392 5 16 1 1 0 0 1 +device=BUF2 +T 49992 42889 5 10 1 1 0 0 1 +refdes=X1 +T 51592 43292 5 10 0 0 0 0 1 +footprint=none +T 49700 42100 5 10 0 1 0 0 1 +value=BUF2 +} +C 51500 42100 1 0 0 BUF2.sym +{ +T 51792 42392 5 16 1 1 0 0 1 +device=BUF2 +T 51792 42889 5 10 1 1 0 0 1 +refdes=XUT +T 53392 43292 5 10 0 0 0 0 1 +footprint=none +T 51500 42100 5 10 0 1 0 0 1 +value=BUF2 +} +N 50300 42100 53800 42100 4 +N 50300 42900 53800 42900 4 +C 52000 41800 1 0 0 gnd-1.sym +N 46100 44300 52100 44300 4 +N 52100 44300 52100 42900 4 +C 53200 42100 1 0 0 FO4.sym +{ +T 53492 42392 5 16 1 1 0 0 1 +device=FO4 +T 53492 42889 5 10 1 1 0 0 1 +refdes=X2 +T 55092 43292 5 10 0 0 0 0 1 +footprint=none +T 53200 42100 5 10 0 0 0 0 1 +value=FO4 +} +C 42100 42300 1 0 0 spice-model-1.sym +{ +T 42200 42900 5 10 1 1 0 0 1 +refdes=A3 +T 43400 42600 5 10 1 1 0 0 1 +model-name=FO4 +T 42600 42400 5 10 1 1 0 0 1 +file=Library/spice/FO4.cir +} diff --git a/gafrc b/gafrc new file mode 100644 index 00000000..1df4db60 --- /dev/null +++ b/gafrc @@ -0,0 +1,42 @@ +;; ************ LibreSilicon's StdCellLibrary ******************* +;; +;; Organisation: Chipforge +;; Germany / European Union +;; +;; Profile: Chipforge focus on fine System-on-Chip Cores in +;; Verilog HDL Code which are easy understandable and +;; adjustable. For further information see +;; www.chipforge.org +;; there are projects from small cores up to PCBs, too. +;; +;; File: StdCellLib/gafrc +;; +;; Purpose: gEDA / gaf (lepton-EDA?) configuration file +;; +;; ************ gEDA/gaf 1.8.2 **************************** +;; +;; //////////////////////////////////////////////////////////////// +;; +;; Copyright (c) 2019 by chipforge +;; All rights reserved. +;; +;; This Standard Cell Library is licensed under the Libre Silicon +;; public license; you can redistribute it and/or modify it under +;; the terms of the Libre Silicon public license as published by +;; the Libre Silicon alliance, either version 1 of the License, or +;; (at your option) any later version. +;; +;; This design is distributed in the hope that it will be useful, +;; but WITHOUT ANY WARRANTY; without even the implied warranty of +;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +;; See the Libre Silicon Public License for more details. +;; +;; //////////////////////////////////////////////////////////////////// + +;; ---------------------------------------------------------------- +;; LIBRARY DEFINITIONS +;; ---------------------------------------------------------------- + +(source-library "Sources/geda") +(component-library "Sources/geda") +;(component-library "Library/spice") diff --git a/gnetlistrc b/gnetlistrc new file mode 100644 index 00000000..2d35f162 --- /dev/null +++ b/gnetlistrc @@ -0,0 +1,43 @@ +;; ************ LibreSilicon's StdCellLibrary ******************* +;; +;; Organisation: Chipforge +;; Germany / European Union +;; +;; Profile: Chipforge focus on fine System-on-Chip Cores in +;; Verilog HDL Code which are easy understandable and +;; adjustable. For further information see +;; www.chipforge.org +;; there are projects from small cores up to PCBs, too. +;; +;; File: StdCellLib/gnetlistrc +;; +;; Purpose: gEDA / gaf (lepton-EDA?) netlister file +;; +;; ************ gEDA/gaf 1.8.2 **************************** +;; +;; //////////////////////////////////////////////////////////////// +;; +;; Copyright (c) 2019 by chipforge +;; All rights reserved. +;; +;; This Standard Cell Library is licensed under the Libre Silicon +;; public license; you can redistribute it and/or modify it under +;; the terms of the Libre Silicon public license as published by +;; the Libre Silicon alliance, either version 1 of the License, or +;; (at your option) any later version. +;; +;; This design is distributed in the hope that it will be useful, +;; but WITHOUT ANY WARRANTY; without even the implied warranty of +;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +;; See the Libre Silicon Public License for more details. +;; +;; //////////////////////////////////////////////////////////////////// + +;; ---------------------------------------------------------------- +;; SWITCHES +;; ---------------------------------------------------------------- + +(hierarchy-netattrib-mangle "disabled") +(hierarchy-netname-mangle "enabled") +(hierarchy-traversal "disabled") + From d03cbb1ce13b8f7e6235a6b6039a4a2efd6fec5a Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 28 Aug 2019 17:26:03 +0200 Subject: [PATCH 081/673] [CELLS] add spice measurement control block for BUF2 --- TBench/spice/BUF2_tb.cmd | 30 ++++++++++++++++++++++++++++++ 1 file changed, 30 insertions(+) create mode 100644 TBench/spice/BUF2_tb.cmd diff --git a/TBench/spice/BUF2_tb.cmd b/TBench/spice/BUF2_tb.cmd new file mode 100644 index 00000000..ba1e50f0 --- /dev/null +++ b/TBench/spice/BUF2_tb.cmd @@ -0,0 +1,30 @@ + +.tran 100p 30n +.plot tran v(Z) v(A) + +* rising edge propagation delay +.measure tran tpdr ++ TRIG v(A) VAL='SUPPLY/2' RISE=1 ++ TARG v(Z) VAL='SUPPLY/2' CROSS=1 + +* falling edge propagation delay +.measure tran tpdf ++ TRIG v(A) VAL='SUPPLY/2' FALL=1 ++ TARG v(Z) VAL='SUPPLY/2' FALL=1 + +* average propagation delay +*.measure tran tpd param='(tpdr+tpdf)/2' + +* delta progagation delay +*.measure tran delta param='tpdr-tpdf' goal=0 + +* measure rise time +.measure tran trise ++ TRIG v(Z) VAL='0.1*SUPPLY' RISE=1 ++ TARG v(Z) VAL='0.9*SUPPLY' RISE=1 + +* measure fall time +.measure tran tfall ++ TRIG v(Z) VAL='0.9*SUPPLY' FALL=1 ++ TARG v(Z) VAL='0.1*SUPPLY' FALL=1 + From 663540a135c15212bbc1efc7f1c6b3599ac4bf98 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 28 Aug 2019 17:35:06 +0200 Subject: [PATCH 082/673] [CELLS] use tentative technology file --- Technology/spice/ls1unmos.mod | 15 +++++++++++++++ Technology/spice/ls1upmos.mod | 14 ++++++++++++++ 2 files changed, 29 insertions(+) create mode 100644 Technology/spice/ls1unmos.mod create mode 100644 Technology/spice/ls1upmos.mod diff --git a/Technology/spice/ls1unmos.mod b/Technology/spice/ls1unmos.mod new file mode 100644 index 00000000..e227089c --- /dev/null +++ b/Technology/spice/ls1unmos.mod @@ -0,0 +1,15 @@ +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL NMOS4 NMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 ++ PHI = 0.7 VTO = 0.8 DELTA = 3.0 ++ UO = 650 ETA = 3.0E-6 THETA = 0.1 ++ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 ++ RSH = 0 NFS = 1E12 TPG = 1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 + diff --git a/Technology/spice/ls1upmos.mod b/Technology/spice/ls1upmos.mod new file mode 100644 index 00000000..7390c5b6 --- /dev/null +++ b/Technology/spice/ls1upmos.mod @@ -0,0 +1,14 @@ +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL PMOS4 PMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 ++ PHI = 0.7 VTO = -0.9 DELTA = 0.1 ++ UO = 250 ETA = 0 THETA = 0.1 ++ KP = 40E-6 VMAX = 5E4 KAPPA = 1 ++ RSH = 0 NFS = 1E12 TPG = -1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 From 2701e7b1a3dba11c42c1b3a9b1550f6ad01dbb64 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 28 Aug 2019 17:51:05 +0200 Subject: [PATCH 083/673] [TOOL] rectify global function names into cell: and verilog: --- Tools/popcorn/popcorn-cell.scm | 64 +++++++++---------- Tools/popcorn/popcorn-verilog.scm | 100 +++++++++++++++--------------- Tools/popcorn/popcorn.scm | 12 ++-- 3 files changed, 88 insertions(+), 88 deletions(-) diff --git a/Tools/popcorn/popcorn-cell.scm b/Tools/popcorn/popcorn-cell.scm index 0ba1241a..c6390ae8 100644 --- a/Tools/popcorn/popcorn-cell.scm +++ b/Tools/popcorn/popcorn-cell.scm @@ -53,12 +53,12 @@ (srfi 152) ; string-split, string-join ; popcorn lib also (popcorn-lib)) - (export read-cell-file - write-cell-file - expand-cell-nand - expand-cell-nor - expand-cell-aoi - expand-cell-oai) + (export cell:read-file + cell:write-file + cell:expand-nand + cell:expand-nor + cell:expand-aoi + cell:expand-oai) (begin ;; ------------ build-in self test ------------------------------- @@ -89,16 +89,16 @@ ;; ------------ read in cell file ------------------------------- ; Contract: -; read-cell-file : filehandler -> cell +; cell:read-file : filehandler -> cell ; Purpose: ; read in cell file as vector for further usage ; Example: -; (read-cell-file file) => INV-cell +; (cell:read-file file) => INV-cell ; Definition: - (define (read-cell-file file-name) + (define (cell:read-file file-name) (let ((file (open-input-file file-name)) (return (generate-cell)) (netlist '())) @@ -293,16 +293,16 @@ ;; ------------ write cell description --------------------------- ; Contract: -; write-cell-file : cell -> -- +; cell:write-file : cell -> -- ; Purpose: ; write cell description to STDOUT ; Example: -; (write-cell-file INV-cell) => -- +; (cell:write-file INV-cell) => -- ; Definition: - (define write-cell-file + (define cell:write-file (lambda (cell) (let ((at-port current-output-port)) (begin @@ -1012,16 +1012,16 @@ ;; ------------ expand cell nand-wise --------------------------- ; Contract: -; expand-cell-nand : cell -> cell +; cell:expand-nand : cell -> cell ; Purpose: ; expand cell description by adding mosfet nand-like ; Example: -; (expand-cell-nand INV-cell 2) => NAND2-cell +; (cell:expand-nand INV-cell 2) => NAND2-cell ; Definition: - (define expand-cell-nand + (define cell:expand-nand (lambda (cell stacked-limit buffer-limit cell-name) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pulldown-network netlist) stacked-limit))) @@ -1062,10 +1062,10 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-cell-nand INV-cell 4 4) NAND2-cell) + (if (equal? (cell:expand-nand INV-cell 4 4) NAND2-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " expand-cell-nand test" (current-error-port)) + (display " cell:expand-nand test" (current-error-port)) (newline (current-error-port)) ) ) @@ -1073,16 +1073,16 @@ ;; ------------ expand cell nor-wise --------------------------- ; Contract: -; expand-cell-nor : cell -> cell +; cell:expand-nor : cell -> cell ; Purpose: ; expand cell description by adding mosfet nor-like ; Example: -; (expand-cell-nor INV-cell 4 4) => NOR2-cell +; (cell:expand-nor INV-cell 4 4) => NOR2-cell ; Definition: - (define expand-cell-nor + (define cell:expand-nor (lambda (cell stacked-limit buffer-limit cell-name) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pullup-network netlist) stacked-limit))) @@ -1123,10 +1123,10 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-cell-nor INV-cell 4 4) NOR2-cell) + (if (equal? (cell:expand-nor INV-cell 4 4) NOR2-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " expand-cell-nor test" (current-error-port)) + (display " cell:expand-nor test" (current-error-port)) (newline (current-error-port)) ) ) @@ -1134,16 +1134,16 @@ ;; ------------ expand cell oai-wise --------------------------- ; Contract: -; expand-cell-oai : cell -> cell +; cell:expand-oai : cell -> cell ; Purpose: ; expand cell description by adding mosfet oai-like ; Example: -; (expand-cell-oai NAND2-cell) => OAI21-cell +; (cell:expand-oai NAND2-cell) => OAI21-cell ; Definition: - (define expand-cell-oai + (define cell:expand-oai (lambda (cell stacked-limit buffer-limit cell-name) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pullup-network netlist) stacked-limit))) @@ -1184,10 +1184,10 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-cell-oai NAND2-cell 4 4) OAI21-cell) + (if (equal? (cell:expand-oai NAND2-cell 4 4) OAI21-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " expand-cell-oai test" (current-error-port)) + (display " cell:expand-oai test" (current-error-port)) (newline (current-error-port)) ) ) @@ -1195,16 +1195,16 @@ ;; ------------ expand cell aoi-wise --------------------------- ; Contract: -; expand-cell-aoi : cell -> cell +; cell:expand-aoi : cell -> cell ; Purpose: ; expand cell description by adding mosfet aoi-like ; Example: -; (expand-cell-aoi NOR2-cell) => AOI21-cell +; (cell:expand-aoi NOR2-cell) => AOI21-cell ; Definition: - (define expand-cell-aoi + (define cell:expand-aoi (lambda (cell stacked-limit buffer-limit cell-name) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pulldown-network netlist) stacked-limit))) @@ -1245,10 +1245,10 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-cell-aoi NOR2-cell 4 4) AOI21-cell) + (if (equal? (cell:expand-aoi NOR2-cell 4 4) AOI21-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) - (display " expand-cell-aoi test" (current-error-port)) + (display " cell:expand-aoi test" (current-error-port)) (newline (current-error-port)) ) ) diff --git a/Tools/popcorn/popcorn-verilog.scm b/Tools/popcorn/popcorn-verilog.scm index 6f3e33ef..07dfc8ab 100644 --- a/Tools/popcorn/popcorn-verilog.scm +++ b/Tools/popcorn/popcorn-verilog.scm @@ -52,8 +52,8 @@ ; popcorn libs also (popcorn-lib) (popcorn-cell)) - (export export-verilog-switch - export-verilog-bench) + (export verilog:export-switch + verilog:export-bench) (begin ;; ------------ build-in self test ------------------------------- @@ -183,7 +183,7 @@ ; empty list? [(null? port-list) ""] ; output port? - [(output-space? (car port-list)) (string-append "\\t:\%b" (portlists->tableformat (cdr port-list)))] + [(output-space? (car port-list)) (string-append "\\t:\\t\%b" (portlists->tableformat (cdr port-list)))] ; inputs and clock [else (string-append "\\t\%b" (portlists->tableformat (cdr port-list)))] ) @@ -193,7 +193,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (portlists->tableformat '("A" "Y")) "\\t%b\\t:%b") + (if (equal? (portlists->tableformat '("A" "Y")) "\\t%b\\t:\\t%b") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " portlists->tableformat test" (current-error-port)) @@ -208,16 +208,16 @@ ;; ------------ export verilog header --------------------------- ; Contract: -; export-verilog-header : cell purspose-string-> -- +; verilog:export-header : cell purspose-string-> -- ; Purpose: ; generate Verilog Header on STDOUT ; Example: -; (export-verilog-header INV-cell "Verilog Model") => -- +; (verilog:export-header INV-cell "Verilog Model") => -- ; Definition: - (define export-verilog-header + (define verilog:export-header (lambda (cell purpose-string) (let ((at-port current-output-port)) (begin @@ -271,16 +271,16 @@ ;; ------------ export verilog footer --------------------------- ; Contract: -; export-verilog-footer : -> -- +; verilog:export-footer : -> -- ; Purpose: ; generate Verilog Footer on STDOUT ; Example: -; (export-verilog-footer) => -- +; (verilog:export-footer) => -- ; Definition: - (define export-verilog-footer + (define verilog:export-footer (lambda () (let ((at-port current-output-port)) (begin @@ -300,16 +300,16 @@ endmodule ;; ------------ export verilog module --------------------------- ; Contract: -; export-verilog-module : cell -> -- +; verilog:export-module : cell -> -- ; Purpose: ; generate Verilog '95 module frame on STDOUT ; Example: -; (export-verilog-module INV-cell) => -- +; (verilog:export-module INV-cell) => -- ; Definition: - (define export-verilog-module + (define verilog:export-module (lambda (cell) (let ((at-port current-output-port)) (format (at-port) @@ -333,16 +333,16 @@ endmodule ;; ------------ export verilog mosfet --------------------------- ; Contract: -; export-verilog-mosfet : transistor -> -- +; verilog:export-mosfet : transistor -> -- ; Purpose: ; generate Verilog '95 transistor line on STDOUT ; Example: -; (export-verilog-mosfet '#(nmos A Y GND GND 1 1 -1)) => -- +; (verilog:export-mosfet '#(nmos A Y GND GND 1 1 -1)) => -- ; Definition: - (define export-verilog-mosfet + (define verilog:export-mosfet (lambda (mosfet) (let ((at-port current-output-port)) (format (at-port) @@ -356,19 +356,19 @@ endmodule ;; ------------ export verilog netlist --------------------------- ; Contract: -; export-verilog-netlist : cell -> -- +; verilog:export-netlist : cell -> -- ; Purpose: ; generate Verilog '95 netlist frame on STDOUT ; Example: -; (export-verilog-netlist INV-cell) => -- +; (verilog:export-netlist INV-cell) => -- ; Definition: - (define export-verilog-netlist + (define verilog:export-netlist (lambda (cell) (let ((at-port current-output-port)) - (map (lambda (n) (export-verilog-mosfet n)) (cell-netlist cell)) + (map (lambda (n) (verilog:export-mosfet n)) (cell-netlist cell)) ) ) ) @@ -376,27 +376,27 @@ endmodule ;; ------------ export verilog switch --------------------------- ; Contract: -; export-verilog-switch : cell -> -- +; verilog:export-switch : cell -> -- ; Purpose: ; generate Verilog Switch level description on STDOUT ; Example: -; (export-verilog-switch INV-cell) => --" +; (verilog:export-switch INV-cell) => --" ; Definition: - (define export-verilog-switch + (define verilog:export-switch (lambda (cell) (let ((at-port current-output-port)) (begin ; header - (export-verilog-header cell "Verilog Switch Model") + (verilog:export-header cell "Verilog Switch Model") ; module - (export-verilog-module cell) + (verilog:export-module cell) ; stages - (export-verilog-netlist cell) + (verilog:export-netlist cell) ; footer - (export-verilog-footer) + (verilog:export-footer) ) ) ) @@ -409,16 +409,16 @@ endmodule ;; ------------ export verilog definitions ----------------------- ; Contract: -; export-verilog-definitions : -> -- +; verilog:export-definitions : -> -- ; Purpose: ; generate Verilog work bench definitions on STDOUT ; Example: -; (export-verilog-definitions INV-cell) => -- +; (verilog:export-definitions INV-cell) => -- ; Definition: - (define export-verilog-definitions + (define verilog:export-definitions (lambda (cell) (let ((at-port current-output-port)) (begin @@ -462,16 +462,16 @@ module ~a_bench ( ;; ------------ export verilog globals --------------------------- ; Contract: -; export-verilog-globals : -> -- +; verilog:export-globals : -> -- ; Purpose: ; generate Verilog global signal definitions on STDOUT ; Example: -; (export-verilog-globals) => -- +; (verilog:export-globals) => -- ; Definition: - (define export-verilog-globals + (define verilog:export-globals (lambda () (let ((at-port current-output-port)) (begin @@ -506,16 +506,16 @@ end ;; ------------ export verilog dut ------------------------------- ; Contract: -; export-verilog-dut : -> -- +; verilog:export-dut : -> -- ; Purpose: ; generate Verilog device-under-test definitions on STDOUT ; Example: -; (export-verilog-dut INV-cell) => -- +; (verilog:export-dut INV-cell) => -- ; Definition: - (define export-verilog-dut + (define verilog:export-dut (lambda (cell) (let ((input-width (length (cell-inputs cell))) (output-width (length (cell-outputs cell))) @@ -543,16 +543,16 @@ end ;; ------------ export verilog stimulus --------------------------- ; Contract: -; export-verilog-stimulus : -> -- +; verilog:export-stimulus : -> -- ; Purpose ; generate Verilog stimulus functionality on STDOUT ; Example: -; (export-verilog-stimulus INV-cell) => -- +; (verilog:export-stimulus INV-cell) => -- ; Definition: - (define export-verilog-stimulus + (define verilog:export-stimulus (lambda (cell) (let ((at-port current-output-port)) (begin @@ -586,7 +586,7 @@ initial begin t_initialize; - $display(\".table\"); + $display(\"..table\"); for (i=0; i<2**INWIDTH; i=i+1) begin @@ -594,7 +594,7 @@ begin t_step(1); end - $display(\".endtable\"); + $display(\"..endtable\"); $finish; end @@ -617,31 +617,31 @@ end ;; ------------ export verilog work bench ----------------------- ; Contract: -; export-verilog-bench : cell -> -- +; verilog:export-bench : cell -> -- ; Purpose: ; generate Verilog Stimulus (kind of work bench) description on STDOUT ; Example: -; (export-verilog-bench INV-cell) => --" +; (verilog:export-bench INV-cell) => --" ; Definition: - (define export-verilog-bench + (define verilog:export-bench (lambda (cell) (let ((at-port current-output-port)) (begin ; header - (export-verilog-header cell "Verilog Stimulus Work Bench") + (verilog:export-header cell "Verilog Stimulus Work Bench") ; definions - (export-verilog-definitions cell) + (verilog:export-definitions cell) ; globals - (export-verilog-globals) + (verilog:export-globals) ; device under test - (export-verilog-dut cell) + (verilog:export-dut cell) ; stimulus - (export-verilog-stimulus cell) + (verilog:export-stimulus cell) ; footer - (export-verilog-footer) + (verilog:export-footer) ) ) ) diff --git a/Tools/popcorn/popcorn.scm b/Tools/popcorn/popcorn.scm index 618762d8..91e8227c 100644 --- a/Tools/popcorn/popcorn.scm +++ b/Tools/popcorn/popcorn.scm @@ -346,7 +346,7 @@ Copyright (c) 2019 by chipforge - " ; generate verilog switch-level model [(equal? export-format 'verilog-slm) (begin - (export-verilog-switch (read-cell-file cell-file)) + (verilog:export-switch (cell:read-file cell-file)) 0 ; exit value ) ] @@ -354,7 +354,7 @@ Copyright (c) 2019 by chipforge - " ; generate verilog stimulus work bench [(equal? export-format 'verilog-bench) (begin - (export-verilog-bench (read-cell-file cell-file)) + (verilog:export-bench (cell:read-file cell-file)) 0 ; exit value ) ] @@ -365,28 +365,28 @@ Copyright (c) 2019 by chipforge - " ; nand-wise [(equal? expansion-method 'nand) (begin - (write-cell-file (expand-cell-nand (read-cell-file cell-file) stacked-limit buffer-limit cell-name)) + (cell:write-file (cell:expand-nand (cell:read-file cell-file) stacked-limit buffer-limit cell-name)) 0 ; exit value ) ] ; nor-wise [(equal? expansion-method 'nor) (begin - (write-cell-file (expand-cell-nor (read-cell-file cell-file) stacked-limit buffer-limit cell-name)) + (cell:write-file (cell:expand-nor (cell:read-file cell-file) stacked-limit buffer-limit cell-name)) 0 ; exit value ) ] ; aoi-wise [(equal? expansion-method 'aoi) (begin - (write-cell-file (expand-cell-aoi (read-cell-file cell-file) stacked-limit buffer-limit cell-name)) + (cell:write-file (cell:expand-aoi (cell:read-file cell-file) stacked-limit buffer-limit cell-name)) 0 ; exit value ) ] ; oai-wise [(equal? expansion-method 'oai) (begin - (write-cell-file (expand-cell-oai (read-cell-file cell-file) stacked-limit buffer-limit cell-name)) + (cell:write-file (cell:expand-oai (cell:read-file cell-file) stacked-limit buffer-limit cell-name)) 0 ; exit value ) ] From d45b443afcb060e6614802cb00772f40092d6e0a Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 30 Aug 2019 18:17:06 +0200 Subject: [PATCH 084/673] [BUILD] rectify makefile with single cell target --- Catalog/GNUmakefile | 3 +++ 1 file changed, 3 insertions(+) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index af7bbe1e..66296cfa 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -80,6 +80,7 @@ help: $(ECHO) " clean - clean up all intermediate files" $(ECHO) "" $(ECHO) " catalog - compile *all* catalog cells (see list below)" + $(ECHO) " cell CELL= - compile up to dedicated catalog cell" $(ECHO) "" $(ECHO) "-------------------------------------------------------------------" $(ECHO) " available cells [limit=" $(LIMIT) "+ buffer=" $(BUFFER)"]:" @@ -117,3 +118,5 @@ endif .PHONY: catalog catalog: $(CELLS) +.PHONY: cell +cell: $(CELL) From af016291c16b3fb69fcd78c1dbba413a47493ab2 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 2 Sep 2019 19:48:09 +0200 Subject: [PATCH 085/673] [CELLS] add (positive/negative) Latches with gEDA roots --- Sources/geda/LATN.sch | 412 +++++++++++++++++++++++++++++++++++++++ Sources/geda/LATN.sym | 69 +++++++ Sources/geda/LATP.sch | 412 +++++++++++++++++++++++++++++++++++++++ Sources/geda/LATP.sym | 69 +++++++ TBench/geda/LATN_tb.sch | 209 ++++++++++++++++++++ TBench/geda/LATP_tb.sch | 209 ++++++++++++++++++++ TBench/spice/LATN_tb.cmd | 4 + TBench/spice/LATN_tb.sp | 187 ++++++++++++++++++ TBench/spice/LATP_tb.cmd | 4 + TBench/spice/LATP_tb.sp | 185 ++++++++++++++++++ 10 files changed, 1760 insertions(+) create mode 100644 Sources/geda/LATN.sch create mode 100644 Sources/geda/LATN.sym create mode 100644 Sources/geda/LATP.sch create mode 100644 Sources/geda/LATP.sym create mode 100644 TBench/geda/LATN_tb.sch create mode 100644 TBench/geda/LATP_tb.sch create mode 100644 TBench/spice/LATN_tb.cmd create mode 100644 TBench/spice/LATN_tb.sp create mode 100644 TBench/spice/LATP_tb.cmd create mode 100644 TBench/spice/LATP_tb.sp diff --git a/Sources/geda/LATN.sch b/Sources/geda/LATN.sch new file mode 100644 index 00000000..59669b11 --- /dev/null +++ b/Sources/geda/LATN.sch @@ -0,0 +1,412 @@ +v 20130925 2 +T 50100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 44100 43300 44100 47800 4 +N 43300 48700 56400 48700 4 +{ +T 43400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45000 48700 45000 48300 4 +N 45200 47800 45100 47800 4 +N 45200 47800 45200 48700 4 +N 43200 42400 56400 42400 4 +{ +T 43400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 44400 47300 1 0 0 asic-pmos-1.sym +{ +T 45800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45200 48100 5 10 1 1 0 0 1 +refdes=M1 +T 45200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 45200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 45200 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 40500 9 10 1 0 0 0 4 +see [WESTE, 1992] +Weste, H.E. Neil; ESHRAGHIAN, Kamran: +"Principles of CMOS VLSI Design: A Systems Perspective." 2nd Edition, 1992. +Figure 5.53, Page 326. +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 49500 40000 1 0 0 cvstitleblock-1.sym +{ +T 50100 40400 5 10 1 1 0 0 1 +date=2019-09-02 +T 54000 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 54000 40100 5 10 1 1 0 0 1 +auth= +T 50100 40700 5 10 1 1 0 0 1 +fname=LATN.sch +T 52900 41100 5 14 1 1 0 4 1 +title=LATN - Low-active D-Latch +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 54700 44900 1 270 0 spice-subcircuit-IO-1.sym +{ +T 54700 44700 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 44000 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43800 45900 5 10 1 1 0 0 1 +refdes=P3 +} +C 43500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 49000 5 10 1 1 0 0 1 +refdes=P4 +} +C 43400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43400 42700 5 10 1 1 0 0 1 +refdes=P5 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATN +} +C 40700 47500 1 0 0 spice-directive-1.sym +{ +T 40800 47800 5 10 0 1 0 0 1 +device=directive +T 40800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40700 46700 1 0 0 spice-directive-1.sym +{ +T 40800 47000 5 10 0 1 0 0 1 +device=directive +T 40800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46400 47300 1 0 0 asic-pmos-1.sym +{ +T 47800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47200 48100 5 10 1 1 0 0 1 +refdes=M3 +T 47200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47200 47400 5 8 1 0 0 0 1 +l=1u +} +C 46400 42800 1 0 0 asic-nmos-1.sym +{ +T 47800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47200 43600 5 10 1 1 0 0 1 +refdes=M4 +T 47200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47200 42900 5 8 1 0 0 0 1 +l=1u +} +C 44400 42800 1 0 0 asic-nmos-1.sym +{ +T 45800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45200 43600 5 10 1 1 0 0 1 +refdes=M2 +T 45200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 45200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 45200 42900 5 8 1 0 0 0 1 +l=1u +} +N 43800 45600 44100 45600 4 +{ +T 43800 45700 5 10 1 1 0 0 1 +netname=XN +} +N 44100 47800 44400 47800 4 +N 44100 43300 44400 43300 4 +N 45000 42800 45000 42400 4 +N 45100 43300 45200 43300 4 +N 45200 43300 45200 42400 4 +N 45000 47300 45000 43800 4 +N 46100 47800 46400 47800 4 +N 46100 43300 46400 43300 4 +N 46100 47800 46100 43300 4 +N 45000 45600 46100 45600 4 +{ +T 45400 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47000 47300 47000 43800 4 +N 47000 48300 47000 48700 4 +N 47000 42800 47000 42400 4 +N 47100 47800 47200 47800 4 +N 47200 47800 47200 48700 4 +N 47100 43300 47200 43300 4 +N 47200 43300 47200 42400 4 +N 47000 45600 47800 45600 4 +{ +T 47300 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45000 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53200 45800 1 0 0 asic-pmos-1.sym +{ +T 54600 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54000 46600 5 10 1 1 0 0 1 +refdes=M9 +T 54000 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54000 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54000 45900 5 8 1 0 0 0 1 +l=1u +} +C 53200 44300 1 0 0 asic-nmos-1.sym +{ +T 54600 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54000 45100 5 10 1 1 0 0 1 +refdes=M10 +T 54000 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54000 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54000 44400 5 8 1 0 0 0 1 +l=1u +} +N 52900 46300 53200 46300 4 +N 53200 44800 52900 44800 4 +N 52900 44800 52900 46300 4 +N 53800 45800 53800 45300 4 +C 55600 45800 1 0 0 asic-pmos-1.sym +{ +T 57000 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 46600 5 10 1 1 0 0 1 +refdes=M12 +T 56400 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 45900 5 8 1 0 0 0 1 +l=1u +} +C 55600 44300 1 0 0 asic-nmos-1.sym +{ +T 57000 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 45100 5 10 1 1 0 0 1 +refdes=M13 +T 56400 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 44400 5 8 1 0 0 0 1 +l=1u +} +C 55600 42800 1 0 0 asic-nmos-1.sym +{ +T 57000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 43600 5 10 1 1 0 0 1 +refdes=M14 +T 56400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 42900 5 8 1 0 0 0 1 +l=1u +} +C 55600 47300 1 0 0 asic-pmos-1.sym +{ +T 57000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 48100 5 10 1 1 0 0 1 +refdes=M11 +T 56400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 47400 5 8 1 0 0 0 1 +l=1u +} +N 55600 47800 54700 47800 4 +{ +T 55000 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 55600 43300 54700 43300 4 +{ +T 55000 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 55300 46300 55600 46300 4 +N 55600 44800 55300 44800 4 +N 55300 44800 55300 46300 4 +N 53800 45600 55300 45600 4 +{ +T 54800 45700 5 10 1 1 0 0 1 +netname=Q +} +N 56200 48300 56200 48700 4 +N 56200 47300 56200 46800 4 +N 56200 45800 56200 45300 4 +N 56200 44300 56200 43800 4 +N 56200 42800 56200 42400 4 +N 55000 44700 55000 45600 4 +N 51400 45600 52900 45600 4 +N 52300 45600 52300 47100 4 +N 52300 47100 57200 47100 4 +{ +T 54800 47200 5 10 1 1 0 0 1 +netname=qn +} +N 56200 45600 57200 45600 4 +N 57200 45600 57200 47100 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 53500 41900 9 10 1 0 0 0 2 +Q Stage: +- inverter +T 54900 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 56300 47800 56400 47800 4 +N 56400 46300 56400 48700 4 +N 56300 46300 56400 46300 4 +N 56300 43300 56400 43300 4 +N 56400 42400 56400 44800 4 +N 56300 44800 56400 44800 4 +N 53800 46800 53800 48700 4 +N 53900 46300 54000 46300 4 +N 54000 46300 54000 48700 4 +N 53800 44300 53800 42400 4 +N 53900 44800 54000 44800 4 +N 54000 44800 54000 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 diff --git a/Sources/geda/LATN.sym b/Sources/geda/LATN.sym new file mode 100644 index 00000000..36969864 --- /dev/null +++ b/Sources/geda/LATN.sym @@ -0,0 +1,69 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=3 +T 358 592 9 10 1 1 0 0 1 +pinlabel=XN +T 208 642 5 10 0 1 0 6 1 +pinnumber=3 +} +T 492 992 5 16 1 1 0 0 1 +device=LATN +T 1292 2292 8 10 0 1 0 0 1 +description=Low-active D-Latch +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATN.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=4 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=4 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=5 +T 1250 300 5 10 0 0 270 6 1 +pinseq=5 +} diff --git a/Sources/geda/LATP.sch b/Sources/geda/LATP.sch new file mode 100644 index 00000000..a0cc5dc3 --- /dev/null +++ b/Sources/geda/LATP.sch @@ -0,0 +1,412 @@ +v 20130925 2 +T 50100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 44100 43300 44100 47800 4 +N 43300 48700 56400 48700 4 +{ +T 43400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45000 48700 45000 48300 4 +N 45200 47800 45100 47800 4 +N 45200 47800 45200 48700 4 +N 43200 42400 56400 42400 4 +{ +T 43400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 44400 47300 1 0 0 asic-pmos-1.sym +{ +T 45800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45200 48100 5 10 1 1 0 0 1 +refdes=M1 +T 45200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 45200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 45200 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 40500 9 10 1 0 0 0 4 +see [WESTE, 1992] +Weste, H.E. Neil; ESHRAGHIAN, Kamran: +"Principles of CMOS VLSI Design: A Systems Perspective." 2nd Edition, 1992. +Figure 5.53, Page 326. +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 49500 40000 1 0 0 cvstitleblock-1.sym +{ +T 50100 40400 5 10 1 1 0 0 1 +date=2019-09-02 +T 54000 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 54000 40100 5 10 1 1 0 0 1 +auth= +T 50100 40700 5 10 1 1 0 0 1 +fname=LATP.sch +T 52900 41100 5 14 1 1 0 4 1 +title=LATP - High-active D-Latch +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 54700 44900 1 270 0 spice-subcircuit-IO-1.sym +{ +T 54700 44700 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 44000 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43800 45900 5 10 1 1 0 0 1 +refdes=P3 +} +C 43500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 49000 5 10 1 1 0 0 1 +refdes=P4 +} +C 43400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43400 42700 5 10 1 1 0 0 1 +refdes=P5 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATP +} +C 40700 47500 1 0 0 spice-directive-1.sym +{ +T 40800 47800 5 10 0 1 0 0 1 +device=directive +T 40800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40700 46700 1 0 0 spice-directive-1.sym +{ +T 40800 47000 5 10 0 1 0 0 1 +device=directive +T 40800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46400 47300 1 0 0 asic-pmos-1.sym +{ +T 47800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47200 48100 5 10 1 1 0 0 1 +refdes=M3 +T 47200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47200 47400 5 8 1 0 0 0 1 +l=1u +} +C 46400 42800 1 0 0 asic-nmos-1.sym +{ +T 47800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47200 43600 5 10 1 1 0 0 1 +refdes=M4 +T 47200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47200 42900 5 8 1 0 0 0 1 +l=1u +} +C 44400 42800 1 0 0 asic-nmos-1.sym +{ +T 45800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45200 43600 5 10 1 1 0 0 1 +refdes=M2 +T 45200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 45200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 45200 42900 5 8 1 0 0 0 1 +l=1u +} +N 43800 45600 44100 45600 4 +{ +T 43800 45700 5 10 1 1 0 0 1 +netname=X +} +N 44100 47800 44400 47800 4 +N 44100 43300 44400 43300 4 +N 45000 42800 45000 42400 4 +N 45100 43300 45200 43300 4 +N 45200 43300 45200 42400 4 +N 45000 47300 45000 43800 4 +N 46100 47800 46400 47800 4 +N 46100 43300 46400 43300 4 +N 46100 47800 46100 43300 4 +N 45000 45600 46100 45600 4 +{ +T 45400 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47000 47300 47000 43800 4 +N 47000 48300 47000 48700 4 +N 47000 42800 47000 42400 4 +N 47100 47800 47200 47800 4 +N 47200 47800 47200 48700 4 +N 47100 43300 47200 43300 4 +N 47200 43300 47200 42400 4 +N 47000 45600 47800 45600 4 +{ +T 47300 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45000 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53200 45800 1 0 0 asic-pmos-1.sym +{ +T 54600 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54000 46600 5 10 1 1 0 0 1 +refdes=M9 +T 54000 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54000 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54000 45900 5 8 1 0 0 0 1 +l=1u +} +C 53200 44300 1 0 0 asic-nmos-1.sym +{ +T 54600 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54000 45100 5 10 1 1 0 0 1 +refdes=M10 +T 54000 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54000 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54000 44400 5 8 1 0 0 0 1 +l=1u +} +N 52900 46300 53200 46300 4 +N 53200 44800 52900 44800 4 +N 52900 44800 52900 46300 4 +N 53800 45800 53800 45300 4 +C 55600 45800 1 0 0 asic-pmos-1.sym +{ +T 57000 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 46600 5 10 1 1 0 0 1 +refdes=M12 +T 56400 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 45900 5 8 1 0 0 0 1 +l=1u +} +C 55600 44300 1 0 0 asic-nmos-1.sym +{ +T 57000 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 45100 5 10 1 1 0 0 1 +refdes=M13 +T 56400 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 44400 5 8 1 0 0 0 1 +l=1u +} +C 55600 42800 1 0 0 asic-nmos-1.sym +{ +T 57000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 43600 5 10 1 1 0 0 1 +refdes=M14 +T 56400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 42900 5 8 1 0 0 0 1 +l=1u +} +C 55600 47300 1 0 0 asic-pmos-1.sym +{ +T 57000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 48100 5 10 1 1 0 0 1 +refdes=M11 +T 56400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 47400 5 8 1 0 0 0 1 +l=1u +} +N 55600 47800 54700 47800 4 +{ +T 55000 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 55600 43300 54700 43300 4 +{ +T 55000 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 55300 46300 55600 46300 4 +N 55600 44800 55300 44800 4 +N 55300 44800 55300 46300 4 +N 53800 45600 55300 45600 4 +{ +T 54800 45700 5 10 1 1 0 0 1 +netname=Q +} +N 56200 48300 56200 48700 4 +N 56200 47300 56200 46800 4 +N 56200 45800 56200 45300 4 +N 56200 44300 56200 43800 4 +N 56200 42800 56200 42400 4 +N 55000 44700 55000 45600 4 +N 51400 45600 52900 45600 4 +N 52300 45600 52300 47100 4 +N 52300 47100 57200 47100 4 +{ +T 54800 47200 5 10 1 1 0 0 1 +netname=qn +} +N 56200 45600 57200 45600 4 +N 57200 45600 57200 47100 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- high-active transparent +T 53500 41900 9 10 1 0 0 0 2 +Q Stage: +- inverter +T 54900 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- low-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 56300 47800 56400 47800 4 +N 56400 46300 56400 48700 4 +N 56300 46300 56400 46300 4 +N 56300 43300 56400 43300 4 +N 56400 42400 56400 44800 4 +N 56300 44800 56400 44800 4 +N 53800 46800 53800 48700 4 +N 53900 46300 54000 46300 4 +N 54000 46300 54000 48700 4 +N 53800 44300 53800 42400 4 +N 53900 44800 54000 44800 4 +N 54000 44800 54000 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 diff --git a/Sources/geda/LATP.sym b/Sources/geda/LATP.sym new file mode 100644 index 00000000..1c71094d --- /dev/null +++ b/Sources/geda/LATP.sym @@ -0,0 +1,69 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=3 +T 358 592 9 10 1 1 0 0 1 +pinlabel=X +T 208 642 5 10 0 1 0 6 1 +pinnumber=3 +} +T 492 992 5 16 1 1 0 0 1 +device=LATP +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-Latch +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATP.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=4 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=4 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=5 +T 1250 300 5 10 0 0 270 6 1 +pinseq=5 +} diff --git a/TBench/geda/LATN_tb.sch b/TBench/geda/LATN_tb.sch new file mode 100644 index 00000000..825fcccd --- /dev/null +++ b/TBench/geda/LATN_tb.sch @@ -0,0 +1,209 @@ +v 20130925 2 +C 46000 43000 1 0 0 vdc-1.sym +{ +T 46700 43650 5 10 1 1 0 0 1 +refdes=VDD +T 46700 43850 5 10 0 0 0 0 1 +device=VOLTAGE_SOURCE +T 46700 44050 5 10 0 0 0 0 1 +footprint=none +T 46700 43450 5 10 1 1 0 0 1 +value=DC 'SUPPLY' +} +C 48700 38900 1 0 0 cvstitleblock-1.sym +{ +T 49300 39300 5 10 1 1 0 0 1 +date=2019-08-21 +T 53200 39300 5 10 1 1 0 0 1 +rev=$Revision$ +T 53200 39000 5 10 1 1 0 0 1 +auth= +T 49300 39600 5 10 1 1 0 0 1 +fname=LATN_tb.sch +T 51400 40100 5 14 1 1 0 4 1 +title=LATN - Low-active D-FlipFlop +} +T 49300 39000 9 10 1 0 0 0 1 +1 +T 50800 39000 9 10 1 0 0 0 1 +1 +C 43200 40300 1 0 0 spice-include-1.sym +{ +T 43300 40600 5 10 0 1 0 0 1 +device=include +T 43300 40700 5 10 1 1 0 0 1 +refdes=A5 +T 43700 40400 5 10 1 1 0 0 1 +file=TBench/spice/LATN_tb.cmd +} +C 43200 43900 1 0 0 spice-directive-1.sym +{ +T 43300 44200 5 10 0 1 0 0 1 +device=directive +T 43300 44300 5 10 1 1 0 0 1 +refdes=A1 +T 43300 44000 5 10 0 1 0 0 1 +file=unknown +T 43300 44000 5 10 1 1 0 0 1 +value=.param SUPPLY=5.0 +} +C 43200 43000 1 0 0 spice-model-1.sym +{ +T 43300 43600 5 10 1 1 0 0 1 +refdes=A2 +T 44500 43300 5 10 1 1 0 0 1 +model-name=LATN +T 43700 43100 5 10 1 1 0 0 1 +file=Library/spice/LATN.cir +} +C 47800 40000 1 0 0 vpulse-1.sym +{ +T 48500 40650 5 10 1 1 0 0 1 +refdes=V3 +T 48500 40850 5 10 0 0 0 0 1 +device=vpulse +T 48500 41050 5 10 0 0 0 0 1 +footprint=none +T 45300 40650 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n +} +N 52500 42700 52200 42700 4 +{ +T 52300 42800 5 10 1 1 0 0 1 +netname=Q +} +N 47400 42700 48000 42700 4 +N 48100 41700 49000 41700 4 +N 49100 42700 50400 42700 4 +{ +T 49600 42800 5 10 1 1 0 0 1 +netname=D +} +N 50100 41700 50400 41700 4 +{ +T 50100 41800 5 10 1 1 0 0 1 +netname=XN +} +N 48100 41200 48100 41700 4 +C 47100 41300 1 0 0 vpulse-1.sym +{ +T 47800 41950 5 10 1 1 0 0 1 +refdes=V2 +T 47800 42150 5 10 0 0 0 0 1 +device=vpulse +T 47800 42350 5 10 0 0 0 0 1 +footprint=none +T 44400 42050 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 5n 7.5n 7.5n 15n 60n +} +N 47400 42500 47400 42700 4 +C 46100 44400 1 0 0 vdd-1.sym +C 46200 42500 1 0 0 gnd-1.sym +N 46300 44400 46300 44200 4 +{ +T 46300 44400 5 10 1 1 0 0 1 +netname=VDD +} +N 46300 42800 46300 43000 4 +{ +T 46300 42800 5 10 1 1 0 0 1 +netname=GND +} +C 48000 39600 1 0 0 gnd-1.sym +C 47300 41000 1 0 0 gnd-1.sym +N 48100 39900 48100 40000 4 +C 54900 41200 1 0 0 gnd-1.sym +C 54800 42500 1 270 0 capacitor-1.sym +{ +T 55500 42300 5 10 0 0 270 0 1 +device=CAPACITOR +T 55300 42300 5 10 1 1 270 0 1 +refdes=CL +T 55700 42300 5 10 0 0 270 0 1 +symversion=0.1 +T 55100 41800 5 10 1 1 0 0 1 +value=10n +} +C 53800 42600 1 0 0 resistor-2.sym +{ +T 54200 42950 5 10 0 0 0 0 1 +device=RESISTOR +T 54000 42900 5 10 1 1 0 0 1 +refdes=RL +T 54000 42600 5 10 1 1 0 0 1 +value=100k +} +N 53600 42700 53800 42700 4 +N 54700 42700 55000 42700 4 +N 55000 42700 55000 42500 4 +N 55000 41600 55000 41500 4 +C 48000 42300 1 0 0 BUF2.sym +{ +T 48292 42592 5 16 1 1 0 0 1 +device=BUF2 +T 48292 43089 5 10 1 1 0 0 1 +refdes=XD +T 49892 43492 5 10 0 0 0 0 1 +footprint=none +T 48000 42300 5 10 0 0 0 0 1 +value=BUF2 +} +C 49000 41300 1 0 0 BUF2.sym +{ +T 49292 41592 5 16 1 1 0 0 1 +device=BUF2 +T 49292 42089 5 10 1 1 0 0 1 +refdes=XXN +T 50892 42492 5 10 0 0 0 0 1 +footprint=none +T 49000 41300 5 10 0 0 0 0 1 +value=BUF2 +} +C 52500 42300 1 0 0 FO4.sym +{ +T 52792 42592 5 16 1 1 0 0 1 +device=FO4 +T 52792 43089 5 10 1 1 0 0 1 +refdes=XQ +T 54392 43492 5 10 0 0 0 0 1 +footprint=none +T 52500 42300 5 10 0 0 0 0 1 +value=FO4 +} +C 48400 43100 1 0 0 vdd-1.sym +C 49400 42100 1 0 0 vdd-1.sym +C 51400 43300 1 0 0 vdd-1.sym +C 52900 43100 1 0 0 vdd-1.sym +C 48500 42000 1 0 0 gnd-1.sym +C 49500 41000 1 0 0 gnd-1.sym +C 51500 40800 1 0 0 gnd-1.sym +C 53000 42000 1 0 0 gnd-1.sym +C 43200 42100 1 0 0 spice-model-1.sym +{ +T 43300 42700 5 10 1 1 0 0 1 +refdes=A3 +T 44500 42400 5 10 1 1 0 0 1 +model-name=BUF2 +T 43700 42200 5 10 1 1 0 0 1 +file=Library/spice/BUF2.cir +} +C 43200 41100 1 0 0 spice-model-1.sym +{ +T 43300 41700 5 10 1 1 0 0 1 +refdes=A4 +T 44500 41400 5 10 1 1 0 0 1 +model-name=FO4 +T 43700 41200 5 10 1 1 0 0 1 +file=Library/spice/FO4.cir +} +C 50400 41100 1 0 0 LATN.sym +{ +T 50892 42092 5 16 1 1 0 0 1 +device=LATN +T 50692 43089 5 10 1 1 0 0 1 +refdes=XUT +T 52292 42492 5 10 0 0 0 0 1 +footprint=none +T 50400 41100 5 10 0 0 0 0 1 +value=LATN +} diff --git a/TBench/geda/LATP_tb.sch b/TBench/geda/LATP_tb.sch new file mode 100644 index 00000000..c5ed7b07 --- /dev/null +++ b/TBench/geda/LATP_tb.sch @@ -0,0 +1,209 @@ +v 20130925 2 +C 46000 43000 1 0 0 vdc-1.sym +{ +T 46700 43650 5 10 1 1 0 0 1 +refdes=VDD +T 46700 43850 5 10 0 0 0 0 1 +device=VOLTAGE_SOURCE +T 46700 44050 5 10 0 0 0 0 1 +footprint=none +T 46700 43450 5 10 1 1 0 0 1 +value=DC 'SUPPLY' +} +C 48700 38900 1 0 0 cvstitleblock-1.sym +{ +T 49300 39300 5 10 1 1 0 0 1 +date=2019-08-21 +T 53200 39300 5 10 1 1 0 0 1 +rev=$Revision$ +T 53200 39000 5 10 1 1 0 0 1 +auth= +T 49300 39600 5 10 1 1 0 0 1 +fname=LATP_tb.sch +T 51400 40100 5 14 1 1 0 4 1 +title=LATP - High-active D-FlipFlop +} +T 49300 39000 9 10 1 0 0 0 1 +1 +T 50800 39000 9 10 1 0 0 0 1 +1 +C 43200 40300 1 0 0 spice-include-1.sym +{ +T 43300 40600 5 10 0 1 0 0 1 +device=include +T 43300 40700 5 10 1 1 0 0 1 +refdes=A5 +T 43700 40400 5 10 1 1 0 0 1 +file=TBench/spice/LATP_tb.cmd +} +C 43200 43900 1 0 0 spice-directive-1.sym +{ +T 43300 44200 5 10 0 1 0 0 1 +device=directive +T 43300 44300 5 10 1 1 0 0 1 +refdes=A1 +T 43300 44000 5 10 0 1 0 0 1 +file=unknown +T 43300 44000 5 10 1 1 0 0 1 +value=.param SUPPLY=5.0 +} +C 43200 43000 1 0 0 spice-model-1.sym +{ +T 43300 43600 5 10 1 1 0 0 1 +refdes=A2 +T 44500 43300 5 10 1 1 0 0 1 +model-name=LATP +T 43700 43100 5 10 1 1 0 0 1 +file=Library/spice/LATP.cir +} +C 47800 40000 1 0 0 vpulse-1.sym +{ +T 48500 40650 5 10 1 1 0 0 1 +refdes=V3 +T 48500 40850 5 10 0 0 0 0 1 +device=vpulse +T 48500 41050 5 10 0 0 0 0 1 +footprint=none +T 45300 40650 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n +} +N 52500 42700 52200 42700 4 +{ +T 52300 42800 5 10 1 1 0 0 1 +netname=Q +} +N 47400 42700 48000 42700 4 +N 48100 41700 49000 41700 4 +N 49100 42700 50400 42700 4 +{ +T 49600 42800 5 10 1 1 0 0 1 +netname=D +} +N 50100 41700 50400 41700 4 +{ +T 50100 41800 5 10 1 1 0 0 1 +netname=X +} +N 48100 41200 48100 41700 4 +C 47100 41300 1 0 0 vpulse-1.sym +{ +T 47800 41950 5 10 1 1 0 0 1 +refdes=V2 +T 47800 42150 5 10 0 0 0 0 1 +device=vpulse +T 47800 42350 5 10 0 0 0 0 1 +footprint=none +T 44400 42050 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 5n 7.5n 7.5n 15n 60n +} +N 47400 42500 47400 42700 4 +C 46100 44400 1 0 0 vdd-1.sym +C 46200 42500 1 0 0 gnd-1.sym +N 46300 44400 46300 44200 4 +{ +T 46300 44400 5 10 1 1 0 0 1 +netname=VDD +} +N 46300 42800 46300 43000 4 +{ +T 46300 42800 5 10 1 1 0 0 1 +netname=GND +} +C 48000 39600 1 0 0 gnd-1.sym +C 47300 41000 1 0 0 gnd-1.sym +N 48100 39900 48100 40000 4 +C 54900 41200 1 0 0 gnd-1.sym +C 54800 42500 1 270 0 capacitor-1.sym +{ +T 55500 42300 5 10 0 0 270 0 1 +device=CAPACITOR +T 55300 42300 5 10 1 1 270 0 1 +refdes=CL +T 55700 42300 5 10 0 0 270 0 1 +symversion=0.1 +T 55100 41800 5 10 1 1 0 0 1 +value=10n +} +C 53800 42600 1 0 0 resistor-2.sym +{ +T 54200 42950 5 10 0 0 0 0 1 +device=RESISTOR +T 54000 42900 5 10 1 1 0 0 1 +refdes=RL +T 54000 42600 5 10 1 1 0 0 1 +value=100k +} +N 53600 42700 53800 42700 4 +N 54700 42700 55000 42700 4 +N 55000 42700 55000 42500 4 +N 55000 41600 55000 41500 4 +C 48000 42300 1 0 0 BUF2.sym +{ +T 48292 42592 5 16 1 1 0 0 1 +device=BUF2 +T 48292 43089 5 10 1 1 0 0 1 +refdes=XD +T 49892 43492 5 10 0 0 0 0 1 +footprint=none +T 48000 42300 5 10 0 0 0 0 1 +value=BUF2 +} +C 49000 41300 1 0 0 BUF2.sym +{ +T 49292 41592 5 16 1 1 0 0 1 +device=BUF2 +T 49292 42089 5 10 1 1 0 0 1 +refdes=XX +T 50892 42492 5 10 0 0 0 0 1 +footprint=none +T 49000 41300 5 10 0 0 0 0 1 +value=BUF2 +} +C 52500 42300 1 0 0 FO4.sym +{ +T 52792 42592 5 16 1 1 0 0 1 +device=FO4 +T 52792 43089 5 10 1 1 0 0 1 +refdes=XQ +T 54392 43492 5 10 0 0 0 0 1 +footprint=none +T 52500 42300 5 10 0 0 0 0 1 +value=FO4 +} +C 48400 43100 1 0 0 vdd-1.sym +C 49400 42100 1 0 0 vdd-1.sym +C 51400 43300 1 0 0 vdd-1.sym +C 52900 43100 1 0 0 vdd-1.sym +C 48500 42000 1 0 0 gnd-1.sym +C 49500 41000 1 0 0 gnd-1.sym +C 51500 40800 1 0 0 gnd-1.sym +C 53000 42000 1 0 0 gnd-1.sym +C 43200 42100 1 0 0 spice-model-1.sym +{ +T 43300 42700 5 10 1 1 0 0 1 +refdes=A3 +T 44500 42400 5 10 1 1 0 0 1 +model-name=BUF2 +T 43700 42200 5 10 1 1 0 0 1 +file=Library/spice/BUF2.cir +} +C 43200 41100 1 0 0 spice-model-1.sym +{ +T 43300 41700 5 10 1 1 0 0 1 +refdes=A4 +T 44500 41400 5 10 1 1 0 0 1 +model-name=FO4 +T 43700 41200 5 10 1 1 0 0 1 +file=Library/spice/FO4.cir +} +C 50400 41100 1 0 0 LATP.sym +{ +T 50892 42092 5 16 1 1 0 0 1 +device=LATP +T 50692 43089 5 10 1 1 0 0 1 +refdes=XUT +T 52292 42492 5 10 0 0 0 0 1 +footprint=none +T 50400 41100 5 10 0 0 0 0 1 +value=LATP +} diff --git a/TBench/spice/LATN_tb.cmd b/TBench/spice/LATN_tb.cmd new file mode 100644 index 00000000..da00b9ea --- /dev/null +++ b/TBench/spice/LATN_tb.cmd @@ -0,0 +1,4 @@ + +.tran 100p 70n +* .plot tran v(Q) v(X) v(D) + diff --git a/TBench/spice/LATN_tb.sp b/TBench/spice/LATN_tb.sp new file mode 100644 index 00000000..a1768435 --- /dev/null +++ b/TBench/spice/LATN_tb.sp @@ -0,0 +1,187 @@ +* gnetlist -g spice-sdb -o TBench/spice/LATN_tb.sp TBench/geda/LATN_tb.sch +********************************************************* +* Spice file generated by gnetlist * +* spice-sdb version 4.28.2007 by SDB -- * +* provides advanced spice netlisting capability. * +* Documentation at http://www.brorson.com/gEDA/SPICE/ * +********************************************************* +*vvvvvvvv Included SPICE model from Library/spice/LATN.cir vvvvvvvv +******************************* +* Begin .SUBCKT model * +* spice-sdb ver 4.28.2007 * +******************************* +.SUBCKT LATN Q D XN VDD GND +*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL NMOS4 NMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 ++ PHI = 0.7 VTO = 0.8 DELTA = 3.0 ++ UO = 650 ETA = 3.0E-6 THETA = 0.1 ++ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 ++ RSH = 0 NFS = 1E12 TPG = 1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 + +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL PMOS4 PMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 ++ PHI = 0.7 VTO = -0.9 DELTA = 0.1 ++ UO = 250 ETA = 0 THETA = 0.1 ++ KP = 40E-6 VMAX = 5E4 KAPPA = 1 ++ RSH = 0 NFS = 1E12 TPG = -1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ +* +*============== Begin SPICE netlist of main design ============ +M11 3 clk180 VDD VDD pmos4 l=1u w='2*PNratio*Wunit' +M14 4 clk360 0 0 nmos4 l=1u w='2*Wunit' +M13 q_n Q 4 0 nmos4 l=1u w='2*Wunit' +M12 q_n Q 3 VDD pmos4 l=1u w='2*PNratio*Wunit' +M10 Q q_n 0 0 nmos4 l=1u w='Wunit' +M9 Q q_n VDD VDD pmos4 l=1u w='PNratio*Wunit' +M5 1 clk360 VDD VDD pmos4 l=1u w='2*PNratio*Wunit' +M8 2 clk180 0 0 nmos4 l=1u w='2*Wunit' +M7 q_n D 2 0 nmos4 l=1u w='2*Wunit' +M6 q_n D 1 VDD pmos4 l=1u w='2*PNratio*Wunit' +M2 clk180 XN 0 0 nmos4 l=1u w='Wunit' +M4 clk360 clk180 0 0 nmos4 l=1u w='Wunit' +M3 clk360 clk180 VDD VDD pmos4 l=1u w='PNratio*Wunit' +.PARAM PNratio=2 +.PARAM Wunit=1.5u +M1 clk180 XN VDD VDD pmos4 l=1u w='PNratio*Wunit' +.ends LATN +******************************* +*^^^^^^^^ End of included SPICE model from Library/spice/LATN.cir ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Library/spice/BUF2.cir vvvvvvvv +******************************* +* Begin .SUBCKT model * +* spice-sdb ver 4.28.2007 * +******************************* +.SUBCKT BUF2 Z A VDD GND +*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL NMOS4 NMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 ++ PHI = 0.7 VTO = 0.8 DELTA = 3.0 ++ UO = 650 ETA = 3.0E-6 THETA = 0.1 ++ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 ++ RSH = 0 NFS = 1E12 TPG = 1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 + +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL PMOS4 PMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 ++ PHI = 0.7 VTO = -0.9 DELTA = 0.1 ++ UO = 250 ETA = 0 THETA = 0.1 ++ KP = 40E-6 VMAX = 5E4 KAPPA = 1 ++ RSH = 0 NFS = 1E12 TPG = -1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ +* +*============== Begin SPICE netlist of main design ============ +.PARAM PNratio=2 +.PARAM Wunit=1.5u +M3 Z 1 VDD VDD pmos4 l=1u w='2*PNratio*Wunit' +M1 1 A VDD VDD pmos4 l=1u w='PNratio*Wunit' +M4 Z 1 0 0 nmos4 l=1u w='2*Wunit' +M2 1 A 0 0 nmos4 l=1u w='Wunit' +.ends BUF2 +******************************* +*^^^^^^^^ End of included SPICE model from Library/spice/BUF2.cir ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Library/spice/FO4.cir vvvvvvvv +******************************* +* Begin .SUBCKT model * +* spice-sdb ver 4.28.2007 * +******************************* +.SUBCKT FO4 Z A VDD GND +*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL NMOS4 NMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 ++ PHI = 0.7 VTO = 0.8 DELTA = 3.0 ++ UO = 650 ETA = 3.0E-6 THETA = 0.1 ++ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 ++ RSH = 0 NFS = 1E12 TPG = 1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 + +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL PMOS4 PMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 ++ PHI = 0.7 VTO = -0.9 DELTA = 0.1 ++ UO = 250 ETA = 0 THETA = 0.1 ++ KP = 40E-6 VMAX = 5E4 KAPPA = 1 ++ RSH = 0 NFS = 1E12 TPG = -1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ +* +*============== Begin SPICE netlist of main design ============ +.PARAM Fan=4 +.PARAM PNratio=2 +.PARAM Wunit=1.5u +M3 Z 1 VDD VDD pmos4 l=1u w='Fan*Fan*PNratio*Wunit' +M1 1 A VDD VDD pmos4 l=1u w='Fan*PNratio*Wunit' +M4 Z 1 0 0 nmos4 l=1u w='Fan*Fan*Wunit' +M2 1 A 0 0 nmos4 l=1u w='Fan*Wunit' +.ends FO4 +******************************* +*^^^^^^^^ End of included SPICE model from Library/spice/FO4.cir ^^^^^^^^ +* +*============== Begin SPICE netlist of main design ============ +XUT Q D XN Vdd 0 LATN +XQ 4 Q Vdd 0 FO4 +XXN XN 1 Vdd 0 BUF2 +XD D 2 Vdd 0 BUF2 +RL 4 3 100k +CL 3 0 10n +V2 2 0 pulse 0 'SUPPLY' 5n 7.5n 7.5n 15n 60n +V3 1 0 pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n +.param SUPPLY=5.0 +.INCLUDE TBench/spice/LATN_tb.cmd +VDD Vdd 0 DC 'SUPPLY' +.end diff --git a/TBench/spice/LATP_tb.cmd b/TBench/spice/LATP_tb.cmd new file mode 100644 index 00000000..ec33f4f8 --- /dev/null +++ b/TBench/spice/LATP_tb.cmd @@ -0,0 +1,4 @@ + +.tran 100p 70n +.plot tran v(Q) v(X) v(D) + diff --git a/TBench/spice/LATP_tb.sp b/TBench/spice/LATP_tb.sp new file mode 100644 index 00000000..549e4dfe --- /dev/null +++ b/TBench/spice/LATP_tb.sp @@ -0,0 +1,185 @@ +* gnetlist -g spice-sdb -o TBench/spice/LATP_tb.sp TBench/geda/LATP_tb.sch +********************************************************* +* Spice file generated by gnetlist * +* spice-sdb version 4.28.2007 by SDB -- * +* provides advanced spice netlisting capability. * +* Documentation at http://www.brorson.com/gEDA/SPICE/ * +********************************************************* +*vvvvvvvv Included SPICE model from Library/spice/LATP.cir vvvvvvvv +******************************* +* Begin .SUBCKT model * +* spice-sdb ver 4.28.2007 * +******************************* +.SUBCKT LATP Q D X GND VDD +*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL NMOS4 NMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 ++ PHI = 0.7 VTO = 0.8 DELTA = 3.0 ++ UO = 650 ETA = 3.0E-6 THETA = 0.1 ++ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 ++ RSH = 0 NFS = 1E12 TPG = 1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 + +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL PMOS4 PMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 ++ PHI = 0.7 VTO = -0.9 DELTA = 0.1 ++ UO = 250 ETA = 0 THETA = 0.1 ++ KP = 40E-6 VMAX = 5E4 KAPPA = 1 ++ RSH = 0 NFS = 1E12 TPG = -1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ +* +*============== Begin SPICE netlist of main design ============ +M11 4 clock VDD VDD pmos4 l=1u w=6u +M14 5 \_clock\_ 0 0 nmos4 l=1u w=3u +M13 2 Q 5 0 nmos4 l=1u w=3u +M12 2 Q 4 VDD pmos4 l=1u w=6u +M10 Q 2 0 0 nmos4 l=1u w=1.5u +M9 Q 2 VDD VDD pmos4 l=1u w=3u +M5 1 \_clock\_ VDD VDD pmos4 l=1u w=6u +M8 3 clock 0 0 nmos4 l=1u w=3u +M7 2 D 3 0 nmos4 l=1u w=3u +M6 2 D 1 VDD pmos4 l=1u w=6u +M2 \_clock\_ X 0 0 nmos4 l=1u w=1.5u +M4 clock \_clock\_ 0 0 nmos4 l=1u w=1.5u +M3 VDD \_clock\_ clock VDD nmos4 l=1u w=3u +M1 \_clock\_ X VDD VDD pmos4 l=1u w=3u +.ends LATP +******************************* +*^^^^^^^^ End of included SPICE model from Library/spice/LATP.cir ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Library/spice/BUF2.cir vvvvvvvv +******************************* +* Begin .SUBCKT model * +* spice-sdb ver 4.28.2007 * +******************************* +.SUBCKT BUF2 Z A VDD GND +*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL NMOS4 NMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 ++ PHI = 0.7 VTO = 0.8 DELTA = 3.0 ++ UO = 650 ETA = 3.0E-6 THETA = 0.1 ++ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 ++ RSH = 0 NFS = 1E12 TPG = 1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 + +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL PMOS4 PMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 ++ PHI = 0.7 VTO = -0.9 DELTA = 0.1 ++ UO = 250 ETA = 0 THETA = 0.1 ++ KP = 40E-6 VMAX = 5E4 KAPPA = 1 ++ RSH = 0 NFS = 1E12 TPG = -1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ +* +*============== Begin SPICE netlist of main design ============ +.PARAM PNratio=2 +.PARAM Wunit=1.5u +M3 Z 1 VDD VDD pmos4 l=1u w='2*PNratio*Wunit' +M1 1 A VDD VDD pmos4 l=1u w='PNratio*Wunit' +M4 Z 1 0 0 nmos4 l=1u w='2*Wunit' +M2 1 A 0 0 nmos4 l=1u w='Wunit' +.ends BUF2 +******************************* +*^^^^^^^^ End of included SPICE model from Library/spice/BUF2.cir ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Library/spice/FO4.cir vvvvvvvv +******************************* +* Begin .SUBCKT model * +* spice-sdb ver 4.28.2007 * +******************************* +.SUBCKT FO4 Z A VDD GND +*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL NMOS4 NMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 ++ PHI = 0.7 VTO = 0.8 DELTA = 3.0 ++ UO = 650 ETA = 3.0E-6 THETA = 0.1 ++ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 ++ RSH = 0 NFS = 1E12 TPG = 1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 + +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ +* +*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv +* +* Long channel models from CMOS Circuit Design, Layout, and Simulation, +* Level=3 models VDD=5V, see CMOSedu.com +* +.MODEL PMOS4 PMOS LEVEL = 3 ++ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 ++ PHI = 0.7 VTO = -0.9 DELTA = 0.1 ++ UO = 250 ETA = 0 THETA = 0.1 ++ KP = 40E-6 VMAX = 5E4 KAPPA = 1 ++ RSH = 0 NFS = 1E12 TPG = -1 ++ XJ = 500E-9 LD = 100E-9 ++ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 ++ CJ = 400E-6 PB = 1 MJ = 0.5 ++ CJSW = 300E-12 MJSW = 0.5 +*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ +* +*============== Begin SPICE netlist of main design ============ +.PARAM Fan=4 +.PARAM PNratio=2 +.PARAM Wunit=1.5u +M3 Z 1 VDD VDD pmos4 l=1u w='Fan*Fan*PNratio*Wunit' +M1 1 A VDD VDD pmos4 l=1u w='Fan*PNratio*Wunit' +M4 Z 1 0 0 nmos4 l=1u w='Fan*Fan*Wunit' +M2 1 A 0 0 nmos4 l=1u w='Fan*Wunit' +.ends FO4 +******************************* +*^^^^^^^^ End of included SPICE model from Library/spice/FO4.cir ^^^^^^^^ +* +*============== Begin SPICE netlist of main design ============ +XQ 4 Q Vdd 0 FO4 +XX X 1 Vdd 0 BUF2 +XD D 2 Vdd 0 BUF2 +RL 4 3 100k +CL 3 0 10n +V2 2 0 pulse 0 'SUPPLY' 5n 7.5n 7.5n 15n 60n +XUT Q D X Vdd 0 LATP +V3 1 0 pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n +.param SUPPLY=5.0 +.INCLUDE TBench/spice/LATP_tb.cmd +VDD Vdd 0 DC 'SUPPLY' +.end From e3623efcbf3b04f4fbe4f09797f33f92fdce0165 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 4 Sep 2019 18:37:46 +0200 Subject: [PATCH 086/673] [CELLS] Rectify LATP + LATN gEDA symbols --- Sources/geda/LATN.sym | 27 ++++++++++++++------------- Sources/geda/LATP.sym | 24 ++++++++++++------------ 2 files changed, 26 insertions(+), 25 deletions(-) diff --git a/Sources/geda/LATN.sym b/Sources/geda/LATN.sym index 36969864..cbd9dfa0 100644 --- a/Sources/geda/LATN.sym +++ b/Sources/geda/LATN.sym @@ -1,5 +1,6 @@ v 20130925 2 B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 250 600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 P 1800 1600 1500 1600 1 0 0 { T 1500 1650 5 10 0 0 0 6 1 @@ -22,7 +23,7 @@ pinlabel=D T 208 1542 5 10 0 1 0 6 1 pinnumber=2 } -P 0 600 300 600 1 0 0 +P 0 600 200 600 1 0 0 { T 100 650 5 10 0 0 0 0 1 pintype=clk @@ -33,18 +34,6 @@ pinlabel=XN T 208 642 5 10 0 1 0 6 1 pinnumber=3 } -T 492 992 5 16 1 1 0 0 1 -device=LATN -T 1292 2292 8 10 0 1 0 0 1 -description=Low-active D-Latch -T 292 1989 5 10 1 1 0 0 1 -refdes=X? -T 1892 1392 8 10 0 0 0 0 1 -footprint=none -T -8 -8 8 10 0 1 0 0 1 -source=LATN.sch -T 600 100 9 10 0 0 0 0 1 -numslots=0 P 1200 2200 1200 1900 1 0 0 { T 1150 1900 5 10 0 0 90 6 1 @@ -67,3 +56,15 @@ pinnumber=5 T 1250 300 5 10 0 0 270 6 1 pinseq=5 } +T 492 992 5 16 1 1 0 0 1 +device=LATN +T 1292 2292 8 10 0 1 0 0 1 +description=Low-active D-Latch +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATN.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/LATP.sym b/Sources/geda/LATP.sym index 1c71094d..ee3bf589 100644 --- a/Sources/geda/LATP.sym +++ b/Sources/geda/LATP.sym @@ -33,18 +33,6 @@ pinlabel=X T 208 642 5 10 0 1 0 6 1 pinnumber=3 } -T 492 992 5 16 1 1 0 0 1 -device=LATP -T 1292 2292 8 10 0 1 0 0 1 -description=High-active D-Latch -T 292 1989 5 10 1 1 0 0 1 -refdes=X? -T 1892 1392 8 10 0 0 0 0 1 -footprint=none -T -8 -8 8 10 0 1 0 0 1 -source=LATP.sch -T 600 100 9 10 0 0 0 0 1 -numslots=0 P 1200 2200 1200 1900 1 0 0 { T 1150 1900 5 10 0 0 90 6 1 @@ -67,3 +55,15 @@ pinnumber=5 T 1250 300 5 10 0 0 270 6 1 pinseq=5 } +T 492 992 5 16 1 1 0 0 1 +device=LATP +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-Latch +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATP.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 From 2413fc80380c6d7358fe31dd60ff9a7d9db37634 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 4 Sep 2019 18:39:49 +0200 Subject: [PATCH 087/673] [CELLS] Add a couple of Latches with Clock Enable, Set and Reset as gEDA sources --- Sources/geda/LATEN.sch | 455 ++++++++++++++++++++++++++++++++++++ Sources/geda/LATEN.sym | 82 +++++++ Sources/geda/LATEP.sch | 455 ++++++++++++++++++++++++++++++++++++ Sources/geda/LATEP.sym | 80 +++++++ Sources/geda/LATERN.sch | 504 ++++++++++++++++++++++++++++++++++++++++ Sources/geda/LATERN.sym | 93 ++++++++ Sources/geda/LATERP.sch | 504 ++++++++++++++++++++++++++++++++++++++++ Sources/geda/LATERP.sym | 91 ++++++++ Sources/geda/LATESN.sch | 503 +++++++++++++++++++++++++++++++++++++++ Sources/geda/LATESN.sym | 94 ++++++++ Sources/geda/LATESP.sch | 503 +++++++++++++++++++++++++++++++++++++++ Sources/geda/LATESP.sym | 92 ++++++++ Sources/geda/LATRN.sch | 458 ++++++++++++++++++++++++++++++++++++ Sources/geda/LATRN.sym | 81 +++++++ Sources/geda/LATRP.sch | 458 ++++++++++++++++++++++++++++++++++++ Sources/geda/LATRP.sym | 80 +++++++ Sources/geda/LATSN.sch | 457 ++++++++++++++++++++++++++++++++++++ Sources/geda/LATSN.sym | 82 +++++++ Sources/geda/LATSP.sch | 457 ++++++++++++++++++++++++++++++++++++ Sources/geda/LATSP.sym | 81 +++++++ 20 files changed, 5610 insertions(+) create mode 100644 Sources/geda/LATEN.sch create mode 100644 Sources/geda/LATEN.sym create mode 100644 Sources/geda/LATEP.sch create mode 100644 Sources/geda/LATEP.sym create mode 100644 Sources/geda/LATERN.sch create mode 100644 Sources/geda/LATERN.sym create mode 100644 Sources/geda/LATERP.sch create mode 100644 Sources/geda/LATERP.sym create mode 100644 Sources/geda/LATESN.sch create mode 100644 Sources/geda/LATESN.sym create mode 100644 Sources/geda/LATESP.sch create mode 100644 Sources/geda/LATESP.sym create mode 100644 Sources/geda/LATRN.sch create mode 100644 Sources/geda/LATRN.sym create mode 100644 Sources/geda/LATRP.sch create mode 100644 Sources/geda/LATRP.sym create mode 100644 Sources/geda/LATSN.sch create mode 100644 Sources/geda/LATSN.sym create mode 100644 Sources/geda/LATSP.sch create mode 100644 Sources/geda/LATSP.sym diff --git a/Sources/geda/LATEN.sch b/Sources/geda/LATEN.sch new file mode 100644 index 00000000..3008e18b --- /dev/null +++ b/Sources/geda/LATEN.sch @@ -0,0 +1,455 @@ +v 20130925 2 +T 50100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 44100 43300 44100 47800 4 +N 43300 48700 56400 48700 4 +{ +T 43400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45000 48700 45000 48300 4 +N 45200 47800 45100 47800 4 +N 45200 47800 45200 48700 4 +N 43200 42400 56400 42400 4 +{ +T 43400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 44400 47300 1 0 0 asic-pmos-1.sym +{ +T 45800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45200 48100 5 10 1 1 0 0 1 +refdes=M1 +T 45200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 45200 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 45200 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +see LATN +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 49500 40000 1 0 0 cvstitleblock-1.sym +{ +T 50100 40400 5 10 1 1 0 0 1 +date=2019-09-02 +T 54000 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 54000 40100 5 10 1 1 0 0 1 +auth= +T 50100 40700 5 10 1 1 0 0 1 +fname=LATEN.sch +T 52900 41100 5 14 1 1 0 4 1 +title=LATEN - Low-active D-Latch w/ low-active Enable +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 54700 44900 1 270 0 spice-subcircuit-IO-1.sym +{ +T 54700 44700 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 46800 46100 1 90 0 spice-subcircuit-IO-1.sym +{ +T 46700 46300 5 10 1 1 270 0 1 +refdes=P3 +} +C 44000 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43800 45900 5 10 1 1 0 0 1 +refdes=P4 +} +C 43500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 49000 5 10 1 1 0 0 1 +refdes=P5 +} +C 43400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43400 42700 5 10 1 1 0 0 1 +refdes=P6 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATEN +} +C 40700 47500 1 0 0 spice-directive-1.sym +{ +T 40800 47800 5 10 0 1 0 0 1 +device=directive +T 40800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40700 46700 1 0 0 spice-directive-1.sym +{ +T 40800 47000 5 10 0 1 0 0 1 +device=directive +T 40800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 47500 47300 1 0 0 asic-pmos-1.sym +{ +T 48900 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 48300 48100 5 10 1 1 0 0 1 +refdes=M5 +T 48300 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 48300 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 48300 47400 5 8 1 0 0 0 1 +l=1u +} +C 47500 42800 1 0 0 asic-nmos-1.sym +{ +T 48900 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 48300 43600 5 10 1 1 0 0 1 +refdes=M6 +T 48300 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 48300 43100 5 8 1 0 0 0 1 +w='Wunit' +T 48300 42900 5 8 1 0 0 0 1 +l=1u +} +C 44400 42800 1 0 0 asic-nmos-1.sym +{ +T 45800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45200 43600 5 10 1 1 0 0 1 +refdes=M4 +T 45200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 45200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 45200 42900 5 8 1 0 0 0 1 +l=1u +} +N 43800 45600 44100 45600 4 +{ +T 43800 45700 5 10 1 1 0 0 1 +netname=XN +} +N 44100 47800 44400 47800 4 +N 44100 43300 44400 43300 4 +N 45000 42800 45000 42400 4 +N 45100 43300 45200 43300 4 +N 45200 43300 45200 42400 4 +N 47200 47800 47500 47800 4 +N 47200 43300 47500 43300 4 +N 47200 47800 47200 43300 4 +N 45000 45600 47200 45600 4 +{ +T 45800 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 48100 47300 48100 43800 4 +N 48100 48300 48100 48700 4 +N 48100 42800 48100 42400 4 +N 48200 47800 48300 47800 4 +N 48300 47800 48300 48700 4 +N 48200 43300 48300 43300 4 +N 48300 43300 48300 42400 4 +N 48100 45600 48900 45600 4 +{ +T 48400 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M9 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M10 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45000 41500 9 10 1 0 0 0 4 +Double Clock Buffering: +- clock enable (via NOR) +- de-coupling high load +- 2-phase clock generation +C 53200 45800 1 0 0 asic-pmos-1.sym +{ +T 54600 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54000 46600 5 10 1 1 0 0 1 +refdes=M11 +T 54000 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54000 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54000 45900 5 8 1 0 0 0 1 +l=1u +} +C 53200 44300 1 0 0 asic-nmos-1.sym +{ +T 54600 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54000 45100 5 10 1 1 0 0 1 +refdes=M12 +T 54000 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54000 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54000 44400 5 8 1 0 0 0 1 +l=1u +} +N 52900 46300 53200 46300 4 +N 53200 44800 52900 44800 4 +N 52900 44800 52900 46300 4 +N 53800 45800 53800 45300 4 +C 55600 45800 1 0 0 asic-pmos-1.sym +{ +T 57000 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 46600 5 10 1 1 0 0 1 +refdes=M14 +T 56400 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 45900 5 8 1 0 0 0 1 +l=1u +} +C 55600 44300 1 0 0 asic-nmos-1.sym +{ +T 57000 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 45100 5 10 1 1 0 0 1 +refdes=M15 +T 56400 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 44400 5 8 1 0 0 0 1 +l=1u +} +C 55600 42800 1 0 0 asic-nmos-1.sym +{ +T 57000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 43600 5 10 1 1 0 0 1 +refdes=M16 +T 56400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 42900 5 8 1 0 0 0 1 +l=1u +} +C 55600 47300 1 0 0 asic-pmos-1.sym +{ +T 57000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 48100 5 10 1 1 0 0 1 +refdes=M13 +T 56400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 47400 5 8 1 0 0 0 1 +l=1u +} +N 55600 47800 54700 47800 4 +{ +T 55000 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 55600 43300 54700 43300 4 +{ +T 55000 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 55300 46300 55600 46300 4 +N 55600 44800 55300 44800 4 +N 55300 44800 55300 46300 4 +N 53800 45600 55300 45600 4 +{ +T 54800 45700 5 10 1 1 0 0 1 +netname=Q +} +N 56200 48300 56200 48700 4 +N 56200 47300 56200 46800 4 +N 56200 45800 56200 45300 4 +N 56200 44300 56200 43800 4 +N 56200 42800 56200 42400 4 +N 55000 44700 55000 45600 4 +N 51400 45600 52900 45600 4 +N 52300 45600 52300 47100 4 +N 52300 47100 57200 47100 4 +{ +T 54800 47200 5 10 1 1 0 0 1 +netname=qn +} +N 56200 45600 57200 45600 4 +N 57200 45600 57200 47100 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 53500 41900 9 10 1 0 0 0 2 +Q Stage: +- inverter +T 54900 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 56300 47800 56400 47800 4 +N 56400 46300 56400 48700 4 +N 56300 46300 56400 46300 4 +N 56300 43300 56400 43300 4 +N 56400 42400 56400 44800 4 +N 56300 44800 56400 44800 4 +N 53800 46800 53800 48700 4 +N 53900 46300 54000 46300 4 +N 54000 46300 54000 48700 4 +N 53800 44300 53800 42400 4 +N 53900 44800 54000 44800 4 +N 54000 44800 54000 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 46300 44300 1 0 1 asic-nmos-1.sym +{ +T 44900 45100 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 45500 45100 5 10 1 1 0 6 1 +refdes=M3 +T 45500 44900 5 8 1 1 0 6 1 +model-name=nmos4 +T 45500 44600 5 8 1 0 0 6 1 +w='Wunit' +T 45500 44400 5 8 1 0 0 6 1 +l=1u +} +C 45600 45800 1 0 1 asic-pmos-1.sym +{ +T 44200 46600 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 44800 46600 5 10 1 1 0 6 1 +refdes=M2 +T 44800 46400 5 8 1 1 0 6 1 +model-name=pmos4 +T 44800 46100 5 8 1 0 0 6 1 +w='2*PNratio*Wunit' +T 44800 45900 5 8 1 0 0 6 1 +l=1u +} +N 45700 44300 45700 42400 4 +N 45600 44800 45500 44800 4 +N 45500 44800 45500 42400 4 +N 45700 45300 45700 45600 4 +N 45000 43800 45000 45800 4 +N 45000 47300 45000 46800 4 +N 44900 46300 44300 46300 4 +N 44300 46300 44300 48700 4 +N 45600 46300 46500 46300 4 +N 46500 46300 46500 44800 4 +{ +T 46500 45900 5 10 1 1 0 0 1 +netname=EN +} +N 46300 44800 46500 44800 4 diff --git a/Sources/geda/LATEN.sym b/Sources/geda/LATEN.sym new file mode 100644 index 00000000..6c636d2f --- /dev/null +++ b/Sources/geda/LATEN.sym @@ -0,0 +1,82 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 250 600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 0 1300 200 1300 1 0 0 +{ +T 100 1350 5 10 0 0 0 0 1 +pintype=in +T 100 1350 5 10 0 0 0 0 1 +pinseq=3 +T 358 1292 9 10 1 1 0 0 1 +pinlabel=EN +T 208 1242 5 10 0 1 0 6 1 +pinnumber=3 +} +P 0 600 200 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=4 +T 358 592 9 10 1 1 0 0 1 +pinlabel=XN +T 208 642 5 10 0 1 0 6 1 +pinnumber=4 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=5 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=5 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=6 +T 1250 300 5 10 0 0 270 6 1 +pinseq=6 +} +T 492 992 5 16 1 1 0 0 1 +device=LATEN +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-Latch +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATEN.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 +V 250 1300 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 diff --git a/Sources/geda/LATEP.sch b/Sources/geda/LATEP.sch new file mode 100644 index 00000000..b42c3fd3 --- /dev/null +++ b/Sources/geda/LATEP.sch @@ -0,0 +1,455 @@ +v 20130925 2 +T 50100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 43200 43300 43200 47800 4 +N 43600 48700 56400 48700 4 +{ +T 43700 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 44500 48700 44500 48300 4 +N 44700 47800 44600 47800 4 +N 43300 42400 56400 42400 4 +{ +T 43500 42500 5 10 1 1 0 0 1 +netname=GND +} +C 43900 47300 1 0 0 asic-pmos-1.sym +{ +T 45300 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 44700 48100 5 10 1 1 0 0 1 +refdes=M1 +T 44700 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 44700 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 44700 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +see LATP +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 49500 40000 1 0 0 cvstitleblock-1.sym +{ +T 50100 40400 5 10 1 1 0 0 1 +date=2019-09-02 +T 54000 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 54000 40100 5 10 1 1 0 0 1 +auth= +T 50100 40700 5 10 1 1 0 0 1 +fname=LATEP.sch +T 52900 41100 5 14 1 1 0 4 1 +title=LATEP - High-active D-Latch w/ high-active Enable +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 54700 44900 1 270 0 spice-subcircuit-IO-1.sym +{ +T 54700 44700 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 46000 45000 1 270 0 spice-subcircuit-IO-1.sym +{ +T 46200 44900 5 10 1 1 90 0 1 +refdes=P3 +} +C 43100 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 42900 45900 5 10 1 1 0 0 1 +refdes=P4 +} +C 43800 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43800 49000 5 10 1 1 0 0 1 +refdes=P5 +} +C 43500 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 42700 5 10 1 1 0 0 1 +refdes=P6 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATEP +} +C 40300 47500 1 0 0 spice-directive-1.sym +{ +T 40400 47800 5 10 0 1 0 0 1 +device=directive +T 40400 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40400 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40300 46700 1 0 0 spice-directive-1.sym +{ +T 40400 47000 5 10 0 1 0 0 1 +device=directive +T 40400 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40400 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 47200 47300 1 0 0 asic-pmos-1.sym +{ +T 48600 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 48000 48100 5 10 1 1 0 0 1 +refdes=M5 +T 48000 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 48000 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 48000 47400 5 8 1 0 0 0 1 +l=1u +} +C 47200 42800 1 0 0 asic-nmos-1.sym +{ +T 48600 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 48000 43600 5 10 1 1 0 0 1 +refdes=M6 +T 48000 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 48000 43100 5 8 1 0 0 0 1 +w='Wunit' +T 48000 42900 5 8 1 0 0 0 1 +l=1u +} +C 43900 42800 1 0 0 asic-nmos-1.sym +{ +T 45300 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 44700 43600 5 10 1 1 0 0 1 +refdes=M4 +T 44700 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 44700 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 44700 42900 5 8 1 0 0 0 1 +l=1u +} +N 42900 45600 43200 45600 4 +{ +T 42900 45700 5 10 1 1 0 0 1 +netname=X +} +N 43200 47800 43900 47800 4 +N 43200 43300 43900 43300 4 +N 44500 42800 44500 42400 4 +N 44600 43300 44700 43300 4 +N 44700 43300 44700 42400 4 +N 46900 47800 47200 47800 4 +N 46900 43300 47200 43300 4 +N 46900 47800 46900 43300 4 +N 44500 45600 46900 45600 4 +{ +T 45600 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47800 47300 47800 43800 4 +N 47800 48300 47800 48700 4 +N 47800 42800 47800 42400 4 +N 47900 47800 48000 47800 4 +N 48000 47800 48000 48700 4 +N 47900 43300 48000 43300 4 +N 48000 43300 48000 42400 4 +N 47800 45600 48600 45600 4 +{ +T 48100 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M9 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M10 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45100 41500 9 10 1 0 0 0 4 +Double Clock Buffering: +- clock enable (via NAND) +- de-coupling high load +- 2-phase clock generation +C 53200 45800 1 0 0 asic-pmos-1.sym +{ +T 54600 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54000 46600 5 10 1 1 0 0 1 +refdes=M11 +T 54000 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54000 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54000 45900 5 8 1 0 0 0 1 +l=1u +} +C 53200 44300 1 0 0 asic-nmos-1.sym +{ +T 54600 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54000 45100 5 10 1 1 0 0 1 +refdes=M12 +T 54000 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54000 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54000 44400 5 8 1 0 0 0 1 +l=1u +} +N 52900 46300 53200 46300 4 +N 53200 44800 52900 44800 4 +N 52900 44800 52900 46300 4 +N 53800 45800 53800 45300 4 +C 55600 45800 1 0 0 asic-pmos-1.sym +{ +T 57000 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 46600 5 10 1 1 0 0 1 +refdes=M14 +T 56400 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 45900 5 8 1 0 0 0 1 +l=1u +} +C 55600 44300 1 0 0 asic-nmos-1.sym +{ +T 57000 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 45100 5 10 1 1 0 0 1 +refdes=M15 +T 56400 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 44400 5 8 1 0 0 0 1 +l=1u +} +C 55600 42800 1 0 0 asic-nmos-1.sym +{ +T 57000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 43600 5 10 1 1 0 0 1 +refdes=M16 +T 56400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 42900 5 8 1 0 0 0 1 +l=1u +} +C 55600 47300 1 0 0 asic-pmos-1.sym +{ +T 57000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 48100 5 10 1 1 0 0 1 +refdes=M13 +T 56400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 47400 5 8 1 0 0 0 1 +l=1u +} +N 55600 47800 54700 47800 4 +{ +T 55000 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 55600 43300 54700 43300 4 +{ +T 55000 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 55300 46300 55600 46300 4 +N 55600 44800 55300 44800 4 +N 55300 44800 55300 46300 4 +N 53800 45600 55300 45600 4 +{ +T 54800 45700 5 10 1 1 0 0 1 +netname=Q +} +N 56200 48300 56200 48700 4 +N 56200 47300 56200 46800 4 +N 56200 45800 56200 45300 4 +N 56200 44300 56200 43800 4 +N 56200 42800 56200 42400 4 +N 55000 44700 55000 45600 4 +N 51400 45600 52900 45600 4 +N 52300 45600 52300 47100 4 +N 52300 47100 57200 47100 4 +{ +T 54800 47200 5 10 1 1 0 0 1 +netname=qn +} +N 56200 45600 57200 45600 4 +N 57200 45600 57200 47100 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- high-active transparent +T 53500 41900 9 10 1 0 0 0 2 +Q Stage: +- inverter +T 54900 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- low-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 56300 47800 56400 47800 4 +N 56400 46300 56400 48700 4 +N 56300 46300 56400 46300 4 +N 56300 43300 56400 43300 4 +N 56400 42400 56400 44800 4 +N 56300 44800 56400 44800 4 +N 53800 46800 53800 48700 4 +N 53900 46300 54000 46300 4 +N 54000 46300 54000 48700 4 +N 53800 44300 53800 42400 4 +N 53900 44800 54000 44800 4 +N 54000 44800 54000 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 45100 44300 1 0 1 asic-nmos-1.sym +{ +T 43700 45100 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 44300 45100 5 10 1 1 0 6 1 +refdes=M3 +T 44300 44900 5 8 1 1 0 6 1 +model-name=nmos4 +T 44300 44600 5 8 1 0 0 6 1 +w='2*Wunit' +T 44300 44400 5 8 1 0 0 6 1 +l=1u +} +C 46000 45800 1 0 1 asic-pmos-1.sym +{ +T 44600 46600 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 45200 46600 5 10 1 1 0 6 1 +refdes=M2 +T 45200 46400 5 8 1 1 0 6 1 +model-name=pmos4 +T 45200 46100 5 8 1 0 0 6 1 +w='PNratio*Wunit' +T 45200 45900 5 8 1 0 0 6 1 +l=1u +} +N 46000 46300 46300 46300 4 +N 46300 46300 46300 44800 4 +{ +T 46400 44700 5 10 1 1 0 0 1 +netname=E +} +N 46300 44800 45100 44800 4 +N 44500 44300 44500 43800 4 +N 43600 44800 44400 44800 4 +N 43600 44800 43600 42400 4 +N 44500 45300 44500 47300 4 +N 45400 45800 45400 45600 4 +N 45400 46800 45400 48700 4 +N 44700 47800 44700 48700 4 +N 45300 46300 45200 46300 4 +N 45200 46300 45200 48700 4 diff --git a/Sources/geda/LATEP.sym b/Sources/geda/LATEP.sym new file mode 100644 index 00000000..1b753dcf --- /dev/null +++ b/Sources/geda/LATEP.sym @@ -0,0 +1,80 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 0 1300 300 1300 1 0 0 +{ +T 100 1350 5 10 0 0 0 0 1 +pintype=in +T 100 1350 5 10 0 0 0 0 1 +pinseq=3 +T 358 1292 9 10 1 1 0 0 1 +pinlabel=E +T 208 1242 5 10 0 1 0 6 1 +pinnumber=3 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=4 +T 358 592 9 10 1 1 0 0 1 +pinlabel=X +T 208 642 5 10 0 1 0 6 1 +pinnumber=4 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=5 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=5 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=6 +T 1250 300 5 10 0 0 270 6 1 +pinseq=6 +} +T 492 992 5 16 1 1 0 0 1 +device=LATEP +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-Latch w/ high-active Enable +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATEP.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/LATERN.sch b/Sources/geda/LATERN.sch new file mode 100644 index 00000000..0108fdc2 --- /dev/null +++ b/Sources/geda/LATERN.sch @@ -0,0 +1,504 @@ +v 20130925 2 +T 53200 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 43300 43300 43300 47800 4 +N 43300 48700 57900 48700 4 +{ +T 43400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 44200 48700 44200 48300 4 +N 44400 47800 44300 47800 4 +N 44400 47800 44400 48700 4 +N 43200 42400 57900 42400 4 +{ +T 43400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 43600 47300 1 0 0 asic-pmos-1.sym +{ +T 45000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 44400 48100 5 10 1 1 0 0 1 +refdes=M1 +T 44400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 44400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 44400 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +set LATEN and LATRN +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 51000 40000 1 0 0 cvstitleblock-1.sym +{ +T 51600 40400 5 10 1 1 0 0 1 +date=2019-09-04 +T 55500 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 55500 40100 5 10 1 1 0 0 1 +auth= +T 51600 40700 5 10 1 1 0 0 1 +fname=LATERN.sch +T 55000 41100 5 14 1 1 0 4 1 +title=LATERN - Low-active D-Latch w/ clock-enable + async. Reset +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 56100 45400 1 270 0 spice-subcircuit-IO-1.sym +{ +T 56600 45000 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 43200 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43000 45900 5 10 1 1 0 0 1 +refdes=P5 +} +C 43500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 49000 5 10 1 1 0 0 1 +refdes=P6 +} +C 43400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43400 42700 5 10 1 1 0 0 1 +refdes=P7 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATERN +} +C 40700 47500 1 0 0 spice-directive-1.sym +{ +T 40800 47800 5 10 0 1 0 0 1 +device=directive +T 40800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40700 46700 1 0 0 spice-directive-1.sym +{ +T 40800 47000 5 10 0 1 0 0 1 +device=directive +T 40800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46800 47300 1 0 0 asic-pmos-1.sym +{ +T 48200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 47600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47600 47400 5 8 1 0 0 0 1 +l=1u +} +C 46800 42800 1 0 0 asic-nmos-1.sym +{ +T 48200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47600 43600 5 10 1 1 0 0 1 +refdes=M6 +T 47600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47600 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47600 42900 5 8 1 0 0 0 1 +l=1u +} +C 43600 42800 1 0 0 asic-nmos-1.sym +{ +T 45000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 44400 43600 5 10 1 1 0 0 1 +refdes=M4 +T 44400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 44400 43100 5 8 1 0 0 0 1 +w='Wunit' +T 44400 42900 5 8 1 0 0 0 1 +l=1u +} +N 43000 45600 43300 45600 4 +{ +T 43000 45700 5 10 1 1 0 0 1 +netname=XN +} +N 43300 47800 43600 47800 4 +N 43300 43300 43600 43300 4 +N 44200 42800 44200 42400 4 +N 44300 43300 44400 43300 4 +N 44400 43300 44400 42400 4 +N 46500 47800 46800 47800 4 +N 46500 43300 46800 43300 4 +N 46500 47800 46500 43300 4 +N 44200 45600 46500 45600 4 +{ +T 45300 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47400 47300 47400 43800 4 +N 47400 48300 47400 48700 4 +N 47400 42800 47400 42400 4 +N 47500 47800 47600 47800 4 +N 47600 47800 47600 48700 4 +N 47500 43300 47600 43300 4 +N 47600 43300 47600 42400 4 +N 47400 45600 48200 45600 4 +{ +T 47700 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M9 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M10 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 44700 41500 9 10 1 0 0 0 4 +Double Clock Buffering: +- clock-enable (via NOR) +- de-coupling high load +- 2-phase clock generation +C 53300 45800 1 0 0 asic-pmos-1.sym +{ +T 54700 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54100 46600 5 10 1 1 0 0 1 +refdes=M12 +T 54100 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54100 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 54100 45900 5 8 1 0 0 0 1 +l=1u +} +C 53300 44300 1 0 0 asic-nmos-1.sym +{ +T 54700 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54100 45100 5 10 1 1 0 0 1 +refdes=M13 +T 54100 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54100 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54100 44400 5 8 1 0 0 0 1 +l=1u +} +N 53000 46300 53300 46300 4 +N 53300 44800 53000 44800 4 +N 53000 44800 53000 46300 4 +N 53900 45800 53900 45300 4 +C 57100 45800 1 0 0 asic-pmos-1.sym +{ +T 58500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 46600 5 10 1 1 0 0 1 +refdes=M16 +T 57900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 45900 5 8 1 0 0 0 1 +l=1u +} +C 57100 44300 1 0 0 asic-nmos-1.sym +{ +T 58500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 45100 5 10 1 1 0 0 1 +refdes=M17 +T 57900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 44400 5 8 1 0 0 0 1 +l=1u +} +C 57100 42800 1 0 0 asic-nmos-1.sym +{ +T 58500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 43600 5 10 1 1 0 0 1 +refdes=M18 +T 57900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 42900 5 8 1 0 0 0 1 +l=1u +} +C 57100 47300 1 0 0 asic-pmos-1.sym +{ +T 58500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 48100 5 10 1 1 0 0 1 +refdes=M15 +T 57900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 47400 5 8 1 0 0 0 1 +l=1u +} +N 57100 47800 56400 47800 4 +{ +T 56500 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 57100 43300 56500 43300 4 +{ +T 56500 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 56800 46300 57100 46300 4 +N 57100 44800 56800 44800 4 +N 56800 44800 56800 46300 4 +N 53900 45600 56800 45600 4 +{ +T 55200 45700 5 10 1 1 0 0 1 +netname=Q +} +N 57700 48300 57700 48700 4 +N 57700 47300 57700 46800 4 +N 57700 45800 57700 45300 4 +N 57700 44300 57700 43800 4 +N 57700 42800 57700 42400 4 +N 56400 45200 56400 45600 4 +N 51400 45600 53000 45600 4 +N 52500 45600 52500 44200 4 +N 52500 44200 58700 44200 4 +{ +T 55200 44300 5 10 1 1 0 0 1 +netname=qn +} +N 57700 45600 58700 45600 4 +N 58700 45600 58700 44200 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 53100 41700 9 10 1 0 0 0 3 +Q Stage: +- inverting OR +- asynchronous Reset pulls down +T 56500 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 57800 47800 57900 47800 4 +N 57900 46300 57900 48700 4 +N 57800 46300 57900 46300 4 +N 57800 43300 57900 43300 4 +N 57900 42400 57900 44800 4 +N 57800 44800 57900 44800 4 +N 54000 46300 54100 46300 4 +N 54100 46300 54100 48700 4 +N 54000 44800 54100 44800 4 +N 54100 44800 54100 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 55700 46800 1 0 0 spice-subcircuit-IO-1.sym +{ +T 56200 47300 5 10 1 1 180 0 1 +refdes=P3 +} +C 55600 47300 1 0 1 asic-pmos-1.sym +{ +T 54200 48100 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 54800 48100 5 10 1 1 0 6 1 +refdes=M11 +T 54800 47900 5 8 1 1 0 6 1 +model-name=pmos4 +T 54800 47600 5 8 1 0 0 6 1 +w='2*PNratio*Wunit' +T 54800 47400 5 8 1 0 0 6 1 +l=1u +} +N 55000 48300 55000 48700 4 +N 54900 47800 54800 47800 4 +N 54800 47800 54800 48700 4 +C 55600 42800 1 0 1 asic-nmos-1.sym +{ +T 54200 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 54800 43600 5 10 1 1 0 6 1 +refdes=M14 +T 54800 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 54800 43100 5 8 1 0 0 6 1 +w='Wunit' +T 54800 42900 5 8 1 0 0 6 1 +l=1u +} +N 54900 43300 54800 43300 4 +N 54800 43300 54800 42400 4 +N 55000 42800 55000 42400 4 +N 53900 44300 53900 42400 4 +N 55800 43300 55800 47800 4 +{ +T 55900 47300 5 10 1 1 0 0 1 +netname=R +} +N 55800 43300 55600 43300 4 +N 55600 47800 55800 47800 4 +N 55800 47100 55900 47100 4 +N 53900 46800 53900 47100 4 +N 53900 47100 55000 47100 4 +N 55000 47100 55000 47300 4 +N 55000 43800 55000 45600 4 +C 44800 45800 1 0 1 asic-pmos-1.sym +{ +T 43400 46600 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 44000 46600 5 10 1 1 0 6 1 +refdes=M2 +T 44000 46400 5 8 1 1 0 6 1 +model-name=pmos4 +T 44000 46100 5 8 1 0 0 6 1 +w='2*PNratio*Wunit' +T 44000 45900 5 8 1 0 0 6 1 +l=1u +} +N 44200 47300 44200 46800 4 +N 44200 43800 44200 45800 4 +N 44100 46300 43500 46300 4 +N 43500 46300 43500 48700 4 +C 45600 44300 1 0 1 asic-nmos-1.sym +{ +T 44200 45100 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 44800 45100 5 10 1 1 0 6 1 +refdes=M3 +T 44800 44900 5 8 1 1 0 6 1 +model-name=nmos4 +T 44800 44600 5 8 1 0 0 6 1 +w='Wunit' +T 44800 44400 5 8 1 0 0 6 1 +l=1u +} +N 45000 44300 45000 42400 4 +N 44900 44800 44800 44800 4 +N 44800 44800 44800 42400 4 +N 45000 45300 45000 45600 4 +N 44800 46300 45900 46300 4 +{ +T 45500 46400 5 10 1 1 0 0 1 +netname=EN +} +N 45900 46300 45900 44800 4 +N 45600 44800 45900 44800 4 +C 46200 46100 1 90 0 spice-subcircuit-IO-1.sym +{ +T 46100 46300 5 10 1 1 270 0 1 +refdes=P4 +} diff --git a/Sources/geda/LATERN.sym b/Sources/geda/LATERN.sym new file mode 100644 index 00000000..217d9762 --- /dev/null +++ b/Sources/geda/LATERN.sym @@ -0,0 +1,93 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 250 600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 700 0 700 300 1 0 0 +{ +T 750 300 5 10 0 0 270 6 1 +pintype=in +T 700 355 9 10 1 1 90 0 1 +pinlabel=R +T 650 205 5 10 0 1 90 6 1 +pinnumber=3 +T 750 300 5 10 0 0 270 6 1 +pinseq=3 +} +P 0 1300 200 1300 1 0 0 +{ +T 100 1350 5 10 0 0 0 0 1 +pintype=in +T 100 1350 5 10 0 0 0 0 1 +pinseq=4 +T 358 1292 9 10 1 1 0 0 1 +pinlabel=EN +T 208 1242 5 10 0 1 0 6 1 +pinnumber=4 +} +P 0 600 200 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=5 +T 358 592 9 10 1 1 0 0 1 +pinlabel=XN +T 208 642 5 10 0 1 0 6 1 +pinnumber=5 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=6 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=6 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=7 +T 1250 300 5 10 0 0 270 6 1 +pinseq=7 +} +T 392 992 5 16 1 1 0 0 1 +device=LATERN +T 1292 2292 8 10 0 1 0 0 1 +description=Low-active D-Latch w/ clock-enable + high-active Reset +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATERN.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 +V 250 1300 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 diff --git a/Sources/geda/LATERP.sch b/Sources/geda/LATERP.sch new file mode 100644 index 00000000..8a64e353 --- /dev/null +++ b/Sources/geda/LATERP.sch @@ -0,0 +1,504 @@ +v 20130925 2 +T 53200 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 43100 43300 43100 47800 4 +N 42300 48700 57900 48700 4 +{ +T 42400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 44000 48700 44000 48300 4 +N 44200 47800 44100 47800 4 +N 44200 47800 44200 48700 4 +N 42200 42400 57900 42400 4 +{ +T 42400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 43400 47300 1 0 0 asic-pmos-1.sym +{ +T 44800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 44200 48100 5 10 1 1 0 0 1 +refdes=M1 +T 44200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 44200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 44200 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +set LATEP and LATRP +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 51000 40000 1 0 0 cvstitleblock-1.sym +{ +T 51600 40400 5 10 1 1 0 0 1 +date=2019-09-04 +T 55500 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 55500 40100 5 10 1 1 0 0 1 +auth= +T 51600 40700 5 10 1 1 0 0 1 +fname=LATERP.sch +T 55000 41100 5 14 1 1 0 4 1 +title=LATERP - High-active D-Latch w/ clock-enable + async. Reset +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 56100 45400 1 270 0 spice-subcircuit-IO-1.sym +{ +T 56600 45000 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 43000 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 42800 45900 5 10 1 1 0 0 1 +refdes=P5 +} +C 42500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 42500 49000 5 10 1 1 0 0 1 +refdes=P6 +} +C 42400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 42400 42700 5 10 1 1 0 0 1 +refdes=P7 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATERP +} +C 39700 47500 1 0 0 spice-directive-1.sym +{ +T 39800 47800 5 10 0 1 0 0 1 +device=directive +T 39800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 39800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 39700 46700 1 0 0 spice-directive-1.sym +{ +T 39800 47000 5 10 0 1 0 0 1 +device=directive +T 39800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 39800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46700 47300 1 0 0 asic-pmos-1.sym +{ +T 48100 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47500 48100 5 10 1 1 0 0 1 +refdes=M5 +T 47500 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47500 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47500 47400 5 8 1 0 0 0 1 +l=1u +} +C 46700 42800 1 0 0 asic-nmos-1.sym +{ +T 48100 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47500 43600 5 10 1 1 0 0 1 +refdes=M6 +T 47500 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47500 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47500 42900 5 8 1 0 0 0 1 +l=1u +} +C 43400 42800 1 0 0 asic-nmos-1.sym +{ +T 44800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 44200 43600 5 10 1 1 0 0 1 +refdes=M4 +T 44200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 44200 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 44200 42900 5 8 1 0 0 0 1 +l=1u +} +N 42800 45600 43100 45600 4 +{ +T 42800 45700 5 10 1 1 0 0 1 +netname=X +} +N 43100 47800 43400 47800 4 +N 43100 43300 43400 43300 4 +N 44000 42800 44000 42400 4 +N 44100 43300 44200 43300 4 +N 44200 43300 44200 42400 4 +N 46400 47800 46700 47800 4 +N 46400 43300 46700 43300 4 +N 46400 47800 46400 43300 4 +N 44000 45600 46400 45600 4 +{ +T 45200 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47300 47300 47300 43800 4 +N 47300 48300 47300 48700 4 +N 47300 42800 47300 42400 4 +N 47400 47800 47500 47800 4 +N 47500 47800 47500 48700 4 +N 47400 43300 47500 43300 4 +N 47500 43300 47500 42400 4 +N 47300 45600 48100 45600 4 +{ +T 47600 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M9 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M10 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 44400 41500 9 10 1 0 0 0 4 +Double Clock Buffering: +- clock-enable (via NAND) +- de-coupling high load +- 2-phase clock generation +C 53300 45800 1 0 0 asic-pmos-1.sym +{ +T 54700 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54100 46600 5 10 1 1 0 0 1 +refdes=M12 +T 54100 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54100 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 54100 45900 5 8 1 0 0 0 1 +l=1u +} +C 53300 44300 1 0 0 asic-nmos-1.sym +{ +T 54700 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54100 45100 5 10 1 1 0 0 1 +refdes=M13 +T 54100 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54100 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54100 44400 5 8 1 0 0 0 1 +l=1u +} +N 53000 46300 53300 46300 4 +N 53300 44800 53000 44800 4 +N 53000 44800 53000 46300 4 +N 53900 45800 53900 45300 4 +C 57100 45800 1 0 0 asic-pmos-1.sym +{ +T 58500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 46600 5 10 1 1 0 0 1 +refdes=M16 +T 57900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 45900 5 8 1 0 0 0 1 +l=1u +} +C 57100 44300 1 0 0 asic-nmos-1.sym +{ +T 58500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 45100 5 10 1 1 0 0 1 +refdes=M17 +T 57900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 44400 5 8 1 0 0 0 1 +l=1u +} +C 57100 42800 1 0 0 asic-nmos-1.sym +{ +T 58500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 43600 5 10 1 1 0 0 1 +refdes=M18 +T 57900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 42900 5 8 1 0 0 0 1 +l=1u +} +C 57100 47300 1 0 0 asic-pmos-1.sym +{ +T 58500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 48100 5 10 1 1 0 0 1 +refdes=M15 +T 57900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 47400 5 8 1 0 0 0 1 +l=1u +} +N 57100 47800 56400 47800 4 +{ +T 56500 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 57100 43300 56500 43300 4 +{ +T 56500 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 56800 46300 57100 46300 4 +N 57100 44800 56800 44800 4 +N 56800 44800 56800 46300 4 +N 53900 45600 56800 45600 4 +{ +T 55200 45700 5 10 1 1 0 0 1 +netname=Q +} +N 57700 48300 57700 48700 4 +N 57700 47300 57700 46800 4 +N 57700 45800 57700 45300 4 +N 57700 44300 57700 43800 4 +N 57700 42800 57700 42400 4 +N 56400 45200 56400 45600 4 +N 51400 45600 53000 45600 4 +N 52500 45600 52500 44200 4 +N 52500 44200 58700 44200 4 +{ +T 55200 44300 5 10 1 1 0 0 1 +netname=qn +} +N 57700 45600 58700 45600 4 +N 58700 45600 58700 44200 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 53100 41700 9 10 1 0 0 0 3 +Q Stage: +- inverting OR +- asynchronous Reset pulls down +T 56500 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 57800 47800 57900 47800 4 +N 57900 46300 57900 48700 4 +N 57800 46300 57900 46300 4 +N 57800 43300 57900 43300 4 +N 57900 42400 57900 44800 4 +N 57800 44800 57900 44800 4 +N 54000 46300 54100 46300 4 +N 54100 46300 54100 48700 4 +N 54000 44800 54100 44800 4 +N 54100 44800 54100 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 55700 46800 1 0 0 spice-subcircuit-IO-1.sym +{ +T 56200 47300 5 10 1 1 180 0 1 +refdes=P3 +} +C 55600 47300 1 0 1 asic-pmos-1.sym +{ +T 54200 48100 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 54800 48100 5 10 1 1 0 6 1 +refdes=M11 +T 54800 47900 5 8 1 1 0 6 1 +model-name=pmos4 +T 54800 47600 5 8 1 0 0 6 1 +w='2*PNratio*Wunit' +T 54800 47400 5 8 1 0 0 6 1 +l=1u +} +N 55000 48300 55000 48700 4 +N 54900 47800 54800 47800 4 +N 54800 47800 54800 48700 4 +C 55600 42800 1 0 1 asic-nmos-1.sym +{ +T 54200 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 54800 43600 5 10 1 1 0 6 1 +refdes=M14 +T 54800 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 54800 43100 5 8 1 0 0 6 1 +w='Wunit' +T 54800 42900 5 8 1 0 0 6 1 +l=1u +} +N 54900 43300 54800 43300 4 +N 54800 43300 54800 42400 4 +N 55000 42800 55000 42400 4 +N 53900 44300 53900 42400 4 +N 55800 43300 55800 47800 4 +{ +T 55900 47300 5 10 1 1 0 0 1 +netname=R +} +N 55800 43300 55600 43300 4 +N 55600 47800 55800 47800 4 +N 55800 47100 55900 47100 4 +N 53900 46800 53900 47100 4 +N 53900 47100 55000 47100 4 +N 55000 47100 55000 47300 4 +N 55000 43800 55000 45600 4 +C 45500 45800 1 0 1 asic-pmos-1.sym +{ +T 44100 46600 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 44700 46600 5 10 1 1 0 6 1 +refdes=M2 +T 44700 46400 5 8 1 1 0 6 1 +model-name=pmos4 +T 44700 46100 5 8 1 0 0 6 1 +w='PNratio*Wunit' +T 44700 45900 5 8 1 0 0 6 1 +l=1u +} +N 44800 46300 44700 46300 4 +N 44700 46300 44700 48700 4 +N 44900 46800 44900 48700 4 +N 44900 45800 44900 45600 4 +C 44600 44300 1 0 1 asic-nmos-1.sym +{ +T 43200 45100 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 43800 45100 5 10 1 1 0 6 1 +refdes=M3 +T 43800 44900 5 8 1 1 0 6 1 +model-name=nmos4 +T 43800 44600 5 8 1 0 0 6 1 +w='2*Wunit' +T 43800 44400 5 8 1 0 0 6 1 +l=1u +} +N 44000 43800 44000 44300 4 +N 44000 45300 44000 47300 4 +N 43900 44800 43300 44800 4 +N 43300 44800 43300 42400 4 +N 45500 46300 45900 46300 4 +N 45900 46300 45900 44800 4 +{ +T 46000 44700 5 10 1 1 0 0 1 +netname=E +} +N 45900 44800 44600 44800 4 +C 45600 45000 1 270 0 spice-subcircuit-IO-1.sym +{ +T 45800 44800 5 10 1 1 90 0 1 +refdes=P4 +} diff --git a/Sources/geda/LATERP.sym b/Sources/geda/LATERP.sym new file mode 100644 index 00000000..57eed2ed --- /dev/null +++ b/Sources/geda/LATERP.sym @@ -0,0 +1,91 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 700 0 700 300 1 0 0 +{ +T 750 300 5 10 0 0 270 6 1 +pintype=in +T 700 355 9 10 1 1 90 0 1 +pinlabel=R +T 650 205 5 10 0 1 90 6 1 +pinnumber=3 +T 750 300 5 10 0 0 270 6 1 +pinseq=3 +} +P 0 1300 300 1300 1 0 0 +{ +T 100 1350 5 10 0 0 0 0 1 +pintype=in +T 100 1350 5 10 0 0 0 0 1 +pinseq=4 +T 358 1292 9 10 1 1 0 0 1 +pinlabel=E +T 208 1242 5 10 0 1 0 6 1 +pinnumber=4 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=5 +T 358 592 9 10 1 1 0 0 1 +pinlabel=X +T 208 642 5 10 0 1 0 6 1 +pinnumber=5 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=6 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=6 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=7 +T 1250 300 5 10 0 0 270 6 1 +pinseq=7 +} +T 392 992 5 16 1 1 0 0 1 +device=LATERP +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-Latch w/ clock-enable + low-active Set +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATESP.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/LATESN.sch b/Sources/geda/LATESN.sch new file mode 100644 index 00000000..d2fbaa9c --- /dev/null +++ b/Sources/geda/LATESN.sch @@ -0,0 +1,503 @@ +v 20130925 2 +T 53100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 43300 43300 43300 47800 4 +N 42800 48700 57900 48700 4 +{ +T 42900 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 44200 48700 44200 48300 4 +N 44400 47800 44300 47800 4 +N 44400 47800 44400 48700 4 +N 42700 42400 57900 42400 4 +{ +T 42900 42500 5 10 1 1 0 0 1 +netname=GND +} +C 43600 47300 1 0 0 asic-pmos-1.sym +{ +T 45000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 44400 48100 5 10 1 1 0 0 1 +refdes=M1 +T 44400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 44400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 44400 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +set LATEN and LATSN +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 51000 40000 1 0 0 cvstitleblock-1.sym +{ +T 51600 40400 5 10 1 1 0 0 1 +date=2019-09-04 +T 55500 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 55500 40100 5 10 1 1 0 0 1 +auth= +T 51600 40700 5 10 1 1 0 0 1 +fname=LATESN.sch +T 55000 41100 5 14 1 1 0 4 1 +title=LATESN - Low-active D-Latch w/ clock-enable + asynchr. SN +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 56100 45400 1 270 0 spice-subcircuit-IO-1.sym +{ +T 56600 45000 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 55700 46800 1 0 0 spice-subcircuit-IO-1.sym +{ +T 56200 47300 5 10 1 1 180 0 1 +refdes=P3 +} +C 43200 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43000 45900 5 10 1 1 0 0 1 +refdes=P5 +} +C 43000 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43000 49000 5 10 1 1 0 0 1 +refdes=P6 +} +C 42900 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 42900 42700 5 10 1 1 0 0 1 +refdes=P7 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATESN +} +C 40200 47500 1 0 0 spice-directive-1.sym +{ +T 40300 47800 5 10 0 1 0 0 1 +device=directive +T 40300 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40300 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40200 46700 1 0 0 spice-directive-1.sym +{ +T 40300 47000 5 10 0 1 0 0 1 +device=directive +T 40300 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40300 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46900 47300 1 0 0 asic-pmos-1.sym +{ +T 48300 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47700 48100 5 10 1 1 0 0 1 +refdes=M5 +T 47700 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47700 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47700 47400 5 8 1 0 0 0 1 +l=1u +} +C 46900 42800 1 0 0 asic-nmos-1.sym +{ +T 48300 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47700 43600 5 10 1 1 0 0 1 +refdes=M6 +T 47700 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47700 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47700 42900 5 8 1 0 0 0 1 +l=1u +} +C 43600 42800 1 0 0 asic-nmos-1.sym +{ +T 45000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 44400 43600 5 10 1 1 0 0 1 +refdes=M4 +T 44400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 44400 43100 5 8 1 0 0 0 1 +w='Wunit' +T 44400 42900 5 8 1 0 0 0 1 +l=1u +} +N 43000 45600 43300 45600 4 +{ +T 43000 45700 5 10 1 1 0 0 1 +netname=XN +} +N 43300 47800 43600 47800 4 +N 43300 43300 43600 43300 4 +N 44200 42800 44200 42400 4 +N 44300 43300 44400 43300 4 +N 44400 43300 44400 42400 4 +N 46600 47800 46900 47800 4 +N 46600 43300 46900 43300 4 +N 46600 47800 46600 43300 4 +N 44200 45600 46600 45600 4 +{ +T 45500 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47500 47300 47500 43800 4 +N 47500 48300 47500 48700 4 +N 47500 42800 47500 42400 4 +N 47600 47800 47700 47800 4 +N 47700 47800 47700 48700 4 +N 47600 43300 47700 43300 4 +N 47700 43300 47700 42400 4 +N 47500 45600 48300 45600 4 +{ +T 47800 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M9 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M10 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 44900 41500 9 10 1 0 0 0 4 +Double Clock Buffering: +- clock-enable (via NOR) +- de-coupling high load +- 2-phase clock generation +C 53300 45800 1 0 0 asic-pmos-1.sym +{ +T 54700 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54100 46600 5 10 1 1 0 0 1 +refdes=M12 +T 54100 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54100 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54100 45900 5 8 1 0 0 0 1 +l=1u +} +C 53300 44300 1 0 0 asic-nmos-1.sym +{ +T 54700 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54100 45100 5 10 1 1 0 0 1 +refdes=M13 +T 54100 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54100 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 54100 44400 5 8 1 0 0 0 1 +l=1u +} +N 53000 46300 53300 46300 4 +N 53300 44800 53000 44800 4 +N 53000 44800 53000 46300 4 +N 53900 45800 53900 45300 4 +C 57100 45800 1 0 0 asic-pmos-1.sym +{ +T 58500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 46600 5 10 1 1 0 0 1 +refdes=M16 +T 57900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 45900 5 8 1 0 0 0 1 +l=1u +} +C 57100 44300 1 0 0 asic-nmos-1.sym +{ +T 58500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 45100 5 10 1 1 0 0 1 +refdes=M17 +T 57900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 44400 5 8 1 0 0 0 1 +l=1u +} +C 57100 42800 1 0 0 asic-nmos-1.sym +{ +T 58500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 43600 5 10 1 1 0 0 1 +refdes=M18 +T 57900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 42900 5 8 1 0 0 0 1 +l=1u +} +C 57100 47300 1 0 0 asic-pmos-1.sym +{ +T 58500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 48100 5 10 1 1 0 0 1 +refdes=M15 +T 57900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 47400 5 8 1 0 0 0 1 +l=1u +} +N 57100 47800 56400 47800 4 +{ +T 56500 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 57100 43300 56500 43300 4 +{ +T 56500 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 56800 46300 57100 46300 4 +N 57100 44800 56800 44800 4 +N 56800 44800 56800 46300 4 +N 53900 45600 56800 45600 4 +{ +T 55200 45700 5 10 1 1 0 0 1 +netname=Q +} +N 57700 48300 57700 48700 4 +N 57700 47300 57700 46800 4 +N 57700 45800 57700 45300 4 +N 57700 44300 57700 43800 4 +N 57700 42800 57700 42400 4 +N 56400 45200 56400 45600 4 +N 51400 45600 53000 45600 4 +N 52500 45600 52500 44200 4 +N 52500 44200 58700 44200 4 +{ +T 55200 44300 5 10 1 1 0 0 1 +netname=qn +} +N 57700 45600 58700 45600 4 +N 58700 45600 58700 44200 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 53100 41700 9 10 1 0 0 0 3 +Q Stage: +- inverting AND +- asynchronous (low-active) Set pulls up +T 56500 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 57800 47800 57900 47800 4 +N 57900 46300 57900 48700 4 +N 57800 46300 57900 46300 4 +N 57800 43300 57900 43300 4 +N 57900 42400 57900 44800 4 +N 57800 44800 57900 44800 4 +N 53900 46800 53900 48700 4 +N 54000 46300 54100 46300 4 +N 54100 46300 54100 48700 4 +N 54000 44800 54100 44800 4 +N 54100 44800 54100 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 55600 46600 1 0 1 asic-pmos-1.sym +{ +T 54200 47400 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 54800 47400 5 10 1 1 0 6 1 +refdes=M11 +T 54800 47200 5 8 1 1 0 6 1 +model-name=pmos4 +T 54800 46900 5 8 1 0 0 6 1 +w='PNratio*Wunit' +T 54800 46700 5 8 1 0 0 6 1 +l=1u +} +N 55000 47600 55000 48700 4 +N 55000 46600 55000 45600 4 +N 54900 47100 54800 47100 4 +N 54800 47100 54800 48700 4 +N 55600 47100 55900 47100 4 +{ +T 55600 47200 5 10 1 1 0 0 1 +netname=SN +} +C 55600 42800 1 0 1 asic-nmos-1.sym +{ +T 54200 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 54800 43600 5 10 1 1 0 6 1 +refdes=M14 +T 54800 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 54800 43100 5 8 1 0 0 6 1 +w='2*Wunit' +T 54800 42900 5 8 1 0 0 6 1 +l=1u +} +N 54900 43300 54800 43300 4 +N 54800 43300 54800 42400 4 +N 55000 42800 55000 42400 4 +N 53900 44300 53900 44000 4 +N 53900 44000 55000 44000 4 +N 55000 44000 55000 43800 4 +N 55800 47100 55800 43300 4 +N 55800 43300 55600 43300 4 +C 44800 45800 1 0 1 asic-pmos-1.sym +{ +T 43400 46600 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 44000 46600 5 10 1 1 0 6 1 +refdes=M2 +T 44000 46400 5 8 1 1 0 6 1 +model-name=pmos4 +T 44000 46100 5 8 1 0 0 6 1 +w='2*PNratio*Wunit' +T 44000 45900 5 8 1 0 0 6 1 +l=1u +} +C 45700 44300 1 0 1 asic-nmos-1.sym +{ +T 44300 45100 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 44900 45100 5 10 1 1 0 6 1 +refdes=M3 +T 44900 44900 5 8 1 1 0 6 1 +model-name=nmos4 +T 44900 44600 5 8 1 0 0 6 1 +w='Wunit' +T 44900 44400 5 8 1 0 0 6 1 +l=1u +} +N 44800 46300 46100 46300 4 +N 46100 46300 46100 44800 4 +{ +T 45700 46400 5 10 1 1 0 0 1 +netname=EN +} +N 46100 44800 45700 44800 4 +N 44200 47300 44200 46800 4 +N 44100 46300 43500 46300 4 +N 43500 46300 43500 48700 4 +N 45100 44300 45100 42400 4 +N 44200 45800 44200 43800 4 +N 45000 44800 44900 44800 4 +N 44900 44800 44900 42400 4 +N 45100 45300 45100 45600 4 +C 46400 46100 1 90 0 spice-subcircuit-IO-1.sym +{ +T 46200 46300 5 10 1 1 270 0 1 +refdes=P4 +} diff --git a/Sources/geda/LATESN.sym b/Sources/geda/LATESN.sym new file mode 100644 index 00000000..8ebb79af --- /dev/null +++ b/Sources/geda/LATESN.sym @@ -0,0 +1,94 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 250 600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +V 250 1300 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +V 700 1950 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 700 2200 700 2000 1 0 0 +{ +T 650 1900 5 10 0 0 90 6 1 +pintype=in +T 700 1845 9 10 1 1 90 6 1 +pinlabel=SN +T 650 1995 5 10 0 1 90 0 1 +pinnumber=3 +T 650 1900 5 10 0 0 90 6 1 +pinseq=3 +} +P 0 1300 200 1300 1 0 0 +{ +T 100 1350 5 10 0 0 0 0 1 +pintype=in +T 100 1350 5 10 0 0 0 0 1 +pinseq=4 +T 358 1292 9 10 1 1 0 0 1 +pinlabel=EN +T 208 1242 5 10 0 1 0 6 1 +pinnumber=4 +} +P 0 600 200 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=5 +T 358 592 9 10 1 1 0 0 1 +pinlabel=XN +T 208 642 5 10 0 1 0 6 1 +pinnumber=5 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=6 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=6 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=7 +T 1250 300 5 10 0 0 270 6 1 +pinseq=7 +} +T 392 992 5 16 1 1 0 0 1 +device=LATESN +T 1292 2292 8 10 0 1 0 0 1 +description=Low-active D-Latch w/ clock-enable + low-active Set +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATESN.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/LATESP.sch b/Sources/geda/LATESP.sch new file mode 100644 index 00000000..c2289b69 --- /dev/null +++ b/Sources/geda/LATESP.sch @@ -0,0 +1,503 @@ +v 20130925 2 +T 53100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 43300 43300 43300 47800 4 +N 42800 48700 57900 48700 4 +{ +T 42900 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 44200 48700 44200 48300 4 +N 44400 47800 44300 47800 4 +N 44400 47800 44400 48700 4 +N 42700 42400 57900 42400 4 +{ +T 42900 42500 5 10 1 1 0 0 1 +netname=GND +} +C 43600 47300 1 0 0 asic-pmos-1.sym +{ +T 45000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 44400 48100 5 10 1 1 0 0 1 +refdes=M1 +T 44400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 44400 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 44400 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +set LATEP and LATSP +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 51000 40000 1 0 0 cvstitleblock-1.sym +{ +T 51600 40400 5 10 1 1 0 0 1 +date=2019-09-04 +T 55500 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 55500 40100 5 10 1 1 0 0 1 +auth= +T 51600 40700 5 10 1 1 0 0 1 +fname=LATESP.sch +T 55000 41100 5 14 1 1 0 4 1 +title=LATESP - High-active D-Latch w/ clock-enable + asynchr. SN +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 56100 45400 1 270 0 spice-subcircuit-IO-1.sym +{ +T 56600 45000 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 55700 46800 1 0 0 spice-subcircuit-IO-1.sym +{ +T 56200 47300 5 10 1 1 180 0 1 +refdes=P3 +} +C 43200 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43000 45900 5 10 1 1 0 0 1 +refdes=P5 +} +C 43000 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43000 49000 5 10 1 1 0 0 1 +refdes=P6 +} +C 42900 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 42900 42700 5 10 1 1 0 0 1 +refdes=P7 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATESP +} +C 40200 47500 1 0 0 spice-directive-1.sym +{ +T 40300 47800 5 10 0 1 0 0 1 +device=directive +T 40300 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40300 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40200 46700 1 0 0 spice-directive-1.sym +{ +T 40300 47000 5 10 0 1 0 0 1 +device=directive +T 40300 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40300 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46900 47300 1 0 0 asic-pmos-1.sym +{ +T 48300 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47700 48100 5 10 1 1 0 0 1 +refdes=M5 +T 47700 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47700 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47700 47400 5 8 1 0 0 0 1 +l=1u +} +C 46900 42800 1 0 0 asic-nmos-1.sym +{ +T 48300 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47700 43600 5 10 1 1 0 0 1 +refdes=M6 +T 47700 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47700 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47700 42900 5 8 1 0 0 0 1 +l=1u +} +C 43600 42800 1 0 0 asic-nmos-1.sym +{ +T 45000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 44400 43600 5 10 1 1 0 0 1 +refdes=M4 +T 44400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 44400 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 44400 42900 5 8 1 0 0 0 1 +l=1u +} +N 43000 45600 43300 45600 4 +{ +T 43000 45700 5 10 1 1 0 0 1 +netname=X +} +N 43300 47800 43600 47800 4 +N 43300 43300 43600 43300 4 +N 44200 42800 44200 42400 4 +N 44300 43300 44400 43300 4 +N 44400 43300 44400 42400 4 +N 46600 47800 46900 47800 4 +N 46600 43300 46900 43300 4 +N 46600 47800 46600 43300 4 +N 44200 45600 46600 45600 4 +{ +T 45500 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47500 47300 47500 43800 4 +N 47500 48300 47500 48700 4 +N 47500 42800 47500 42400 4 +N 47600 47800 47700 47800 4 +N 47700 47800 47700 48700 4 +N 47600 43300 47700 43300 4 +N 47700 43300 47700 42400 4 +N 47500 45600 48300 45600 4 +{ +T 47800 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M9 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M10 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 44900 41500 9 10 1 0 0 0 4 +Double Clock Buffering: +- clock-enable (via NAND) +- de-coupling high load +- 2-phase clock generation +C 53300 45800 1 0 0 asic-pmos-1.sym +{ +T 54700 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54100 46600 5 10 1 1 0 0 1 +refdes=M12 +T 54100 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54100 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54100 45900 5 8 1 0 0 0 1 +l=1u +} +C 53300 44300 1 0 0 asic-nmos-1.sym +{ +T 54700 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54100 45100 5 10 1 1 0 0 1 +refdes=M13 +T 54100 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54100 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 54100 44400 5 8 1 0 0 0 1 +l=1u +} +N 53000 46300 53300 46300 4 +N 53300 44800 53000 44800 4 +N 53000 44800 53000 46300 4 +N 53900 45800 53900 45300 4 +C 57100 45800 1 0 0 asic-pmos-1.sym +{ +T 58500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 46600 5 10 1 1 0 0 1 +refdes=M16 +T 57900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 45900 5 8 1 0 0 0 1 +l=1u +} +C 57100 44300 1 0 0 asic-nmos-1.sym +{ +T 58500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 45100 5 10 1 1 0 0 1 +refdes=M17 +T 57900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 44400 5 8 1 0 0 0 1 +l=1u +} +C 57100 42800 1 0 0 asic-nmos-1.sym +{ +T 58500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 43600 5 10 1 1 0 0 1 +refdes=M18 +T 57900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 42900 5 8 1 0 0 0 1 +l=1u +} +C 57100 47300 1 0 0 asic-pmos-1.sym +{ +T 58500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 48100 5 10 1 1 0 0 1 +refdes=M15 +T 57900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 47400 5 8 1 0 0 0 1 +l=1u +} +N 57100 47800 56400 47800 4 +{ +T 56500 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 57100 43300 56500 43300 4 +{ +T 56500 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 56800 46300 57100 46300 4 +N 57100 44800 56800 44800 4 +N 56800 44800 56800 46300 4 +N 53900 45600 56800 45600 4 +{ +T 55200 45700 5 10 1 1 0 0 1 +netname=Q +} +N 57700 48300 57700 48700 4 +N 57700 47300 57700 46800 4 +N 57700 45800 57700 45300 4 +N 57700 44300 57700 43800 4 +N 57700 42800 57700 42400 4 +N 56400 45200 56400 45600 4 +N 51400 45600 53000 45600 4 +N 52500 45600 52500 44200 4 +N 52500 44200 58700 44200 4 +{ +T 55200 44300 5 10 1 1 0 0 1 +netname=qn +} +N 57700 45600 58700 45600 4 +N 58700 45600 58700 44200 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- high-active transparent +T 53100 41700 9 10 1 0 0 0 3 +Q Stage: +- inverting AND +- asynchronous (low-active) Set pulls up +T 56500 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- low-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 57800 47800 57900 47800 4 +N 57900 46300 57900 48700 4 +N 57800 46300 57900 46300 4 +N 57800 43300 57900 43300 4 +N 57900 42400 57900 44800 4 +N 57800 44800 57900 44800 4 +N 53900 46800 53900 48700 4 +N 54000 46300 54100 46300 4 +N 54100 46300 54100 48700 4 +N 54000 44800 54100 44800 4 +N 54100 44800 54100 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 55600 46600 1 0 1 asic-pmos-1.sym +{ +T 54200 47400 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 54800 47400 5 10 1 1 0 6 1 +refdes=M11 +T 54800 47200 5 8 1 1 0 6 1 +model-name=pmos4 +T 54800 46900 5 8 1 0 0 6 1 +w='PNratio*Wunit' +T 54800 46700 5 8 1 0 0 6 1 +l=1u +} +N 55000 47600 55000 48700 4 +N 55000 46600 55000 45600 4 +N 54900 47100 54800 47100 4 +N 54800 47100 54800 48700 4 +N 55600 47100 55900 47100 4 +{ +T 55600 47200 5 10 1 1 0 0 1 +netname=SN +} +C 55600 42800 1 0 1 asic-nmos-1.sym +{ +T 54200 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 54800 43600 5 10 1 1 0 6 1 +refdes=M14 +T 54800 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 54800 43100 5 8 1 0 0 6 1 +w='2*Wunit' +T 54800 42900 5 8 1 0 0 6 1 +l=1u +} +N 54900 43300 54800 43300 4 +N 54800 43300 54800 42400 4 +N 55000 42800 55000 42400 4 +N 53900 44300 53900 44000 4 +N 53900 44000 55000 44000 4 +N 55000 44000 55000 43800 4 +N 55800 47100 55800 43300 4 +N 55800 43300 55600 43300 4 +C 45900 45800 1 0 1 asic-pmos-1.sym +{ +T 44500 46600 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 45100 46600 5 10 1 1 0 6 1 +refdes=M2 +T 45100 46400 5 8 1 1 0 6 1 +model-name=pmos4 +T 45100 46100 5 8 1 0 0 6 1 +w='PNratio*Wunit' +T 45100 45900 5 8 1 0 0 6 1 +l=1u +} +N 45300 45600 45300 45800 4 +N 45300 46800 45300 48700 4 +N 45200 46300 45100 46300 4 +N 45100 46300 45100 48700 4 +C 44800 44300 1 0 1 asic-nmos-1.sym +{ +T 43400 45100 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 44000 45100 5 10 1 1 0 6 1 +refdes=M3 +T 44000 44900 5 8 1 1 0 6 1 +model-name=nmos4 +T 44000 44600 5 8 1 0 0 6 1 +w='2*Wunit' +T 44000 44400 5 8 1 0 0 6 1 +l=1u +} +N 44200 43800 44200 44300 4 +N 44200 45300 44200 47300 4 +N 45900 46300 46100 46300 4 +N 46100 46300 46100 44800 4 +{ +T 46200 44600 5 10 1 1 0 0 1 +netname=E +} +N 46100 44800 44800 44800 4 +C 45800 45000 1 270 0 spice-subcircuit-IO-1.sym +{ +T 46000 44800 5 10 1 1 90 0 1 +refdes=P4 +} +N 44100 44800 43500 44800 4 +N 43500 44800 43500 42400 4 diff --git a/Sources/geda/LATESP.sym b/Sources/geda/LATESP.sym new file mode 100644 index 00000000..9b6add63 --- /dev/null +++ b/Sources/geda/LATESP.sym @@ -0,0 +1,92 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 700 1950 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 700 2200 700 2000 1 0 0 +{ +T 650 1900 5 10 0 0 90 6 1 +pintype=in +T 700 1845 9 10 1 1 90 6 1 +pinlabel=SN +T 650 1995 5 10 0 1 90 0 1 +pinnumber=3 +T 650 1900 5 10 0 0 90 6 1 +pinseq=3 +} +P 0 1300 300 1300 1 0 0 +{ +T 100 1350 5 10 0 0 0 0 1 +pintype=in +T 100 1350 5 10 0 0 0 0 1 +pinseq=4 +T 358 1292 9 10 1 1 0 0 1 +pinlabel=E +T 208 1242 5 10 0 1 0 6 1 +pinnumber=4 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=5 +T 358 592 9 10 1 1 0 0 1 +pinlabel=X +T 208 642 5 10 0 1 0 6 1 +pinnumber=5 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=6 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=6 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=7 +T 1250 300 5 10 0 0 270 6 1 +pinseq=7 +} +T 392 992 5 16 1 1 0 0 1 +device=LATESP +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-Latch w/ clock-enable + low-active Set +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATESP.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/LATRN.sch b/Sources/geda/LATRN.sch new file mode 100644 index 00000000..5ae41376 --- /dev/null +++ b/Sources/geda/LATRN.sch @@ -0,0 +1,458 @@ +v 20130925 2 +T 53200 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 44100 43300 44100 47800 4 +N 43300 48700 57900 48700 4 +{ +T 43400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45000 48700 45000 48300 4 +N 45200 47800 45100 47800 4 +N 45200 47800 45200 48700 4 +N 43200 42400 57900 42400 4 +{ +T 43400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 44400 47300 1 0 0 asic-pmos-1.sym +{ +T 45800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45200 48100 5 10 1 1 0 0 1 +refdes=M1 +T 45200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 45200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 45200 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +set LATN +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 51000 40000 1 0 0 cvstitleblock-1.sym +{ +T 51600 40400 5 10 1 1 0 0 1 +date=2019-09-03 +T 55500 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 55500 40100 5 10 1 1 0 0 1 +auth= +T 51600 40700 5 10 1 1 0 0 1 +fname=LATRN.sch +T 55000 41100 5 14 1 1 0 4 1 +title=LATRN - Low-active D-Latch w/ async. high-active Reset +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 56100 45400 1 270 0 spice-subcircuit-IO-1.sym +{ +T 56600 45000 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 44000 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43800 45900 5 10 1 1 0 0 1 +refdes=P4 +} +C 43500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 49000 5 10 1 1 0 0 1 +refdes=P5 +} +C 43400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43400 42700 5 10 1 1 0 0 1 +refdes=P6 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATRN +} +C 40700 47500 1 0 0 spice-directive-1.sym +{ +T 40800 47800 5 10 0 1 0 0 1 +device=directive +T 40800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40700 46700 1 0 0 spice-directive-1.sym +{ +T 40800 47000 5 10 0 1 0 0 1 +device=directive +T 40800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46400 47300 1 0 0 asic-pmos-1.sym +{ +T 47800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47200 48100 5 10 1 1 0 0 1 +refdes=M3 +T 47200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47200 47400 5 8 1 0 0 0 1 +l=1u +} +C 46400 42800 1 0 0 asic-nmos-1.sym +{ +T 47800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47200 43600 5 10 1 1 0 0 1 +refdes=M4 +T 47200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47200 42900 5 8 1 0 0 0 1 +l=1u +} +C 44400 42800 1 0 0 asic-nmos-1.sym +{ +T 45800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45200 43600 5 10 1 1 0 0 1 +refdes=M2 +T 45200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 45200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 45200 42900 5 8 1 0 0 0 1 +l=1u +} +N 43800 45600 44100 45600 4 +{ +T 43800 45700 5 10 1 1 0 0 1 +netname=XN +} +N 44100 47800 44400 47800 4 +N 44100 43300 44400 43300 4 +N 45000 42800 45000 42400 4 +N 45100 43300 45200 43300 4 +N 45200 43300 45200 42400 4 +N 45000 47300 45000 43800 4 +N 46100 47800 46400 47800 4 +N 46100 43300 46400 43300 4 +N 46100 47800 46100 43300 4 +N 45000 45600 46100 45600 4 +{ +T 45400 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47000 47300 47000 43800 4 +N 47000 48300 47000 48700 4 +N 47000 42800 47000 42400 4 +N 47100 47800 47200 47800 4 +N 47200 47800 47200 48700 4 +N 47100 43300 47200 43300 4 +N 47200 43300 47200 42400 4 +N 47000 45600 47800 45600 4 +{ +T 47300 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45000 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53300 45800 1 0 0 asic-pmos-1.sym +{ +T 54700 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54100 46600 5 10 1 1 0 0 1 +refdes=M10 +T 54100 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54100 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 54100 45900 5 8 1 0 0 0 1 +l=1u +} +C 53300 44300 1 0 0 asic-nmos-1.sym +{ +T 54700 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54100 45100 5 10 1 1 0 0 1 +refdes=M11 +T 54100 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54100 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54100 44400 5 8 1 0 0 0 1 +l=1u +} +N 53000 46300 53300 46300 4 +N 53300 44800 53000 44800 4 +N 53000 44800 53000 46300 4 +N 53900 45800 53900 45300 4 +C 57100 45800 1 0 0 asic-pmos-1.sym +{ +T 58500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 46600 5 10 1 1 0 0 1 +refdes=M14 +T 57900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 45900 5 8 1 0 0 0 1 +l=1u +} +C 57100 44300 1 0 0 asic-nmos-1.sym +{ +T 58500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 45100 5 10 1 1 0 0 1 +refdes=M15 +T 57900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 44400 5 8 1 0 0 0 1 +l=1u +} +C 57100 42800 1 0 0 asic-nmos-1.sym +{ +T 58500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 43600 5 10 1 1 0 0 1 +refdes=M16 +T 57900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 42900 5 8 1 0 0 0 1 +l=1u +} +C 57100 47300 1 0 0 asic-pmos-1.sym +{ +T 58500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 48100 5 10 1 1 0 0 1 +refdes=M13 +T 57900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 47400 5 8 1 0 0 0 1 +l=1u +} +N 57100 47800 56400 47800 4 +{ +T 56500 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 57100 43300 56500 43300 4 +{ +T 56500 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 56800 46300 57100 46300 4 +N 57100 44800 56800 44800 4 +N 56800 44800 56800 46300 4 +N 53900 45600 56800 45600 4 +{ +T 55200 45700 5 10 1 1 0 0 1 +netname=Q +} +N 57700 48300 57700 48700 4 +N 57700 47300 57700 46800 4 +N 57700 45800 57700 45300 4 +N 57700 44300 57700 43800 4 +N 57700 42800 57700 42400 4 +N 56400 45200 56400 45600 4 +N 51400 45600 53000 45600 4 +N 52500 45600 52500 44200 4 +N 52500 44200 58700 44200 4 +{ +T 55200 44300 5 10 1 1 0 0 1 +netname=qn +} +N 57700 45600 58700 45600 4 +N 58700 45600 58700 44200 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 53100 41700 9 10 1 0 0 0 3 +Q Stage: +- inverting OR +- asynchronous Reset pulls down +T 56500 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 57800 47800 57900 47800 4 +N 57900 46300 57900 48700 4 +N 57800 46300 57900 46300 4 +N 57800 43300 57900 43300 4 +N 57900 42400 57900 44800 4 +N 57800 44800 57900 44800 4 +N 54000 46300 54100 46300 4 +N 54100 46300 54100 48700 4 +N 54000 44800 54100 44800 4 +N 54100 44800 54100 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 55700 46800 1 0 0 spice-subcircuit-IO-1.sym +{ +T 56200 47300 5 10 1 1 180 0 1 +refdes=P3 +} +C 55600 47300 1 0 1 asic-pmos-1.sym +{ +T 54200 48100 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 54800 48100 5 10 1 1 0 6 1 +refdes=M9 +T 54800 47900 5 8 1 1 0 6 1 +model-name=pmos4 +T 54800 47600 5 8 1 0 0 6 1 +w='2*PNratio*Wunit' +T 54800 47400 5 8 1 0 0 6 1 +l=1u +} +N 55000 48300 55000 48700 4 +N 54900 47800 54800 47800 4 +N 54800 47800 54800 48700 4 +C 55600 42800 1 0 1 asic-nmos-1.sym +{ +T 54200 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 54800 43600 5 10 1 1 0 6 1 +refdes=M12 +T 54800 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 54800 43100 5 8 1 0 0 6 1 +w='Wunit' +T 54800 42900 5 8 1 0 0 6 1 +l=1u +} +N 54900 43300 54800 43300 4 +N 54800 43300 54800 42400 4 +N 55000 42800 55000 42400 4 +N 53900 44300 53900 42400 4 +N 55800 43300 55800 47800 4 +{ +T 55900 47300 5 10 1 1 0 0 1 +netname=R +} +N 55800 43300 55600 43300 4 +N 55600 47800 55800 47800 4 +N 55800 47100 55900 47100 4 +N 53900 46800 53900 47100 4 +N 53900 47100 55000 47100 4 +N 55000 47100 55000 47300 4 +N 55000 43800 55000 45600 4 diff --git a/Sources/geda/LATRN.sym b/Sources/geda/LATRN.sym new file mode 100644 index 00000000..f938afe2 --- /dev/null +++ b/Sources/geda/LATRN.sym @@ -0,0 +1,81 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 250 600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 700 0 700 300 1 0 0 +{ +T 750 300 5 10 0 0 270 6 1 +pintype=in +T 700 355 9 10 1 1 90 0 1 +pinlabel=R +T 650 205 5 10 0 1 90 6 1 +pinnumber=3 +T 750 300 5 10 0 0 270 6 1 +pinseq=3 +} +P 0 600 200 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=4 +T 358 592 9 10 1 1 0 0 1 +pinlabel=XN +T 208 642 5 10 0 1 0 6 1 +pinnumber=4 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=5 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=5 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=6 +T 1250 300 5 10 0 0 270 6 1 +pinseq=6 +} +T 492 992 5 16 1 1 0 0 1 +device=LATRN +T 1292 2292 8 10 0 1 0 0 1 +description=Low-active D-Latch w/ high-active Reset +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATRN.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/LATRP.sch b/Sources/geda/LATRP.sch new file mode 100644 index 00000000..944e42c2 --- /dev/null +++ b/Sources/geda/LATRP.sch @@ -0,0 +1,458 @@ +v 20130925 2 +T 53200 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 44100 43300 44100 47800 4 +N 43300 48700 57900 48700 4 +{ +T 43400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45000 48700 45000 48300 4 +N 45200 47800 45100 47800 4 +N 45200 47800 45200 48700 4 +N 43200 42400 57900 42400 4 +{ +T 43400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 44400 47300 1 0 0 asic-pmos-1.sym +{ +T 45800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45200 48100 5 10 1 1 0 0 1 +refdes=M1 +T 45200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 45200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 45200 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +set LATP +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 51000 40000 1 0 0 cvstitleblock-1.sym +{ +T 51600 40400 5 10 1 1 0 0 1 +date=2019-09-03 +T 55500 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 55500 40100 5 10 1 1 0 0 1 +auth= +T 51600 40700 5 10 1 1 0 0 1 +fname=LATRP.sch +T 55000 41100 5 14 1 1 0 4 1 +title=LATRP - High-active D-Latch w/ async. high-active Reset +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 56100 45400 1 270 0 spice-subcircuit-IO-1.sym +{ +T 56600 45000 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 44000 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43800 45900 5 10 1 1 0 0 1 +refdes=P4 +} +C 43500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 49000 5 10 1 1 0 0 1 +refdes=P5 +} +C 43400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43400 42700 5 10 1 1 0 0 1 +refdes=P6 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATRP +} +C 40700 47500 1 0 0 spice-directive-1.sym +{ +T 40800 47800 5 10 0 1 0 0 1 +device=directive +T 40800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40700 46700 1 0 0 spice-directive-1.sym +{ +T 40800 47000 5 10 0 1 0 0 1 +device=directive +T 40800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46400 47300 1 0 0 asic-pmos-1.sym +{ +T 47800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47200 48100 5 10 1 1 0 0 1 +refdes=M3 +T 47200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47200 47400 5 8 1 0 0 0 1 +l=1u +} +C 46400 42800 1 0 0 asic-nmos-1.sym +{ +T 47800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47200 43600 5 10 1 1 0 0 1 +refdes=M4 +T 47200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47200 42900 5 8 1 0 0 0 1 +l=1u +} +C 44400 42800 1 0 0 asic-nmos-1.sym +{ +T 45800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45200 43600 5 10 1 1 0 0 1 +refdes=M2 +T 45200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 45200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 45200 42900 5 8 1 0 0 0 1 +l=1u +} +N 43800 45600 44100 45600 4 +{ +T 43800 45700 5 10 1 1 0 0 1 +netname=X +} +N 44100 47800 44400 47800 4 +N 44100 43300 44400 43300 4 +N 45000 42800 45000 42400 4 +N 45100 43300 45200 43300 4 +N 45200 43300 45200 42400 4 +N 45000 47300 45000 43800 4 +N 46100 47800 46400 47800 4 +N 46100 43300 46400 43300 4 +N 46100 47800 46100 43300 4 +N 45000 45600 46100 45600 4 +{ +T 45400 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47000 47300 47000 43800 4 +N 47000 48300 47000 48700 4 +N 47000 42800 47000 42400 4 +N 47100 47800 47200 47800 4 +N 47200 47800 47200 48700 4 +N 47100 43300 47200 43300 4 +N 47200 43300 47200 42400 4 +N 47000 45600 47800 45600 4 +{ +T 47300 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45000 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53300 45800 1 0 0 asic-pmos-1.sym +{ +T 54700 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54100 46600 5 10 1 1 0 0 1 +refdes=M10 +T 54100 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54100 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 54100 45900 5 8 1 0 0 0 1 +l=1u +} +C 53300 44300 1 0 0 asic-nmos-1.sym +{ +T 54700 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54100 45100 5 10 1 1 0 0 1 +refdes=M11 +T 54100 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54100 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54100 44400 5 8 1 0 0 0 1 +l=1u +} +N 53000 46300 53300 46300 4 +N 53300 44800 53000 44800 4 +N 53000 44800 53000 46300 4 +N 53900 45800 53900 45300 4 +C 57100 45800 1 0 0 asic-pmos-1.sym +{ +T 58500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 46600 5 10 1 1 0 0 1 +refdes=M14 +T 57900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 45900 5 8 1 0 0 0 1 +l=1u +} +C 57100 44300 1 0 0 asic-nmos-1.sym +{ +T 58500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 45100 5 10 1 1 0 0 1 +refdes=M15 +T 57900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 44400 5 8 1 0 0 0 1 +l=1u +} +C 57100 42800 1 0 0 asic-nmos-1.sym +{ +T 58500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 43600 5 10 1 1 0 0 1 +refdes=M16 +T 57900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 42900 5 8 1 0 0 0 1 +l=1u +} +C 57100 47300 1 0 0 asic-pmos-1.sym +{ +T 58500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 48100 5 10 1 1 0 0 1 +refdes=M13 +T 57900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 47400 5 8 1 0 0 0 1 +l=1u +} +N 57100 47800 56400 47800 4 +{ +T 56500 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 57100 43300 56500 43300 4 +{ +T 56500 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 56800 46300 57100 46300 4 +N 57100 44800 56800 44800 4 +N 56800 44800 56800 46300 4 +N 53900 45600 56800 45600 4 +{ +T 55200 45700 5 10 1 1 0 0 1 +netname=Q +} +N 57700 48300 57700 48700 4 +N 57700 47300 57700 46800 4 +N 57700 45800 57700 45300 4 +N 57700 44300 57700 43800 4 +N 57700 42800 57700 42400 4 +N 56400 45200 56400 45600 4 +N 51400 45600 53000 45600 4 +N 52500 45600 52500 44200 4 +N 52500 44200 58700 44200 4 +{ +T 55200 44300 5 10 1 1 0 0 1 +netname=qn +} +N 57700 45600 58700 45600 4 +N 58700 45600 58700 44200 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 53100 41700 9 10 1 0 0 0 3 +Q Stage: +- inverting OR +- asynchronous Reset pulls down +T 56500 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 57800 47800 57900 47800 4 +N 57900 46300 57900 48700 4 +N 57800 46300 57900 46300 4 +N 57800 43300 57900 43300 4 +N 57900 42400 57900 44800 4 +N 57800 44800 57900 44800 4 +N 54000 46300 54100 46300 4 +N 54100 46300 54100 48700 4 +N 54000 44800 54100 44800 4 +N 54100 44800 54100 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 55700 46800 1 0 0 spice-subcircuit-IO-1.sym +{ +T 56200 47300 5 10 1 1 180 0 1 +refdes=P3 +} +C 55600 47300 1 0 1 asic-pmos-1.sym +{ +T 54200 48100 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 54800 48100 5 10 1 1 0 6 1 +refdes=M9 +T 54800 47900 5 8 1 1 0 6 1 +model-name=pmos4 +T 54800 47600 5 8 1 0 0 6 1 +w='2*PNratio*Wunit' +T 54800 47400 5 8 1 0 0 6 1 +l=1u +} +N 55000 48300 55000 48700 4 +N 54900 47800 54800 47800 4 +N 54800 47800 54800 48700 4 +C 55600 42800 1 0 1 asic-nmos-1.sym +{ +T 54200 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 54800 43600 5 10 1 1 0 6 1 +refdes=M12 +T 54800 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 54800 43100 5 8 1 0 0 6 1 +w='Wunit' +T 54800 42900 5 8 1 0 0 6 1 +l=1u +} +N 54900 43300 54800 43300 4 +N 54800 43300 54800 42400 4 +N 55000 42800 55000 42400 4 +N 53900 44300 53900 42400 4 +N 55800 43300 55800 47800 4 +{ +T 55900 47300 5 10 1 1 0 0 1 +netname=R +} +N 55800 43300 55600 43300 4 +N 55600 47800 55800 47800 4 +N 55800 47100 55900 47100 4 +N 53900 46800 53900 47100 4 +N 53900 47100 55000 47100 4 +N 55000 47100 55000 47300 4 +N 55000 43800 55000 45600 4 diff --git a/Sources/geda/LATRP.sym b/Sources/geda/LATRP.sym new file mode 100644 index 00000000..6f07dc4d --- /dev/null +++ b/Sources/geda/LATRP.sym @@ -0,0 +1,80 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 700 0 700 300 1 0 0 +{ +T 750 300 5 10 0 0 270 6 1 +pintype=in +T 700 355 9 10 1 1 90 0 1 +pinlabel=R +T 650 205 5 10 0 1 90 6 1 +pinnumber=3 +T 750 300 5 10 0 0 270 6 1 +pinseq=3 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=4 +T 358 592 9 10 1 1 0 0 1 +pinlabel=X +T 208 642 5 10 0 1 0 6 1 +pinnumber=4 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=5 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=5 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=6 +T 1250 300 5 10 0 0 270 6 1 +pinseq=6 +} +T 492 992 5 16 1 1 0 0 1 +device=LATRP +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-Latch w/ high-active Reset +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATRP.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/LATSN.sch b/Sources/geda/LATSN.sch new file mode 100644 index 00000000..e5ef95f8 --- /dev/null +++ b/Sources/geda/LATSN.sch @@ -0,0 +1,457 @@ +v 20130925 2 +T 50100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 44100 43300 44100 47800 4 +N 43300 48700 57900 48700 4 +{ +T 43400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45000 48700 45000 48300 4 +N 45200 47800 45100 47800 4 +N 45200 47800 45200 48700 4 +N 43200 42400 57900 42400 4 +{ +T 43400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 44400 47300 1 0 0 asic-pmos-1.sym +{ +T 45800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45200 48100 5 10 1 1 0 0 1 +refdes=M1 +T 45200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 45200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 45200 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +set LATN +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 51000 40000 1 0 0 cvstitleblock-1.sym +{ +T 51600 40400 5 10 1 1 0 0 1 +date=2019-09-03 +T 55500 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 55500 40100 5 10 1 1 0 0 1 +auth= +T 51600 40700 5 10 1 1 0 0 1 +fname=LATSN.sch +T 55000 41100 5 14 1 1 0 4 1 +title=LATSN - Low-active D-Latch w/ asynchronous low-active Set +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 56100 45400 1 270 0 spice-subcircuit-IO-1.sym +{ +T 56600 45000 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 55700 46800 1 0 0 spice-subcircuit-IO-1.sym +{ +T 56200 47300 5 10 1 1 180 0 1 +refdes=P3 +} +C 44000 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43800 45900 5 10 1 1 0 0 1 +refdes=P4 +} +C 43500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 49000 5 10 1 1 0 0 1 +refdes=P5 +} +C 43400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43400 42700 5 10 1 1 0 0 1 +refdes=P6 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATSN +} +C 40700 47500 1 0 0 spice-directive-1.sym +{ +T 40800 47800 5 10 0 1 0 0 1 +device=directive +T 40800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40700 46700 1 0 0 spice-directive-1.sym +{ +T 40800 47000 5 10 0 1 0 0 1 +device=directive +T 40800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46400 47300 1 0 0 asic-pmos-1.sym +{ +T 47800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47200 48100 5 10 1 1 0 0 1 +refdes=M3 +T 47200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47200 47400 5 8 1 0 0 0 1 +l=1u +} +C 46400 42800 1 0 0 asic-nmos-1.sym +{ +T 47800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47200 43600 5 10 1 1 0 0 1 +refdes=M4 +T 47200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47200 42900 5 8 1 0 0 0 1 +l=1u +} +C 44400 42800 1 0 0 asic-nmos-1.sym +{ +T 45800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45200 43600 5 10 1 1 0 0 1 +refdes=M2 +T 45200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 45200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 45200 42900 5 8 1 0 0 0 1 +l=1u +} +N 43800 45600 44100 45600 4 +{ +T 43800 45700 5 10 1 1 0 0 1 +netname=XN +} +N 44100 47800 44400 47800 4 +N 44100 43300 44400 43300 4 +N 45000 42800 45000 42400 4 +N 45100 43300 45200 43300 4 +N 45200 43300 45200 42400 4 +N 45000 47300 45000 43800 4 +N 46100 47800 46400 47800 4 +N 46100 43300 46400 43300 4 +N 46100 47800 46100 43300 4 +N 45000 45600 46100 45600 4 +{ +T 45400 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47000 47300 47000 43800 4 +N 47000 48300 47000 48700 4 +N 47000 42800 47000 42400 4 +N 47100 47800 47200 47800 4 +N 47200 47800 47200 48700 4 +N 47100 43300 47200 43300 4 +N 47200 43300 47200 42400 4 +N 47000 45600 47800 45600 4 +{ +T 47300 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45000 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53300 45800 1 0 0 asic-pmos-1.sym +{ +T 54700 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54100 46600 5 10 1 1 0 0 1 +refdes=M10 +T 54100 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54100 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54100 45900 5 8 1 0 0 0 1 +l=1u +} +C 53300 44300 1 0 0 asic-nmos-1.sym +{ +T 54700 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54100 45100 5 10 1 1 0 0 1 +refdes=M11 +T 54100 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54100 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 54100 44400 5 8 1 0 0 0 1 +l=1u +} +N 53000 46300 53300 46300 4 +N 53300 44800 53000 44800 4 +N 53000 44800 53000 46300 4 +N 53900 45800 53900 45300 4 +C 57100 45800 1 0 0 asic-pmos-1.sym +{ +T 58500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 46600 5 10 1 1 0 0 1 +refdes=M14 +T 57900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 45900 5 8 1 0 0 0 1 +l=1u +} +C 57100 44300 1 0 0 asic-nmos-1.sym +{ +T 58500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 45100 5 10 1 1 0 0 1 +refdes=M15 +T 57900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 44400 5 8 1 0 0 0 1 +l=1u +} +C 57100 42800 1 0 0 asic-nmos-1.sym +{ +T 58500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 43600 5 10 1 1 0 0 1 +refdes=M16 +T 57900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 42900 5 8 1 0 0 0 1 +l=1u +} +C 57100 47300 1 0 0 asic-pmos-1.sym +{ +T 58500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 48100 5 10 1 1 0 0 1 +refdes=M13 +T 57900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 47400 5 8 1 0 0 0 1 +l=1u +} +N 57100 47800 56400 47800 4 +{ +T 56500 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 57100 43300 56500 43300 4 +{ +T 56500 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 56800 46300 57100 46300 4 +N 57100 44800 56800 44800 4 +N 56800 44800 56800 46300 4 +N 53900 45600 56800 45600 4 +{ +T 55200 45700 5 10 1 1 0 0 1 +netname=Q +} +N 57700 48300 57700 48700 4 +N 57700 47300 57700 46800 4 +N 57700 45800 57700 45300 4 +N 57700 44300 57700 43800 4 +N 57700 42800 57700 42400 4 +N 56400 45200 56400 45600 4 +N 51400 45600 53000 45600 4 +N 52500 45600 52500 44200 4 +N 52500 44200 58700 44200 4 +{ +T 55200 44300 5 10 1 1 0 0 1 +netname=qn +} +N 57700 45600 58700 45600 4 +N 58700 45600 58700 44200 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 53100 41700 9 10 1 0 0 0 3 +Q Stage: +- inverting AND +- asynchronous (low-active) Set pulls up +T 56500 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 57800 47800 57900 47800 4 +N 57900 46300 57900 48700 4 +N 57800 46300 57900 46300 4 +N 57800 43300 57900 43300 4 +N 57900 42400 57900 44800 4 +N 57800 44800 57900 44800 4 +N 53900 46800 53900 48700 4 +N 54000 46300 54100 46300 4 +N 54100 46300 54100 48700 4 +N 54000 44800 54100 44800 4 +N 54100 44800 54100 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 55600 46600 1 0 1 asic-pmos-1.sym +{ +T 54200 47400 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 54800 47400 5 10 1 1 0 6 1 +refdes=M9 +T 54800 47200 5 8 1 1 0 6 1 +model-name=pmos4 +T 54800 46900 5 8 1 0 0 6 1 +w='PNratio*Wunit' +T 54800 46700 5 8 1 0 0 6 1 +l=1u +} +N 55000 47600 55000 48700 4 +N 55000 46600 55000 45600 4 +N 54900 47100 54800 47100 4 +N 54800 47100 54800 48700 4 +N 55600 47100 55900 47100 4 +{ +T 55600 47200 5 10 1 1 0 0 1 +netname=SN +} +C 55600 42800 1 0 1 asic-nmos-1.sym +{ +T 54200 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 54800 43600 5 10 1 1 0 6 1 +refdes=M12 +T 54800 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 54800 43100 5 8 1 0 0 6 1 +w='2*Wunit' +T 54800 42900 5 8 1 0 0 6 1 +l=1u +} +N 54900 43300 54800 43300 4 +N 54800 43300 54800 42400 4 +N 55000 42800 55000 42400 4 +N 53900 44300 53900 44000 4 +N 53900 44000 55000 44000 4 +N 55000 44000 55000 43800 4 +N 55800 47100 55800 43300 4 +N 55800 43300 55600 43300 4 diff --git a/Sources/geda/LATSN.sym b/Sources/geda/LATSN.sym new file mode 100644 index 00000000..24870b21 --- /dev/null +++ b/Sources/geda/LATSN.sym @@ -0,0 +1,82 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 250 600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +V 700 1950 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 700 2200 700 2000 1 0 0 +{ +T 650 1900 5 10 0 0 90 6 1 +pintype=in +T 700 1845 9 10 1 1 90 6 1 +pinlabel=SN +T 650 1995 5 10 0 1 90 0 1 +pinnumber=3 +T 650 1900 5 10 0 0 90 6 1 +pinseq=3 +} +P 0 600 200 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=4 +T 358 592 9 10 1 1 0 0 1 +pinlabel=XN +T 208 642 5 10 0 1 0 6 1 +pinnumber=4 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=5 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=5 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=6 +T 1250 300 5 10 0 0 270 6 1 +pinseq=6 +} +T 492 992 5 16 1 1 0 0 1 +device=LATSN +T 1292 2292 8 10 0 1 0 0 1 +description=Low-active D-Latch w/ low-active Set +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATSN.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/LATSP.sch b/Sources/geda/LATSP.sch new file mode 100644 index 00000000..3e8d7d13 --- /dev/null +++ b/Sources/geda/LATSP.sch @@ -0,0 +1,457 @@ +v 20130925 2 +T 50100 40100 9 10 1 0 0 0 1 +1 +T 51700 40100 9 10 1 0 0 0 1 +1 +N 44100 43300 44100 47800 4 +N 43300 48700 57900 48700 4 +{ +T 43400 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45000 48700 45000 48300 4 +N 45200 47800 45100 47800 4 +N 45200 47800 45200 48700 4 +N 43200 42400 57900 42400 4 +{ +T 43400 42500 5 10 1 1 0 0 1 +netname=GND +} +C 44400 47300 1 0 0 asic-pmos-1.sym +{ +T 45800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45200 48100 5 10 1 1 0 0 1 +refdes=M1 +T 45200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 45200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 45200 47400 5 8 1 0 0 0 1 +l=1u +} +T 42800 41100 9 10 1 0 0 0 1 +set LATP +B 42700 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 51000 40000 1 0 0 cvstitleblock-1.sym +{ +T 51600 40400 5 10 1 1 0 0 1 +date=2019-09-03 +T 55500 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 55500 40100 5 10 1 1 0 0 1 +auth= +T 51600 40700 5 10 1 1 0 0 1 +fname=LATSP.sch +T 55000 41100 5 14 1 1 0 4 1 +title=LATSP - High-active D-Latch w/ asynchronous low-active Set +} +C 44000 49200 1 0 0 spice-model-1.sym +{ +T 44100 49800 5 10 1 1 0 0 1 +refdes=A1 +T 45300 49500 5 10 1 1 0 0 1 +model-name=nmos4 +T 44500 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47300 49200 1 0 0 spice-model-1.sym +{ +T 47400 49800 5 10 1 1 0 0 1 +refdes=A2 +T 48600 49500 5 10 1 1 0 0 1 +model-name=pmos4 +T 47800 49300 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 56100 45400 1 270 0 spice-subcircuit-IO-1.sym +{ +T 56600 45000 5 10 1 1 90 0 1 +refdes=P1 +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 55700 46800 1 0 0 spice-subcircuit-IO-1.sym +{ +T 56200 47300 5 10 1 1 180 0 1 +refdes=P3 +} +C 44000 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43800 45900 5 10 1 1 0 0 1 +refdes=P4 +} +C 43500 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43500 49000 5 10 1 1 0 0 1 +refdes=P5 +} +C 43400 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 43400 42700 5 10 1 1 0 0 1 +refdes=P6 +} +C 50600 49400 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50700 49800 5 10 1 1 0 0 1 +refdes=A3 +T 50700 49500 5 10 1 1 0 0 1 +model-name=LATSP +} +C 40700 47500 1 0 0 spice-directive-1.sym +{ +T 40800 47800 5 10 0 1 0 0 1 +device=directive +T 40800 47900 5 10 1 1 0 0 1 +refdes=A4 +T 40800 47600 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 40700 46700 1 0 0 spice-directive-1.sym +{ +T 40800 47000 5 10 0 1 0 0 1 +device=directive +T 40800 47100 5 10 1 1 0 0 1 +refdes=A5 +T 40800 46800 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 46400 47300 1 0 0 asic-pmos-1.sym +{ +T 47800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47200 48100 5 10 1 1 0 0 1 +refdes=M3 +T 47200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47200 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47200 47400 5 8 1 0 0 0 1 +l=1u +} +C 46400 42800 1 0 0 asic-nmos-1.sym +{ +T 47800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47200 43600 5 10 1 1 0 0 1 +refdes=M4 +T 47200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47200 42900 5 8 1 0 0 0 1 +l=1u +} +C 44400 42800 1 0 0 asic-nmos-1.sym +{ +T 45800 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45200 43600 5 10 1 1 0 0 1 +refdes=M2 +T 45200 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 45200 43100 5 8 1 0 0 0 1 +w='Wunit' +T 45200 42900 5 8 1 0 0 0 1 +l=1u +} +N 43800 45600 44100 45600 4 +{ +T 43800 45700 5 10 1 1 0 0 1 +netname=X +} +N 44100 47800 44400 47800 4 +N 44100 43300 44400 43300 4 +N 45000 42800 45000 42400 4 +N 45100 43300 45200 43300 4 +N 45200 43300 45200 42400 4 +N 45000 47300 45000 43800 4 +N 46100 47800 46400 47800 4 +N 46100 43300 46400 43300 4 +N 46100 47800 46100 43300 4 +N 45000 45600 46100 45600 4 +{ +T 45400 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47000 47300 47000 43800 4 +N 47000 48300 47000 48700 4 +N 47000 42800 47000 42400 4 +N 47100 47800 47200 47800 4 +N 47200 47800 47200 48700 4 +N 47100 43300 47200 43300 4 +N 47200 43300 47200 42400 4 +N 47000 45600 47800 45600 4 +{ +T 47300 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45000 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53300 45800 1 0 0 asic-pmos-1.sym +{ +T 54700 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54100 46600 5 10 1 1 0 0 1 +refdes=M10 +T 54100 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54100 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54100 45900 5 8 1 0 0 0 1 +l=1u +} +C 53300 44300 1 0 0 asic-nmos-1.sym +{ +T 54700 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54100 45100 5 10 1 1 0 0 1 +refdes=M11 +T 54100 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54100 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 54100 44400 5 8 1 0 0 0 1 +l=1u +} +N 53000 46300 53300 46300 4 +N 53300 44800 53000 44800 4 +N 53000 44800 53000 46300 4 +N 53900 45800 53900 45300 4 +C 57100 45800 1 0 0 asic-pmos-1.sym +{ +T 58500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 46600 5 10 1 1 0 0 1 +refdes=M14 +T 57900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 45900 5 8 1 0 0 0 1 +l=1u +} +C 57100 44300 1 0 0 asic-nmos-1.sym +{ +T 58500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 45100 5 10 1 1 0 0 1 +refdes=M15 +T 57900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 44400 5 8 1 0 0 0 1 +l=1u +} +C 57100 42800 1 0 0 asic-nmos-1.sym +{ +T 58500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 57900 43600 5 10 1 1 0 0 1 +refdes=M16 +T 57900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 57900 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 57900 42900 5 8 1 0 0 0 1 +l=1u +} +C 57100 47300 1 0 0 asic-pmos-1.sym +{ +T 58500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 57900 48100 5 10 1 1 0 0 1 +refdes=M13 +T 57900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 57900 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 57900 47400 5 8 1 0 0 0 1 +l=1u +} +N 57100 47800 56400 47800 4 +{ +T 56500 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 57100 43300 56500 43300 4 +{ +T 56500 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 56800 46300 57100 46300 4 +N 57100 44800 56800 44800 4 +N 56800 44800 56800 46300 4 +N 53900 45600 56800 45600 4 +{ +T 55200 45700 5 10 1 1 0 0 1 +netname=Q +} +N 57700 48300 57700 48700 4 +N 57700 47300 57700 46800 4 +N 57700 45800 57700 45300 4 +N 57700 44300 57700 43800 4 +N 57700 42800 57700 42400 4 +N 56400 45200 56400 45600 4 +N 51400 45600 53000 45600 4 +N 52500 45600 52500 44200 4 +N 52500 44200 58700 44200 4 +{ +T 55200 44300 5 10 1 1 0 0 1 +netname=qn +} +N 57700 45600 58700 45600 4 +N 58700 45600 58700 44200 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- high-active transparent +T 53100 41700 9 10 1 0 0 0 3 +Q Stage: +- inverting AND +- asynchronous (low-active) Set pulls up +T 56500 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- low-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 57800 47800 57900 47800 4 +N 57900 46300 57900 48700 4 +N 57800 46300 57900 46300 4 +N 57800 43300 57900 43300 4 +N 57900 42400 57900 44800 4 +N 57800 44800 57900 44800 4 +N 53900 46800 53900 48700 4 +N 54000 46300 54100 46300 4 +N 54100 46300 54100 48700 4 +N 54000 44800 54100 44800 4 +N 54100 44800 54100 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 55600 46600 1 0 1 asic-pmos-1.sym +{ +T 54200 47400 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 54800 47400 5 10 1 1 0 6 1 +refdes=M9 +T 54800 47200 5 8 1 1 0 6 1 +model-name=pmos4 +T 54800 46900 5 8 1 0 0 6 1 +w='PNratio*Wunit' +T 54800 46700 5 8 1 0 0 6 1 +l=1u +} +N 55000 47600 55000 48700 4 +N 55000 46600 55000 45600 4 +N 54900 47100 54800 47100 4 +N 54800 47100 54800 48700 4 +N 55600 47100 55900 47100 4 +{ +T 55600 47200 5 10 1 1 0 0 1 +netname=SN +} +C 55600 42800 1 0 1 asic-nmos-1.sym +{ +T 54200 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 54800 43600 5 10 1 1 0 6 1 +refdes=M12 +T 54800 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 54800 43100 5 8 1 0 0 6 1 +w='2*Wunit' +T 54800 42900 5 8 1 0 0 6 1 +l=1u +} +N 54900 43300 54800 43300 4 +N 54800 43300 54800 42400 4 +N 55000 42800 55000 42400 4 +N 53900 44300 53900 44000 4 +N 53900 44000 55000 44000 4 +N 55000 44000 55000 43800 4 +N 55800 47100 55800 43300 4 +N 55800 43300 55600 43300 4 diff --git a/Sources/geda/LATSP.sym b/Sources/geda/LATSP.sym new file mode 100644 index 00000000..de0a270d --- /dev/null +++ b/Sources/geda/LATSP.sym @@ -0,0 +1,81 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 700 1950 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 700 2200 700 2000 1 0 0 +{ +T 650 1900 5 10 0 0 90 6 1 +pintype=in +T 700 1845 9 10 1 1 90 6 1 +pinlabel=SN +T 650 1995 5 10 0 1 90 0 1 +pinnumber=3 +T 650 1900 5 10 0 0 90 6 1 +pinseq=3 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=4 +T 358 592 9 10 1 1 0 0 1 +pinlabel=X +T 208 642 5 10 0 1 0 6 1 +pinnumber=4 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=5 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=5 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=6 +T 1250 300 5 10 0 0 270 6 1 +pinseq=6 +} +T 492 992 5 16 1 1 0 0 1 +device=LATSP +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-Latch w/ low-active Set +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATSP.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 From 410f307603fe62d029811bf702303f24b85a6c3b Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 5 Sep 2019 17:58:25 +0200 Subject: [PATCH 088/673] [CELLS] Add Clock Gating Buffer --- .../{BUF_circuit.tex => BUF2_circuit.tex} | 0 .../{BUF_manpage.tex => BUF2_manpage.tex} | 0 .../{BUF_schematic.tex => BUF2_schematic.tex} | 0 ...BUF_truthtable.tex => BUF2_truthtable.tex} | 0 Documents/LaTeX/CGN2_circuit.tex | 54 ++ Documents/LaTeX/CGN2_manpage.tex | 68 +++ Documents/LaTeX/CGP2_circuit.tex | 55 ++ Documents/LaTeX/CGP2_manpage.tex | 68 +++ Sources/geda/CGN2.sch | 538 ++++++++++++++++++ Sources/geda/CGN2.sym | 69 +++ Sources/geda/CGP2.sch | 537 +++++++++++++++++ Sources/geda/CGP2.sym | 69 +++ 12 files changed, 1458 insertions(+) rename Documents/LaTeX/{BUF_circuit.tex => BUF2_circuit.tex} (100%) rename Documents/LaTeX/{BUF_manpage.tex => BUF2_manpage.tex} (100%) rename Documents/LaTeX/{BUF_schematic.tex => BUF2_schematic.tex} (100%) rename Documents/LaTeX/{BUF_truthtable.tex => BUF2_truthtable.tex} (100%) create mode 100644 Documents/LaTeX/CGN2_circuit.tex create mode 100644 Documents/LaTeX/CGN2_manpage.tex create mode 100644 Documents/LaTeX/CGP2_circuit.tex create mode 100644 Documents/LaTeX/CGP2_manpage.tex create mode 100644 Sources/geda/CGN2.sch create mode 100644 Sources/geda/CGN2.sym create mode 100644 Sources/geda/CGP2.sch create mode 100644 Sources/geda/CGP2.sym diff --git a/Documents/LaTeX/BUF_circuit.tex b/Documents/LaTeX/BUF2_circuit.tex similarity index 100% rename from Documents/LaTeX/BUF_circuit.tex rename to Documents/LaTeX/BUF2_circuit.tex diff --git a/Documents/LaTeX/BUF_manpage.tex b/Documents/LaTeX/BUF2_manpage.tex similarity index 100% rename from Documents/LaTeX/BUF_manpage.tex rename to Documents/LaTeX/BUF2_manpage.tex diff --git a/Documents/LaTeX/BUF_schematic.tex b/Documents/LaTeX/BUF2_schematic.tex similarity index 100% rename from Documents/LaTeX/BUF_schematic.tex rename to Documents/LaTeX/BUF2_schematic.tex diff --git a/Documents/LaTeX/BUF_truthtable.tex b/Documents/LaTeX/BUF2_truthtable.tex similarity index 100% rename from Documents/LaTeX/BUF_truthtable.tex rename to Documents/LaTeX/BUF2_truthtable.tex diff --git a/Documents/LaTeX/CGN2_circuit.tex b/Documents/LaTeX/CGN2_circuit.tex new file mode 100644 index 00000000..da3feab7 --- /dev/null +++ b/Documents/LaTeX/CGN2_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/CGN2_circuit.tex +%% +%% Purpose: Circuit File for CGN2 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{9} + \usgate + \flipflop[\clockin{p}]{d}{6}{5}{R}{}{} + \pin{1}{5}{L}{XI} % pin XI + \pin{1}{7}{L}{EN} % pin EN + \junct{2}{5} % clock line + \wire{2}{1}{2}{5} + \wire{2}{1}{10}{1} + \wire{10}{1}{10}{3} + \gate{nor}{13}{5}{R}{}{} % NOR + \gate{not}{20}{5}{Rc}{}{2x} % buffer + \pin{24}{5}{R}{XO} % pin XO + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/CGN2_manpage.tex b/Documents/LaTeX/CGN2_manpage.tex new file mode 100644 index 00000000..55d626ed --- /dev/null +++ b/Documents/LaTeX/CGN2_manpage.tex @@ -0,0 +1,68 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/CGN2_manpage.tex +%% +%% Purpose: Manual Page File for CGN2 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{CGN2} +\paragraph{Cell} +\begin{quote} + \textbf{CGN2} - Clock Gating Buffer for negative Clock with 2x Drive Strength +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + CGN2(XO, E, XI) +\end{quote} + +\paragraph{Description} +\input{CGN2_circuit.tex} +%\input{CGN2_schematic.tex} + +%\paragraph{Truth Table} +%\input{CGN2_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} +\begin{quote} + ? +\end{quote} + +\paragraph{Layout} + +\paragraph{Files} +%\input{CGN2_files.tex} + +\paragraph{See also} +\begin{quote} + CGP2 - Clock Gating Buffer for positive Clock with 2x Drive Strength +\end{quote} diff --git a/Documents/LaTeX/CGP2_circuit.tex b/Documents/LaTeX/CGP2_circuit.tex new file mode 100644 index 00000000..8b19a17b --- /dev/null +++ b/Documents/LaTeX/CGP2_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/CGP2_circuit.tex +%% +%% Purpose: Circuit File for CGP2 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{9} + \usgate + % \flipflop[\clockin{n}]{d}{6}{6}{R}{}{} + \flipflop[\clockin{p}]{d}{6}{5}{R}{}{} % !! clock polarity is wrong + \pin{1}{5}{L}{XI} % pin XI + \pin{1}{7}{L}{E} % pin E + \junct{2}{5} % clock line + \wire{2}{1}{2}{5} + \wire{2}{1}{10}{1} + \wire{10}{1}{10}{3} + \gate{nand}{13}{5}{R}{}{} % NAND + \gate{not}{20}{5}{Rc}{}{2x} % buffer + \pin{24}{5}{R}{XO} % pin XO + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/CGP2_manpage.tex b/Documents/LaTeX/CGP2_manpage.tex new file mode 100644 index 00000000..5ac9f4c4 --- /dev/null +++ b/Documents/LaTeX/CGP2_manpage.tex @@ -0,0 +1,68 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/CGP2_manpage.tex +%% +%% Purpose: Manual Page File for CGP2 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{CGP2} +\paragraph{Cell} +\begin{quote} + \textbf{CGP2} - Clock Gating Buffer for positive Clock with 2x Drive Strength +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + CGP2(XO, E, XI) +\end{quote} + +\paragraph{Description} +\input{CGP2_circuit.tex} +%\input{CGP2_schematic.tex} + +%\paragraph{Truth Table} +%\input{CGP2_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} +\begin{quote} + ? +\end{quote} + +\paragraph{Layout} + +\paragraph{Files} +%\input{CGP2_files.tex} + +\paragraph{See also} +\begin{quote} + CGN2 - Clock Gating Buffer for negative Clock with 2x Drive Strength +\end{quote} diff --git a/Sources/geda/CGN2.sch b/Sources/geda/CGN2.sch new file mode 100644 index 00000000..cbfba913 --- /dev/null +++ b/Sources/geda/CGN2.sch @@ -0,0 +1,538 @@ +v 20130925 2 +T 55400 40200 9 10 1 0 0 0 1 +1 +T 57000 40200 9 10 1 0 0 0 1 +1 +N 44800 43300 44800 47800 4 +N 44900 48700 61700 48700 4 +{ +T 45000 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45700 48700 45700 48300 4 +N 45900 47800 45800 47800 4 +N 45900 47800 45900 48700 4 +N 44800 42400 61700 42400 4 +{ +T 45000 42500 5 10 1 1 0 0 1 +netname=GND +} +C 45100 47300 1 0 0 asic-pmos-1.sym +{ +T 46500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45900 48100 5 10 1 1 0 0 1 +refdes=M1 +T 45900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 45900 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 45900 47400 5 8 1 0 0 0 1 +l=1u +} +T 48100 41000 9 10 1 0 0 0 2 +see LATP (Q + QN stages) which latches (low-active) Clock Enable signal +and Gated Clock / Clock Gating Buffer for concept +B 48000 40500 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 54800 40100 1 0 0 cvstitleblock-1.sym +{ +T 55400 40500 5 10 1 1 0 0 1 +date=2019-09-05 +T 59300 40500 5 10 1 1 0 0 1 +rev=$Revision$ +T 59300 40200 5 10 1 1 0 0 1 +auth= +T 55400 40800 5 10 1 1 0 0 1 +fname=CGN2.sch +T 58600 41200 5 14 1 1 0 4 1 +title=CGN2 - Clock Gating Buffer for negative Clock, Drive 2x +} +C 45700 48900 1 0 0 spice-model-1.sym +{ +T 45800 49500 5 10 1 1 0 0 1 +refdes=A1 +T 47000 49200 5 10 1 1 0 0 1 +model-name=nmos4 +T 46200 49000 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 49000 48900 1 0 0 spice-model-1.sym +{ +T 49100 49500 5 10 1 1 0 0 1 +refdes=A2 +T 50300 49200 5 10 1 1 0 0 1 +model-name=pmos4 +T 49500 49000 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 44700 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 44500 45900 5 10 1 1 0 0 1 +refdes=P3 +} +C 45100 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 45100 49000 5 10 1 1 0 0 1 +refdes=P4 +} +C 45000 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 45000 42700 5 10 1 1 0 0 1 +refdes=P5 +} +C 52300 49100 1 0 0 spice-subcircuit-LL-1.sym +{ +T 52400 49500 5 10 1 1 0 0 1 +refdes=A3 +T 52400 49200 5 10 1 1 0 0 1 +model-name=CGN2 +} +C 55700 49100 1 0 0 spice-directive-1.sym +{ +T 55800 49400 5 10 0 1 0 0 1 +device=directive +T 55800 49500 5 10 1 1 0 0 1 +refdes=A4 +T 55800 49200 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 58800 49100 1 0 0 spice-directive-1.sym +{ +T 58900 49400 5 10 0 1 0 0 1 +device=directive +T 58900 49500 5 10 1 1 0 0 1 +refdes=A5 +T 58900 49200 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 47100 47300 1 0 0 asic-pmos-1.sym +{ +T 48500 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 47900 48100 5 10 1 1 0 0 1 +refdes=M3 +T 47900 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 47900 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 47900 47400 5 8 1 0 0 0 1 +l=1u +} +C 47100 42800 1 0 0 asic-nmos-1.sym +{ +T 48500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 47900 43600 5 10 1 1 0 0 1 +refdes=M4 +T 47900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 47900 43100 5 8 1 0 0 0 1 +w='Wunit' +T 47900 42900 5 8 1 0 0 0 1 +l=1u +} +C 45100 42800 1 0 0 asic-nmos-1.sym +{ +T 46500 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45900 43600 5 10 1 1 0 0 1 +refdes=M2 +T 45900 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 45900 43100 5 8 1 0 0 0 1 +w='Wunit' +T 45900 42900 5 8 1 0 0 0 1 +l=1u +} +N 44500 45600 44800 45600 4 +{ +T 44500 45700 5 10 1 1 0 0 1 +netname=XI +} +N 44800 47800 45100 47800 4 +N 44800 43300 45100 43300 4 +N 45700 42800 45700 42400 4 +N 45800 43300 45900 43300 4 +N 45900 43300 45900 42400 4 +N 45700 47300 45700 43800 4 +N 46800 47800 47100 47800 4 +N 46800 43300 47100 43300 4 +N 46800 47800 46800 43300 4 +N 45700 45600 46800 45600 4 +{ +T 46100 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47700 47300 47700 43800 4 +N 47700 48300 47700 48700 4 +N 47700 42800 47700 42400 4 +N 47800 47800 47900 47800 4 +N 47900 47800 47900 48700 4 +N 47800 43300 47900 43300 4 +N 47900 43300 47900 42400 4 +N 47700 45600 48500 45600 4 +{ +T 48000 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=EN +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45700 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53200 45800 1 0 0 asic-pmos-1.sym +{ +T 54600 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54000 46600 5 10 1 1 0 0 1 +refdes=M9 +T 54000 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54000 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54000 45900 5 8 1 0 0 0 1 +l=1u +} +C 53200 44300 1 0 0 asic-nmos-1.sym +{ +T 54600 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54000 45100 5 10 1 1 0 0 1 +refdes=M10 +T 54000 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54000 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54000 44400 5 8 1 0 0 0 1 +l=1u +} +N 52900 46300 53200 46300 4 +N 53200 44800 52900 44800 4 +N 52900 44800 52900 46300 4 +N 53800 45800 53800 45300 4 +C 55600 45800 1 0 0 asic-pmos-1.sym +{ +T 57000 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 46600 5 10 1 1 0 0 1 +refdes=M12 +T 56400 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 45900 5 8 1 0 0 0 1 +l=1u +} +C 55600 44300 1 0 0 asic-nmos-1.sym +{ +T 57000 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 45100 5 10 1 1 0 0 1 +refdes=M13 +T 56400 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 44400 5 8 1 0 0 0 1 +l=1u +} +C 55600 42800 1 0 0 asic-nmos-1.sym +{ +T 57000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 43600 5 10 1 1 0 0 1 +refdes=M14 +T 56400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 42900 5 8 1 0 0 0 1 +l=1u +} +C 55600 47300 1 0 0 asic-pmos-1.sym +{ +T 57000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 48100 5 10 1 1 0 0 1 +refdes=M11 +T 56400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 47400 5 8 1 0 0 0 1 +l=1u +} +N 55600 47800 54700 47800 4 +{ +T 55000 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 55600 43300 54700 43300 4 +{ +T 55000 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 55300 46300 55600 46300 4 +N 55600 44800 55300 44800 4 +N 55300 44800 55300 46300 4 +N 53800 45600 55300 45600 4 +N 56200 48300 56200 48700 4 +N 56200 47300 56200 46800 4 +N 56200 45800 56200 45300 4 +N 56200 44300 56200 43800 4 +N 56200 42800 56200 42400 4 +N 55000 44100 55000 45600 4 +N 51400 45600 52900 45600 4 +N 52300 45600 52300 47100 4 +N 52300 47100 57200 47100 4 +{ +T 54800 47200 5 10 1 1 0 0 1 +netname=qn +} +N 56200 45600 57200 45600 4 +N 57200 45600 57200 47100 4 +T 50100 41700 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- high-active transparent +T 53500 41900 9 10 1 0 0 0 2 +Q Stage: +- inverter +T 54900 41700 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- low-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 56300 47800 56400 47800 4 +N 56400 46300 56400 48700 4 +N 56300 46300 56400 46300 4 +N 56300 43300 56400 43300 4 +N 56400 42400 56400 44800 4 +N 56300 44800 56400 44800 4 +N 53800 46800 53800 48700 4 +N 53900 46300 54000 46300 4 +N 54000 46300 54000 48700 4 +N 53800 44300 53800 42400 4 +N 53900 44800 54000 44800 4 +N 54000 44800 54000 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 58400 45800 1 0 0 asic-pmos-1.sym +{ +T 59800 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 59200 46600 5 10 1 1 0 0 1 +refdes=M16 +T 59200 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 59200 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 59200 45900 5 8 1 0 0 0 1 +l=1u +} +C 58400 44300 1 0 0 asic-nmos-1.sym +{ +T 59800 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 59200 45100 5 10 1 1 0 0 1 +refdes=M17 +T 59200 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 59200 44600 5 8 1 0 0 0 1 +w='Wunit' +T 59200 44400 5 8 1 0 0 0 1 +l=1u +} +C 60700 42800 1 0 1 asic-nmos-1.sym +{ +T 59300 43600 5 8 0 0 0 6 1 +device=NMOS_TRANSISTOR +T 59900 43600 5 10 1 1 0 6 1 +refdes=M18 +T 59900 43400 5 8 1 1 0 6 1 +model-name=nmos4 +T 59900 43100 5 8 1 0 0 6 1 +w='Wunit' +T 59900 42900 5 8 1 0 0 6 1 +l=1u +} +N 58100 44100 58100 46300 4 +N 58100 46300 58400 46300 4 +N 58100 44800 58400 44800 4 +C 61900 45300 1 0 0 spice-subcircuit-IO-1.sym +{ +T 62400 45900 5 10 1 1 180 0 1 +refdes=P1 +} +N 55000 44100 58100 44100 4 +{ +T 57100 44200 5 10 1 1 0 0 1 +netname=\_enable\_ +} +N 61500 42400 61500 44300 4 +N 59100 44800 59200 44800 4 +N 59200 44800 59200 42400 4 +N 60100 42800 60100 42400 4 +N 59000 44300 59000 42400 4 +N 60000 43300 59900 43300 4 +N 59900 43300 59900 42400 4 +N 59000 45800 59000 45300 4 +C 58400 47300 1 0 0 asic-pmos-1.sym +{ +T 59800 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 59200 48100 5 10 1 1 0 0 1 +refdes=M15 +T 59200 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 59200 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 59200 47400 5 8 1 0 0 0 1 +l=1u +} +N 59000 46800 59000 47300 4 +C 60900 45800 1 0 0 asic-pmos-1.sym +{ +T 62300 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 61700 46600 5 10 1 1 0 0 1 +refdes=M19 +T 61700 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 61700 45900 5 8 1 0 0 0 1 +l=1u +T 61700 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +} +C 60900 44300 1 0 0 asic-nmos-1.sym +{ +T 62300 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 61700 45100 5 10 1 1 0 0 1 +refdes=M20 +T 61700 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 61700 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 61700 44400 5 8 1 0 0 0 1 +l=1u +} +N 60600 46300 60900 46300 4 +N 60600 44800 60900 44800 4 +N 61600 44800 61700 44800 4 +N 61500 45800 61500 45300 4 +N 62100 45600 61500 45600 4 +{ +T 61800 45700 5 10 1 1 0 0 1 +netname=XO +} +N 60600 46300 60600 44800 4 +N 59000 45600 60600 45600 4 +N 60100 45600 60100 43800 4 +N 61700 44800 61700 42400 4 +N 61700 48700 61700 46300 4 +N 61600 46300 61700 46300 4 +N 61500 46800 61500 48700 4 +N 59000 48300 59000 48700 4 +N 58400 47800 58000 47800 4 +{ +T 58200 47900 5 10 1 1 0 0 1 +netname=XI +} +N 60900 43300 60700 43300 4 +{ +T 60700 43400 5 10 1 1 0 0 1 +netname=XI +} +N 59100 46300 59200 46300 4 +N 59200 46300 59200 48700 4 +T 57600 41700 9 10 1 0 0 0 3 +Clock Gate: +- gate Clock input with latched Enable + (via NOR) +T 61000 41900 9 10 1 0 0 0 2 +Clock Output Buffer: +- higher driving strength +N 59100 47800 59200 47800 4 diff --git a/Sources/geda/CGN2.sym b/Sources/geda/CGN2.sym new file mode 100644 index 00000000..21748006 --- /dev/null +++ b/Sources/geda/CGN2.sym @@ -0,0 +1,69 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=XO +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=EN +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=3 +T 358 592 9 10 1 1 0 0 1 +pinlabel=XI +T 208 642 5 10 0 1 0 6 1 +pinnumber=3 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=4 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=4 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=5 +T 1250 300 5 10 0 0 270 6 1 +pinseq=5 +} +T 492 992 5 16 1 1 0 0 1 +device=CGN2 +T 1292 2292 8 10 0 1 0 0 1 +description=Clock Gating Buffer for negative Clock, Drive 2x +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=CGN2.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/CGP2.sch b/Sources/geda/CGP2.sch new file mode 100644 index 00000000..3409804c --- /dev/null +++ b/Sources/geda/CGP2.sch @@ -0,0 +1,537 @@ +v 20130925 2 +T 52800 40100 9 10 1 0 0 0 1 +1 +T 54200 40100 9 10 1 0 0 0 1 +1 +N 42200 43200 42200 47700 4 +N 42000 48600 59100 48600 4 +{ +T 42100 48700 5 10 1 1 0 0 1 +netname=VDD +} +N 43100 48600 43100 48200 4 +N 43300 47700 43200 47700 4 +N 43300 47700 43300 48600 4 +N 41900 42300 59100 42300 4 +{ +T 42100 42400 5 10 1 1 0 0 1 +netname=GND +} +C 42500 47200 1 0 0 asic-pmos-1.sym +{ +T 43900 48000 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 43300 48000 5 10 1 1 0 0 1 +refdes=M1 +T 43300 47800 5 8 1 1 0 0 1 +model-name=pmos4 +T 43300 47500 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 43300 47300 5 8 1 0 0 0 1 +l=1u +} +T 45500 40900 9 10 1 0 0 0 2 +see LATN (Q + QN stages) which latches Clock Enable signal +and Gated Clock / Clock Gating Buffer for concept +B 45300 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 52100 40000 1 0 0 cvstitleblock-1.sym +{ +T 52700 40400 5 10 1 1 0 0 1 +date=2019-09-04 +T 56600 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 56600 40100 5 10 1 1 0 0 1 +auth= +T 52700 40700 5 10 1 1 0 0 1 +fname=CGP2.sch +T 55900 41100 5 14 1 1 0 4 1 +title=CGP2 - Clock Gating Buffer for positive Clock, Drive 2x +} +C 43800 48800 1 0 0 spice-model-1.sym +{ +T 43900 49400 5 10 1 1 0 0 1 +refdes=A1 +T 45100 49100 5 10 1 1 0 0 1 +model-name=nmos4 +T 44300 48900 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 47100 48800 1 0 0 spice-model-1.sym +{ +T 47200 49400 5 10 1 1 0 0 1 +refdes=A2 +T 48400 49100 5 10 1 1 0 0 1 +model-name=pmos4 +T 47600 48900 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 47600 45800 1 180 0 spice-subcircuit-IO-1.sym +{ +T 47400 45800 5 10 1 1 0 0 1 +refdes=P2 +} +C 42100 45800 1 180 0 spice-subcircuit-IO-1.sym +{ +T 41900 45800 5 10 1 1 0 0 1 +refdes=P3 +} +C 42200 48900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 42200 48900 5 10 1 1 0 0 1 +refdes=P4 +} +C 42100 42600 1 180 0 spice-subcircuit-IO-1.sym +{ +T 42100 42600 5 10 1 1 0 0 1 +refdes=P5 +} +C 50400 49000 1 0 0 spice-subcircuit-LL-1.sym +{ +T 50500 49400 5 10 1 1 0 0 1 +refdes=A3 +T 50500 49100 5 10 1 1 0 0 1 +model-name=CGP2 +} +C 53500 49000 1 0 0 spice-directive-1.sym +{ +T 53600 49300 5 10 0 1 0 0 1 +device=directive +T 53600 49400 5 10 1 1 0 0 1 +refdes=A4 +T 53600 49100 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 56300 49000 1 0 0 spice-directive-1.sym +{ +T 56400 49300 5 10 0 1 0 0 1 +device=directive +T 56400 49400 5 10 1 1 0 0 1 +refdes=A5 +T 56400 49100 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 44500 47200 1 0 0 asic-pmos-1.sym +{ +T 45900 48000 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 45300 48000 5 10 1 1 0 0 1 +refdes=M3 +T 45300 47800 5 8 1 1 0 0 1 +model-name=pmos4 +T 45300 47500 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 45300 47300 5 8 1 0 0 0 1 +l=1u +} +C 44500 42700 1 0 0 asic-nmos-1.sym +{ +T 45900 43500 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 45300 43500 5 10 1 1 0 0 1 +refdes=M4 +T 45300 43300 5 8 1 1 0 0 1 +model-name=nmos4 +T 45300 43000 5 8 1 0 0 0 1 +w='Wunit' +T 45300 42800 5 8 1 0 0 0 1 +l=1u +} +C 42500 42700 1 0 0 asic-nmos-1.sym +{ +T 43900 43500 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 43300 43500 5 10 1 1 0 0 1 +refdes=M2 +T 43300 43300 5 8 1 1 0 0 1 +model-name=nmos4 +T 43300 43000 5 8 1 0 0 0 1 +w='Wunit' +T 43300 42800 5 8 1 0 0 0 1 +l=1u +} +N 41900 45500 42200 45500 4 +{ +T 41900 45600 5 10 1 1 0 0 1 +netname=XI +} +N 42200 47700 42500 47700 4 +N 42200 43200 42500 43200 4 +N 43100 42700 43100 42300 4 +N 43200 43200 43300 43200 4 +N 43300 43200 43300 42300 4 +N 43100 47200 43100 43700 4 +N 44200 47700 44500 47700 4 +N 44200 43200 44500 43200 4 +N 44200 47700 44200 43200 4 +N 43100 45500 44200 45500 4 +{ +T 43500 45600 5 10 1 1 0 0 1 +netname=clk180 +} +N 45100 47200 45100 43700 4 +N 45100 48200 45100 48600 4 +N 45100 42700 45100 42300 4 +N 45200 47700 45300 47700 4 +N 45300 47700 45300 48600 4 +N 45200 43200 45300 43200 4 +N 45300 43200 45300 42300 4 +N 45100 45500 45900 45500 4 +{ +T 45400 45600 5 10 1 1 0 0 1 +netname=clk360 +} +C 48100 45700 1 0 0 asic-pmos-1.sym +{ +T 49500 46500 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 48900 46500 5 10 1 1 0 0 1 +refdes=M6 +T 48900 46300 5 8 1 1 0 0 1 +model-name=pmos4 +T 48900 46000 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 48900 45800 5 8 1 0 0 0 1 +l=1u +} +C 48100 44200 1 0 0 asic-nmos-1.sym +{ +T 49500 45000 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 48900 45000 5 10 1 1 0 0 1 +refdes=M7 +T 48900 44800 5 8 1 1 0 0 1 +model-name=nmos4 +T 48900 44500 5 8 1 0 0 0 1 +w='2*Wunit' +T 48900 44300 5 8 1 0 0 0 1 +l=1u +} +C 48100 42700 1 0 0 asic-nmos-1.sym +{ +T 49500 43500 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 48900 43500 5 10 1 1 0 0 1 +refdes=M8 +T 48900 43300 5 8 1 1 0 0 1 +model-name=nmos4 +T 48900 43000 5 8 1 0 0 0 1 +w='2*Wunit' +T 48900 42800 5 8 1 0 0 0 1 +l=1u +} +C 48100 47200 1 0 0 asic-pmos-1.sym +{ +T 49500 48000 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 48900 48000 5 10 1 1 0 0 1 +refdes=M5 +T 48900 47800 5 8 1 1 0 0 1 +model-name=pmos4 +T 48900 47500 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 48900 47300 5 8 1 0 0 0 1 +l=1u +} +N 48100 47700 47200 47700 4 +{ +T 47500 47800 5 10 1 1 0 0 1 +netname=clk360 +} +N 48100 43200 47200 43200 4 +{ +T 47500 43300 5 10 1 1 0 0 1 +netname=clk180 +} +N 47800 46200 48100 46200 4 +N 48100 44700 47800 44700 4 +N 47800 44700 47800 46200 4 +N 47400 45500 47800 45500 4 +{ +T 47400 45600 5 10 1 1 0 0 1 +netname=E +} +N 48700 48200 48700 48600 4 +N 48700 47200 48700 46700 4 +N 48700 45700 48700 45200 4 +N 48700 44200 48700 43700 4 +N 48700 42700 48700 42300 4 +T 43100 41600 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 50500 45700 1 0 0 asic-pmos-1.sym +{ +T 51900 46500 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51300 46500 5 10 1 1 0 0 1 +refdes=M9 +T 51300 46300 5 8 1 1 0 0 1 +model-name=pmos4 +T 51300 46000 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 51300 45800 5 8 1 0 0 0 1 +l=1u +} +C 50500 44200 1 0 0 asic-nmos-1.sym +{ +T 51900 45000 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51300 45000 5 10 1 1 0 0 1 +refdes=M10 +T 51300 44800 5 8 1 1 0 0 1 +model-name=nmos4 +T 51300 44500 5 8 1 0 0 0 1 +w='Wunit' +T 51300 44300 5 8 1 0 0 0 1 +l=1u +} +N 50200 46200 50500 46200 4 +N 50500 44700 50200 44700 4 +N 50200 44700 50200 46200 4 +N 51100 45700 51100 45200 4 +C 52900 45700 1 0 0 asic-pmos-1.sym +{ +T 54300 46500 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 53700 46500 5 10 1 1 0 0 1 +refdes=M12 +T 53700 46300 5 8 1 1 0 0 1 +model-name=pmos4 +T 53700 46000 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 53700 45800 5 8 1 0 0 0 1 +l=1u +} +C 52900 44200 1 0 0 asic-nmos-1.sym +{ +T 54300 45000 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 53700 45000 5 10 1 1 0 0 1 +refdes=M13 +T 53700 44800 5 8 1 1 0 0 1 +model-name=nmos4 +T 53700 44500 5 8 1 0 0 0 1 +w='2*Wunit' +T 53700 44300 5 8 1 0 0 0 1 +l=1u +} +C 52900 42700 1 0 0 asic-nmos-1.sym +{ +T 54300 43500 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 53700 43500 5 10 1 1 0 0 1 +refdes=M14 +T 53700 43300 5 8 1 1 0 0 1 +model-name=nmos4 +T 53700 43000 5 8 1 0 0 0 1 +w='2*Wunit' +T 53700 42800 5 8 1 0 0 0 1 +l=1u +} +C 52900 47200 1 0 0 asic-pmos-1.sym +{ +T 54300 48000 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 53700 48000 5 10 1 1 0 0 1 +refdes=M11 +T 53700 47800 5 8 1 1 0 0 1 +model-name=pmos4 +T 53700 47500 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 53700 47300 5 8 1 0 0 0 1 +l=1u +} +N 52900 47700 52000 47700 4 +{ +T 52300 47800 5 10 1 1 0 0 1 +netname=clk180 +} +N 52900 43200 52000 43200 4 +{ +T 52300 43300 5 10 1 1 0 0 1 +netname=clk360 +} +N 52600 46200 52900 46200 4 +N 52900 44700 52600 44700 4 +N 52600 44000 52600 46200 4 +N 51100 45500 52600 45500 4 +N 53500 48200 53500 48600 4 +N 53500 47200 53500 46700 4 +N 53500 45700 53500 45200 4 +N 53500 44200 53500 43700 4 +N 53500 42700 53500 42300 4 +N 48700 45500 50200 45500 4 +N 49600 45500 49600 47000 4 +N 49600 47000 54500 47000 4 +{ +T 52100 47100 5 10 1 1 0 0 1 +netname=qn +} +N 53500 45500 54500 45500 4 +N 54500 45500 54500 47000 4 +T 47900 41600 9 10 1 0 0 0 3 +Data input switch: +- (inverting) tri-state driver +- low-active transparent +T 50800 41800 9 10 1 0 0 0 2 +Q Stage: +- inverter +T 52200 41600 9 10 1 0 0 0 3 +QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 48800 47700 48900 47700 4 +N 48900 46200 48900 48600 4 +N 48800 46200 48900 46200 4 +N 53600 47700 53700 47700 4 +N 53700 46200 53700 48600 4 +N 53600 46200 53700 46200 4 +N 53600 43200 53700 43200 4 +N 53700 42300 53700 44700 4 +N 53600 44700 53700 44700 4 +N 51100 46700 51100 48600 4 +N 51200 46200 51300 46200 4 +N 51300 46200 51300 48600 4 +N 51100 44200 51100 42300 4 +N 51200 44700 51300 44700 4 +N 51300 44700 51300 42300 4 +N 48800 43200 48900 43200 4 +N 48900 42300 48900 44700 4 +N 48800 44700 48900 44700 4 +C 55800 45700 1 0 0 asic-pmos-1.sym +{ +T 57200 46500 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56600 46500 5 10 1 1 0 0 1 +refdes=M16 +T 56600 46300 5 8 1 1 0 0 1 +model-name=pmos4 +T 56600 45800 5 8 1 0 0 0 1 +l=1u +T 56600 46000 5 8 1 0 0 0 1 +w='PNratio*Wunit' +} +C 55800 44200 1 0 0 asic-nmos-1.sym +{ +T 57200 45000 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56600 45000 5 10 1 1 0 0 1 +refdes=M17 +T 56600 44800 5 8 1 1 0 0 1 +model-name=nmos4 +T 56600 44300 5 8 1 0 0 0 1 +l=1u +T 56600 44500 5 8 1 0 0 0 1 +w='2*Wunit' +} +N 56400 45700 56400 45200 4 +N 56400 46700 56400 48600 4 +N 56500 46200 56600 46200 4 +N 56600 46200 56600 48600 4 +N 56500 44700 56600 44700 4 +N 56600 44700 56600 42300 4 +T 54900 41600 9 10 1 0 0 0 3 +Clock Gate: +- gate Clock input with latched Enable + (via NAND) +C 58300 45700 1 0 0 asic-pmos-1.sym +{ +T 59700 46500 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 59100 46500 5 10 1 1 0 0 1 +refdes=M19 +T 59100 46300 5 8 1 1 0 0 1 +model-name=pmos4 +T 59100 45800 5 8 1 0 0 0 1 +l=1u +T 59100 46000 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +} +C 58300 44200 1 0 0 asic-nmos-1.sym +{ +T 59700 45000 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 59100 45000 5 10 1 1 0 0 1 +refdes=M20 +T 59100 44800 5 8 1 1 0 0 1 +model-name=nmos4 +T 59100 44300 5 8 1 0 0 0 1 +l=1u +T 59100 44500 5 8 1 0 0 0 1 +w='2*Wunit' +} +N 58900 45700 58900 45200 4 +N 58900 46700 58900 48600 4 +N 59000 46200 59100 46200 4 +N 59100 46200 59100 48600 4 +N 58900 44200 58900 42300 4 +N 59000 44700 59100 44700 4 +N 59100 44700 59100 42300 4 +T 58100 41800 9 10 1 0 0 0 2 +Clock Output Buffer: +- higher driving strength +N 55500 44000 55500 46200 4 +N 58000 46200 58000 44700 4 +N 55500 46200 55800 46200 4 +N 55500 44700 55800 44700 4 +N 58000 46200 58300 46200 4 +N 58000 44700 58300 44700 4 +C 55800 42700 1 0 0 asic-nmos-1.sym +{ +T 57200 43500 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56600 43500 5 10 1 1 0 0 1 +refdes=M18 +T 56600 43300 5 8 1 1 0 0 1 +model-name=nmos4 +T 56600 43000 5 8 1 0 0 0 1 +w='2*Wunit' +T 56600 42800 5 8 1 0 0 0 1 +l=1u +} +N 56400 44200 56400 43700 4 +N 56400 42700 56400 42300 4 +N 56500 43200 56600 43200 4 +N 55400 43200 55800 43200 4 +{ +T 55500 43300 5 10 1 1 0 0 1 +netname=XI +} +N 56400 45500 58000 45500 4 +C 59300 45200 1 0 0 spice-subcircuit-IO-1.sym +{ +T 59700 45700 5 10 1 1 180 0 1 +refdes=P1 +} +N 58900 45500 59500 45500 4 +{ +T 59100 45600 5 10 1 1 0 0 1 +netname=XO +} +N 52600 44000 55500 44000 4 +{ +T 54600 44100 5 10 1 1 0 0 1 +netname=enable +} +C 58000 47200 1 0 1 asic-pmos-1.sym +{ +T 56600 48000 5 8 0 0 0 6 1 +device=PMOS_TRANSISTOR +T 57200 48000 5 10 1 1 0 6 1 +refdes=M15 +T 57200 47800 5 8 1 1 0 6 1 +model-name=pmos4 +T 57200 47500 5 8 1 0 0 6 1 +w='PNratio*Wunit' +T 57200 47300 5 8 1 0 0 6 1 +l=1u +} +N 57400 48200 57400 48600 4 +N 57300 47700 57200 47700 4 +N 57200 47700 57200 48600 4 +N 58400 47700 58000 47700 4 +{ +T 58200 47800 5 10 1 1 0 0 1 +netname=XI +} +N 57400 47200 57400 45500 4 diff --git a/Sources/geda/CGP2.sym b/Sources/geda/CGP2.sym new file mode 100644 index 00000000..ee219ed7 --- /dev/null +++ b/Sources/geda/CGP2.sym @@ -0,0 +1,69 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=XO +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=E +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=3 +T 358 592 9 10 1 1 0 0 1 +pinlabel=XI +T 208 642 5 10 0 1 0 6 1 +pinnumber=3 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=4 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=4 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=5 +T 1250 300 5 10 0 0 270 6 1 +pinseq=5 +} +T 492 992 5 16 1 1 0 0 1 +device=CGP2 +T 1292 2292 8 10 0 1 0 0 1 +description=Clock Gating Buffer for positive Clock, Drive 2x +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=CGP2.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 From accd3dd48b722fd44447010134269ed6c1159d16 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 5 Sep 2019 18:56:12 +0200 Subject: [PATCH 089/673] [DOC] Add LATP, LATRP, LATSP latches, fix BUF2 manpage --- Documents/LaTeX/BUF2_circuit.tex | 12 +++--- Documents/LaTeX/BUF2_manpage.tex | 20 +++++----- Documents/LaTeX/CGN2_manpage.tex | 2 +- Documents/LaTeX/CGP2_manpage.tex | 2 +- Documents/LaTeX/LATP_circuit.tex | 48 +++++++++++++++++++++++ Documents/LaTeX/LATP_manpage.tex | 64 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATRP_circuit.tex | 49 +++++++++++++++++++++++ Documents/LaTeX/LATRP_manpage.tex | 65 +++++++++++++++++++++++++++++++ Documents/LaTeX/LATSP_circuit.tex | 50 ++++++++++++++++++++++++ Documents/LaTeX/LATSP_manpage.tex | 65 +++++++++++++++++++++++++++++++ Documents/LaTeX/StdCellLib.tex | 10 ++++- 11 files changed, 368 insertions(+), 19 deletions(-) create mode 100644 Documents/LaTeX/LATP_circuit.tex create mode 100644 Documents/LaTeX/LATP_manpage.tex create mode 100644 Documents/LaTeX/LATRP_circuit.tex create mode 100644 Documents/LaTeX/LATRP_manpage.tex create mode 100644 Documents/LaTeX/LATSP_circuit.tex create mode 100644 Documents/LaTeX/LATSP_manpage.tex diff --git a/Documents/LaTeX/BUF2_circuit.tex b/Documents/LaTeX/BUF2_circuit.tex index d7a9ac97..911251ef 100644 --- a/Documents/LaTeX/BUF2_circuit.tex +++ b/Documents/LaTeX/BUF2_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_BUF.tex +%% File: StdCellLib/Documents/LaTeX/BUF2_circuit.tex %% -%% Purpose: Circuit File for BUF +%% Purpose: Circuit File for BUF2 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,12 +34,12 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{14}{6} \pin{1}{3}{L}{A} % pin A - \gate{not}{5}{3}{R}{}{} % NOT gate -> right - \gate{not}{11}{3}{R}{}{} % NOT gate -> right + \gate{not}{5}{3}{R}{}{} % 1st buffer + \gate{not}{11}{3}{Rc}{}{2x} % 2nd buffer \pin{15}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/BUF2_manpage.tex b/Documents/LaTeX/BUF2_manpage.tex index b1f0df5d..29bfe686 100644 --- a/Documents/LaTeX/BUF2_manpage.tex +++ b/Documents/LaTeX/BUF2_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_BUF.tex +%% File: StdCellLib/Documents/LaTeX/BUF2_manpage.tex %% -%% Purpose: Manual Page File for BUF +%% Purpose: Manual Page File for BUF2 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{BUF} +\label{BUF2} \paragraph{Cell} \begin{quote} - \textbf{BUF} - a Buffer gate + \textbf{BUF2} - a non-inverting Buffer with 2x Drive Strength \end{quote} \paragraph{Synopsys} \begin{quote} - BUF(Z, A) + BUF2(Z, A) \end{quote} \paragraph{Description} -\input{BUF_circuit.tex} -\input{BUF_schematic.tex} +\input{BUF2_circuit.tex} +\input{BUF2_schematic.tex} \paragraph{Truth Table} -\input{BUF_truthtable.tex} +\input{BUF2_truthtable.tex} \paragraph{Usage} @@ -60,5 +60,5 @@ \paragraph{See also} \begin{quote} - INV - a Not (or Inverter) gate + IBUF2 - a inverting Buffer with 2x Drive Strength \end{quote} diff --git a/Documents/LaTeX/CGN2_manpage.tex b/Documents/LaTeX/CGN2_manpage.tex index 55d626ed..0f883a8a 100644 --- a/Documents/LaTeX/CGN2_manpage.tex +++ b/Documents/LaTeX/CGN2_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2019 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/CGP2_manpage.tex b/Documents/LaTeX/CGP2_manpage.tex index 5ac9f4c4..53c21392 100644 --- a/Documents/LaTeX/CGP2_manpage.tex +++ b/Documents/LaTeX/CGP2_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2019 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/LATP_circuit.tex b/Documents/LaTeX/LATP_circuit.tex new file mode 100644 index 00000000..df9f2c79 --- /dev/null +++ b/Documents/LaTeX/LATP_circuit.tex @@ -0,0 +1,48 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATP_circuit.tex +%% +%% Purpose: Circuit File for LATP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{12}{8} + \usgate + \flipflop[\clockin{p}]{d}{6}{4}{R}{}{} + \pin{1}{4}{L}{X} % pin X + \pin{1}{6}{L}{D} % pin D + \pin{11}{6}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATP_manpage.tex b/Documents/LaTeX/LATP_manpage.tex new file mode 100644 index 00000000..5e19ab84 --- /dev/null +++ b/Documents/LaTeX/LATP_manpage.tex @@ -0,0 +1,64 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATP_manpage.tex +%% +%% Purpose: Manual Page File for LATP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATP} +\paragraph{Cell} +\begin{quote} + \textbf{LATP} - a High-active D-Latch +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATP(Q, D, X) +\end{quote} + +\paragraph{Description} +\input{LATP_circuit.tex} +%\input{LATP_schematic.tex} + +\paragraph{Truth Table} +%\input{LATP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\paragraph{See also} +\begin{quote} + LATN - a Low-active D-Latch +\end{quote} diff --git a/Documents/LaTeX/LATRP_circuit.tex b/Documents/LaTeX/LATRP_circuit.tex new file mode 100644 index 00000000..584ac497 --- /dev/null +++ b/Documents/LaTeX/LATRP_circuit.tex @@ -0,0 +1,49 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATRP_circuit.tex +%% +%% Purpose: Circuit File for LATRP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{12}{10} + \usgate + \flipflop[\clockin{p}\resetin{p}]{d}{6}{6}{R}{}{} + \pin{1}{6}{L}{X} % pin X + \pin{1}{8}{L}{D} % pin D + \pin{6}{1}{D}{R} % pin R + \pin{11}{8}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATRP_manpage.tex b/Documents/LaTeX/LATRP_manpage.tex new file mode 100644 index 00000000..0061dd4c --- /dev/null +++ b/Documents/LaTeX/LATRP_manpage.tex @@ -0,0 +1,65 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATRP_manpage.tex +%% +%% Purpose: Manual Page File for LATRP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATRP} +\paragraph{Cell} +\begin{quote} + \textbf{LATRP} - a High-active D-Latch with high-active Reset +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATP(Q, D, R, X) +\end{quote} + +\paragraph{Description} +\input{LATRP_circuit.tex} +%\input{LATRP_schematic.tex} + +\paragraph{Truth Table} +%\input{LATRP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\paragraph{See also} +\begin{quote} + LATP - a High-active D-Latch \\ + LATSP - a High-active D-Latch with low-active Set +\end{quote} diff --git a/Documents/LaTeX/LATSP_circuit.tex b/Documents/LaTeX/LATSP_circuit.tex new file mode 100644 index 00000000..ece9d546 --- /dev/null +++ b/Documents/LaTeX/LATSP_circuit.tex @@ -0,0 +1,50 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATSP_circuit.tex +%% +%% Purpose: Circuit File for LATSP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{12}{10} + \usgate + %\flipflop[\clockin{p}\setin{n}]{d}{6}{4}{R}{}{} + \flipflop[\clockin{p}\setin{p}]{d}{6}{4}{R}{}{} % !! wrong Set pin polarity !! + \pin{1}{4}{L}{X} % pin X + \pin{1}{6}{L}{D} % pin D + \pin{6}{9}{U}{SN} % pin SN + \pin{11}{6}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATSP_manpage.tex b/Documents/LaTeX/LATSP_manpage.tex new file mode 100644 index 00000000..4f9261cd --- /dev/null +++ b/Documents/LaTeX/LATSP_manpage.tex @@ -0,0 +1,65 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATSP_manpage.tex +%% +%% Purpose: Manual Page File for LATSP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATSP} +\paragraph{Cell} +\begin{quote} + \textbf{LATSP} - a High-active D-Latch with low-active Set +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATP(Q, D, SN, X) +\end{quote} + +\paragraph{Description} +\input{LATSP_circuit.tex} +%\input{LATSP_schematic.tex} + +\paragraph{Truth Table} +%\input{LATSP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\paragraph{See also} +\begin{quote} + LATP - a High-active D-Latch \\ + LATRP - a High-active D-Latch with high-active Reset +\end{quote} diff --git a/Documents/LaTeX/StdCellLib.tex b/Documents/LaTeX/StdCellLib.tex index 2afd3523..83272374 100644 --- a/Documents/LaTeX/StdCellLib.tex +++ b/Documents/LaTeX/StdCellLib.tex @@ -107,9 +107,11 @@ \section{Logical Cells} \input{AOI331_manpage.tex} \input{AOI332_manpage.tex} \input{AOI333_manpage.tex} -\input{BUF_manpage.tex} \input{EQ2_manpage.tex} \input{INV_manpage.tex} +\input{LATP_manpage.tex} +\input{LATRP_manpage.tex} +\input{LATSP_manpage.tex} \input{MUXI2_manpage.tex} \input{NAND2_manpage.tex} \input{NAND3_manpage.tex} @@ -144,6 +146,12 @@ \section{Logical Cells} \input{XOR2_manpage.tex} +\section{Distribution Cells} + +\input{BUF2_manpage.tex} +\input{CGN2_manpage.tex} +\input{CGP2_manpage.tex} + \section{Physical Cells} \input{TIE0_manpage.tex} From b0c95ab405463a9aceaf1ec5d4ecc1809419526c Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 6 Sep 2019 07:46:06 +0200 Subject: [PATCH 090/673] [BUILD] Fix CircDia Package name --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index 0e921ee2..46ba614f 100644 --- a/README.md +++ b/README.md @@ -25,7 +25,7 @@ apt-get install texlive-full ``` which installs the complete (and usefull) LaTeX Environment. -Additionally we use the great CirDia LaTeX package for drawing circuit diagrams by Dr. Stefan Krause (Saarbrücken/Germany). Please download [CirDia](http://www.taylorgruppe.de/circdia "http://www.taylorgruppe.de/circdia"), unzip it, and run mktexlsr in the directory. Many Thanks to Stefan for the excellent work! +Additionally we use the great CircDia LaTeX package for drawing circuit diagrams by Dr. Stefan Krause (Saarbrücken/Germany). Please download [CircDia](http://www.taylorgruppe.de/circdia "http://www.taylorgruppe.de/circdia"), unzip it, and run mktexlsr in the directory. Many Thanks to Stefan for the excellent work! ### Scheme From 381a51e3d70036fd19e1bf74c6c486724f060d92 Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 6 Sep 2019 15:14:26 +0200 Subject: [PATCH 091/673] [DOC] Add circuit diagrams for Latches --- Documents/LaTeX/LATEN_circuit.tex | 52 ++++++++++++++++++++++++++ Documents/LaTeX/LATEN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATEP_circuit.tex | 52 ++++++++++++++++++++++++++ Documents/LaTeX/LATEP_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATERN_circuit.tex | 53 +++++++++++++++++++++++++++ Documents/LaTeX/LATERN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATERP_circuit.tex | 53 +++++++++++++++++++++++++++ Documents/LaTeX/LATERP_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATESN_circuit.tex | 54 +++++++++++++++++++++++++++ Documents/LaTeX/LATESN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATESP_circuit.tex | 54 +++++++++++++++++++++++++++ Documents/LaTeX/LATESP_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATN_circuit.tex | 48 ++++++++++++++++++++++++ Documents/LaTeX/LATN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATP_manpage.tex | 5 --- Documents/LaTeX/LATRN_circuit.tex | 49 +++++++++++++++++++++++++ Documents/LaTeX/LATRN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATRP_manpage.tex | 10 +---- Documents/LaTeX/LATSN_circuit.tex | 49 +++++++++++++++++++++++++ Documents/LaTeX/LATSN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/LATSP_circuit.tex | 3 +- Documents/LaTeX/LATSP_manpage.tex | 10 +---- 22 files changed, 1000 insertions(+), 23 deletions(-) create mode 100644 Documents/LaTeX/LATEN_circuit.tex create mode 100644 Documents/LaTeX/LATEN_manpage.tex create mode 100644 Documents/LaTeX/LATEP_circuit.tex create mode 100644 Documents/LaTeX/LATEP_manpage.tex create mode 100644 Documents/LaTeX/LATERN_circuit.tex create mode 100644 Documents/LaTeX/LATERN_manpage.tex create mode 100644 Documents/LaTeX/LATERP_circuit.tex create mode 100644 Documents/LaTeX/LATERP_manpage.tex create mode 100644 Documents/LaTeX/LATESN_circuit.tex create mode 100644 Documents/LaTeX/LATESN_manpage.tex create mode 100644 Documents/LaTeX/LATESP_circuit.tex create mode 100644 Documents/LaTeX/LATESP_manpage.tex create mode 100644 Documents/LaTeX/LATN_circuit.tex create mode 100644 Documents/LaTeX/LATN_manpage.tex create mode 100644 Documents/LaTeX/LATRN_circuit.tex create mode 100644 Documents/LaTeX/LATRN_manpage.tex create mode 100644 Documents/LaTeX/LATSN_circuit.tex create mode 100644 Documents/LaTeX/LATSN_manpage.tex diff --git a/Documents/LaTeX/LATEN_circuit.tex b/Documents/LaTeX/LATEN_circuit.tex new file mode 100644 index 00000000..b2766082 --- /dev/null +++ b/Documents/LaTeX/LATEN_circuit.tex @@ -0,0 +1,52 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATEN_circuit.tex +%% +%% Purpose: Circuit File for LATEN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{19}{9} + \usgate + \gate{nor}{5}{3}{R}{}{} + \flipflop[\clockin{p}]{d}{13}{5}{R}{}{} + \pin{1}{7}{L}{D} % pin D + \wire{2}{7}{9}{7} + \pin{1}{5}{L}{EN} % pin EN + \pin{1}{1}{L}{XN} % pin XN + \wire{9}{3}{9}{5} + \pin{18}{7}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATEN_manpage.tex b/Documents/LaTeX/LATEN_manpage.tex new file mode 100644 index 00000000..24d47d5e --- /dev/null +++ b/Documents/LaTeX/LATEN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATEN_manpage.tex +%% +%% Purpose: Manual Page File for LATEN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATEN} +\paragraph{Cell} +\begin{quote} + \textbf{LATEN} - a Low-active D-Latch with low-active Clock Enable +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATEN(Q, D, EN, XN) +\end{quote} + +\paragraph{Description} +\input{LATEN_circuit.tex} +%\input{LATEN_schematic.tex} + +\paragraph{Truth Table} +%\input{LATEN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATEP_circuit.tex b/Documents/LaTeX/LATEP_circuit.tex new file mode 100644 index 00000000..3cc1ec09 --- /dev/null +++ b/Documents/LaTeX/LATEP_circuit.tex @@ -0,0 +1,52 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATEP_circuit.tex +%% +%% Purpose: Circuit File for LATEP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{19}{9} + \usgate + \gate{nand}{5}{3}{R}{}{} + \flipflop[\clockin{n}]{d}{13}{5}{R}{}{} + \pin{1}{7}{L}{D} % pin D + \wire{2}{7}{9}{7} + \pin{1}{5}{L}{E} % pin E + \pin{1}{1}{L}{X} % pin X + \wire{9}{3}{9}{5} + \pin{18}{7}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATEP_manpage.tex b/Documents/LaTeX/LATEP_manpage.tex new file mode 100644 index 00000000..dd461c5c --- /dev/null +++ b/Documents/LaTeX/LATEP_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATEP_manpage.tex +%% +%% Purpose: Manual Page File for LATEP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATEP} +\paragraph{Cell} +\begin{quote} + \textbf{LATEP} - a High-active D-Latch with high-active Clock Enable +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATEP(Q, D, E, X) +\end{quote} + +\paragraph{Description} +\input{LATEP_circuit.tex} +%\input{LATEP_schematic.tex} + +\paragraph{Truth Table} +%\input{LATEP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATERN_circuit.tex b/Documents/LaTeX/LATERN_circuit.tex new file mode 100644 index 00000000..e3295f99 --- /dev/null +++ b/Documents/LaTeX/LATERN_circuit.tex @@ -0,0 +1,53 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATERN_circuit.tex +%% +%% Purpose: Circuit File for LATERN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{19}{10} + \usgate + \gate{nor}{5}{4}{R}{}{} + \flipflop[\clockin{p}\resetin{p}]{d}{13}{6}{R}{}{} + \pin{1}{8}{L}{D} % pin D + \wire{2}{8}{9}{8} + \pin{1}{6}{L}{EN} % pin EN + \pin{1}{2}{L}{XN} % pin XN + \wire{9}{4}{9}{6} + \pin{13}{1}{D}{R} % pin R + \pin{18}{8}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATERN_manpage.tex b/Documents/LaTeX/LATERN_manpage.tex new file mode 100644 index 00000000..1d32ba9a --- /dev/null +++ b/Documents/LaTeX/LATERN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATERN_manpage.tex +%% +%% Purpose: Manual Page File for LATERN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATERN} +\paragraph{Cell} +\begin{quote} + \textbf{LATERN} - a Low-active D-Latch with low-active Clock Enable and high-active asynchronous Reset +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATERN(Q, D, R, EN, XN) +\end{quote} + +\paragraph{Description} +\input{LATERN_circuit.tex} +%\input{LATERN_schematic.tex} + +\paragraph{Truth Table} +%\input{LATERN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATERP_circuit.tex b/Documents/LaTeX/LATERP_circuit.tex new file mode 100644 index 00000000..fc054262 --- /dev/null +++ b/Documents/LaTeX/LATERP_circuit.tex @@ -0,0 +1,53 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATERP_circuit.tex +%% +%% Purpose: Circuit File for LATERP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{19}{10} + \usgate + \gate{nand}{5}{4}{R}{}{} + \flipflop[\clockin{p}\resetin{p}]{d}{13}{6}{R}{}{} + \pin{1}{8}{L}{D} % pin D + \wire{2}{8}{9}{8} + \pin{1}{6}{L}{E} % pin E + \pin{1}{2}{L}{X} % pin X + \wire{9}{4}{9}{6} + \pin{13}{1}{D}{R} % pin R + \pin{18}{8}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATERP_manpage.tex b/Documents/LaTeX/LATERP_manpage.tex new file mode 100644 index 00000000..abfa368d --- /dev/null +++ b/Documents/LaTeX/LATERP_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATERP_manpage.tex +%% +%% Purpose: Manual Page File for LATERP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATERP} +\paragraph{Cell} +\begin{quote} + \textbf{LATERP} - a High-active D-Latch with high-active Clock Enable and high-active asynchronous Reset +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATERP(Q, D, R, E, X) +\end{quote} + +\paragraph{Description} +\input{LATERP_circuit.tex} +%\input{LATERP_schematic.tex} + +\paragraph{Truth Table} +%\input{LATERP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATESN_circuit.tex b/Documents/LaTeX/LATESN_circuit.tex new file mode 100644 index 00000000..9db5ddac --- /dev/null +++ b/Documents/LaTeX/LATESN_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATESN_circuit.tex +%% +%% Purpose: Circuit File for LATESN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{19}{11} + \usgate + \gate{nor}{5}{3}{R}{}{} + %\flipflop[\clockin{p}\setin{n}]{d}{13}{5}{R}{}{} + \flipflop[\clockin{p}\setin{p}]{d}{13}{5}{R}{}{} + \pin{1}{7}{L}{D} % pin D + \wire{2}{7}{9}{7} + \pin{1}{5}{L}{EN} % pin EN + \pin{1}{1}{L}{XN} % pin XN + \wire{9}{3}{9}{5} + \pin{13}{10}{U}{SN}% pin SN + \pin{18}{7}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATESN_manpage.tex b/Documents/LaTeX/LATESN_manpage.tex new file mode 100644 index 00000000..8557fe18 --- /dev/null +++ b/Documents/LaTeX/LATESN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATESN_manpage.tex +%% +%% Purpose: Manual Page File for LATESN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATESN} +\paragraph{Cell} +\begin{quote} + \textbf{LATESN} - a Low-active D-Latch with low-active Clock Enable and low-active asynchronous Set +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATESN(Q, D, SN, EN, XN) +\end{quote} + +\paragraph{Description} +\input{LATESN_circuit.tex} +%\input{LATESN_schematic.tex} + +\paragraph{Truth Table} +%\input{LATESN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATESP_circuit.tex b/Documents/LaTeX/LATESP_circuit.tex new file mode 100644 index 00000000..f6ff94d4 --- /dev/null +++ b/Documents/LaTeX/LATESP_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATESP_circuit.tex +%% +%% Purpose: Circuit File for LATESP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{19}{11} + \usgate + \gate{nand}{5}{3}{R}{}{} + %\flipflop[\clockin{p}\setin{n}]{d}{13}{5}{R}{}{} + \flipflop[\clockin{p}\setin{p}]{d}{13}{5}{R}{}{} + \pin{1}{7}{L}{D} % pin D + \wire{2}{7}{9}{7} + \pin{1}{5}{L}{E} % pin E + \pin{1}{1}{L}{X} % pin X + \wire{9}{3}{9}{5} + \pin{13}{10}{U}{SN}% pin SN + \pin{18}{7}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATESP_manpage.tex b/Documents/LaTeX/LATESP_manpage.tex new file mode 100644 index 00000000..c1aab23a --- /dev/null +++ b/Documents/LaTeX/LATESP_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATESP_manpage.tex +%% +%% Purpose: Manual Page File for LATESP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATESP} +\paragraph{Cell} +\begin{quote} + \textbf{LATESP} - a High-active D-Latch with high-active Clock Enable and low-active asynchronous Set +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATESP(Q, D, SN, E, X) +\end{quote} + +\paragraph{Description} +\input{LATESP_circuit.tex} +%\input{LATESP_schematic.tex} + +\paragraph{Truth Table} +%\input{LATESP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATN_circuit.tex b/Documents/LaTeX/LATN_circuit.tex new file mode 100644 index 00000000..e883682f --- /dev/null +++ b/Documents/LaTeX/LATN_circuit.tex @@ -0,0 +1,48 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATN_circuit.tex +%% +%% Purpose: Circuit File for LATN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{12}{8} + \usgate + \flipflop[\clockin{n}]{d}{6}{4}{R}{}{} + \pin{1}{4}{L}{XN} % pin XN + \pin{1}{6}{L}{D} % pin D + \pin{11}{6}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATN_manpage.tex b/Documents/LaTeX/LATN_manpage.tex new file mode 100644 index 00000000..51dbd1f5 --- /dev/null +++ b/Documents/LaTeX/LATN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATN_manpage.tex +%% +%% Purpose: Manual Page File for LATN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATN} +\paragraph{Cell} +\begin{quote} + \textbf{LATN} - a Low-active D-Latch +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATN(Q, D, XN) +\end{quote} + +\paragraph{Description} +\input{LATN_circuit.tex} +%\input{LATN_schematic.tex} + +\paragraph{Truth Table} +%\input{LATP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATP_manpage.tex b/Documents/LaTeX/LATP_manpage.tex index 5e19ab84..d1188406 100644 --- a/Documents/LaTeX/LATP_manpage.tex +++ b/Documents/LaTeX/LATP_manpage.tex @@ -57,8 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - LATN - a Low-active D-Latch -\end{quote} diff --git a/Documents/LaTeX/LATRN_circuit.tex b/Documents/LaTeX/LATRN_circuit.tex new file mode 100644 index 00000000..30c47a58 --- /dev/null +++ b/Documents/LaTeX/LATRN_circuit.tex @@ -0,0 +1,49 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATRN_circuit.tex +%% +%% Purpose: Circuit File for LATRN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{12}{10} + \usgate + \flipflop[\clockin{n}\resetin{p}]{d}{6}{6}{R}{}{} + \pin{1}{6}{L}{XN} % pin XN + \pin{1}{8}{L}{D} % pin D + \pin{6}{1}{D}{R} % pin R + \pin{11}{8}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATRN_manpage.tex b/Documents/LaTeX/LATRN_manpage.tex new file mode 100644 index 00000000..ff9b7d09 --- /dev/null +++ b/Documents/LaTeX/LATRN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATRN_manpage.tex +%% +%% Purpose: Manual Page File for LATRN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATRN} +\paragraph{Cell} +\begin{quote} + \textbf{LATRN} - a Low-active D-Latch with high-active asynchronous Reset +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATRN(Q, D, R, XN) +\end{quote} + +\paragraph{Description} +\input{LATRN_circuit.tex} +%\input{LATRN_schematic.tex} + +\paragraph{Truth Table} +%\input{LATRN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATRP_manpage.tex b/Documents/LaTeX/LATRP_manpage.tex index 0061dd4c..e855d2c4 100644 --- a/Documents/LaTeX/LATRP_manpage.tex +++ b/Documents/LaTeX/LATRP_manpage.tex @@ -35,12 +35,12 @@ \label{LATRP} \paragraph{Cell} \begin{quote} - \textbf{LATRP} - a High-active D-Latch with high-active Reset + \textbf{LATRP} - a High-active D-Latch with high-active asynchronous Reset \end{quote} \paragraph{Synopsys} \begin{quote} - LATP(Q, D, R, X) + LATRP(Q, D, R, X) \end{quote} \paragraph{Description} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - LATP - a High-active D-Latch \\ - LATSP - a High-active D-Latch with low-active Set -\end{quote} diff --git a/Documents/LaTeX/LATSN_circuit.tex b/Documents/LaTeX/LATSN_circuit.tex new file mode 100644 index 00000000..087dfaf6 --- /dev/null +++ b/Documents/LaTeX/LATSN_circuit.tex @@ -0,0 +1,49 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATSN_circuit.tex +%% +%% Purpose: Circuit File for LATSN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{12}{10} + \usgate + \flipflop[\clockin{n}\setin{n}]{d}{6}{4}{R}{}{} + \pin{1}{4}{L}{XN} % pin XN + \pin{1}{6}{L}{D} % pin D + \pin{6}{9}{U}{SN} % pin SN + \pin{11}{6}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/LATSN_manpage.tex b/Documents/LaTeX/LATSN_manpage.tex new file mode 100644 index 00000000..66510911 --- /dev/null +++ b/Documents/LaTeX/LATSN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/LATSN_manpage.tex +%% +%% Purpose: Manual Page File for LATSN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{LATSN} +\paragraph{Cell} +\begin{quote} + \textbf{LATSN} - a Low-active D-Latch with low-active asynchronous Set +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + LATSN(Q, D, SN, XN) +\end{quote} + +\paragraph{Description} +\input{LATSN_circuit.tex} +%\input{LATSN_schematic.tex} + +\paragraph{Truth Table} +%\input{LATSN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/LATSP_circuit.tex b/Documents/LaTeX/LATSP_circuit.tex index ece9d546..e4c66f26 100644 --- a/Documents/LaTeX/LATSP_circuit.tex +++ b/Documents/LaTeX/LATSP_circuit.tex @@ -38,8 +38,7 @@ \begin{center} \begin{circuitdiagram}{12}{10} \usgate - %\flipflop[\clockin{p}\setin{n}]{d}{6}{4}{R}{}{} - \flipflop[\clockin{p}\setin{p}]{d}{6}{4}{R}{}{} % !! wrong Set pin polarity !! + \flipflop[\clockin{p}\setin{n}]{d}{6}{4}{R}{}{} \pin{1}{4}{L}{X} % pin X \pin{1}{6}{L}{D} % pin D \pin{6}{9}{U}{SN} % pin SN diff --git a/Documents/LaTeX/LATSP_manpage.tex b/Documents/LaTeX/LATSP_manpage.tex index 4f9261cd..c3d7e87d 100644 --- a/Documents/LaTeX/LATSP_manpage.tex +++ b/Documents/LaTeX/LATSP_manpage.tex @@ -35,12 +35,12 @@ \label{LATSP} \paragraph{Cell} \begin{quote} - \textbf{LATSP} - a High-active D-Latch with low-active Set + \textbf{LATSP} - a High-active D-Latch with low-active asynchronous Set \end{quote} \paragraph{Synopsys} \begin{quote} - LATP(Q, D, SN, X) + LATSP(Q, D, SN, X) \end{quote} \paragraph{Description} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - LATP - a High-active D-Latch \\ - LATRP - a High-active D-Latch with high-active Reset -\end{quote} From bb1adff5af57e6bc68ac718907c30c481dfcd864 Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 6 Sep 2019 18:06:43 +0200 Subject: [PATCH 092/673] [DOC] Fix AND4 circuity --- Documents/LaTeX/AND4_circuit.tex | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) diff --git a/Documents/LaTeX/AND4_circuit.tex b/Documents/LaTeX/AND4_circuit.tex index 675ee5e6..1e8f8f74 100644 --- a/Documents/LaTeX/AND4_circuit.tex +++ b/Documents/LaTeX/AND4_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AND4.tex +%% File: StdCellLib/Documents/LaTeX/AND4_circuit.tex %% %% Purpose: Circuit File for AND4 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,15 +34,16 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{17}{8} + \usgate + \gate[\inputs{4}]{nand}{5}{4}{R}{}{} % NAND + \gate{not}{12}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C \pin{1}{7}{L}{D} % pin D - \gate[\inputs{4}]{nand}{5}{4}{R}{}{} % AND gate -> right - \gate{not}{12}{4}{R}{}{} % NOT gate -> right \pin{16}{4}{R}{Z} % pin Z \end{circuitdiagram} \end{center} From 07cb9155d125dc8a51c3aaa491068f5ada50fb22 Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 6 Sep 2019 18:09:07 +0200 Subject: [PATCH 093/673] [DOC] Fix signal polarity on LATERP, LATESN and LATESP circuits --- Documents/LaTeX/LATERP_circuit.tex | 2 +- Documents/LaTeX/LATESN_circuit.tex | 3 +-- Documents/LaTeX/LATESP_circuit.tex | 3 +-- 3 files changed, 3 insertions(+), 5 deletions(-) diff --git a/Documents/LaTeX/LATERP_circuit.tex b/Documents/LaTeX/LATERP_circuit.tex index fc054262..d672b336 100644 --- a/Documents/LaTeX/LATERP_circuit.tex +++ b/Documents/LaTeX/LATERP_circuit.tex @@ -39,7 +39,7 @@ \begin{circuitdiagram}{19}{10} \usgate \gate{nand}{5}{4}{R}{}{} - \flipflop[\clockin{p}\resetin{p}]{d}{13}{6}{R}{}{} + \flipflop[\clockin{n}\resetin{p}]{d}{13}{6}{R}{}{} \pin{1}{8}{L}{D} % pin D \wire{2}{8}{9}{8} \pin{1}{6}{L}{E} % pin E diff --git a/Documents/LaTeX/LATESN_circuit.tex b/Documents/LaTeX/LATESN_circuit.tex index 9db5ddac..fbd705ae 100644 --- a/Documents/LaTeX/LATESN_circuit.tex +++ b/Documents/LaTeX/LATESN_circuit.tex @@ -39,8 +39,7 @@ \begin{circuitdiagram}{19}{11} \usgate \gate{nor}{5}{3}{R}{}{} - %\flipflop[\clockin{p}\setin{n}]{d}{13}{5}{R}{}{} - \flipflop[\clockin{p}\setin{p}]{d}{13}{5}{R}{}{} + \flipflop[\clockin{p}\setin{n}]{d}{13}{5}{R}{}{} \pin{1}{7}{L}{D} % pin D \wire{2}{7}{9}{7} \pin{1}{5}{L}{EN} % pin EN diff --git a/Documents/LaTeX/LATESP_circuit.tex b/Documents/LaTeX/LATESP_circuit.tex index f6ff94d4..e5c9cfc5 100644 --- a/Documents/LaTeX/LATESP_circuit.tex +++ b/Documents/LaTeX/LATESP_circuit.tex @@ -39,8 +39,7 @@ \begin{circuitdiagram}{19}{11} \usgate \gate{nand}{5}{3}{R}{}{} - %\flipflop[\clockin{p}\setin{n}]{d}{13}{5}{R}{}{} - \flipflop[\clockin{p}\setin{p}]{d}{13}{5}{R}{}{} + \flipflop[\clockin{n}\setin{n}]{d}{13}{5}{R}{}{} \pin{1}{7}{L}{D} % pin D \wire{2}{7}{9}{7} \pin{1}{5}{L}{E} % pin E From 6f08c6c83704fa62de79c601e0eda2f665a31897 Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 6 Sep 2019 18:10:15 +0200 Subject: [PATCH 094/673] [DOC] Rectify hand-crafted circuit code for NAND3 --- Documents/LaTeX/NAND3_circuit.tex | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/Documents/LaTeX/NAND3_circuit.tex b/Documents/LaTeX/NAND3_circuit.tex index 7d74f17e..076a4fda 100644 --- a/Documents/LaTeX/NAND3_circuit.tex +++ b/Documents/LaTeX/NAND3_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_NAND3.tex +%% File: StdCellLib/Documents/LaTeX/NAND3_circuit.tex %% %% Purpose: Circuit File for NAND3 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,13 +34,14 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{11}{6} + \usgate + \gate[\inputs{3}]{nand}{5}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C - \gate[\inputs{3}]{nand}{5}{3}{R}{}{} % NAND gate -> right \pin{10}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} From 592a681ce0419e1b158a1b080335d0a4df039aee Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 6 Sep 2019 18:12:52 +0200 Subject: [PATCH 095/673] [DOC] Add hand-crafted circuit code for AND2, AND3, AO21, AO22, OA21, OA22 and OR2 --- Documents/LaTeX/AND2_circuit.tex | 49 +++++++++++++++++++++++++ Documents/LaTeX/AND2_manpage.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AND3_circuit.tex | 50 ++++++++++++++++++++++++++ Documents/LaTeX/AND3_manpage.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AO21_circuit.tex | 52 +++++++++++++++++++++++++++ Documents/LaTeX/AO21_manpage.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AO22_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/AO22_manpage.tex | 61 ++++++++++++++++++++++++++++++++ Documents/LaTeX/OA21_circuit.tex | 52 +++++++++++++++++++++++++++ Documents/LaTeX/OA21_manpage.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/OA22_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/OA22_manpage.tex | 61 ++++++++++++++++++++++++++++++++ Documents/LaTeX/OR2_circuit.tex | 49 +++++++++++++++++++++++++ Documents/LaTeX/OR2_manpage.tex | 57 +++++++++++++++++++++++++++++ 14 files changed, 769 insertions(+) create mode 100644 Documents/LaTeX/AND2_circuit.tex create mode 100644 Documents/LaTeX/AND2_manpage.tex create mode 100644 Documents/LaTeX/AND3_circuit.tex create mode 100644 Documents/LaTeX/AND3_manpage.tex create mode 100644 Documents/LaTeX/AO21_circuit.tex create mode 100644 Documents/LaTeX/AO21_manpage.tex create mode 100644 Documents/LaTeX/AO22_circuit.tex create mode 100644 Documents/LaTeX/AO22_manpage.tex create mode 100644 Documents/LaTeX/OA21_circuit.tex create mode 100644 Documents/LaTeX/OA21_manpage.tex create mode 100644 Documents/LaTeX/OA22_circuit.tex create mode 100644 Documents/LaTeX/OA22_manpage.tex create mode 100644 Documents/LaTeX/OR2_circuit.tex create mode 100644 Documents/LaTeX/OR2_manpage.tex diff --git a/Documents/LaTeX/AND2_circuit.tex b/Documents/LaTeX/AND2_circuit.tex new file mode 100644 index 00000000..401b3c7e --- /dev/null +++ b/Documents/LaTeX/AND2_circuit.tex @@ -0,0 +1,49 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AND2_circuit.tex +%% +%% Purpose: Circuit File for AND2 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{17}{6} + \usgate + \gate[\inputs{2}]{nand}{5}{3}{R}{}{} % NAND + \gate{not}{12}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{B} % pin B + \pin{16}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AND2_manpage.tex b/Documents/LaTeX/AND2_manpage.tex new file mode 100644 index 00000000..a12971f5 --- /dev/null +++ b/Documents/LaTeX/AND2_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AND2_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AND2 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AND2 - a 2-input AND gate} \label{logical:AND2} + +\paragraph{Synopsys} +\begin{quote} + AND2 (Z B A) +\end{quote} + +\paragraph{Description} +\input{AND2_circuit.tex} +%\input{AND2_schematic.tex} + +\paragraph{Truth Table} +%\input{AND2_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AND3_circuit.tex b/Documents/LaTeX/AND3_circuit.tex new file mode 100644 index 00000000..cae9194f --- /dev/null +++ b/Documents/LaTeX/AND3_circuit.tex @@ -0,0 +1,50 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AND3_circuit.tex +%% +%% Purpose: Circuit File for AND3 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{17}{6} + \usgate + \gate[\inputs{3}]{nand}{5}{3}{R}{}{} % NAND + \gate{not}{12}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{16}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AND3_manpage.tex b/Documents/LaTeX/AND3_manpage.tex new file mode 100644 index 00000000..26a77515 --- /dev/null +++ b/Documents/LaTeX/AND3_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AND3_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AND3 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2018 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AND3 - a 3-input AND gate} \label{logical:AND3} + +\paragraph{Synopsys} +\begin{quote} + AND3 (Z C B A) +\end{quote} + +\paragraph{Description} +\input{AND3_circuit.tex} +%\input{AND3_schematic.tex} + +\paragraph{Truth Table} +%\input{AND3_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AO21_circuit.tex b/Documents/LaTeX/AO21_circuit.tex new file mode 100644 index 00000000..b53ea947 --- /dev/null +++ b/Documents/LaTeX/AO21_circuit.tex @@ -0,0 +1,52 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO21_circuit.tex +%% +%% Purpose: Circuit File for AO21 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{8} + \usgate + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{3}{R}{}{} % NOR + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \wire{2}{1}{9}{1} % wire from pin A + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO21_manpage.tex b/Documents/LaTeX/AO21_manpage.tex new file mode 100644 index 00000000..5a470aa5 --- /dev/null +++ b/Documents/LaTeX/AO21_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO21_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AO21 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2018 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AO21 - a 2-1-input AND-OR gate} \label{logical:AO21} + +\paragraph{Synopsys} +\begin{quote} + AO21 (Z B1 B A) +\end{quote} + +\paragraph{Description} +\input{AO21_circuit.tex} +%\input{AO21_schematic.tex} + +\paragraph{Truth Table} +%\input{AO21_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AO22_circuit.tex b/Documents/LaTeX/AO22_circuit.tex new file mode 100644 index 00000000..03f7fb92 --- /dev/null +++ b/Documents/LaTeX/AO22_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO22_circuit.tex +%% +%% Purpose: Circuit File for AO22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{9}{9}{8} % wire between AND and NOR + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO22_manpage.tex b/Documents/LaTeX/AO22_manpage.tex new file mode 100644 index 00000000..d0ea6a2a --- /dev/null +++ b/Documents/LaTeX/AO22_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/manpage_AO22.tex +%% +%% Purpose: Manual Page File for AO22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AO22} +\paragraph{Cell} +\begin{quote} + \textbf{AO22} - a 2-2-input AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AO22(Z, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AO22_circuit.tex} +%\input{AO22_schematic.tex} + +\paragraph{Truth Table} +%\input{AO22_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OA21_circuit.tex b/Documents/LaTeX/OA21_circuit.tex new file mode 100644 index 00000000..c8e324ec --- /dev/null +++ b/Documents/LaTeX/OA21_circuit.tex @@ -0,0 +1,52 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA21_circuit.tex +%% +%% Purpose: Circuit File for OA21 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{8} + \usgate + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \wire{2}{1}{9}{1} % wire from pin A + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OA21_manpage.tex b/Documents/LaTeX/OA21_manpage.tex new file mode 100644 index 00000000..5da7cccf --- /dev/null +++ b/Documents/LaTeX/OA21_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA21_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for OA21 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{OA21 - a 2-1-input OR-AND gate} \label{logical:OA21} + +\paragraph{Synopsys} +\begin{quote} + OA21 (Z B1 B A) +\end{quote} + +\paragraph{Description} +\input{OA21_circuit.tex} +%\input{OA21_schematic.tex} + +\paragraph{Truth Table} +%\input{OA21_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OA22_circuit.tex b/Documents/LaTeX/OA22_circuit.tex new file mode 100644 index 00000000..9c54e465 --- /dev/null +++ b/Documents/LaTeX/OA22_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA22_circuit.tex +%% +%% Purpose: Circuit File for OA22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \wire{9}{3}{9}{4} % wire between OR and NAND + \wire{9}{9}{9}{8} % wire between OR and NAND + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OA22_manpage.tex b/Documents/LaTeX/OA22_manpage.tex new file mode 100644 index 00000000..7eb52d18 --- /dev/null +++ b/Documents/LaTeX/OA22_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA22_manpage.tex +%% +%% Purpose: Manual Page File for OA22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OA22} +\paragraph{Cell} +\begin{quote} + \textbf{OA22} - a 2-2-input OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OA22(Z, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OA22_circuit.tex} +%\input{OA22_schematic.tex} + +\paragraph{Truth Table} +%\input{OA22_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OR2_circuit.tex b/Documents/LaTeX/OR2_circuit.tex new file mode 100644 index 00000000..222845d9 --- /dev/null +++ b/Documents/LaTeX/OR2_circuit.tex @@ -0,0 +1,49 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OR2_circuit.tex +%% +%% Purpose: Circuit File for OR2 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{17}{6} + \usgate + \gate[\inputs{2}]{nor}{5}{3}{R}{}{} % NOR + \gate{not}{12}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{B} % pin B + \pin{16}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OR2_manpage.tex b/Documents/LaTeX/OR2_manpage.tex new file mode 100644 index 00000000..42f417b0 --- /dev/null +++ b/Documents/LaTeX/OR2_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OR2_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for OR2 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{OR2 - a 2-input OR gate} \label{logical:OR2} + +\paragraph{Synopsys} +\begin{quote} + OR2 (Z B A) +\end{quote} + +\paragraph{Description} +\input{OR2_circuit.tex} +%\input{OR2_schematic.tex} + +\paragraph{Truth Table} +%\input{OR2_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 6e1620a1052fcf9f762045b95497ae2ea306d114 Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 6 Sep 2019 18:15:01 +0200 Subject: [PATCH 096/673] [DOC] Ad hand-crafted circuit code for NAND4 --- Documents/LaTeX/NAND4_circuit.tex | 50 ++++++++++++++++++++++++++ Documents/LaTeX/NAND4_manpage.tex | 59 +++++++++++++++++++++++++++++++ 2 files changed, 109 insertions(+) create mode 100644 Documents/LaTeX/NAND4_circuit.tex create mode 100644 Documents/LaTeX/NAND4_manpage.tex diff --git a/Documents/LaTeX/NAND4_circuit.tex b/Documents/LaTeX/NAND4_circuit.tex new file mode 100644 index 00000000..4c67b65b --- /dev/null +++ b/Documents/LaTeX/NAND4_circuit.tex @@ -0,0 +1,50 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/NAND4_circuit.tex +%% +%% Purpose: Circuit File for NAND4 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{11}{8} + \usgate + \gate[\inputs{4}]{nand}{5}{4}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{10}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/NAND4_manpage.tex b/Documents/LaTeX/NAND4_manpage.tex new file mode 100644 index 00000000..a2e2bfbc --- /dev/null +++ b/Documents/LaTeX/NAND4_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/NAND4_manpage.tex +%% +%% Purpose: Manual Page File for NAND4 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{NAND4} +\paragraph{Cell} +\begin{quote} + \textbf{NAND4} - a 4-input Not-AND (or NAND) gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + NAND4(Z, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{NAND4_circuit.tex} +%\input{NAND4_schematic.tex} + +\paragraph{Truth Table} +%\input{NAND4_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 3b462d1ea49b2cea1ccf5f492b2604b9d4bafe54 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 11:08:10 +0200 Subject: [PATCH 097/673] [CELLS] Remove temporary (while generated) spice TBench files for INV, LATN and LATP --- TBench/spice/INV_tb.sp | 88 ------------------- TBench/spice/LATN_tb.sp | 187 ---------------------------------------- TBench/spice/LATP_tb.sp | 185 --------------------------------------- 3 files changed, 460 deletions(-) delete mode 100644 TBench/spice/INV_tb.sp delete mode 100644 TBench/spice/LATN_tb.sp delete mode 100644 TBench/spice/LATP_tb.sp diff --git a/TBench/spice/INV_tb.sp b/TBench/spice/INV_tb.sp deleted file mode 100644 index ea3b9143..00000000 --- a/TBench/spice/INV_tb.sp +++ /dev/null @@ -1,88 +0,0 @@ -* tb_INV.sp -** ************ LibreSilicon's StdCellLibrary ******************* -** -** Organisation: Chipforge -** Germany / European Union -** -** Profile: Chipforge focus on fine System-on-Chip Cores in -** Verilog HDL Code which are easy understandable and -** adjustable. For further information see -** www.chipforge.org -** there are projects from small cores up to PCBs, too. -** -** File: StdCellLib/TBench/spice/tb_INV.sp -** -** Purpose: INV Testbench -** -** ************ Spice 3f.5 / July 1993 *************************** -** -** /////////////////////////////////////////////////////////////////// -** -** Copyright (c) 2018 by chipforge -** All rights reserved. -** -** This Standard Cell Library is licensed under the Libre Silicon -** public license; you can redistribute it and/or modify it under -** the terms of the Libre Silicon public license as published by -** the Libre Silicon alliance, either version 1 of the License, or -** (at your option) any later version. -** -** This design is distributed in the hope that it will be useful, -** but WITHOUT ANY WARRANTY; without even the implied warranty of -** MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -** See the Libre Silicon Public License for more details. -** -** /////////////////////////////////////////////////////////////////// - -** ------------------------------------------------------------------- -** PARAMETERS & MODELS -** ------------------------------------------------------------------- - -.param SUPPLY=5.0 $ voltage -.param LAMBDA=0.5U $ half of the feature size -.temp 25 $ celsius - -* LibreSilicon 1um Technology file -.include ls1u_models.lib - -** ------------------------------------------------------------------- -** NETLIST -** ------------------------------------------------------------------- - -.subckt INV A Z -MNA Z A gnd gnd lsnmos W={1*3*LAMBDA} L={2*LAMBDA} PS={5*LAMBDA} PD={5*LAMBDA} AS={W*PS} AD={W*PD} -MPA Z A vdd vdd lspmos W={2*3*LAMBDA} L={2*LAMBDA} PS={5*LAMBDA} PD={5*LAMBDA} AS={W*PS} AD={W*PD} -.ends - -Vdd vdd gnd 'SUPPLY' -Vin a gnd PULSE 0 'SUPPLY' 0p 100p 100p 500p 1000p -X1 a b INV -X2 b c INV -X3 c d INV -X4 d e INV -X5 e f INV - -** ------------------------------------------------------------------- -** SIMULATION -** ------------------------------------------------------------------- - -.tran 1p 1000p - -* rising propagation delay -.measure tpdr -+ TRIG v(c) VAL='SUPPLY/2' FALL=1 -+ TARG v(d) VAL='SUPPLY/2' RISE=1 -* falling propagation delay -.measure tpdf -+ TRIG v(c) VAL='SUPPLY/2' RISE=1 -+ TARG v(d) VAL='SUPPLY/2' FALL=1 -* average propagation delay -.measure tpd param='(tpdr+tpdf)/2' -* rise time -.measure trise -+ TRIG v(c) VAL='0.2*SUPPLY' RISE=1 -+ TARG v(d) VAL='0.8*SUPPLY' FALL=1 -.measure tfall -+ TRIG v(c) VAL='0.8*SUPPLY' FALL=1 -+ TARG v(d) VAL='0.2*SUPPLY' RISE=1 -.end diff --git a/TBench/spice/LATN_tb.sp b/TBench/spice/LATN_tb.sp deleted file mode 100644 index a1768435..00000000 --- a/TBench/spice/LATN_tb.sp +++ /dev/null @@ -1,187 +0,0 @@ -* gnetlist -g spice-sdb -o TBench/spice/LATN_tb.sp TBench/geda/LATN_tb.sch -********************************************************* -* Spice file generated by gnetlist * -* spice-sdb version 4.28.2007 by SDB -- * -* provides advanced spice netlisting capability. * -* Documentation at http://www.brorson.com/gEDA/SPICE/ * -********************************************************* -*vvvvvvvv Included SPICE model from Library/spice/LATN.cir vvvvvvvv -******************************* -* Begin .SUBCKT model * -* spice-sdb ver 4.28.2007 * -******************************* -.SUBCKT LATN Q D XN VDD GND -*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL NMOS4 NMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 -+ PHI = 0.7 VTO = 0.8 DELTA = 3.0 -+ UO = 650 ETA = 3.0E-6 THETA = 0.1 -+ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 -+ RSH = 0 NFS = 1E12 TPG = 1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 - -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL PMOS4 PMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 -+ PHI = 0.7 VTO = -0.9 DELTA = 0.1 -+ UO = 250 ETA = 0 THETA = 0.1 -+ KP = 40E-6 VMAX = 5E4 KAPPA = 1 -+ RSH = 0 NFS = 1E12 TPG = -1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ -* -*============== Begin SPICE netlist of main design ============ -M11 3 clk180 VDD VDD pmos4 l=1u w='2*PNratio*Wunit' -M14 4 clk360 0 0 nmos4 l=1u w='2*Wunit' -M13 q_n Q 4 0 nmos4 l=1u w='2*Wunit' -M12 q_n Q 3 VDD pmos4 l=1u w='2*PNratio*Wunit' -M10 Q q_n 0 0 nmos4 l=1u w='Wunit' -M9 Q q_n VDD VDD pmos4 l=1u w='PNratio*Wunit' -M5 1 clk360 VDD VDD pmos4 l=1u w='2*PNratio*Wunit' -M8 2 clk180 0 0 nmos4 l=1u w='2*Wunit' -M7 q_n D 2 0 nmos4 l=1u w='2*Wunit' -M6 q_n D 1 VDD pmos4 l=1u w='2*PNratio*Wunit' -M2 clk180 XN 0 0 nmos4 l=1u w='Wunit' -M4 clk360 clk180 0 0 nmos4 l=1u w='Wunit' -M3 clk360 clk180 VDD VDD pmos4 l=1u w='PNratio*Wunit' -.PARAM PNratio=2 -.PARAM Wunit=1.5u -M1 clk180 XN VDD VDD pmos4 l=1u w='PNratio*Wunit' -.ends LATN -******************************* -*^^^^^^^^ End of included SPICE model from Library/spice/LATN.cir ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Library/spice/BUF2.cir vvvvvvvv -******************************* -* Begin .SUBCKT model * -* spice-sdb ver 4.28.2007 * -******************************* -.SUBCKT BUF2 Z A VDD GND -*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL NMOS4 NMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 -+ PHI = 0.7 VTO = 0.8 DELTA = 3.0 -+ UO = 650 ETA = 3.0E-6 THETA = 0.1 -+ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 -+ RSH = 0 NFS = 1E12 TPG = 1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 - -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL PMOS4 PMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 -+ PHI = 0.7 VTO = -0.9 DELTA = 0.1 -+ UO = 250 ETA = 0 THETA = 0.1 -+ KP = 40E-6 VMAX = 5E4 KAPPA = 1 -+ RSH = 0 NFS = 1E12 TPG = -1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ -* -*============== Begin SPICE netlist of main design ============ -.PARAM PNratio=2 -.PARAM Wunit=1.5u -M3 Z 1 VDD VDD pmos4 l=1u w='2*PNratio*Wunit' -M1 1 A VDD VDD pmos4 l=1u w='PNratio*Wunit' -M4 Z 1 0 0 nmos4 l=1u w='2*Wunit' -M2 1 A 0 0 nmos4 l=1u w='Wunit' -.ends BUF2 -******************************* -*^^^^^^^^ End of included SPICE model from Library/spice/BUF2.cir ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Library/spice/FO4.cir vvvvvvvv -******************************* -* Begin .SUBCKT model * -* spice-sdb ver 4.28.2007 * -******************************* -.SUBCKT FO4 Z A VDD GND -*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL NMOS4 NMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 -+ PHI = 0.7 VTO = 0.8 DELTA = 3.0 -+ UO = 650 ETA = 3.0E-6 THETA = 0.1 -+ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 -+ RSH = 0 NFS = 1E12 TPG = 1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 - -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL PMOS4 PMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 -+ PHI = 0.7 VTO = -0.9 DELTA = 0.1 -+ UO = 250 ETA = 0 THETA = 0.1 -+ KP = 40E-6 VMAX = 5E4 KAPPA = 1 -+ RSH = 0 NFS = 1E12 TPG = -1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ -* -*============== Begin SPICE netlist of main design ============ -.PARAM Fan=4 -.PARAM PNratio=2 -.PARAM Wunit=1.5u -M3 Z 1 VDD VDD pmos4 l=1u w='Fan*Fan*PNratio*Wunit' -M1 1 A VDD VDD pmos4 l=1u w='Fan*PNratio*Wunit' -M4 Z 1 0 0 nmos4 l=1u w='Fan*Fan*Wunit' -M2 1 A 0 0 nmos4 l=1u w='Fan*Wunit' -.ends FO4 -******************************* -*^^^^^^^^ End of included SPICE model from Library/spice/FO4.cir ^^^^^^^^ -* -*============== Begin SPICE netlist of main design ============ -XUT Q D XN Vdd 0 LATN -XQ 4 Q Vdd 0 FO4 -XXN XN 1 Vdd 0 BUF2 -XD D 2 Vdd 0 BUF2 -RL 4 3 100k -CL 3 0 10n -V2 2 0 pulse 0 'SUPPLY' 5n 7.5n 7.5n 15n 60n -V3 1 0 pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n -.param SUPPLY=5.0 -.INCLUDE TBench/spice/LATN_tb.cmd -VDD Vdd 0 DC 'SUPPLY' -.end diff --git a/TBench/spice/LATP_tb.sp b/TBench/spice/LATP_tb.sp deleted file mode 100644 index 549e4dfe..00000000 --- a/TBench/spice/LATP_tb.sp +++ /dev/null @@ -1,185 +0,0 @@ -* gnetlist -g spice-sdb -o TBench/spice/LATP_tb.sp TBench/geda/LATP_tb.sch -********************************************************* -* Spice file generated by gnetlist * -* spice-sdb version 4.28.2007 by SDB -- * -* provides advanced spice netlisting capability. * -* Documentation at http://www.brorson.com/gEDA/SPICE/ * -********************************************************* -*vvvvvvvv Included SPICE model from Library/spice/LATP.cir vvvvvvvv -******************************* -* Begin .SUBCKT model * -* spice-sdb ver 4.28.2007 * -******************************* -.SUBCKT LATP Q D X GND VDD -*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL NMOS4 NMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 -+ PHI = 0.7 VTO = 0.8 DELTA = 3.0 -+ UO = 650 ETA = 3.0E-6 THETA = 0.1 -+ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 -+ RSH = 0 NFS = 1E12 TPG = 1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 - -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL PMOS4 PMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 -+ PHI = 0.7 VTO = -0.9 DELTA = 0.1 -+ UO = 250 ETA = 0 THETA = 0.1 -+ KP = 40E-6 VMAX = 5E4 KAPPA = 1 -+ RSH = 0 NFS = 1E12 TPG = -1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ -* -*============== Begin SPICE netlist of main design ============ -M11 4 clock VDD VDD pmos4 l=1u w=6u -M14 5 \_clock\_ 0 0 nmos4 l=1u w=3u -M13 2 Q 5 0 nmos4 l=1u w=3u -M12 2 Q 4 VDD pmos4 l=1u w=6u -M10 Q 2 0 0 nmos4 l=1u w=1.5u -M9 Q 2 VDD VDD pmos4 l=1u w=3u -M5 1 \_clock\_ VDD VDD pmos4 l=1u w=6u -M8 3 clock 0 0 nmos4 l=1u w=3u -M7 2 D 3 0 nmos4 l=1u w=3u -M6 2 D 1 VDD pmos4 l=1u w=6u -M2 \_clock\_ X 0 0 nmos4 l=1u w=1.5u -M4 clock \_clock\_ 0 0 nmos4 l=1u w=1.5u -M3 VDD \_clock\_ clock VDD nmos4 l=1u w=3u -M1 \_clock\_ X VDD VDD pmos4 l=1u w=3u -.ends LATP -******************************* -*^^^^^^^^ End of included SPICE model from Library/spice/LATP.cir ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Library/spice/BUF2.cir vvvvvvvv -******************************* -* Begin .SUBCKT model * -* spice-sdb ver 4.28.2007 * -******************************* -.SUBCKT BUF2 Z A VDD GND -*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL NMOS4 NMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 -+ PHI = 0.7 VTO = 0.8 DELTA = 3.0 -+ UO = 650 ETA = 3.0E-6 THETA = 0.1 -+ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 -+ RSH = 0 NFS = 1E12 TPG = 1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 - -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL PMOS4 PMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 -+ PHI = 0.7 VTO = -0.9 DELTA = 0.1 -+ UO = 250 ETA = 0 THETA = 0.1 -+ KP = 40E-6 VMAX = 5E4 KAPPA = 1 -+ RSH = 0 NFS = 1E12 TPG = -1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ -* -*============== Begin SPICE netlist of main design ============ -.PARAM PNratio=2 -.PARAM Wunit=1.5u -M3 Z 1 VDD VDD pmos4 l=1u w='2*PNratio*Wunit' -M1 1 A VDD VDD pmos4 l=1u w='PNratio*Wunit' -M4 Z 1 0 0 nmos4 l=1u w='2*Wunit' -M2 1 A 0 0 nmos4 l=1u w='Wunit' -.ends BUF2 -******************************* -*^^^^^^^^ End of included SPICE model from Library/spice/BUF2.cir ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Library/spice/FO4.cir vvvvvvvv -******************************* -* Begin .SUBCKT model * -* spice-sdb ver 4.28.2007 * -******************************* -.SUBCKT FO4 Z A VDD GND -*vvvvvvvv Included SPICE model from Technology/spice/ls1unmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL NMOS4 NMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.5 -+ PHI = 0.7 VTO = 0.8 DELTA = 3.0 -+ UO = 650 ETA = 3.0E-6 THETA = 0.1 -+ KP = 120E-6 VMAX = 1E5 KAPPA = 0.3 -+ RSH = 0 NFS = 1E12 TPG = 1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 - -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1unmos.mod ^^^^^^^^ -* -*vvvvvvvv Included SPICE model from Technology/spice/ls1upmos.mod vvvvvvvv -* -* Long channel models from CMOS Circuit Design, Layout, and Simulation, -* Level=3 models VDD=5V, see CMOSedu.com -* -.MODEL PMOS4 PMOS LEVEL = 3 -+ TOX = 200E-10 NSUB = 1E17 GAMMA = 0.6 -+ PHI = 0.7 VTO = -0.9 DELTA = 0.1 -+ UO = 250 ETA = 0 THETA = 0.1 -+ KP = 40E-6 VMAX = 5E4 KAPPA = 1 -+ RSH = 0 NFS = 1E12 TPG = -1 -+ XJ = 500E-9 LD = 100E-9 -+ CGDO = 200E-12 CGSO = 200E-12 CGBO = 1E-10 -+ CJ = 400E-6 PB = 1 MJ = 0.5 -+ CJSW = 300E-12 MJSW = 0.5 -*^^^^^^^^ End of included SPICE model from Technology/spice/ls1upmos.mod ^^^^^^^^ -* -*============== Begin SPICE netlist of main design ============ -.PARAM Fan=4 -.PARAM PNratio=2 -.PARAM Wunit=1.5u -M3 Z 1 VDD VDD pmos4 l=1u w='Fan*Fan*PNratio*Wunit' -M1 1 A VDD VDD pmos4 l=1u w='Fan*PNratio*Wunit' -M4 Z 1 0 0 nmos4 l=1u w='Fan*Fan*Wunit' -M2 1 A 0 0 nmos4 l=1u w='Fan*Wunit' -.ends FO4 -******************************* -*^^^^^^^^ End of included SPICE model from Library/spice/FO4.cir ^^^^^^^^ -* -*============== Begin SPICE netlist of main design ============ -XQ 4 Q Vdd 0 FO4 -XX X 1 Vdd 0 BUF2 -XD D 2 Vdd 0 BUF2 -RL 4 3 100k -CL 3 0 10n -V2 2 0 pulse 0 'SUPPLY' 5n 7.5n 7.5n 15n 60n -XUT Q D X Vdd 0 LATP -V3 1 0 pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n -.param SUPPLY=5.0 -.INCLUDE TBench/spice/LATP_tb.cmd -VDD Vdd 0 DC 'SUPPLY' -.end From fc4190992c08564fb944704f2c5e051e94f7dd74 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 11:10:36 +0200 Subject: [PATCH 098/673] [CELLS] Rectify gEDA symbols for LATN and LATP --- Sources/geda/LATN.sym | 2 +- Sources/geda/LATP.sym | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/Sources/geda/LATN.sym b/Sources/geda/LATN.sym index cbd9dfa0..dba68cc6 100644 --- a/Sources/geda/LATN.sym +++ b/Sources/geda/LATN.sym @@ -29,7 +29,7 @@ T 100 650 5 10 0 0 0 0 1 pintype=clk T 100 650 5 10 0 0 0 0 1 pinseq=3 -T 358 592 9 10 1 1 0 0 1 +T 350 550 9 10 1 1 0 0 1 pinlabel=XN T 208 642 5 10 0 1 0 6 1 pinnumber=3 diff --git a/Sources/geda/LATP.sym b/Sources/geda/LATP.sym index ee3bf589..f033d9c4 100644 --- a/Sources/geda/LATP.sym +++ b/Sources/geda/LATP.sym @@ -28,7 +28,7 @@ T 100 650 5 10 0 0 0 0 1 pintype=clk T 100 650 5 10 0 0 0 0 1 pinseq=3 -T 358 592 9 10 1 1 0 0 1 +T 350 550 9 10 1 1 0 0 1 pinlabel=X T 208 642 5 10 0 1 0 6 1 pinnumber=3 From cf378ee4d1edb15fe0221027f04e52622da92d81 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 11:13:00 +0200 Subject: [PATCH 099/673] [CELLS] Fix gEDA TBench title block for LATN and LATP --- TBench/geda/LATN_tb.sch | 2 +- TBench/geda/LATP_tb.sch | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/TBench/geda/LATN_tb.sch b/TBench/geda/LATN_tb.sch index 825fcccd..17bce649 100644 --- a/TBench/geda/LATN_tb.sch +++ b/TBench/geda/LATN_tb.sch @@ -21,7 +21,7 @@ auth= T 49300 39600 5 10 1 1 0 0 1 fname=LATN_tb.sch T 51400 40100 5 14 1 1 0 4 1 -title=LATN - Low-active D-FlipFlop +title=LATN - Low-active D-Latch } T 49300 39000 9 10 1 0 0 0 1 1 diff --git a/TBench/geda/LATP_tb.sch b/TBench/geda/LATP_tb.sch index c5ed7b07..fd18c38b 100644 --- a/TBench/geda/LATP_tb.sch +++ b/TBench/geda/LATP_tb.sch @@ -21,7 +21,7 @@ auth= T 49300 39600 5 10 1 1 0 0 1 fname=LATP_tb.sch T 51400 40100 5 14 1 1 0 4 1 -title=LATP - High-active D-FlipFlop +title=LATP - High-active D-Latch } T 49300 39000 9 10 1 0 0 0 1 1 From e7c4964b12078e0084b12d099bd7bb33e6844e95 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 11:17:49 +0200 Subject: [PATCH 100/673] [CELLS] Add gEDA files and LaTeX circuits for DFFN and DFFP FlipFlops --- Documents/LaTeX/DFFN_circuit.tex | 48 +++ Documents/LaTeX/DFFN_manpage.tex | 59 +++ Documents/LaTeX/DFFP_circuit.tex | 48 +++ Documents/LaTeX/DFFP_manpage.tex | 59 +++ Sources/geda/DFFN.sch | 629 +++++++++++++++++++++++++++++++ Sources/geda/DFFN.sym | 72 ++++ Sources/geda/DFFP.sch | 629 +++++++++++++++++++++++++++++++ Sources/geda/DFFP.sym | 71 ++++ TBench/geda/DFFN_tb.sch | 209 ++++++++++ TBench/geda/DFFP_tb.sch | 209 ++++++++++ TBench/spice/DFFN_tb.cmd | 4 + TBench/spice/DFFP_tb.cmd | 4 + 12 files changed, 2041 insertions(+) create mode 100644 Documents/LaTeX/DFFN_circuit.tex create mode 100644 Documents/LaTeX/DFFN_manpage.tex create mode 100644 Documents/LaTeX/DFFP_circuit.tex create mode 100644 Documents/LaTeX/DFFP_manpage.tex create mode 100644 Sources/geda/DFFN.sch create mode 100644 Sources/geda/DFFN.sym create mode 100644 Sources/geda/DFFP.sch create mode 100644 Sources/geda/DFFP.sym create mode 100644 TBench/geda/DFFN_tb.sch create mode 100644 TBench/geda/DFFP_tb.sch create mode 100644 TBench/spice/DFFN_tb.cmd create mode 100644 TBench/spice/DFFP_tb.cmd diff --git a/Documents/LaTeX/DFFN_circuit.tex b/Documents/LaTeX/DFFN_circuit.tex new file mode 100644 index 00000000..7e73c4d4 --- /dev/null +++ b/Documents/LaTeX/DFFN_circuit.tex @@ -0,0 +1,48 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFN_circuit.tex +%% +%% Purpose: Circuit File for DFFN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{12}{8} + \usgate + \flipflop[\clockin{nd}]{d}{6}{4}{R}{}{} + \pin{1}{4}{L}{XN} % pin XN + \pin{1}{6}{L}{D} % pin D + \pin{11}{6}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/DFFN_manpage.tex b/Documents/LaTeX/DFFN_manpage.tex new file mode 100644 index 00000000..558ecfb6 --- /dev/null +++ b/Documents/LaTeX/DFFN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFN_manpage.tex +%% +%% Purpose: Manual Page File for DFFN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{DFFN} +\paragraph{Cell} +\begin{quote} + \textbf{DFFN} - a Negative edge-triggered D-FlipFlop +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + DFFN(Q, D, XN) +\end{quote} + +\paragraph{Description} +\input{DFFN_circuit.tex} +%\input{DFFN_schematic.tex} + +\paragraph{Truth Table} +%\input{DFFN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/DFFP_circuit.tex b/Documents/LaTeX/DFFP_circuit.tex new file mode 100644 index 00000000..a98c65d2 --- /dev/null +++ b/Documents/LaTeX/DFFP_circuit.tex @@ -0,0 +1,48 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFP_circuit.tex +%% +%% Purpose: Circuit File for DFFP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{12}{8} + \usgate + \flipflop[\clockin{pd}]{d}{6}{4}{R}{}{} + \pin{1}{4}{L}{X} % pin X + \pin{1}{6}{L}{D} % pin D + \pin{11}{6}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/DFFP_manpage.tex b/Documents/LaTeX/DFFP_manpage.tex new file mode 100644 index 00000000..ab8b5700 --- /dev/null +++ b/Documents/LaTeX/DFFP_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFP_manpage.tex +%% +%% Purpose: Manual Page File for DFFP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{DFFP} +\paragraph{Cell} +\begin{quote} + \textbf{DFFP} - a Positive edge-triggered D-FlipFlop +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + DFFP(Q, D, X) +\end{quote} + +\paragraph{Description} +\input{DFFP_circuit.tex} +%\input{DFFP_schematic.tex} + +\paragraph{Truth Table} +%\input{DFFP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Sources/geda/DFFN.sch b/Sources/geda/DFFN.sch new file mode 100644 index 00000000..810b4670 --- /dev/null +++ b/Sources/geda/DFFN.sch @@ -0,0 +1,629 @@ +v 20130925 2 +T 57900 40100 9 10 1 0 0 0 1 +1 +T 59500 40100 9 10 1 0 0 0 1 +1 +N 44900 43300 44900 47800 4 +N 44800 48700 64300 48700 4 +{ +T 44900 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45800 48700 45800 48300 4 +N 46000 47800 45900 47800 4 +N 46000 47800 46000 48700 4 +N 44800 42400 64300 42400 4 +{ +T 45000 42500 5 10 1 1 0 0 1 +netname=GND +} +C 45200 47300 1 0 0 asic-pmos-1.sym +{ +T 46600 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 46000 48100 5 10 1 1 0 0 1 +refdes=M1 +T 46000 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 46000 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 46000 47400 5 8 1 0 0 0 1 +l=1u +} +T 50600 40500 9 10 1 0 0 0 4 +see [UYEMURA, 1992] +Uyemura, John P.: +"Circuit Design for CMOS VLSI." 1st Edition, 1992. +Figure 5.23, Page 187. +B 50500 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 57300 40000 1 0 0 cvstitleblock-1.sym +{ +T 57900 40400 5 10 1 1 0 0 1 +date=2019-09-06 +T 61800 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 61800 40100 5 10 1 1 0 0 1 +auth= +T 57900 40700 5 10 1 1 0 0 1 +fname=DFFN.sch +T 60300 41100 5 14 1 1 0 4 1 +title=DFFN - Negative edge-triggered D-FlipFlop +} +C 48300 48900 1 0 0 spice-model-1.sym +{ +T 48400 49500 5 10 1 1 0 0 1 +refdes=A1 +T 49600 49200 5 10 1 1 0 0 1 +model-name=nmos4 +T 48800 49000 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 51600 48900 1 0 0 spice-model-1.sym +{ +T 51700 49500 5 10 1 1 0 0 1 +refdes=A2 +T 52900 49200 5 10 1 1 0 0 1 +model-name=pmos4 +T 52100 49000 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 44800 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 44600 45900 5 10 1 1 0 0 1 +refdes=P3 +} +C 45000 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 45000 49000 5 10 1 1 0 0 1 +refdes=P4 +} +C 45000 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 45000 42700 5 10 1 1 0 0 1 +refdes=P5 +} +C 54900 49100 1 0 0 spice-subcircuit-LL-1.sym +{ +T 55000 49500 5 10 1 1 0 0 1 +refdes=A3 +T 55000 49200 5 10 1 1 0 0 1 +model-name=DFFN +} +C 58200 49100 1 0 0 spice-directive-1.sym +{ +T 58300 49400 5 10 0 1 0 0 1 +device=directive +T 58300 49500 5 10 1 1 0 0 1 +refdes=A4 +T 58300 49200 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 61300 49100 1 0 0 spice-directive-1.sym +{ +T 61400 49400 5 10 0 1 0 0 1 +device=directive +T 61400 49500 5 10 1 1 0 0 1 +refdes=A5 +T 61400 49200 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 47200 47300 1 0 0 asic-pmos-1.sym +{ +T 48600 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 48000 48100 5 10 1 1 0 0 1 +refdes=M3 +T 48000 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 48000 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 48000 47400 5 8 1 0 0 0 1 +l=1u +} +C 47200 42800 1 0 0 asic-nmos-1.sym +{ +T 48600 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 48000 43600 5 10 1 1 0 0 1 +refdes=M4 +T 48000 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 48000 43100 5 8 1 0 0 0 1 +w='Wunit' +T 48000 42900 5 8 1 0 0 0 1 +l=1u +} +C 45200 42800 1 0 0 asic-nmos-1.sym +{ +T 46600 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 46000 43600 5 10 1 1 0 0 1 +refdes=M2 +T 46000 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 46000 43100 5 8 1 0 0 0 1 +w='Wunit' +T 46000 42900 5 8 1 0 0 0 1 +l=1u +} +N 44600 45600 44900 45600 4 +{ +T 44600 45700 5 10 1 1 0 0 1 +netname=XN +} +N 44900 47800 45200 47800 4 +N 44900 43300 45200 43300 4 +N 45800 42800 45800 42400 4 +N 45900 43300 46000 43300 4 +N 46000 43300 46000 42400 4 +N 45800 47300 45800 43800 4 +N 46900 47800 47200 47800 4 +N 46900 43300 47200 43300 4 +N 46900 47800 46900 43300 4 +N 45800 45600 46900 45600 4 +{ +T 46200 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47800 47300 47800 43800 4 +N 47800 48300 47800 48700 4 +N 47800 42800 47800 42400 4 +N 47900 47800 48000 47800 4 +N 48000 47800 48000 48700 4 +N 47900 43300 48000 43300 4 +N 48000 43300 48000 42400 4 +N 47800 45600 48600 45600 4 +{ +T 48100 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45800 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53200 45800 1 0 0 asic-pmos-1.sym +{ +T 54600 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54000 46600 5 10 1 1 0 0 1 +refdes=M9 +T 54000 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54000 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54000 45900 5 8 1 0 0 0 1 +l=1u +} +C 53200 44300 1 0 0 asic-nmos-1.sym +{ +T 54600 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54000 45100 5 10 1 1 0 0 1 +refdes=M10 +T 54000 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54000 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54000 44400 5 8 1 0 0 0 1 +l=1u +} +N 52900 46300 53200 46300 4 +N 53200 44800 52900 44800 4 +N 52900 44800 52900 46300 4 +N 53800 45800 53800 45300 4 +C 55600 45800 1 0 0 asic-pmos-1.sym +{ +T 57000 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 46600 5 10 1 1 0 0 1 +refdes=M12 +T 56400 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 45900 5 8 1 0 0 0 1 +l=1u +} +C 55600 44300 1 0 0 asic-nmos-1.sym +{ +T 57000 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 45100 5 10 1 1 0 0 1 +refdes=M13 +T 56400 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 44400 5 8 1 0 0 0 1 +l=1u +} +C 55600 42800 1 0 0 asic-nmos-1.sym +{ +T 57000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 43600 5 10 1 1 0 0 1 +refdes=M14 +T 56400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 42900 5 8 1 0 0 0 1 +l=1u +} +C 55600 47300 1 0 0 asic-pmos-1.sym +{ +T 57000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 48100 5 10 1 1 0 0 1 +refdes=M11 +T 56400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 47400 5 8 1 0 0 0 1 +l=1u +} +N 55600 47800 54700 47800 4 +{ +T 55000 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 55600 43300 54700 43300 4 +{ +T 55000 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 55300 46300 55600 46300 4 +N 55600 44800 55300 44800 4 +N 55300 44800 55300 46300 4 +N 53800 45600 55300 45600 4 +{ +T 54800 45700 5 10 1 1 0 0 1 +netname=qm +} +N 56200 48300 56200 48700 4 +N 56200 47300 56200 46800 4 +N 56200 45800 56200 45300 4 +N 56200 44300 56200 43800 4 +N 56200 42800 56200 42400 4 +N 55000 44100 55000 45600 4 +N 51400 45600 52900 45600 4 +N 52300 45600 52300 47100 4 +N 52300 47100 57200 47100 4 +{ +T 54800 47200 5 10 1 1 0 0 1 +netname=\_qm\_ +} +N 56200 45600 57200 45600 4 +N 57200 45600 57200 47100 4 +T 50100 41700 9 10 1 0 0 0 3 +Master Latch input switch: +- (inverting) tri-state driver +- high-active transparent +T 53500 41900 9 10 1 0 0 0 2 +Master Q Stage: +- inverter +T 54900 41700 9 10 1 0 0 0 3 +Master QN Stage: +- (inverting) tri-state driver +- low-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 56300 47800 56400 47800 4 +N 56400 46300 56400 48700 4 +N 56300 46300 56400 46300 4 +N 56300 43300 56400 43300 4 +N 56400 42400 56400 44800 4 +N 56300 44800 56400 44800 4 +N 53800 46800 53800 48700 4 +N 53900 46300 54000 46300 4 +N 54000 46300 54000 48700 4 +N 53800 44300 53800 42400 4 +N 53900 44800 54000 44800 4 +N 54000 44800 54000 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 62600 44900 1 270 0 spice-subcircuit-IO-1.sym +{ +T 63100 44500 5 10 1 1 90 0 1 +refdes=P1 +} +C 58700 45800 1 0 0 asic-pmos-1.sym +{ +T 60100 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 59500 46600 5 10 1 1 0 0 1 +refdes=M16 +T 59500 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 59500 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 59500 45900 5 8 1 0 0 0 1 +l=1u +} +C 58700 44300 1 0 0 asic-nmos-1.sym +{ +T 60100 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 59500 45100 5 10 1 1 0 0 1 +refdes=M17 +T 59500 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 59500 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 59500 44400 5 8 1 0 0 0 1 +l=1u +} +C 58700 42800 1 0 0 asic-nmos-1.sym +{ +T 60100 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 59500 43600 5 10 1 1 0 0 1 +refdes=M18 +T 59500 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 59500 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 59500 42900 5 8 1 0 0 0 1 +l=1u +} +C 58700 47300 1 0 0 asic-pmos-1.sym +{ +T 60100 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 59500 48100 5 10 1 1 0 0 1 +refdes=M15 +T 59500 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 59500 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 59500 47400 5 8 1 0 0 0 1 +l=1u +} +N 58700 47800 57800 47800 4 +{ +T 58100 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 58700 43300 57800 43300 4 +{ +T 58100 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 58400 46300 58700 46300 4 +N 58700 44800 58400 44800 4 +N 58400 44800 58400 46300 4 +N 58000 45600 58400 45600 4 +N 59300 48300 59300 48700 4 +N 59300 47300 59300 46800 4 +N 59300 45800 59300 45300 4 +N 59300 44300 59300 43800 4 +N 59300 42800 59300 42400 4 +C 61100 45800 1 0 0 asic-pmos-1.sym +{ +T 62500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 61900 46600 5 10 1 1 0 0 1 +refdes=M19 +T 61900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 61900 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 61900 45900 5 8 1 0 0 0 1 +l=1u +} +C 61100 44300 1 0 0 asic-nmos-1.sym +{ +T 62500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 61900 45100 5 10 1 1 0 0 1 +refdes=M20 +T 61900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 61900 44600 5 8 1 0 0 0 1 +w='Wunit' +T 61900 44400 5 8 1 0 0 0 1 +l=1u +} +N 60800 46300 61100 46300 4 +N 61100 44800 60800 44800 4 +N 60800 44800 60800 46300 4 +N 61700 45800 61700 45300 4 +C 63500 45800 1 0 0 asic-pmos-1.sym +{ +T 64900 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 64300 46600 5 10 1 1 0 0 1 +refdes=M22 +T 64300 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 64300 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 64300 45900 5 8 1 0 0 0 1 +l=1u +} +C 63500 44300 1 0 0 asic-nmos-1.sym +{ +T 64900 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 64300 45100 5 10 1 1 0 0 1 +refdes=M23 +T 64300 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 64300 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 64300 44400 5 8 1 0 0 0 1 +l=1u +} +C 63500 42800 1 0 0 asic-nmos-1.sym +{ +T 64900 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 64300 43600 5 10 1 1 0 0 1 +refdes=M24 +T 64300 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 64300 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 64300 42900 5 8 1 0 0 0 1 +l=1u +} +C 63500 47300 1 0 0 asic-pmos-1.sym +{ +T 64900 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 64300 48100 5 10 1 1 0 0 1 +refdes=M21 +T 64300 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 64300 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 64300 47400 5 8 1 0 0 0 1 +l=1u +} +N 63500 47800 62600 47800 4 +{ +T 62900 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 63500 43300 62600 43300 4 +{ +T 62900 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 63200 46300 63500 46300 4 +N 63500 44800 63200 44800 4 +N 63200 44800 63200 46300 4 +N 61700 45600 63200 45600 4 +{ +T 62700 45700 5 10 1 1 0 0 1 +netname=Q +} +N 64100 48300 64100 48700 4 +N 64100 47300 64100 46800 4 +N 64100 45800 64100 45300 4 +N 64100 44300 64100 43800 4 +N 64100 42800 64100 42400 4 +N 62900 44700 62900 45600 4 +N 59300 45600 60800 45600 4 +N 60200 45600 60200 47100 4 +N 60200 47100 65100 47100 4 +{ +T 62700 47200 5 10 1 1 0 0 1 +netname=\_qs\_ +} +N 64100 45600 65100 45600 4 +N 65100 45600 65100 47100 4 +N 59400 47800 59500 47800 4 +N 59500 46300 59500 48700 4 +N 59400 46300 59500 46300 4 +N 64200 47800 64300 47800 4 +N 64300 46300 64300 48700 4 +N 64200 46300 64300 46300 4 +N 64200 43300 64300 43300 4 +N 64300 42400 64300 44800 4 +N 64200 44800 64300 44800 4 +N 61700 46800 61700 48700 4 +N 61800 46300 61900 46300 4 +N 61900 46300 61900 48700 4 +N 61700 44300 61700 42400 4 +N 61800 44800 61900 44800 4 +N 61900 44800 61900 42400 4 +N 59400 43300 59500 43300 4 +N 59500 42400 59500 44800 4 +N 59400 44800 59500 44800 4 +T 58000 41700 9 10 1 0 0 0 3 +Slave Latch input switch: +- (inverting) tri-state driver +- low-active transparent +T 61400 41900 9 10 1 0 0 0 2 +Slave Q Stage: +- inverter +T 62800 41700 9 10 1 0 0 0 3 +Slave QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 58000 44100 58000 45600 4 +N 58000 44100 55000 44100 4 diff --git a/Sources/geda/DFFN.sym b/Sources/geda/DFFN.sym new file mode 100644 index 00000000..d2270bbe --- /dev/null +++ b/Sources/geda/DFFN.sym @@ -0,0 +1,72 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +V 250 600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +L 300 700 400 600 3 0 0 0 -1 -1 +L 400 600 300 500 3 0 0 0 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 0 600 200 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=3 +T 450 550 9 10 1 1 0 0 1 +pinlabel=XN +T 208 642 5 10 0 1 0 6 1 +pinnumber=3 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=4 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=4 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=5 +T 1250 300 5 10 0 0 270 6 1 +pinseq=5 +} +T 492 992 5 16 1 1 0 0 1 +device=DFFN +T 1292 2292 8 10 0 1 0 0 1 +description=Low-active D-FLipFlop +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATN.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/Sources/geda/DFFP.sch b/Sources/geda/DFFP.sch new file mode 100644 index 00000000..9feea909 --- /dev/null +++ b/Sources/geda/DFFP.sch @@ -0,0 +1,629 @@ +v 20130925 2 +T 57900 40100 9 10 1 0 0 0 1 +1 +T 59500 40100 9 10 1 0 0 0 1 +1 +N 44900 43300 44900 47800 4 +N 44800 48700 64300 48700 4 +{ +T 44900 48800 5 10 1 1 0 0 1 +netname=VDD +} +N 45800 48700 45800 48300 4 +N 46000 47800 45900 47800 4 +N 46000 47800 46000 48700 4 +N 44800 42400 64300 42400 4 +{ +T 45000 42500 5 10 1 1 0 0 1 +netname=GND +} +C 45200 47300 1 0 0 asic-pmos-1.sym +{ +T 46600 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 46000 48100 5 10 1 1 0 0 1 +refdes=M1 +T 46000 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 46000 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 46000 47400 5 8 1 0 0 0 1 +l=1u +} +T 50600 40500 9 10 1 0 0 0 4 +see [UYEMURA, 1992] +Uyemura, John P.: +"Circuit Design for CMOS VLSI." 1st Edition, 1992. +Figure 5.23, Page 187. +B 50500 40400 6500 1000 19 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +C 57300 40000 1 0 0 cvstitleblock-1.sym +{ +T 57900 40400 5 10 1 1 0 0 1 +date=2019-09-07 +T 61800 40400 5 10 1 1 0 0 1 +rev=$Revision$ +T 61800 40100 5 10 1 1 0 0 1 +auth= +T 57900 40700 5 10 1 1 0 0 1 +fname=DFFP.sch +T 60300 41100 5 14 1 1 0 4 1 +title=DFFP - Positive edge-triggered D-FlipFlop +} +C 48300 48900 1 0 0 spice-model-1.sym +{ +T 48400 49500 5 10 1 1 0 0 1 +refdes=A1 +T 49600 49200 5 10 1 1 0 0 1 +model-name=nmos4 +T 48800 49000 5 10 1 1 0 0 1 +file=Technology/spice/ls1unmos.mod +} +C 51600 48900 1 0 0 spice-model-1.sym +{ +T 51700 49500 5 10 1 1 0 0 1 +refdes=A2 +T 52900 49200 5 10 1 1 0 0 1 +model-name=pmos4 +T 52100 49000 5 10 1 1 0 0 1 +file=Technology/spice/ls1upmos.mod +} +C 50300 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 50100 45900 5 10 1 1 0 0 1 +refdes=P2 +} +C 44800 45900 1 180 0 spice-subcircuit-IO-1.sym +{ +T 44600 45900 5 10 1 1 0 0 1 +refdes=P3 +} +C 45000 49000 1 180 0 spice-subcircuit-IO-1.sym +{ +T 45000 49000 5 10 1 1 0 0 1 +refdes=P4 +} +C 45000 42700 1 180 0 spice-subcircuit-IO-1.sym +{ +T 45000 42700 5 10 1 1 0 0 1 +refdes=P5 +} +C 54900 49100 1 0 0 spice-subcircuit-LL-1.sym +{ +T 55000 49500 5 10 1 1 0 0 1 +refdes=A3 +T 55000 49200 5 10 1 1 0 0 1 +model-name=DFFP +} +C 58200 49100 1 0 0 spice-directive-1.sym +{ +T 58300 49400 5 10 0 1 0 0 1 +device=directive +T 58300 49500 5 10 1 1 0 0 1 +refdes=A4 +T 58300 49200 5 10 1 1 0 0 1 +value=.PARAM Wunit=1.5u +} +C 61300 49100 1 0 0 spice-directive-1.sym +{ +T 61400 49400 5 10 0 1 0 0 1 +device=directive +T 61400 49500 5 10 1 1 0 0 1 +refdes=A5 +T 61400 49200 5 10 1 1 0 0 1 +value=.PARAM PNratio=2 +} +C 47200 47300 1 0 0 asic-pmos-1.sym +{ +T 48600 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 48000 48100 5 10 1 1 0 0 1 +refdes=M3 +T 48000 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 48000 47600 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 48000 47400 5 8 1 0 0 0 1 +l=1u +} +C 47200 42800 1 0 0 asic-nmos-1.sym +{ +T 48600 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 48000 43600 5 10 1 1 0 0 1 +refdes=M4 +T 48000 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 48000 43100 5 8 1 0 0 0 1 +w='Wunit' +T 48000 42900 5 8 1 0 0 0 1 +l=1u +} +C 45200 42800 1 0 0 asic-nmos-1.sym +{ +T 46600 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 46000 43600 5 10 1 1 0 0 1 +refdes=M2 +T 46000 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 46000 43100 5 8 1 0 0 0 1 +w='Wunit' +T 46000 42900 5 8 1 0 0 0 1 +l=1u +} +N 44600 45600 44900 45600 4 +{ +T 44600 45700 5 10 1 1 0 0 1 +netname=X +} +N 44900 47800 45200 47800 4 +N 44900 43300 45200 43300 4 +N 45800 42800 45800 42400 4 +N 45900 43300 46000 43300 4 +N 46000 43300 46000 42400 4 +N 45800 47300 45800 43800 4 +N 46900 47800 47200 47800 4 +N 46900 43300 47200 43300 4 +N 46900 47800 46900 43300 4 +N 45800 45600 46900 45600 4 +{ +T 46200 45700 5 10 1 1 0 0 1 +netname=clk180 +} +N 47800 47300 47800 43800 4 +N 47800 48300 47800 48700 4 +N 47800 42800 47800 42400 4 +N 47900 47800 48000 47800 4 +N 48000 47800 48000 48700 4 +N 47900 43300 48000 43300 4 +N 48000 43300 48000 42400 4 +N 47800 45600 48600 45600 4 +{ +T 48100 45700 5 10 1 1 0 0 1 +netname=clk360 +} +C 50800 45800 1 0 0 asic-pmos-1.sym +{ +T 52200 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 46600 5 10 1 1 0 0 1 +refdes=M6 +T 51600 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 45900 5 8 1 0 0 0 1 +l=1u +} +C 50800 44300 1 0 0 asic-nmos-1.sym +{ +T 52200 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 45100 5 10 1 1 0 0 1 +refdes=M7 +T 51600 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 44400 5 8 1 0 0 0 1 +l=1u +} +C 50800 42800 1 0 0 asic-nmos-1.sym +{ +T 52200 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 51600 43600 5 10 1 1 0 0 1 +refdes=M8 +T 51600 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 51600 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 51600 42900 5 8 1 0 0 0 1 +l=1u +} +C 50800 47300 1 0 0 asic-pmos-1.sym +{ +T 52200 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 51600 48100 5 10 1 1 0 0 1 +refdes=M5 +T 51600 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 51600 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 51600 47400 5 8 1 0 0 0 1 +l=1u +} +N 50800 47800 49900 47800 4 +{ +T 50200 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 50800 43300 49900 43300 4 +{ +T 50200 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 50500 46300 50800 46300 4 +N 50800 44800 50500 44800 4 +N 50500 44800 50500 46300 4 +N 50100 45600 50500 45600 4 +{ +T 50100 45700 5 10 1 1 0 0 1 +netname=D +} +N 51400 48300 51400 48700 4 +N 51400 47300 51400 46800 4 +N 51400 45800 51400 45300 4 +N 51400 44300 51400 43800 4 +N 51400 42800 51400 42400 4 +T 45800 41700 9 10 1 0 0 0 3 +Double Clock Buffering: +- de-coupling high load +- 2-phase clock generation +C 53200 45800 1 0 0 asic-pmos-1.sym +{ +T 54600 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 54000 46600 5 10 1 1 0 0 1 +refdes=M9 +T 54000 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 54000 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 54000 45900 5 8 1 0 0 0 1 +l=1u +} +C 53200 44300 1 0 0 asic-nmos-1.sym +{ +T 54600 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 54000 45100 5 10 1 1 0 0 1 +refdes=M10 +T 54000 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 54000 44600 5 8 1 0 0 0 1 +w='Wunit' +T 54000 44400 5 8 1 0 0 0 1 +l=1u +} +N 52900 46300 53200 46300 4 +N 53200 44800 52900 44800 4 +N 52900 44800 52900 46300 4 +N 53800 45800 53800 45300 4 +C 55600 45800 1 0 0 asic-pmos-1.sym +{ +T 57000 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 46600 5 10 1 1 0 0 1 +refdes=M12 +T 56400 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 45900 5 8 1 0 0 0 1 +l=1u +} +C 55600 44300 1 0 0 asic-nmos-1.sym +{ +T 57000 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 45100 5 10 1 1 0 0 1 +refdes=M13 +T 56400 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 44400 5 8 1 0 0 0 1 +l=1u +} +C 55600 42800 1 0 0 asic-nmos-1.sym +{ +T 57000 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 56400 43600 5 10 1 1 0 0 1 +refdes=M14 +T 56400 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 56400 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 56400 42900 5 8 1 0 0 0 1 +l=1u +} +C 55600 47300 1 0 0 asic-pmos-1.sym +{ +T 57000 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 56400 48100 5 10 1 1 0 0 1 +refdes=M11 +T 56400 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 56400 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 56400 47400 5 8 1 0 0 0 1 +l=1u +} +N 55600 47800 54700 47800 4 +{ +T 55000 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 55600 43300 54700 43300 4 +{ +T 55000 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 55300 46300 55600 46300 4 +N 55600 44800 55300 44800 4 +N 55300 44800 55300 46300 4 +N 53800 45600 55300 45600 4 +{ +T 54800 45700 5 10 1 1 0 0 1 +netname=qm +} +N 56200 48300 56200 48700 4 +N 56200 47300 56200 46800 4 +N 56200 45800 56200 45300 4 +N 56200 44300 56200 43800 4 +N 56200 42800 56200 42400 4 +N 55000 44100 55000 45600 4 +N 51400 45600 52900 45600 4 +N 52300 45600 52300 47100 4 +N 52300 47100 57200 47100 4 +{ +T 54800 47200 5 10 1 1 0 0 1 +netname=\_qm\_ +} +N 56200 45600 57200 45600 4 +N 57200 45600 57200 47100 4 +T 50100 41700 9 10 1 0 0 0 3 +Master Latch input switch: +- (inverting) tri-state driver +- low-active transparent +T 53500 41900 9 10 1 0 0 0 2 +Master Q Stage: +- inverter +T 54900 41700 9 10 1 0 0 0 3 +Master QN Stage: +- (inverting) tri-state driver +- high-active transparent +N 51500 47800 51600 47800 4 +N 51600 46300 51600 48700 4 +N 51500 46300 51600 46300 4 +N 56300 47800 56400 47800 4 +N 56400 46300 56400 48700 4 +N 56300 46300 56400 46300 4 +N 56300 43300 56400 43300 4 +N 56400 42400 56400 44800 4 +N 56300 44800 56400 44800 4 +N 53800 46800 53800 48700 4 +N 53900 46300 54000 46300 4 +N 54000 46300 54000 48700 4 +N 53800 44300 53800 42400 4 +N 53900 44800 54000 44800 4 +N 54000 44800 54000 42400 4 +N 51500 43300 51600 43300 4 +N 51600 42400 51600 44800 4 +N 51500 44800 51600 44800 4 +C 62600 44900 1 270 0 spice-subcircuit-IO-1.sym +{ +T 63100 44500 5 10 1 1 90 0 1 +refdes=P1 +} +C 58700 45800 1 0 0 asic-pmos-1.sym +{ +T 60100 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 59500 46600 5 10 1 1 0 0 1 +refdes=M16 +T 59500 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 59500 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 59500 45900 5 8 1 0 0 0 1 +l=1u +} +C 58700 44300 1 0 0 asic-nmos-1.sym +{ +T 60100 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 59500 45100 5 10 1 1 0 0 1 +refdes=M17 +T 59500 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 59500 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 59500 44400 5 8 1 0 0 0 1 +l=1u +} +C 58700 42800 1 0 0 asic-nmos-1.sym +{ +T 60100 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 59500 43600 5 10 1 1 0 0 1 +refdes=M18 +T 59500 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 59500 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 59500 42900 5 8 1 0 0 0 1 +l=1u +} +C 58700 47300 1 0 0 asic-pmos-1.sym +{ +T 60100 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 59500 48100 5 10 1 1 0 0 1 +refdes=M15 +T 59500 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 59500 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 59500 47400 5 8 1 0 0 0 1 +l=1u +} +N 58700 47800 57800 47800 4 +{ +T 58100 47900 5 10 1 1 0 0 1 +netname=clk180 +} +N 58700 43300 57800 43300 4 +{ +T 58100 43400 5 10 1 1 0 0 1 +netname=clk360 +} +N 58400 46300 58700 46300 4 +N 58700 44800 58400 44800 4 +N 58400 44800 58400 46300 4 +N 58000 45600 58400 45600 4 +N 59300 48300 59300 48700 4 +N 59300 47300 59300 46800 4 +N 59300 45800 59300 45300 4 +N 59300 44300 59300 43800 4 +N 59300 42800 59300 42400 4 +C 61100 45800 1 0 0 asic-pmos-1.sym +{ +T 62500 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 61900 46600 5 10 1 1 0 0 1 +refdes=M19 +T 61900 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 61900 46100 5 8 1 0 0 0 1 +w='PNratio*Wunit' +T 61900 45900 5 8 1 0 0 0 1 +l=1u +} +C 61100 44300 1 0 0 asic-nmos-1.sym +{ +T 62500 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 61900 45100 5 10 1 1 0 0 1 +refdes=M20 +T 61900 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 61900 44600 5 8 1 0 0 0 1 +w='Wunit' +T 61900 44400 5 8 1 0 0 0 1 +l=1u +} +N 60800 46300 61100 46300 4 +N 61100 44800 60800 44800 4 +N 60800 44800 60800 46300 4 +N 61700 45800 61700 45300 4 +C 63500 45800 1 0 0 asic-pmos-1.sym +{ +T 64900 46600 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 64300 46600 5 10 1 1 0 0 1 +refdes=M22 +T 64300 46400 5 8 1 1 0 0 1 +model-name=pmos4 +T 64300 46100 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 64300 45900 5 8 1 0 0 0 1 +l=1u +} +C 63500 44300 1 0 0 asic-nmos-1.sym +{ +T 64900 45100 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 64300 45100 5 10 1 1 0 0 1 +refdes=M23 +T 64300 44900 5 8 1 1 0 0 1 +model-name=nmos4 +T 64300 44600 5 8 1 0 0 0 1 +w='2*Wunit' +T 64300 44400 5 8 1 0 0 0 1 +l=1u +} +C 63500 42800 1 0 0 asic-nmos-1.sym +{ +T 64900 43600 5 8 0 0 0 0 1 +device=NMOS_TRANSISTOR +T 64300 43600 5 10 1 1 0 0 1 +refdes=M24 +T 64300 43400 5 8 1 1 0 0 1 +model-name=nmos4 +T 64300 43100 5 8 1 0 0 0 1 +w='2*Wunit' +T 64300 42900 5 8 1 0 0 0 1 +l=1u +} +C 63500 47300 1 0 0 asic-pmos-1.sym +{ +T 64900 48100 5 8 0 0 0 0 1 +device=PMOS_TRANSISTOR +T 64300 48100 5 10 1 1 0 0 1 +refdes=M21 +T 64300 47900 5 8 1 1 0 0 1 +model-name=pmos4 +T 64300 47600 5 8 1 0 0 0 1 +w='2*PNratio*Wunit' +T 64300 47400 5 8 1 0 0 0 1 +l=1u +} +N 63500 47800 62600 47800 4 +{ +T 62900 47900 5 10 1 1 0 0 1 +netname=clk360 +} +N 63500 43300 62600 43300 4 +{ +T 62900 43400 5 10 1 1 0 0 1 +netname=clk180 +} +N 63200 46300 63500 46300 4 +N 63500 44800 63200 44800 4 +N 63200 44800 63200 46300 4 +N 61700 45600 63200 45600 4 +{ +T 62700 45700 5 10 1 1 0 0 1 +netname=Q +} +N 64100 48300 64100 48700 4 +N 64100 47300 64100 46800 4 +N 64100 45800 64100 45300 4 +N 64100 44300 64100 43800 4 +N 64100 42800 64100 42400 4 +N 62900 44700 62900 45600 4 +N 59300 45600 60800 45600 4 +N 60200 45600 60200 47100 4 +N 60200 47100 65100 47100 4 +{ +T 62700 47200 5 10 1 1 0 0 1 +netname=\_qs\_ +} +N 64100 45600 65100 45600 4 +N 65100 45600 65100 47100 4 +N 59400 47800 59500 47800 4 +N 59500 46300 59500 48700 4 +N 59400 46300 59500 46300 4 +N 64200 47800 64300 47800 4 +N 64300 46300 64300 48700 4 +N 64200 46300 64300 46300 4 +N 64200 43300 64300 43300 4 +N 64300 42400 64300 44800 4 +N 64200 44800 64300 44800 4 +N 61700 46800 61700 48700 4 +N 61800 46300 61900 46300 4 +N 61900 46300 61900 48700 4 +N 61700 44300 61700 42400 4 +N 61800 44800 61900 44800 4 +N 61900 44800 61900 42400 4 +N 59400 43300 59500 43300 4 +N 59500 42400 59500 44800 4 +N 59400 44800 59500 44800 4 +T 58000 41700 9 10 1 0 0 0 3 +Slave Latch input switch: +- (inverting) tri-state driver +- high-active transparent +T 61400 41900 9 10 1 0 0 0 2 +Slave Q Stage: +- inverter +T 62800 41700 9 10 1 0 0 0 3 +Slave QN Stage: +- (inverting) tri-state driver +- low-active transparent +N 58000 44100 58000 45600 4 +N 58000 44100 55000 44100 4 diff --git a/Sources/geda/DFFP.sym b/Sources/geda/DFFP.sym new file mode 100644 index 00000000..e5a98af3 --- /dev/null +++ b/Sources/geda/DFFP.sym @@ -0,0 +1,71 @@ +v 20130925 2 +B 300 300 1200 1600 3 10 1 0 -1 -1 0 -1 -1 -1 -1 -1 +L 300 700 400 600 3 0 0 0 -1 -1 +L 400 600 300 500 3 0 0 0 -1 -1 +P 1800 1600 1500 1600 1 0 0 +{ +T 1500 1650 5 10 0 0 0 6 1 +pintype=out +T 1442 1592 9 10 1 1 0 6 1 +pinlabel=Q +T 1592 1642 5 10 0 1 0 0 1 +pinnumber=1 +T 1500 1650 5 10 0 0 0 6 1 +pinseq=1 +} +P 0 1600 300 1600 1 0 0 +{ +T 100 1650 5 10 0 0 0 0 1 +pintype=in +T 100 1650 5 10 0 0 0 0 1 +pinseq=2 +T 358 1592 9 10 1 1 0 0 1 +pinlabel=D +T 208 1542 5 10 0 1 0 6 1 +pinnumber=2 +} +P 0 600 300 600 1 0 0 +{ +T 100 650 5 10 0 0 0 0 1 +pintype=clk +T 100 650 5 10 0 0 0 0 1 +pinseq=3 +T 450 550 9 10 1 1 0 0 1 +pinlabel=X +T 208 642 5 10 0 1 0 6 1 +pinnumber=3 +} +P 1200 2200 1200 1900 1 0 0 +{ +T 1150 1900 5 10 0 0 90 6 1 +pintype=pwr +T 1200 1845 9 10 1 1 90 6 1 +pinlabel=VDD +T 1150 1995 5 10 0 1 90 0 1 +pinnumber=4 +T 1150 1900 5 10 0 0 90 6 1 +pinseq=4 +} +P 1200 0 1200 300 1 0 0 +{ +T 1250 300 5 10 0 0 270 6 1 +pintype=pwr +T 1200 355 9 10 1 1 90 0 1 +pinlabel=GND +T 1150 205 5 10 0 1 90 6 1 +pinnumber=5 +T 1250 300 5 10 0 0 270 6 1 +pinseq=5 +} +T 492 992 5 16 1 1 0 0 1 +device=DFFP +T 1292 2292 8 10 0 1 0 0 1 +description=High-active D-FlipFlop +T 292 1989 5 10 1 1 0 0 1 +refdes=X? +T 1892 1392 8 10 0 0 0 0 1 +footprint=none +T -8 -8 8 10 0 1 0 0 1 +source=LATP.sch +T 600 100 9 10 0 0 0 0 1 +numslots=0 diff --git a/TBench/geda/DFFN_tb.sch b/TBench/geda/DFFN_tb.sch new file mode 100644 index 00000000..3393a0dd --- /dev/null +++ b/TBench/geda/DFFN_tb.sch @@ -0,0 +1,209 @@ +v 20130925 2 +C 46000 43000 1 0 0 vdc-1.sym +{ +T 46700 43650 5 10 1 1 0 0 1 +refdes=VDD +T 46700 43850 5 10 0 0 0 0 1 +device=VOLTAGE_SOURCE +T 46700 44050 5 10 0 0 0 0 1 +footprint=none +T 46700 43450 5 10 1 1 0 0 1 +value=DC 'SUPPLY' +} +C 48700 38900 1 0 0 cvstitleblock-1.sym +{ +T 49300 39300 5 10 1 1 0 0 1 +date=2019-09-08 +T 53200 39300 5 10 1 1 0 0 1 +rev=$Revision$ +T 53200 39000 5 10 1 1 0 0 1 +auth= +T 49300 39600 5 10 1 1 0 0 1 +fname=DFFN_tb.sch +T 51700 40000 5 14 1 1 0 4 1 +title=DFFN - Negative edge-triggered D-FlipFlop +} +T 49300 39000 9 10 1 0 0 0 1 +1 +T 50800 39000 9 10 1 0 0 0 1 +1 +C 43200 40300 1 0 0 spice-include-1.sym +{ +T 43300 40600 5 10 0 1 0 0 1 +device=include +T 43300 40700 5 10 1 1 0 0 1 +refdes=A5 +T 43700 40400 5 10 1 1 0 0 1 +file=TBench/spice/DFFN_tb.cmd +} +C 43200 43900 1 0 0 spice-directive-1.sym +{ +T 43300 44200 5 10 0 1 0 0 1 +device=directive +T 43300 44300 5 10 1 1 0 0 1 +refdes=A1 +T 43300 44000 5 10 0 1 0 0 1 +file=unknown +T 43300 44000 5 10 1 1 0 0 1 +value=.param SUPPLY=5.0 +} +C 43200 43000 1 0 0 spice-model-1.sym +{ +T 43300 43600 5 10 1 1 0 0 1 +refdes=A2 +T 44500 43300 5 10 1 1 0 0 1 +model-name=DFFN +T 43700 43100 5 10 1 1 0 0 1 +file=Library/spice/DFFN.cir +} +C 47800 40000 1 0 0 vpulse-1.sym +{ +T 48500 40650 5 10 1 1 0 0 1 +refdes=V3 +T 48500 40850 5 10 0 0 0 0 1 +device=vpulse +T 48500 41050 5 10 0 0 0 0 1 +footprint=none +T 45300 40650 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n +} +N 52500 42700 52200 42700 4 +{ +T 52300 42800 5 10 1 1 0 0 1 +netname=Q +} +N 47400 42700 48000 42700 4 +N 48100 41700 49000 41700 4 +N 49100 42700 50400 42700 4 +{ +T 49600 42800 5 10 1 1 0 0 1 +netname=D +} +N 50100 41700 50400 41700 4 +{ +T 50100 41800 5 10 1 1 0 0 1 +netname=X +} +N 48100 41200 48100 41700 4 +C 47100 41300 1 0 0 vpulse-1.sym +{ +T 47800 41950 5 10 1 1 0 0 1 +refdes=V2 +T 47800 42150 5 10 0 0 0 0 1 +device=vpulse +T 47800 42350 5 10 0 0 0 0 1 +footprint=none +T 44400 42050 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 5n 7.5n 7.5n 30n 120n +} +N 47400 42500 47400 42700 4 +C 46100 44400 1 0 0 vdd-1.sym +C 46200 42500 1 0 0 gnd-1.sym +N 46300 44400 46300 44200 4 +{ +T 46300 44400 5 10 1 1 0 0 1 +netname=VDD +} +N 46300 42800 46300 43000 4 +{ +T 46300 42800 5 10 1 1 0 0 1 +netname=GND +} +C 48000 39600 1 0 0 gnd-1.sym +C 47300 41000 1 0 0 gnd-1.sym +N 48100 39900 48100 40000 4 +C 54900 41200 1 0 0 gnd-1.sym +C 54800 42500 1 270 0 capacitor-1.sym +{ +T 55500 42300 5 10 0 0 270 0 1 +device=CAPACITOR +T 55300 42300 5 10 1 1 270 0 1 +refdes=CL +T 55700 42300 5 10 0 0 270 0 1 +symversion=0.1 +T 55100 41800 5 10 1 1 0 0 1 +value=10n +} +C 53800 42600 1 0 0 resistor-2.sym +{ +T 54200 42950 5 10 0 0 0 0 1 +device=RESISTOR +T 54000 42900 5 10 1 1 0 0 1 +refdes=RL +T 54000 42600 5 10 1 1 0 0 1 +value=100k +} +N 53600 42700 53800 42700 4 +N 54700 42700 55000 42700 4 +N 55000 42700 55000 42500 4 +N 55000 41600 55000 41500 4 +C 48000 42300 1 0 0 BUF2.sym +{ +T 48292 42592 5 16 1 1 0 0 1 +device=BUF2 +T 48292 43089 5 10 1 1 0 0 1 +refdes=XD +T 49892 43492 5 10 0 0 0 0 1 +footprint=none +T 48000 42300 5 10 0 0 0 0 1 +value=BUF2 +} +C 49000 41300 1 0 0 BUF2.sym +{ +T 49292 41592 5 16 1 1 0 0 1 +device=BUF2 +T 49292 42089 5 10 1 1 0 0 1 +refdes=XX +T 50892 42492 5 10 0 0 0 0 1 +footprint=none +T 49000 41300 5 10 0 0 0 0 1 +value=BUF2 +} +C 52500 42300 1 0 0 FO4.sym +{ +T 52792 42592 5 16 1 1 0 0 1 +device=FO4 +T 52792 43089 5 10 1 1 0 0 1 +refdes=XQ +T 54392 43492 5 10 0 0 0 0 1 +footprint=none +T 52500 42300 5 10 0 0 0 0 1 +value=FO4 +} +C 48400 43100 1 0 0 vdd-1.sym +C 49400 42100 1 0 0 vdd-1.sym +C 51400 43300 1 0 0 vdd-1.sym +C 52900 43100 1 0 0 vdd-1.sym +C 48500 42000 1 0 0 gnd-1.sym +C 49500 41000 1 0 0 gnd-1.sym +C 51500 40800 1 0 0 gnd-1.sym +C 53000 42000 1 0 0 gnd-1.sym +C 43200 42100 1 0 0 spice-model-1.sym +{ +T 43300 42700 5 10 1 1 0 0 1 +refdes=A3 +T 44500 42400 5 10 1 1 0 0 1 +model-name=BUF2 +T 43700 42200 5 10 1 1 0 0 1 +file=Library/spice/BUF2.cir +} +C 43200 41100 1 0 0 spice-model-1.sym +{ +T 43300 41700 5 10 1 1 0 0 1 +refdes=A4 +T 44500 41400 5 10 1 1 0 0 1 +model-name=FO4 +T 43700 41200 5 10 1 1 0 0 1 +file=Library/spice/FO4.cir +} +C 50400 41100 1 0 0 DFFN.sym +{ +T 50892 42092 5 16 1 1 0 0 1 +device=DFFN +T 50692 43089 5 10 1 1 0 0 1 +refdes=XUT +T 52292 42492 5 10 0 0 0 0 1 +footprint=none +T 50400 41100 5 10 0 0 0 0 1 +value=DFFN +} diff --git a/TBench/geda/DFFP_tb.sch b/TBench/geda/DFFP_tb.sch new file mode 100644 index 00000000..a7e1779f --- /dev/null +++ b/TBench/geda/DFFP_tb.sch @@ -0,0 +1,209 @@ +v 20130925 2 +C 46000 43000 1 0 0 vdc-1.sym +{ +T 46700 43650 5 10 1 1 0 0 1 +refdes=VDD +T 46700 43850 5 10 0 0 0 0 1 +device=VOLTAGE_SOURCE +T 46700 44050 5 10 0 0 0 0 1 +footprint=none +T 46700 43450 5 10 1 1 0 0 1 +value=DC 'SUPPLY' +} +C 48700 38900 1 0 0 cvstitleblock-1.sym +{ +T 49300 39300 5 10 1 1 0 0 1 +date=2019-09-08 +T 53200 39300 5 10 1 1 0 0 1 +rev=$Revision$ +T 53200 39000 5 10 1 1 0 0 1 +auth= +T 49300 39600 5 10 1 1 0 0 1 +fname=DFFP_tb.sch +T 51700 40000 5 14 1 1 0 4 1 +title=DFFP - Positive edge-triggered D-FlipFlop +} +T 49300 39000 9 10 1 0 0 0 1 +1 +T 50800 39000 9 10 1 0 0 0 1 +1 +C 43200 40300 1 0 0 spice-include-1.sym +{ +T 43300 40600 5 10 0 1 0 0 1 +device=include +T 43300 40700 5 10 1 1 0 0 1 +refdes=A5 +T 43700 40400 5 10 1 1 0 0 1 +file=TBench/spice/DFFP_tb.cmd +} +C 43200 43900 1 0 0 spice-directive-1.sym +{ +T 43300 44200 5 10 0 1 0 0 1 +device=directive +T 43300 44300 5 10 1 1 0 0 1 +refdes=A1 +T 43300 44000 5 10 0 1 0 0 1 +file=unknown +T 43300 44000 5 10 1 1 0 0 1 +value=.param SUPPLY=5.0 +} +C 43200 43000 1 0 0 spice-model-1.sym +{ +T 43300 43600 5 10 1 1 0 0 1 +refdes=A2 +T 44500 43300 5 10 1 1 0 0 1 +model-name=DFFP +T 43700 43100 5 10 1 1 0 0 1 +file=Library/spice/DFFP.cir +} +C 47800 40000 1 0 0 vpulse-1.sym +{ +T 48500 40650 5 10 1 1 0 0 1 +refdes=V3 +T 48500 40850 5 10 0 0 0 0 1 +device=vpulse +T 48500 41050 5 10 0 0 0 0 1 +footprint=none +T 45300 40650 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 0.5n 7.5n 7.5n 7.5n 30n +} +N 52500 42700 52200 42700 4 +{ +T 52300 42800 5 10 1 1 0 0 1 +netname=Q +} +N 47400 42700 48000 42700 4 +N 48100 41700 49000 41700 4 +N 49100 42700 50400 42700 4 +{ +T 49600 42800 5 10 1 1 0 0 1 +netname=D +} +N 50100 41700 50400 41700 4 +{ +T 50100 41800 5 10 1 1 0 0 1 +netname=X +} +N 48100 41200 48100 41700 4 +C 47100 41300 1 0 0 vpulse-1.sym +{ +T 47800 41950 5 10 1 1 0 0 1 +refdes=V2 +T 47800 42150 5 10 0 0 0 0 1 +device=vpulse +T 47800 42350 5 10 0 0 0 0 1 +footprint=none +T 44400 42050 5 10 1 1 0 0 1 +value=pulse 0 'SUPPLY' 5n 7.5n 7.5n 30n 120n +} +N 47400 42500 47400 42700 4 +C 46100 44400 1 0 0 vdd-1.sym +C 46200 42500 1 0 0 gnd-1.sym +N 46300 44400 46300 44200 4 +{ +T 46300 44400 5 10 1 1 0 0 1 +netname=VDD +} +N 46300 42800 46300 43000 4 +{ +T 46300 42800 5 10 1 1 0 0 1 +netname=GND +} +C 48000 39600 1 0 0 gnd-1.sym +C 47300 41000 1 0 0 gnd-1.sym +N 48100 39900 48100 40000 4 +C 54900 41200 1 0 0 gnd-1.sym +C 54800 42500 1 270 0 capacitor-1.sym +{ +T 55500 42300 5 10 0 0 270 0 1 +device=CAPACITOR +T 55300 42300 5 10 1 1 270 0 1 +refdes=CL +T 55700 42300 5 10 0 0 270 0 1 +symversion=0.1 +T 55100 41800 5 10 1 1 0 0 1 +value=10n +} +C 53800 42600 1 0 0 resistor-2.sym +{ +T 54200 42950 5 10 0 0 0 0 1 +device=RESISTOR +T 54000 42900 5 10 1 1 0 0 1 +refdes=RL +T 54000 42600 5 10 1 1 0 0 1 +value=100k +} +N 53600 42700 53800 42700 4 +N 54700 42700 55000 42700 4 +N 55000 42700 55000 42500 4 +N 55000 41600 55000 41500 4 +C 48000 42300 1 0 0 BUF2.sym +{ +T 48292 42592 5 16 1 1 0 0 1 +device=BUF2 +T 48292 43089 5 10 1 1 0 0 1 +refdes=XD +T 49892 43492 5 10 0 0 0 0 1 +footprint=none +T 48000 42300 5 10 0 0 0 0 1 +value=BUF2 +} +C 49000 41300 1 0 0 BUF2.sym +{ +T 49292 41592 5 16 1 1 0 0 1 +device=BUF2 +T 49292 42089 5 10 1 1 0 0 1 +refdes=XX +T 50892 42492 5 10 0 0 0 0 1 +footprint=none +T 49000 41300 5 10 0 0 0 0 1 +value=BUF2 +} +C 52500 42300 1 0 0 FO4.sym +{ +T 52792 42592 5 16 1 1 0 0 1 +device=FO4 +T 52792 43089 5 10 1 1 0 0 1 +refdes=XQ +T 54392 43492 5 10 0 0 0 0 1 +footprint=none +T 52500 42300 5 10 0 0 0 0 1 +value=FO4 +} +C 48400 43100 1 0 0 vdd-1.sym +C 49400 42100 1 0 0 vdd-1.sym +C 51400 43300 1 0 0 vdd-1.sym +C 52900 43100 1 0 0 vdd-1.sym +C 48500 42000 1 0 0 gnd-1.sym +C 49500 41000 1 0 0 gnd-1.sym +C 51500 40800 1 0 0 gnd-1.sym +C 53000 42000 1 0 0 gnd-1.sym +C 43200 42100 1 0 0 spice-model-1.sym +{ +T 43300 42700 5 10 1 1 0 0 1 +refdes=A3 +T 44500 42400 5 10 1 1 0 0 1 +model-name=BUF2 +T 43700 42200 5 10 1 1 0 0 1 +file=Library/spice/BUF2.cir +} +C 43200 41100 1 0 0 spice-model-1.sym +{ +T 43300 41700 5 10 1 1 0 0 1 +refdes=A4 +T 44500 41400 5 10 1 1 0 0 1 +model-name=FO4 +T 43700 41200 5 10 1 1 0 0 1 +file=Library/spice/FO4.cir +} +C 50400 41100 1 0 0 DFFP.sym +{ +T 50892 42092 5 16 1 1 0 0 1 +device=DFFP +T 50692 43089 5 10 1 1 0 0 1 +refdes=XUT +T 52292 42492 5 10 0 0 0 0 1 +footprint=none +T 50400 41100 5 10 0 0 0 0 1 +value=DFFP +} diff --git a/TBench/spice/DFFN_tb.cmd b/TBench/spice/DFFN_tb.cmd new file mode 100644 index 00000000..58079e52 --- /dev/null +++ b/TBench/spice/DFFN_tb.cmd @@ -0,0 +1,4 @@ + +.tran 100p 70n +.plot tran v(Q) v(XN) v(D) + diff --git a/TBench/spice/DFFP_tb.cmd b/TBench/spice/DFFP_tb.cmd new file mode 100644 index 00000000..ec33f4f8 --- /dev/null +++ b/TBench/spice/DFFP_tb.cmd @@ -0,0 +1,4 @@ + +.tran 100p 70n +.plot tran v(Q) v(X) v(D) + From 03b23fc9e211a623b077573991fbd41b4810b705 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 13:14:03 +0200 Subject: [PATCH 101/673] [CELLS] Rectify LaTeX circuits for LATEN, LATEP, LATERN, LATERP, LATESN, LATESR --- Documents/LaTeX/LATEN_circuit.tex | 12 +++++++----- Documents/LaTeX/LATEP_circuit.tex | 12 +++++++----- Documents/LaTeX/LATERN_circuit.tex | 14 ++++++++------ Documents/LaTeX/LATERP_circuit.tex | 14 ++++++++------ Documents/LaTeX/LATESN_circuit.tex | 14 ++++++++------ Documents/LaTeX/LATESP_circuit.tex | 14 ++++++++------ 6 files changed, 46 insertions(+), 34 deletions(-) diff --git a/Documents/LaTeX/LATEN_circuit.tex b/Documents/LaTeX/LATEN_circuit.tex index b2766082..287a8f52 100644 --- a/Documents/LaTeX/LATEN_circuit.tex +++ b/Documents/LaTeX/LATEN_circuit.tex @@ -36,16 +36,18 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{19}{9} + \begin{circuitdiagram}{21}{9} \usgate \gate{nor}{5}{3}{R}{}{} - \flipflop[\clockin{p}]{d}{13}{5}{R}{}{} + \flipflop[\clockin{p}]{d}{15}{5}{R}{}{} \pin{1}{7}{L}{D} % pin D - \wire{2}{7}{9}{7} + \wire{2}{7}{11}{7} \pin{1}{5}{L}{EN} % pin EN \pin{1}{1}{L}{XN} % pin XN - \wire{9}{3}{9}{5} - \pin{18}{7}{R}{Q} % pin Q + \wire{9}{3}{10}{3} + \wire{10}{3}{10}{5} + \wire{10}{5}{11}{5} + \pin{20}{7}{R}{Q} % pin Q \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/LATEP_circuit.tex b/Documents/LaTeX/LATEP_circuit.tex index 3cc1ec09..6ed4f2f9 100644 --- a/Documents/LaTeX/LATEP_circuit.tex +++ b/Documents/LaTeX/LATEP_circuit.tex @@ -36,16 +36,18 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{19}{9} + \begin{circuitdiagram}{21}{9} \usgate \gate{nand}{5}{3}{R}{}{} - \flipflop[\clockin{n}]{d}{13}{5}{R}{}{} + \flipflop[\clockin{n}]{d}{15}{5}{R}{}{} \pin{1}{7}{L}{D} % pin D - \wire{2}{7}{9}{7} + \wire{2}{7}{11}{7} \pin{1}{5}{L}{E} % pin E \pin{1}{1}{L}{X} % pin X - \wire{9}{3}{9}{5} - \pin{18}{7}{R}{Q} % pin Q + \wire{9}{3}{10}{3} + \wire{10}{3}{10}{5} + \wire{10}{5}{11}{5} + \pin{20}{7}{R}{Q} % pin Q \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/LATERN_circuit.tex b/Documents/LaTeX/LATERN_circuit.tex index e3295f99..8324926e 100644 --- a/Documents/LaTeX/LATERN_circuit.tex +++ b/Documents/LaTeX/LATERN_circuit.tex @@ -36,17 +36,19 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{19}{10} + \begin{circuitdiagram}{21}{10} \usgate \gate{nor}{5}{4}{R}{}{} - \flipflop[\clockin{p}\resetin{p}]{d}{13}{6}{R}{}{} + \flipflop[\clockin{p}\resetin{p}]{d}{15}{6}{R}{}{} \pin{1}{8}{L}{D} % pin D - \wire{2}{8}{9}{8} + \wire{2}{8}{11}{8} \pin{1}{6}{L}{EN} % pin EN \pin{1}{2}{L}{XN} % pin XN - \wire{9}{4}{9}{6} - \pin{13}{1}{D}{R} % pin R - \pin{18}{8}{R}{Q} % pin Q + \wire{9}{4}{10}{4} + \wire{10}{4}{10}{6} + \wire{10}{6}{11}{6} + \pin{15}{1}{D}{R} % pin R + \pin{20}{8}{R}{Q} % pin Q \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/LATERP_circuit.tex b/Documents/LaTeX/LATERP_circuit.tex index d672b336..07e261e5 100644 --- a/Documents/LaTeX/LATERP_circuit.tex +++ b/Documents/LaTeX/LATERP_circuit.tex @@ -36,17 +36,19 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{19}{10} + \begin{circuitdiagram}{21}{10} \usgate \gate{nand}{5}{4}{R}{}{} - \flipflop[\clockin{n}\resetin{p}]{d}{13}{6}{R}{}{} + \flipflop[\clockin{n}\resetin{p}]{d}{15}{6}{R}{}{} \pin{1}{8}{L}{D} % pin D - \wire{2}{8}{9}{8} + \wire{2}{8}{11}{8} \pin{1}{6}{L}{E} % pin E \pin{1}{2}{L}{X} % pin X - \wire{9}{4}{9}{6} - \pin{13}{1}{D}{R} % pin R - \pin{18}{8}{R}{Q} % pin Q + \wire{9}{4}{10}{4} + \wire{10}{4}{10}{6} + \wire{10}{6}{11}{6} + \pin{15}{1}{D}{R} % pin R + \pin{20}{8}{R}{Q} % pin Q \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/LATESN_circuit.tex b/Documents/LaTeX/LATESN_circuit.tex index fbd705ae..634bcb00 100644 --- a/Documents/LaTeX/LATESN_circuit.tex +++ b/Documents/LaTeX/LATESN_circuit.tex @@ -36,17 +36,19 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{19}{11} + \begin{circuitdiagram}{21}{11} \usgate \gate{nor}{5}{3}{R}{}{} - \flipflop[\clockin{p}\setin{n}]{d}{13}{5}{R}{}{} + \flipflop[\clockin{p}\setin{n}]{d}{15}{5}{R}{}{} \pin{1}{7}{L}{D} % pin D - \wire{2}{7}{9}{7} + \wire{2}{7}{11}{7} \pin{1}{5}{L}{EN} % pin EN \pin{1}{1}{L}{XN} % pin XN - \wire{9}{3}{9}{5} - \pin{13}{10}{U}{SN}% pin SN - \pin{18}{7}{R}{Q} % pin Q + \wire{9}{3}{10}{3} + \wire{10}{3}{10}{5} + \wire{10}{5}{11}{5} + \pin{15}{10}{U}{SN}% pin SN + \pin{20}{7}{R}{Q} % pin Q \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/LATESP_circuit.tex b/Documents/LaTeX/LATESP_circuit.tex index e5c9cfc5..bad25287 100644 --- a/Documents/LaTeX/LATESP_circuit.tex +++ b/Documents/LaTeX/LATESP_circuit.tex @@ -36,17 +36,19 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{19}{11} + \begin{circuitdiagram}{21}{11} \usgate \gate{nand}{5}{3}{R}{}{} - \flipflop[\clockin{n}\setin{n}]{d}{13}{5}{R}{}{} + \flipflop[\clockin{n}\setin{n}]{d}{15}{5}{R}{}{} \pin{1}{7}{L}{D} % pin D - \wire{2}{7}{9}{7} + \wire{2}{7}{11}{7} \pin{1}{5}{L}{E} % pin E \pin{1}{1}{L}{X} % pin X - \wire{9}{3}{9}{5} - \pin{13}{10}{U}{SN}% pin SN - \pin{18}{7}{R}{Q} % pin Q + \wire{9}{3}{10}{3} + \wire{10}{3}{10}{5} + \wire{10}{5}{11}{5} + \pin{15}{10}{U}{SN}% pin SN + \pin{20}{7}{R}{Q} % pin Q \end{circuitdiagram} \end{center} \end{figure} From a892e9d5041e475a7b3e6759c221f1e2528a6f5c Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 14:00:56 +0200 Subject: [PATCH 102/673] [CELLS] Add LaTeX circiuts for DFFEN, DFFEP, DFFERN, DFFERP, DFFESN and DFFESP --- Documents/LaTeX/DFFEN_circuit.tex | 54 +++++++++++++++++++++++++++ Documents/LaTeX/DFFEN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/DFFEP_circuit.tex | 54 +++++++++++++++++++++++++++ Documents/LaTeX/DFFEP_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/DFFERN_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/DFFERN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/DFFERP_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/DFFERP_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/DFFESN_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/DFFESN_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/DFFESP_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/DFFESP_manpage.tex | 59 ++++++++++++++++++++++++++++++ 12 files changed, 682 insertions(+) create mode 100644 Documents/LaTeX/DFFEN_circuit.tex create mode 100644 Documents/LaTeX/DFFEN_manpage.tex create mode 100644 Documents/LaTeX/DFFEP_circuit.tex create mode 100644 Documents/LaTeX/DFFEP_manpage.tex create mode 100644 Documents/LaTeX/DFFERN_circuit.tex create mode 100644 Documents/LaTeX/DFFERN_manpage.tex create mode 100644 Documents/LaTeX/DFFERP_circuit.tex create mode 100644 Documents/LaTeX/DFFERP_manpage.tex create mode 100644 Documents/LaTeX/DFFESN_circuit.tex create mode 100644 Documents/LaTeX/DFFESN_manpage.tex create mode 100644 Documents/LaTeX/DFFESP_circuit.tex create mode 100644 Documents/LaTeX/DFFESP_manpage.tex diff --git a/Documents/LaTeX/DFFEN_circuit.tex b/Documents/LaTeX/DFFEN_circuit.tex new file mode 100644 index 00000000..333eb4e8 --- /dev/null +++ b/Documents/LaTeX/DFFEN_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFEN_circuit.tex +%% +%% Purpose: Circuit File for DFFEN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{21}{9} + \usgate + \gate{nor}{5}{3}{R}{}{} + \flipflop[\clockin{pd}]{d}{15}{5}{R}{}{} + \pin{1}{7}{L}{D} % pin D + \wire{2}{7}{11}{7} + \pin{1}{5}{L}{EN} % pin EN + \pin{1}{1}{L}{XN} % pin XN + \wire{9}{3}{10}{3} + \wire{10}{3}{10}{5} + \wire{10}{5}{11}{5} + \pin{20}{7}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/DFFEN_manpage.tex b/Documents/LaTeX/DFFEN_manpage.tex new file mode 100644 index 00000000..200a5443 --- /dev/null +++ b/Documents/LaTeX/DFFEN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFEN_manpage.tex +%% +%% Purpose: Manual Page File for DFFEN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{DFFEN} +\paragraph{Cell} +\begin{quote} + \textbf{DFFEN} - a Negative edge-triggered D-FlipFlop with low-active Clock Enable +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + DFFEN(Q, D, EN, XN) +\end{quote} + +\paragraph{Description} +\input{DFFEN_circuit.tex} +%\input{DFFEN_schematic.tex} + +\paragraph{Truth Table} +%\input{DFFEN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/DFFEP_circuit.tex b/Documents/LaTeX/DFFEP_circuit.tex new file mode 100644 index 00000000..0368540c --- /dev/null +++ b/Documents/LaTeX/DFFEP_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFEP_circuit.tex +%% +%% Purpose: Circuit File for DFFEP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{21}{9} + \usgate + \gate{nand}{5}{3}{R}{}{} + \flipflop[\clockin{nd}]{d}{15}{5}{R}{}{} + \pin{1}{7}{L}{D} % pin D + \wire{2}{7}{11}{7} + \pin{1}{5}{L}{E} % pin E + \pin{1}{1}{L}{X} % pin X + \wire{9}{3}{10}{3} + \wire{10}{3}{10}{5} + \wire{10}{5}{11}{5} + \pin{20}{7}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/DFFEP_manpage.tex b/Documents/LaTeX/DFFEP_manpage.tex new file mode 100644 index 00000000..c83e3a06 --- /dev/null +++ b/Documents/LaTeX/DFFEP_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFEP_manpage.tex +%% +%% Purpose: Manual Page File for DFFEP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{DFFEP} +\paragraph{Cell} +\begin{quote} + \textbf{DFFEP} - a Positive edge-triggered D-FlipFlop with high-active Clock Enable +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + DFFEP(Q, D, E, X) +\end{quote} + +\paragraph{Description} +\input{DFFEP_circuit.tex} +%\input{DFFEP_schematic.tex} + +\paragraph{Truth Table} +%\input{DFFEP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/DFFERN_circuit.tex b/Documents/LaTeX/DFFERN_circuit.tex new file mode 100644 index 00000000..9c8be495 --- /dev/null +++ b/Documents/LaTeX/DFFERN_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFERN_circuit.tex +%% +%% Purpose: Circuit File for DFFERN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{21}{10} + \usgate + \gate{nor}{5}{4}{R}{}{} + \flipflop[\clockin{pd}\resetin{p}]{d}{15}{6}{R}{}{} + \pin{1}{8}{L}{D} % pin D + \wire{2}{8}{11}{8} + \pin{1}{6}{L}{EN} % pin EN + \pin{1}{2}{L}{XN} % pin XN + \wire{9}{4}{10}{4} + \wire{10}{4}{10}{6} + \wire{10}{6}{11}{6} + \pin{15}{1}{D}{R} % pin R + \pin{20}{8}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/DFFERN_manpage.tex b/Documents/LaTeX/DFFERN_manpage.tex new file mode 100644 index 00000000..e25aa64c --- /dev/null +++ b/Documents/LaTeX/DFFERN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFERN_manpage.tex +%% +%% Purpose: Manual Page File for DFFERN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{DFFERN} +\paragraph{Cell} +\begin{quote} + \textbf{DFFERN} - a Negative edge-triggered D-FlipFlop with low-active Clock Enable and high-active asynchronous Reset +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + DFFERN(Q, D, R, EN, XN) +\end{quote} + +\paragraph{Description} +\input{DFFERN_circuit.tex} +%\input{DFFERN_schematic.tex} + +\paragraph{Truth Table} +%\input{DFFERN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/DFFERP_circuit.tex b/Documents/LaTeX/DFFERP_circuit.tex new file mode 100644 index 00000000..5f90e53e --- /dev/null +++ b/Documents/LaTeX/DFFERP_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFERP_circuit.tex +%% +%% Purpose: Circuit File for DFFERP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{21}{10} + \usgate + \gate{nand}{5}{4}{R}{}{} + \flipflop[\clockin{nd}\resetin{p}]{d}{15}{6}{R}{}{} + \pin{1}{8}{L}{D} % pin D + \wire{2}{8}{11}{8} + \pin{1}{6}{L}{E} % pin E + \pin{1}{2}{L}{X} % pin X + \wire{9}{4}{10}{4} + \wire{10}{4}{10}{6} + \wire{10}{6}{11}{6} + \pin{15}{1}{D}{R} % pin R + \pin{20}{8}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/DFFERP_manpage.tex b/Documents/LaTeX/DFFERP_manpage.tex new file mode 100644 index 00000000..25e36b3e --- /dev/null +++ b/Documents/LaTeX/DFFERP_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFERP_manpage.tex +%% +%% Purpose: Manual Page File for DFFERP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{DFFERP} +\paragraph{Cell} +\begin{quote} + \textbf{DFFERP} - a Positive edge-triggered D-FlipFlop with high-active Clock Enable and high-active asynchronous Reset +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + DFFERP(Q, D, R, E, X) +\end{quote} + +\paragraph{Description} +\input{DFFERP_circuit.tex} +%\input{DFFERP_schematic.tex} + +\paragraph{Truth Table} +%\input{DFFERP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/DFFESN_circuit.tex b/Documents/LaTeX/DFFESN_circuit.tex new file mode 100644 index 00000000..c2cf5b71 --- /dev/null +++ b/Documents/LaTeX/DFFESN_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFESN_circuit.tex +%% +%% Purpose: Circuit File for DFFESN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{21}{11} + \usgate + \gate{nor}{5}{3}{R}{}{} + \flipflop[\clockin{pd}\setin{n}]{d}{15}{5}{R}{}{} + \pin{1}{7}{L}{D} % pin D + \wire{2}{7}{11}{7} + \pin{1}{5}{L}{EN} % pin EN + \pin{1}{1}{L}{XN} % pin XN + \wire{9}{3}{10}{3} + \wire{10}{3}{10}{5} + \wire{10}{5}{11}{5} + \pin{15}{10}{U}{SN}% pin SN + \pin{20}{7}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/DFFESN_manpage.tex b/Documents/LaTeX/DFFESN_manpage.tex new file mode 100644 index 00000000..86169ea4 --- /dev/null +++ b/Documents/LaTeX/DFFESN_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFESN_manpage.tex +%% +%% Purpose: Manual Page File for DFFESN +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{DFFESN} +\paragraph{Cell} +\begin{quote} + \textbf{DFFESN} - a Negative edge-triggered D-FlipFlop with low-active Clock Enable and low-active asynchronous Set +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + DFFESN(Q, D, SN, EN, XN) +\end{quote} + +\paragraph{Description} +\input{DFFESN_circuit.tex} +%\input{DFFESN_schematic.tex} + +\paragraph{Truth Table} +%\input{DFFESN_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/DFFESP_circuit.tex b/Documents/LaTeX/DFFESP_circuit.tex new file mode 100644 index 00000000..edb699f7 --- /dev/null +++ b/Documents/LaTeX/DFFESP_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFESP_circuit.tex +%% +%% Purpose: Circuit File for DFFESP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{21}{11} + \usgate + \gate{nand}{5}{3}{R}{}{} + \flipflop[\clockin{nd}\setin{n}]{d}{15}{5}{R}{}{} + \pin{1}{7}{L}{D} % pin D + \wire{2}{7}{11}{7} + \pin{1}{5}{L}{E} % pin E + \pin{1}{1}{L}{X} % pin X + \wire{9}{3}{10}{3} + \wire{10}{3}{10}{5} + \wire{10}{5}{11}{5} + \pin{15}{10}{U}{SN}% pin SN + \pin{20}{7}{R}{Q} % pin Q + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/DFFESP_manpage.tex b/Documents/LaTeX/DFFESP_manpage.tex new file mode 100644 index 00000000..d8353b92 --- /dev/null +++ b/Documents/LaTeX/DFFESP_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/DFFESP_manpage.tex +%% +%% Purpose: Manual Page File for DFFESP +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{DFFESP} +\paragraph{Cell} +\begin{quote} + \textbf{DFFESP} - a Positive edge-triggered D-FlipFlop with high-active Clock Enable and low-active asynchronous Set +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + DFFESP(Q, D, SN, E, X) +\end{quote} + +\paragraph{Description} +\input{DFFESP_circuit.tex} +%\input{DFFESP_schematic.tex} + +\paragraph{Truth Table} +%\input{DFFESP_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From b5070adeebd8abdbe42b9b2acdbc3038392808e4 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 17:09:18 +0200 Subject: [PATCH 103/673] [CELLS] Fix catalog makefile rules for AO(i)22, OA(i)22 --- Catalog/stacked2_cells.mk | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Catalog/stacked2_cells.mk b/Catalog/stacked2_cells.mk index c0fae2cb..580b8778 100644 --- a/Catalog/stacked2_cells.mk +++ b/Catalog/stacked2_cells.mk @@ -63,14 +63,14 @@ AO21: OR2 AO22: LEVEL = 2 AO22: AO21 - $(POPCORN) -m oai -c $@ $< > $@ + $(POPCORN) -m nand -c $@ $< > $@ OA21: AND2 $(POPCORN) -m oai -c $@ $< > $@ OA22: LEVEL = 2 OA22: OA21 - $(POPCORN) -m oai -c $@ $< > $@ + $(POPCORN) -m nor -c $@ $< > $@ OR2: INV $(POPCORN) -m nor -c $@ $< > $@ @@ -93,7 +93,7 @@ AOI21: NOR2 AOI22: LEVEL = 2 AOI22: AOI21 - $(POPCORN) -m oai -c $@ $< > $@ + $(POPCORN) -m nand -c $@ $< > $@ NAND2: INV $(POPCORN) -m nand -c $@ $< > $@ @@ -106,6 +106,6 @@ OAI21: NAND2 OAI22: LEVEL = 2 OAI22: OAI21 - $(POPCORN) -m oai -c $@ $< > $@ + $(POPCORN) -m nor -c $@ $< > $@ endif From f13043ec171a738418fb2bd7f8a6fc113617475c Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 17:10:25 +0200 Subject: [PATCH 104/673] [CELLS] Add catalog makefile rules for AOA(i)212 and OAO(i)212 --- Catalog/stacked3_cells.mk | 24 ++++++++++++++++++++++++ 1 file changed, 24 insertions(+) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 0400baf8..e06172b8 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -61,6 +61,7 @@ CELLS += AND3 \ AO332 \ AO333 \ AOA211 \ + AOA212 \ AOA221 \ AOAO2111 \ OA211 \ @@ -73,6 +74,7 @@ CELLS += AND3 \ OA332 \ OA333 \ OAO211 \ + OAO212 \ OAO221 \ OAOA2111 \ OR3 @@ -114,6 +116,9 @@ AO333: AO332 AOA211: OA21 $(POPCORN) -m nand -c $@ $< > $@ +AOA212: OA22 + $(POPCORN) -m nand -c $@ $< > $@ + AOA221: OA31 $(POPCORN) -m nand -c $@ $< > $@ @@ -154,6 +159,9 @@ OA333: OA332 OAO211: AO21 $(POPCORN) -m nor -c $@ $< > $@ +OAO212: AO22 + $(POPCORN) -m nor -c $@ $< > $@ + OAO221: AO31 $(POPCORN) -m nor -c $@ $< > $@ @@ -179,6 +187,7 @@ CELLS += AND3 \ AO332 \ AO333 \ AOA211 \ + AOA212 \ AOA221 \ AOAO2111 \ OA211 \ @@ -191,6 +200,7 @@ CELLS += AND3 \ OA332 \ OA333 \ OAO211 \ + OAO212 \ OAO221 \ OAOA2111 \ OR3 @@ -232,6 +242,9 @@ AO333: AO332 AOA211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ +AOA212: OAI22 + $(POPCORN) -m nand -c $@ $< > $@ + AOA221: OA31 $(POPCORN) -m nand -c $@ $< > $@ @@ -272,6 +285,9 @@ OA333: OA332 OAO211: AOI21 $(POPCORN) -m nor -c $@ $< > $@ +OAO212: AOI22 + $(POPCORN) -m nor -c $@ $< > $@ + OAO221: AO31 $(POPCORN) -m nor -c $@ $< > $@ @@ -288,6 +304,7 @@ else # -------- not buffered ------------------------------------ CELLS += AOAI211 \ + AOAI212 \ AOAI221 \ AOAOI2111 \ AOI211 \ @@ -312,11 +329,15 @@ CELLS += AOAI211 \ OAI333 \ OAOAI2111 \ OAOI211 \ + OAOI212 \ OAOI221 AOAI211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ +AOAI212: OAI22 + $(POPCORN) -m nand -c $@ $< > $@ + AOAI221: OAI31 $(POPCORN) -m nand -c $@ $< > $@ @@ -397,6 +418,9 @@ OAOAI2111: AOAI211 OAOI211: AOI21 $(POPCORN) -m nor -c $@ $< > $@ +OAOI212: AOI22 + $(POPCORN) -m nor -c $@ $< > $@ + OAOI221: AOI31 $(POPCORN) -m nor -c $@ $< > $@ From 789ea4f1483b82a8efa10984ac0323cc6d3bb862 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 20:53:58 +0200 Subject: [PATCH 105/673] [DOC] Add LaTeX circuit for AO211, AO311, NOR4 OAO2111, OAOI2111 and OR3 --- Documents/LaTeX/AO211_circuit.tex | 55 ++++++++++++++++++++++++++ Documents/LaTeX/AO211_manpage.tex | 59 ++++++++++++++++++++++++++++ Documents/LaTeX/AO311_circuit.tex | 56 ++++++++++++++++++++++++++ Documents/LaTeX/AO311_manpage.tex | 59 ++++++++++++++++++++++++++++ Documents/LaTeX/NOR4_circuit.tex | 50 +++++++++++++++++++++++ Documents/LaTeX/NOR4_manpage.tex | 59 ++++++++++++++++++++++++++++ Documents/LaTeX/OAO2111_circuit.tex | 58 +++++++++++++++++++++++++++ Documents/LaTeX/OAO2111_manpage.tex | 59 ++++++++++++++++++++++++++++ Documents/LaTeX/OAOI2111_circuit.tex | 57 +++++++++++++++++++++++++++ Documents/LaTeX/OAOI2111_manpage.tex | 59 ++++++++++++++++++++++++++++ Documents/LaTeX/OR3_circuit.tex | 50 +++++++++++++++++++++++ Documents/LaTeX/OR3_manpage.tex | 57 +++++++++++++++++++++++++++ 12 files changed, 678 insertions(+) create mode 100644 Documents/LaTeX/AO211_circuit.tex create mode 100644 Documents/LaTeX/AO211_manpage.tex create mode 100644 Documents/LaTeX/AO311_circuit.tex create mode 100644 Documents/LaTeX/AO311_manpage.tex create mode 100644 Documents/LaTeX/NOR4_circuit.tex create mode 100644 Documents/LaTeX/NOR4_manpage.tex create mode 100644 Documents/LaTeX/OAO2111_circuit.tex create mode 100644 Documents/LaTeX/OAO2111_manpage.tex create mode 100644 Documents/LaTeX/OAOI2111_circuit.tex create mode 100644 Documents/LaTeX/OAOI2111_manpage.tex create mode 100644 Documents/LaTeX/OR3_circuit.tex create mode 100644 Documents/LaTeX/OR3_manpage.tex diff --git a/Documents/LaTeX/AO211_circuit.tex b/Documents/LaTeX/AO211_circuit.tex new file mode 100644 index 00000000..fffb5f8a --- /dev/null +++ b/Documents/LaTeX/AO211_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO211_circuit.tex +%% +%% Purpose: Circuit File for AO211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}[draft*]{24}{10} + \usgate + \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{9}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{9}{7}{9}{5} % wire between AND and NOR + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO211_manpage.tex b/Documents/LaTeX/AO211_manpage.tex new file mode 100644 index 00000000..79864fd8 --- /dev/null +++ b/Documents/LaTeX/AO211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO211_manpage.tex +%% +%% Purpose: Manual Page File for AO211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AO211} +\paragraph{Cell} +\begin{quote} + \textbf{AO211} - a 2-1-1-input AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AO211(Z, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AO211_circuit.tex} +%\input{AOI211_schematic.tex} + +\paragraph{Truth Table} +%\input{AO211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AO311_circuit.tex b/Documents/LaTeX/AO311_circuit.tex new file mode 100644 index 00000000..5ce4a4bd --- /dev/null +++ b/Documents/LaTeX/AO311_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO311_circuit.tex +%% +%% Purpose: Circuit File for AOI211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{10} + \usgate + \gate[\inputs{3}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{9}{7}{9}{5} % wire between AND and NOR + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO311_manpage.tex b/Documents/LaTeX/AO311_manpage.tex new file mode 100644 index 00000000..efc7da62 --- /dev/null +++ b/Documents/LaTeX/AO311_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO311_manpage.tex +%% +%% Purpose: Manual Page File for AO311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AO311} +\paragraph{Cell} +\begin{quote} + \textbf{AO311} - a 3-1-1-input AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AO311(Z, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AO311_circuit.tex} +%\input{AO311_schematic.tex} + +\paragraph{Truth Table} +%\input{AO311_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/NOR4_circuit.tex b/Documents/LaTeX/NOR4_circuit.tex new file mode 100644 index 00000000..1f2c270a --- /dev/null +++ b/Documents/LaTeX/NOR4_circuit.tex @@ -0,0 +1,50 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/NOR4_circuit.tex +%% +%% Purpose: Circuit File for NOR4 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{11}{8} + \usgate + \gate[\inputs{4}]{nor}{5}{4}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{10}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/NOR4_manpage.tex b/Documents/LaTeX/NOR4_manpage.tex new file mode 100644 index 00000000..6a685c71 --- /dev/null +++ b/Documents/LaTeX/NOR4_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/NOR4_manpage.tex +%% +%% Purpose: Manual Page File for NOR4 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{NOR4} +\paragraph{Cell} +\begin{quote} + \textbf{NOR4} - a 4-input Not-OR (or NOR) gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + NOR4(Z, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{NOR4_circuit.tex} +%\input{NOR4_schematic.tex} + +\paragraph{Truth Table} +%\input{NOR4_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAO2111_circuit.tex b/Documents/LaTeX/OAO2111_circuit.tex new file mode 100644 index 00000000..cab4682e --- /dev/null +++ b/Documents/LaTeX/OAO2111_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO211_circuit.tex +%% +%% Purpose: Circuit File for OAO211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{3}{R}{}{} % NOR + \gate{not}{26}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{16}{7}{16}{5} % wire between AND and NOR + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAO2111_manpage.tex b/Documents/LaTeX/OAO2111_manpage.tex new file mode 100644 index 00000000..cb2c0c0f --- /dev/null +++ b/Documents/LaTeX/OAO2111_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO2111_manpage.tex +%% +%% Purpose: Manual Page File for OAO2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAO2111} +\paragraph{Cell} +\begin{quote} + \textbf{OAO2111} - a 2-1-1-1-input OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAO2111(Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAO2111_circuit.tex} +%\input{OAO2111_schematic.tex} + +\paragraph{Truth Table} +%\input{OAO2111_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOI2111_circuit.tex b/Documents/LaTeX/OAOI2111_circuit.tex new file mode 100644 index 00000000..94943ea2 --- /dev/null +++ b/Documents/LaTeX/OAOI2111_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI2111_circuit.tex +%% +%% Purpose: Circuit File for OAOI2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{16}{7}{16}{5} % wire between AND and NOR + \pin{24}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOI2111_manpage.tex b/Documents/LaTeX/OAOI2111_manpage.tex new file mode 100644 index 00000000..6873d9b3 --- /dev/null +++ b/Documents/LaTeX/OAOI2111_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI2111_manpage.tex +%% +%% Purpose: Manual Page File for OAOI2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOI2111} +\paragraph{Cell} +\begin{quote} + \textbf{OAOI2111} - a 2-1-1-1-input OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOI2111(Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOI2111_circuit.tex} +%\input{OAOI2111_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOI2111_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OR3_circuit.tex b/Documents/LaTeX/OR3_circuit.tex new file mode 100644 index 00000000..3359b19b --- /dev/null +++ b/Documents/LaTeX/OR3_circuit.tex @@ -0,0 +1,50 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OR3_circuit.tex +%% +%% Purpose: Circuit File for OR3 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{17}{6} + \usgate + \gate[\inputs{3}]{nor}{5}{3}{R}{}{} % NOR + \gate{not}{12}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{16}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OR3_manpage.tex b/Documents/LaTeX/OR3_manpage.tex new file mode 100644 index 00000000..084b9467 --- /dev/null +++ b/Documents/LaTeX/OR3_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OR3_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for OR3 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2018 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{OR3 - a 3-input OR gate} \label{logical:OR3} + +\paragraph{Synopsys} +\begin{quote} + OR3 (Z C B A) +\end{quote} + +\paragraph{Description} +\input{OR3_circuit.tex} +%\input{OR3_schematic.tex} + +\paragraph{Truth Table} +%\input{OR3_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From c0c5e89a59d8833946f91eea17a6ec9a6285c821 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 8 Sep 2019 20:56:05 +0200 Subject: [PATCH 106/673] [DOC] Fix LaTeX circuit files for AND4, AOI211, AOI311, BUF2, CGN2, CGP2 and OR4 --- Documents/LaTeX/AND4_manpage.tex | 5 +---- Documents/LaTeX/AOI211_circuit.tex | 11 ++++++----- Documents/LaTeX/AOI211_manpage.tex | 6 +++--- Documents/LaTeX/AOI311_circuit.tex | 13 +++++++------ Documents/LaTeX/AOI311_manpage.tex | 7 +------ Documents/LaTeX/BUF2_manpage.tex | 5 ----- Documents/LaTeX/CGN2_manpage.tex | 5 ----- Documents/LaTeX/CGP2_manpage.tex | 5 ----- Documents/LaTeX/OR4_circuit.tex | 11 ++++++----- Documents/LaTeX/OR4_manpage.tex | 14 +++++--------- 10 files changed, 29 insertions(+), 53 deletions(-) diff --git a/Documents/LaTeX/AND4_manpage.tex b/Documents/LaTeX/AND4_manpage.tex index c0ef9702..7bfccf5c 100644 --- a/Documents/LaTeX/AND4_manpage.tex +++ b/Documents/LaTeX/AND4_manpage.tex @@ -58,7 +58,4 @@ \paragraph{Files} -\paragraph{See also} -\begin{quote} - OR4 - a 4-input OR gate -\end{quote} +\clearpage diff --git a/Documents/LaTeX/AOI211_circuit.tex b/Documents/LaTeX/AOI211_circuit.tex index 1b43f659..80ccaec3 100644 --- a/Documents/LaTeX/AOI211_circuit.tex +++ b/Documents/LaTeX/AOI211_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI211.tex +%% File: StdCellLib/Documents/LaTeX/AOI211_circuit.tex %% %% Purpose: Circuit File for AOI211 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -37,12 +37,13 @@ \begin{figure}[h] %\caption{Circuit} \begin{center} \begin{circuitdiagram}{18}{10} + \usgate + \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C0} % pin C0 + \pin{1}{5}{L}{C} % pin C \pin{1}{9}{L}{C1} % pin C1 - \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR gate -> right \wire{2}{1}{9}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin B \wire{9}{7}{9}{5} % wire between AND and NOR diff --git a/Documents/LaTeX/AOI211_manpage.tex b/Documents/LaTeX/AOI211_manpage.tex index fb12e8da..03ed674d 100644 --- a/Documents/LaTeX/AOI211_manpage.tex +++ b/Documents/LaTeX/AOI211_manpage.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI211.tex +%% File: StdCellLib/Documents/LaTeX/AOI211_manpage.tex %% %% Purpose: Manual Page File for AOI211 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AOI211(Z, C1, C0, B, A) + AOI211(Z, C1, C, B, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AOI311_circuit.tex b/Documents/LaTeX/AOI311_circuit.tex index 1f94e723..16b32d19 100644 --- a/Documents/LaTeX/AOI311_circuit.tex +++ b/Documents/LaTeX/AOI311_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI211.tex +%% File: StdCellLib/Documents/LaTeX/AOI311_circuit.tex %% %% Purpose: Circuit File for AOI211 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,16 +34,17 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{10} + \usgate + \gate[\inputs{3}]{and}{5}{7}{R}{}{} % AND gate -> right + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR gate -> right \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C0} % pin C0 + \pin{1}{5}{L}{C} % pin C \pin{1}{7}{L}{C1} % pin C1 \pin{1}{9}{L}{C2} % pin C2 - \gate[\inputs{3}]{and}{5}{7}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR gate -> right \wire{2}{1}{9}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin B \wire{9}{7}{9}{5} % wire between AND and NOR diff --git a/Documents/LaTeX/AOI311_manpage.tex b/Documents/LaTeX/AOI311_manpage.tex index 26924d68..4950de58 100644 --- a/Documents/LaTeX/AOI311_manpage.tex +++ b/Documents/LaTeX/AOI311_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AOI311(Z, C2, C1, C0, B, A) + AOI311(Z, C2, C1, C, B, A) \end{quote} \paragraph{Description} @@ -57,8 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI211 - a 2-1-1-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/BUF2_manpage.tex b/Documents/LaTeX/BUF2_manpage.tex index 29bfe686..7bf4ed4d 100644 --- a/Documents/LaTeX/BUF2_manpage.tex +++ b/Documents/LaTeX/BUF2_manpage.tex @@ -57,8 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - IBUF2 - a inverting Buffer with 2x Drive Strength -\end{quote} diff --git a/Documents/LaTeX/CGN2_manpage.tex b/Documents/LaTeX/CGN2_manpage.tex index 0f883a8a..2956a607 100644 --- a/Documents/LaTeX/CGN2_manpage.tex +++ b/Documents/LaTeX/CGN2_manpage.tex @@ -61,8 +61,3 @@ \paragraph{Files} %\input{CGN2_files.tex} - -\paragraph{See also} -\begin{quote} - CGP2 - Clock Gating Buffer for positive Clock with 2x Drive Strength -\end{quote} diff --git a/Documents/LaTeX/CGP2_manpage.tex b/Documents/LaTeX/CGP2_manpage.tex index 53c21392..5828a58b 100644 --- a/Documents/LaTeX/CGP2_manpage.tex +++ b/Documents/LaTeX/CGP2_manpage.tex @@ -61,8 +61,3 @@ \paragraph{Files} %\input{CGP2_files.tex} - -\paragraph{See also} -\begin{quote} - CGN2 - Clock Gating Buffer for negative Clock with 2x Drive Strength -\end{quote} diff --git a/Documents/LaTeX/OR4_circuit.tex b/Documents/LaTeX/OR4_circuit.tex index adc43366..19fcf866 100644 --- a/Documents/LaTeX/OR4_circuit.tex +++ b/Documents/LaTeX/OR4_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OR4.tex +%% File: StdCellLib/Documents/LaTeX/OR4_circuit.tex %% %% Purpose: Circuit File for OR4 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,15 +34,16 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{17}{8} + \usgate + \gate[\inputs{4}]{nor}{5}{4}{R}{}{} % NOR + \gate{not}{12}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C \pin{1}{7}{L}{D} % pin D - \gate[\inputs{4}]{nor}{5}{4}{R}{}{} % OR gate -> right - \gate{not}{12}{4}{R}{}{} % NOT gate -> right \pin{16}{4}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OR4_manpage.tex b/Documents/LaTeX/OR4_manpage.tex index 1b5c2e5d..8f88b065 100644 --- a/Documents/LaTeX/OR4_manpage.tex +++ b/Documents/LaTeX/OR4_manpage.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OR4.tex +%% File: StdCellLib/Documents/LaTeX/OR4_mannpage.tex %% %% Purpose: Manual Page File for OR4 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -45,10 +45,10 @@ \paragraph{Description} \input{OR4_circuit.tex} -\input{OR4_schematic.tex} +%\input{OR4_schematic.tex} \paragraph{Truth Table} -\input{OR4_truthtable.tex} +%\input{OR4_truthtable.tex} \paragraph{Usage} @@ -58,8 +58,4 @@ \paragraph{Files} -\paragraph{See also} -\begin{quote} - AND4 - a 4-input AND gate -\end{quote} - +\clearpage From 68bdff1eb76ad1dff93c3b469994ec4b4f280efb Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 15:28:09 +0200 Subject: [PATCH 107/673] [DOC] Correct LaTeX circuit for OAI31 and OAO2111 --- Documents/LaTeX/OAI31_circuit.tex | 17 +++++++++-------- Documents/LaTeX/OAO2111_circuit.tex | 4 ++-- 2 files changed, 11 insertions(+), 10 deletions(-) diff --git a/Documents/LaTeX/OAI31_circuit.tex b/Documents/LaTeX/OAI31_circuit.tex index 6166acea..3905f0dc 100644 --- a/Documents/LaTeX/OAI31_circuit.tex +++ b/Documents/LaTeX/OAI31_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI21.tex +%% File: StdCellLib/Documents/LaTeX/OAI31_circuit.tex %% -%% Purpose: Circuit File for OAI21 +%% Purpose: Circuit File for OAI31 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,16 +34,17 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{8} + \usgate + \gate[\inputs{3}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{B1} % pin B1 \pin{1}{7}{L}{B2} % pin B2 - \gate[\inputs{3}]{or}{5}{5}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND gate -> right - \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{1}{9}{1} % wire from pin A \pin{17}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OAO2111_circuit.tex b/Documents/LaTeX/OAO2111_circuit.tex index cab4682e..91d40ebe 100644 --- a/Documents/LaTeX/OAO2111_circuit.tex +++ b/Documents/LaTeX/OAO2111_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/OAO211_circuit.tex +%% File: StdCellLib/Documents/LaTeX/OAO2111_circuit.tex %% -%% Purpose: Circuit File for OAO211 +%% Purpose: Circuit File for OAO2111 %% %% ************ LaTeX with circdia.sty package *************** %% From 4314b951460df1cc8842bd7762dfca7cdc4c841f Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 15:29:57 +0200 Subject: [PATCH 108/673] [DOC] Add LaTeX circuit for OAOA2111, OAOAI2111 and OA31 --- Documents/LaTeX/OA31_circuit.tex | 53 ++++++++++++++++++++++++ Documents/LaTeX/OA31_manpage.tex | 57 ++++++++++++++++++++++++++ Documents/LaTeX/OAOA2111_circuit.tex | 58 ++++++++++++++++++++++++++ Documents/LaTeX/OAOA2111_manpage.tex | 59 +++++++++++++++++++++++++++ Documents/LaTeX/OAOAI2111_circuit.tex | 57 ++++++++++++++++++++++++++ Documents/LaTeX/OAOAI2111_manpage.tex | 59 +++++++++++++++++++++++++++ 6 files changed, 343 insertions(+) create mode 100644 Documents/LaTeX/OA31_circuit.tex create mode 100644 Documents/LaTeX/OA31_manpage.tex create mode 100644 Documents/LaTeX/OAOA2111_circuit.tex create mode 100644 Documents/LaTeX/OAOA2111_manpage.tex create mode 100644 Documents/LaTeX/OAOAI2111_circuit.tex create mode 100644 Documents/LaTeX/OAOAI2111_manpage.tex diff --git a/Documents/LaTeX/OA31_circuit.tex b/Documents/LaTeX/OA31_circuit.tex new file mode 100644 index 00000000..7fa8d27b --- /dev/null +++ b/Documents/LaTeX/OA31_circuit.tex @@ -0,0 +1,53 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA31_circuit.tex +%% +%% Purpose: Circuit File for OA31 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{8} + \usgate + \gate[\inputs{3}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND + \gate{not}{19}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \wire{2}{1}{9}{1} % wire from pin A + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OA31_manpage.tex b/Documents/LaTeX/OA31_manpage.tex new file mode 100644 index 00000000..0f093447 --- /dev/null +++ b/Documents/LaTeX/OA31_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA31_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for OA31 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2018 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{OA31 - a 3-1-input OR-AND gate} \label{logical:OA31} + +\paragraph{Synopsys} +\begin{quote} + OA31 (Z B2 B1 B A) +\end{quote} + +\paragraph{Description} +\input{OA31_circuit.tex} +%\input{OA31_schematic.tex} + +\paragraph{Truth Table} +%\input{OA31_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAOA2111_circuit.tex b/Documents/LaTeX/OAOA2111_circuit.tex new file mode 100644 index 00000000..2466d6b0 --- /dev/null +++ b/Documents/LaTeX/OAOA2111_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOA2111_circuit.tex +%% +%% Purpose: Circuit File for OAOA2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{38}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{19}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{26}{3}{R}{}{} % NAND + \gate{not}{33}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \pin{37}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOA2111_manpage.tex b/Documents/LaTeX/OAOA2111_manpage.tex new file mode 100644 index 00000000..30480b4d --- /dev/null +++ b/Documents/LaTeX/OAOA2111_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOA2111_manpage.tex +%% +%% Purpose: Manual Page File for OAOA2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOA2111} +\paragraph{Cell} +\begin{quote} + \textbf{OAOA2111} - a 2-1-1-1-input OR-AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOA2111(Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOA2111_circuit.tex} +%\input{OAOA2111_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOA2111_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOAI2111_circuit.tex b/Documents/LaTeX/OAOAI2111_circuit.tex new file mode 100644 index 00000000..205c1604 --- /dev/null +++ b/Documents/LaTeX/OAOAI2111_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOAI2111_circuit.tex +%% +%% Purpose: Circuit File for OAOAI2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{32}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{19}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{26}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \pin{31}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOAI2111_manpage.tex b/Documents/LaTeX/OAOAI2111_manpage.tex new file mode 100644 index 00000000..15032ff7 --- /dev/null +++ b/Documents/LaTeX/OAOAI2111_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOAI2111_manpage.tex +%% +%% Purpose: Manual Page File for OAOAI2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOAI2111} +\paragraph{Cell} +\begin{quote} + \textbf{OAOAI2111} - a 2-1-1-1-input OR-AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOAI2111(Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOAI2111_circuit.tex} +%\input{OAOAI2111_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOAI2111_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From e7c6147f28f2f3351f44a29c2e3bda6eaefcdb88 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 15:31:19 +0200 Subject: [PATCH 109/673] [DOC] Add LaTeX circuit for AOA211, AOA221 and AOA311 --- Documents/LaTeX/AOA211_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/AOA211_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/AOA221_circuit.tex | 58 +++++++++++++++++++++++++++++ Documents/LaTeX/AOA221_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/AOA311_circuit.tex | 56 ++++++++++++++++++++++++++++ Documents/LaTeX/AOA311_manpage.tex | 59 ++++++++++++++++++++++++++++++ 6 files changed, 346 insertions(+) create mode 100644 Documents/LaTeX/AOA211_circuit.tex create mode 100644 Documents/LaTeX/AOA211_manpage.tex create mode 100644 Documents/LaTeX/AOA221_circuit.tex create mode 100644 Documents/LaTeX/AOA221_manpage.tex create mode 100644 Documents/LaTeX/AOA311_circuit.tex create mode 100644 Documents/LaTeX/AOA311_manpage.tex diff --git a/Documents/LaTeX/AOA211_circuit.tex b/Documents/LaTeX/AOA211_circuit.tex new file mode 100644 index 00000000..e6ed0b63 --- /dev/null +++ b/Documents/LaTeX/AOA211_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOA211_circuit.tex +%% +%% Purpose: Circuit File for AOA211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{10} + \usgate + \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{3}{R}{}{} % NAND + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{9}{L}{C1} % pin C1 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOA211_manpage.tex b/Documents/LaTeX/AOA211_manpage.tex new file mode 100644 index 00000000..353ea3e2 --- /dev/null +++ b/Documents/LaTeX/AOA211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOA211_manpage.tex +%% +%% Purpose: Manual Page File for AOA211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOA211} +\paragraph{Cell} +\begin{quote} + \textbf{AOA211} - a 2-1-1-input AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOA211(Z, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOA211_circuit.tex} +%\input{AOA211_schematic.tex} + +\paragraph{Truth Table} +%\input{AOA211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOA221_circuit.tex b/Documents/LaTeX/AOA221_circuit.tex new file mode 100644 index 00000000..8ef72509 --- /dev/null +++ b/Documents/LaTeX/AOA221_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOA221_circuit.tex +%% +%% Purpose: Circuit File for AOA221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{or}{12}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{3}{R}{}{} % NAND + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \wire{9}{7}{9}{9} % wire between AND and OR + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin B + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOA221_manpage.tex b/Documents/LaTeX/AOA221_manpage.tex new file mode 100644 index 00000000..ac63e912 --- /dev/null +++ b/Documents/LaTeX/AOA221_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOA221_manpage.tex +%% +%% Purpose: Manual Page File for AOA221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOA221} +\paragraph{Cell} +\begin{quote} + \textbf{AOA221} - a 2-2-1-input AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOA221(Z, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AOA221_circuit.tex} +%\input{AOA221_schematic.tex} + +\paragraph{Truth Table} +%\input{AOA221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOA311_circuit.tex b/Documents/LaTeX/AOA311_circuit.tex new file mode 100644 index 00000000..a09383d8 --- /dev/null +++ b/Documents/LaTeX/AOA311_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOA311_circuit.tex +%% +%% Purpose: Circuit File for AOA311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{10} + \usgate + \gate[\inputs{3}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{3}{R}{}{} % NAND + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin C + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOA311_manpage.tex b/Documents/LaTeX/AOA311_manpage.tex new file mode 100644 index 00000000..4b0e1a64 --- /dev/null +++ b/Documents/LaTeX/AOA311_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOA311_manpage.tex +%% +%% Purpose: Manual Page File for AOA311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOA311} +\paragraph{Cell} +\begin{quote} + \textbf{AOA311} - a 3-1-1-input AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOA311(Z, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOA311_circuit.tex} +%\input{AOA311_schematic.tex} + +\paragraph{Truth Table} +%\input{AOA311_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From d926e4fab4dbae89802fb8a756237e2972c5b7c7 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 15:33:04 +0200 Subject: [PATCH 110/673] [DOC] Add LaTeX cicuit for AOAI211, AOAI221 and AOAI311 --- Documents/LaTeX/AOAI211_circuit.tex | 54 ++++++++++++++++++++++++++ Documents/LaTeX/AOAI211_manpage.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/AOAI221_circuit.tex | 57 ++++++++++++++++++++++++++++ Documents/LaTeX/AOAI221_manpage.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/AOAI311_circuit.tex | 55 +++++++++++++++++++++++++++ Documents/LaTeX/AOAI311_manpage.tex | 59 +++++++++++++++++++++++++++++ 6 files changed, 343 insertions(+) create mode 100644 Documents/LaTeX/AOAI211_circuit.tex create mode 100644 Documents/LaTeX/AOAI211_manpage.tex create mode 100644 Documents/LaTeX/AOAI221_circuit.tex create mode 100644 Documents/LaTeX/AOAI221_manpage.tex create mode 100644 Documents/LaTeX/AOAI311_circuit.tex create mode 100644 Documents/LaTeX/AOAI311_manpage.tex diff --git a/Documents/LaTeX/AOAI211_circuit.tex b/Documents/LaTeX/AOAI211_circuit.tex new file mode 100644 index 00000000..bfa9b7e9 --- /dev/null +++ b/Documents/LaTeX/AOAI211_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAI211_circuit.tex +%% +%% Purpose: Circuit File for AOAI211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{10} + \usgate + \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{9}{L}{C1} % pin C1 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin C + \pin{24}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAI211_manpage.tex b/Documents/LaTeX/AOAI211_manpage.tex new file mode 100644 index 00000000..8537a5f9 --- /dev/null +++ b/Documents/LaTeX/AOAI211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAI211_manpage.tex +%% +%% Purpose: Manual Page File for AOAI211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAI211} +\paragraph{Cell} +\begin{quote} + \textbf{AOAI211} - a 2-1-1-input AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAI211(Z, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAI211_circuit.tex} +%\input{AOAI211_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAI211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOAI221_circuit.tex b/Documents/LaTeX/AOAI221_circuit.tex new file mode 100644 index 00000000..69096921 --- /dev/null +++ b/Documents/LaTeX/AOAI221_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAI221_circuit.tex +%% +%% Purpose: Circuit File for AOAI221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{or}{12}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \wire{9}{7}{9}{9} % wire between AND and OR + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin B + \pin{24}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAI221_manpage.tex b/Documents/LaTeX/AOAI221_manpage.tex new file mode 100644 index 00000000..f7f3e12f --- /dev/null +++ b/Documents/LaTeX/AOAI221_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAI221_manpage.tex +%% +%% Purpose: Manual Page File for AOAI221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAI221} +\paragraph{Cell} +\begin{quote} + \textbf{AOAI221} - a 2-2-1-input AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAI221(Z, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAI221_circuit.tex} +%\input{AOAI221_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAI221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOAI311_circuit.tex b/Documents/LaTeX/AOAI311_circuit.tex new file mode 100644 index 00000000..323fd0df --- /dev/null +++ b/Documents/LaTeX/AOAI311_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAI311_circuit.tex +%% +%% Purpose: Circuit File for AOAI311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{10} + \usgate + \gate[\inputs{3}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \pin{24}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAI311_manpage.tex b/Documents/LaTeX/AOAI311_manpage.tex new file mode 100644 index 00000000..b891005a --- /dev/null +++ b/Documents/LaTeX/AOAI311_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAI311_manpage.tex +%% +%% Purpose: Manual Page File for AOAI311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAI311} +\paragraph{Cell} +\begin{quote} + \textbf{AOAI311} - a 3-1-1-input AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAI311(Z, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAI311_circuit.tex} +%\input{AOAI311_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAI311_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From e7844d199c22eb94a60d6ee8e0138efa334e6371 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 16:20:24 +0200 Subject: [PATCH 111/673] [DOC] Add LaTeX circuit for OA41 and OAI41 --- Documents/LaTeX/OA41_circuit.tex | 55 +++++++++++++++++++++++++++++ Documents/LaTeX/OA41_manpage.tex | 57 +++++++++++++++++++++++++++++++ Documents/LaTeX/OAI41_circuit.tex | 54 +++++++++++++++++++++++++++++ Documents/LaTeX/OAI41_manpage.tex | 57 +++++++++++++++++++++++++++++++ 4 files changed, 223 insertions(+) create mode 100644 Documents/LaTeX/OA41_circuit.tex create mode 100644 Documents/LaTeX/OA41_manpage.tex create mode 100644 Documents/LaTeX/OAI41_circuit.tex create mode 100644 Documents/LaTeX/OAI41_manpage.tex diff --git a/Documents/LaTeX/OA41_circuit.tex b/Documents/LaTeX/OA41_circuit.tex new file mode 100644 index 00000000..0ef70c59 --- /dev/null +++ b/Documents/LaTeX/OA41_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA41_circuit.tex +%% +%% Purpose: Circuit File for OA41 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{10} + \usgate + \gate[\inputs{4}]{or}{5}{6}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{B3} % pin B3 + \wire{9}{5}{9}{6} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire from pin A + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OA41_manpage.tex b/Documents/LaTeX/OA41_manpage.tex new file mode 100644 index 00000000..e18ef3a3 --- /dev/null +++ b/Documents/LaTeX/OA41_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA41_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for OA41 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2018 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{OA41 - a 4-1-input OR-AND gate} \label{logical:OA41} + +\paragraph{Synopsys} +\begin{quote} + OA41 (Z B3 B2 B1 B A) +\end{quote} + +\paragraph{Description} +\input{OA41_circuit.tex} +%\input{OA41_schematic.tex} + +\paragraph{Truth Table} +%\input{OA41_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAI41_circuit.tex b/Documents/LaTeX/OAI41_circuit.tex new file mode 100644 index 00000000..0195161a --- /dev/null +++ b/Documents/LaTeX/OAI41_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI41_circuit.tex +%% +%% Purpose: Circuit File for OAI41 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{10} + \usgate + \gate[\inputs{4}]{or}{5}{6}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{B3} % pin B3 + \wire{9}{5}{9}{6} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire from pin A + \pin{17}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAI41_manpage.tex b/Documents/LaTeX/OAI41_manpage.tex new file mode 100644 index 00000000..56ffd925 --- /dev/null +++ b/Documents/LaTeX/OAI41_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI41_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for OAI41 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2018 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{OAI41 - a 4-1-input OR-AND-Invert gate} \label{logical:OAI41} + +\paragraph{Synopsys} +\begin{quote} + OAI41 (Z B3 B2 B1 B A) +\end{quote} + +\paragraph{Description} +\input{OAI41_circuit.tex} +%\input{OAI41_schematic.tex} + +\paragraph{Truth Table} +%\input{OAI41_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 1fe86a17c281367b814bbe90b7279f23bda49795 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 17:17:45 +0200 Subject: [PATCH 112/673] [DOC] Resolve Naming Conflict, rename OA22->OOA22, OAI22->OOAI22 --- Catalog/stacked2_cells.mk | 12 +++++----- Catalog/stacked3_cells.mk | 12 +++++----- .../{OA22_circuit.tex => OOA22_circuit.tex} | 4 ++-- .../{OA22_manpage.tex => OOA22_manpage.tex} | 16 ++++++------- .../{OAI22_circuit.tex => OOAI22_circuit.tex} | 13 +++++----- .../{OAI22_manpage.tex => OOAI22_manpage.tex} | 24 ++++++++----------- ...I22_schematic.tex => OOAI22_schematic.tex} | 6 ++--- ...2_truthtable.tex => OOAI22_truthtable.tex} | 6 ++--- 8 files changed, 45 insertions(+), 48 deletions(-) rename Documents/LaTeX/{OA22_circuit.tex => OOA22_circuit.tex} (95%) rename Documents/LaTeX/{OA22_manpage.tex => OOA22_manpage.tex} (85%) rename Documents/LaTeX/{OAI22_circuit.tex => OOAI22_circuit.tex} (91%) rename Documents/LaTeX/{OAI22_manpage.tex => OOAI22_manpage.tex} (76%) rename Documents/LaTeX/{OAI22_schematic.tex => OOAI22_schematic.tex} (94%) rename Documents/LaTeX/{OAI22_truthtable.tex => OOAI22_truthtable.tex} (91%) diff --git a/Catalog/stacked2_cells.mk b/Catalog/stacked2_cells.mk index 580b8778..891b3acd 100644 --- a/Catalog/stacked2_cells.mk +++ b/Catalog/stacked2_cells.mk @@ -52,7 +52,7 @@ CELLS += AND2 \ AO21 \ AO22 \ OA21 \ - OA22 \ + OOA22 \ OR2 AND2: INV @@ -68,8 +68,8 @@ AO22: AO21 OA21: AND2 $(POPCORN) -m oai -c $@ $< > $@ -OA22: LEVEL = 2 -OA22: OA21 +OOA22: LEVEL = 2 +OOA22: OA21 $(POPCORN) -m nor -c $@ $< > $@ OR2: INV @@ -86,7 +86,7 @@ CELLS += AOI21 \ NAND2 \ NOR2 \ OAI21 \ - OAI22 + OOAI22 AOI21: NOR2 $(POPCORN) -m aoi -c $@ $< > $@ @@ -104,8 +104,8 @@ NOR2: INV OAI21: NAND2 $(POPCORN) -m oai -c $@ $< > $@ -OAI22: LEVEL = 2 -OAI22: OAI21 +OOAI22: LEVEL = 2 +OOAI22: OAI21 $(POPCORN) -m nor -c $@ $< > $@ endif diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index e06172b8..696985fc 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -116,7 +116,7 @@ AO333: AO332 AOA211: OA21 $(POPCORN) -m nand -c $@ $< > $@ -AOA212: OA22 +AOA212: OOA22 $(POPCORN) -m nand -c $@ $< > $@ AOA221: OA31 @@ -134,7 +134,7 @@ OA31: OA21 OA311: OA211 $(POPCORN) -m oai -c $@ $< > $@ -OA32: OA22 +OA32: OOA22 $(POPCORN) -m oai -c $@ $< > $@ OA321: OA221 @@ -242,7 +242,7 @@ AO333: AO332 AOA211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ -AOA212: OAI22 +AOA212: OOAI22 $(POPCORN) -m nand -c $@ $< > $@ AOA221: OA31 @@ -260,7 +260,7 @@ OA31: OAI21 OA311: OA211 $(POPCORN) -m oai -c $@ $< > $@ -OA32: OAI22 +OA32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ OA321: OA221 @@ -335,7 +335,7 @@ CELLS += AOAI211 \ AOAI211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ -AOAI212: OAI22 +AOAI212: OOAI22 $(POPCORN) -m nand -c $@ $< > $@ AOAI221: OAI31 @@ -390,7 +390,7 @@ OAI31: OAI21 OAI311: OAI211 $(POPCORN) -m oai -c $@ $< > $@ -OAI32: OAI22 +OAI32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ OAI321: OAI221 diff --git a/Documents/LaTeX/OA22_circuit.tex b/Documents/LaTeX/OOA22_circuit.tex similarity index 95% rename from Documents/LaTeX/OA22_circuit.tex rename to Documents/LaTeX/OOA22_circuit.tex index 9c54e465..58b49625 100644 --- a/Documents/LaTeX/OA22_circuit.tex +++ b/Documents/LaTeX/OOA22_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/OA22_circuit.tex +%% File: StdCellLib/Documents/LaTeX/OOA22_circuit.tex %% -%% Purpose: Circuit File for OA22 +%% Purpose: Circuit File for OOA22 %% %% ************ LaTeX with circdia.sty package *************** %% diff --git a/Documents/LaTeX/OA22_manpage.tex b/Documents/LaTeX/OOA22_manpage.tex similarity index 85% rename from Documents/LaTeX/OA22_manpage.tex rename to Documents/LaTeX/OOA22_manpage.tex index 7eb52d18..5ad75bc5 100644 --- a/Documents/LaTeX/OA22_manpage.tex +++ b/Documents/LaTeX/OOA22_manpage.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/OA22_manpage.tex +%% File: StdCellLib/Documents/LaTeX/OOA22_manpage.tex %% -%% Purpose: Manual Page File for OA22 +%% Purpose: Manual Page File OOA22 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OA22} +\label{OOA22} \paragraph{Cell} \begin{quote} - \textbf{OA22} - a 2-2-input OR-AND gate + \textbf{OOA22} - a 2-2-input OR-OR-AND gate \end{quote} \paragraph{Synopsys} \begin{quote} - OA22(Z, B1, B, A1, A) + OOA22(Z, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{OA22_circuit.tex} -%\input{OA22_schematic.tex} +\input{OOA22_circuit.tex} +%\input{OOA22_schematic.tex} \paragraph{Truth Table} -%\input{OA22_truthtable.tex} +%\input{OOA22_truthtable.tex} \paragraph{Usage} diff --git a/Documents/LaTeX/OAI22_circuit.tex b/Documents/LaTeX/OOAI22_circuit.tex similarity index 91% rename from Documents/LaTeX/OAI22_circuit.tex rename to Documents/LaTeX/OOAI22_circuit.tex index e35b9dd4..8d1b2a80 100644 --- a/Documents/LaTeX/OAI22_circuit.tex +++ b/Documents/LaTeX/OOAI22_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI22.tex +%% File: StdCellLib/Documents/LaTeX/OOAI22_circuit.tex %% -%% Purpose: Circuit File for OAI22 +%% Purpose: Circuit File for OOAI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -37,13 +37,14 @@ \begin{figure}[h] %\caption{Circuit} \begin{center} \begin{circuitdiagram}{18}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR gate -> right + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR gate -> right + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND gate -> right \pin{1}{1}{L}{A0} % pin A0 \pin{1}{5}{L}{A1} % pin A1 \pin{1}{7}{L}{B0} % pin B0 \pin{1}{11}{L}{B1} % pin B1 - \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND gate -> right \wire{9}{3}{9}{4} % wire between OR and NAND \wire{9}{9}{9}{8} % wire between OR and NAND \pin{17}{6}{R}{Z} % pin Z diff --git a/Documents/LaTeX/OAI22_manpage.tex b/Documents/LaTeX/OOAI22_manpage.tex similarity index 76% rename from Documents/LaTeX/OAI22_manpage.tex rename to Documents/LaTeX/OOAI22_manpage.tex index 9bd3824e..1b17a522 100644 --- a/Documents/LaTeX/OAI22_manpage.tex +++ b/Documents/LaTeX/OOAI22_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI22.tex +%% File: StdCellLib/Documents/LaTeX/OOAI22_manpage.tex %% -%% Purpose: Manual Page File for OAI22 +%% Purpose: Manual Page File for OOAI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI22} +\label{OOAI22} \paragraph{Cell} \begin{quote} - \textbf{OAI22} - a 2-2-input OR-AND-Invert gate + \textbf{OOAI22} - a 2-2-input OR_OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI22(Z, B1, B0, A1, A0) + OOAI22(Z, B1, B0, A1, A0) \end{quote} \paragraph{Description} -\input{OAI22_circuit.tex} -\input{OAI22_schematic.tex} +\input{OOAI22_circuit.tex} +\input{OOAI22_schematic.tex} \paragraph{Truth Table} -\input{OAI22_truthtable.tex} +\input{OOAI22_truthtable.tex} \paragraph{Usage} @@ -58,8 +58,4 @@ \paragraph{Files} -\paragraph{See also} -\begin{quote} - OAI32 - a 3-2-input OR-AND-Invert gate \\ - OAI33 - a 3-3-input OR-AND-Invert gate -\end{quote} +\clearpage diff --git a/Documents/LaTeX/OAI22_schematic.tex b/Documents/LaTeX/OOAI22_schematic.tex similarity index 94% rename from Documents/LaTeX/OAI22_schematic.tex rename to Documents/LaTeX/OOAI22_schematic.tex index 98304f04..a25f81e9 100644 --- a/Documents/LaTeX/OAI22_schematic.tex +++ b/Documents/LaTeX/OOAI22_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI22.tex +%% File: StdCellLib/Documents/LaTeX/OOAI22_schematic.tex %% -%% Purpose: Schematic File for OAI22 +%% Purpose: Schematic File for OOAI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI22_truthtable.tex b/Documents/LaTeX/OOAI22_truthtable.tex similarity index 91% rename from Documents/LaTeX/OAI22_truthtable.tex rename to Documents/LaTeX/OOAI22_truthtable.tex index 0b30ca94..6ab9bd71 100644 --- a/Documents/LaTeX/OAI22_truthtable.tex +++ b/Documents/LaTeX/OOAI22_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI22.tex +%% File: StdCellLib/Documents/LaTeX/OOAI22_truthtable.tex %% -%% Purpose: Truth Table File for OAI22 +%% Purpose: Truth Table File for OOAI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 2b169f5ef6404e1eaf093bbfdfa683983bc10dd1 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 17:58:02 +0200 Subject: [PATCH 113/673] [DOC] Resolve Naming Conflict, rename OAI211->OAI22 --- Catalog/stacked3_cells.mk | 18 +++++++-------- Catalog/stacked4_cells.mk | 14 +++++------ .../{OAI211_circuit.tex => OAI22_circuit.tex} | 19 +++++++-------- .../{OAI211_manpage.tex => OAI22_manpage.tex} | 23 ++++++++----------- ...I211_schematic.tex => OAI22_schematic.tex} | 6 ++--- ...11_truthtable.tex => OAI22_truthtable.tex} | 6 ++--- 6 files changed, 41 insertions(+), 45 deletions(-) rename Documents/LaTeX/{OAI211_circuit.tex => OAI22_circuit.tex} (75%) rename Documents/LaTeX/{OAI211_manpage.tex => OAI22_manpage.tex} (77%) rename Documents/LaTeX/{OAI211_schematic.tex => OAI22_schematic.tex} (94%) rename Documents/LaTeX/{OAI211_truthtable.tex => OAI22_truthtable.tex} (91%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 696985fc..9129f50a 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -64,7 +64,7 @@ CELLS += AND3 \ AOA212 \ AOA221 \ AOAO2111 \ - OA211 \ + OA22 \ OA31 \ OA311 \ OA32 \ @@ -125,13 +125,13 @@ AOA221: OA31 AOAO2111: OAO211 $(POPCORN) -m nand -c $@ $< > $@ -OA211: AND3 +OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ OA31: OA21 $(POPCORN) -m oai -c $@ $< > $@ -OA311: OA211 +OA311: OA22 $(POPCORN) -m oai -c $@ $< > $@ OA32: OOA22 @@ -190,7 +190,7 @@ CELLS += AND3 \ AOA212 \ AOA221 \ AOAO2111 \ - OA211 \ + OA22 \ OA31 \ OA311 \ OA32 \ @@ -251,13 +251,13 @@ AOA221: OA31 AOAO2111: OAO211 $(POPCORN) -m nand -c $@ $< > $@ -OA211: AND3 +OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ OA31: OAI21 $(POPCORN) -m oai -c $@ $< > $@ -OA311: OA211 +OA311: OA22 $(POPCORN) -m oai -c $@ $< > $@ OA32: OOAI22 @@ -318,7 +318,7 @@ CELLS += AOAI211 \ AOI333 \ NAND3 \ NOR3 \ - OAI211 \ + OAI22 \ OAI31 \ OAI311 \ OAI32 \ @@ -381,13 +381,13 @@ NAND3: NAND2 NOR3: NOR2 $(POPCORN) -m nor -c $@ $< > $@ -OAI211: NAND3 +OAI22: NAND3 $(POPCORN) -m oai -c $@ $< > $@ OAI31: OAI21 $(POPCORN) -m oai -c $@ $< > $@ -OAI311: OAI211 +OAI311: OAI22 $(POPCORN) -m oai -c $@ $< > $@ OAI32: OOAI22 diff --git a/Catalog/stacked4_cells.mk b/Catalog/stacked4_cells.mk index 0a184cc4..94a36f4e 100644 --- a/Catalog/stacked4_cells.mk +++ b/Catalog/stacked4_cells.mk @@ -55,7 +55,7 @@ CELLS += AND4 \ AO41 \ AOA2111 \ AOA311 \ - OA211 \ + OA22 \ OA2111 \ OA3111 \ OA41 \ @@ -75,13 +75,13 @@ AO2111: OR4 AO41: AO31 $(POPCORN) -m aoi -c $@ $< > $@ -AOA2111: OA211 +AOA2111: OA22 $(POPCORN) -m nand -c $@ $< > $@ AOA311: AOA211 $(POPCORN) -m aoi -c $@ $< > $@ -OA211: AND3 +OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ OA2111: AND4 @@ -121,7 +121,7 @@ CELLS += AND4 \ AO41 \ AOA2111 \ AOA311 \ - OA211 \ + OA22 \ OA2111 \ OA3111 \ OA41 \ @@ -141,13 +141,13 @@ AO2111: OR4 AO41: AOI31 $(POPCORN) -m aoi -c $@ $< > $@ -AOA2111: OAI211 +AOA2111: OAI22 $(POPCORN) -m nand -c $@ $< > $@ AOA311: AOAI211 $(POPCORN) -m aoi -c $@ $< > $@ -OA211: AND3 +OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ OA2111: AND4 @@ -198,7 +198,7 @@ CELLS += AOAI2111 \ OAOI2111 \ OAOI311 -AOAI2111: OAI211 +AOAI2111: OAI22 $(POPCORN) -m nand -c $@ $< > $@ AOAI311: AOAI211 diff --git a/Documents/LaTeX/OAI211_circuit.tex b/Documents/LaTeX/OAI22_circuit.tex similarity index 75% rename from Documents/LaTeX/OAI211_circuit.tex rename to Documents/LaTeX/OAI22_circuit.tex index e93ab170..d7975c10 100644 --- a/Documents/LaTeX/OAI211_circuit.tex +++ b/Documents/LaTeX/OAI22_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI211.tex +%% File: StdCellLib/Documents/LaTeX/OAI22_circuit.tex %% -%% Purpose: Circuit File for OAI211 +%% Purpose: Circuit File for OAI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,18 +34,19 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{10} + \usgate + \gate[\inputs{2}]{or}{5}{7}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C0} % pin C0 \pin{1}{9}{L}{C1} % pin C2 - \gate[\inputs{2}]{or}{5}{7}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND gate -> right - \wire{9}{5}{9}{7} % wire between OR and NAND - \wire{2}{1}{9}{1} % wire between pin and NAND - \wire{2}{3}{9}{3} % wire between pin and NAND + \wire{9}{5}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire between pin and NAND + \wire{2}{3}{9}{3} % wire between pin and NAND \pin{17}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OAI211_manpage.tex b/Documents/LaTeX/OAI22_manpage.tex similarity index 77% rename from Documents/LaTeX/OAI211_manpage.tex rename to Documents/LaTeX/OAI22_manpage.tex index f40b7fa5..54e53c2c 100644 --- a/Documents/LaTeX/OAI211_manpage.tex +++ b/Documents/LaTeX/OAI22_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI211.tex +%% File: StdCellLib/Documents/LaTeX/OAI22_manpage.tex %% -%% Purpose: Manual Page File for OAI211 +%% Purpose: Manual Page File for OAI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI211} +\label{OAI22} \paragraph{Cell} \begin{quote} - \textbf{OAI211} - a 2-1-1-input OR-AND-Invert gate + \textbf{OAI22} - a 2-2-input OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI211(Z, C1, C0, B, A) + OAI22(Z, C1, C0, B, A) \end{quote} \paragraph{Description} -\input{OAI211_circuit.tex} -\input{OAI211_schematic.tex} +\input{OAI22_circuit.tex} +\input{OAI22_schematic.tex} \paragraph{Truth Table} -\input{OAI211_truthtable.tex} +\input{OAI22_truthtable.tex} \paragraph{Usage} @@ -57,8 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI311 - a 3-1-1-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI211_schematic.tex b/Documents/LaTeX/OAI22_schematic.tex similarity index 94% rename from Documents/LaTeX/OAI211_schematic.tex rename to Documents/LaTeX/OAI22_schematic.tex index eb9b3452..8f99c529 100644 --- a/Documents/LaTeX/OAI211_schematic.tex +++ b/Documents/LaTeX/OAI22_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI221.tex +%% File: StdCellLib/Documents/LaTeX/OAI22_schematic.tex %% -%% Purpose: Schematic File for OAI221 +%% Purpose: Schematic File for OAI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI211_truthtable.tex b/Documents/LaTeX/OAI22_truthtable.tex similarity index 91% rename from Documents/LaTeX/OAI211_truthtable.tex rename to Documents/LaTeX/OAI22_truthtable.tex index ed138f33..5069f46f 100644 --- a/Documents/LaTeX/OAI211_truthtable.tex +++ b/Documents/LaTeX/OAI22_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI211.tex +%% File: StdCellLib/Documents/LaTeX/OAI22_truthtable.tex %% -%% Purpose: Truth Table File for OAI211 +%% Purpose: Truth Table File for OAI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 1351310ec60835c3624d397374deeb41db57e4ab Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 18:04:25 +0200 Subject: [PATCH 114/673] [DOC] Add LaTeX circuit OA22 --- Documents/LaTeX/OA22_circuit.tex | 55 +++++++++++++++++++++++++++++ Documents/LaTeX/OA22_manpage.tex | 59 ++++++++++++++++++++++++++++++++ 2 files changed, 114 insertions(+) create mode 100644 Documents/LaTeX/OA22_circuit.tex create mode 100644 Documents/LaTeX/OA22_manpage.tex diff --git a/Documents/LaTeX/OA22_circuit.tex b/Documents/LaTeX/OA22_circuit.tex new file mode 100644 index 00000000..1743cb68 --- /dev/null +++ b/Documents/LaTeX/OA22_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA22_circuit.tex +%% +%% Purpose: Circuit File for OA22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{10} + \usgate + \gate[\inputs{2}]{or}{5}{7}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C0} % pin C0 + \pin{1}{9}{L}{C1} % pin C2 + \wire{9}{5}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire between pin and NAND + \wire{2}{3}{9}{3} % wire between pin and NAND + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OA22_manpage.tex b/Documents/LaTeX/OA22_manpage.tex new file mode 100644 index 00000000..ffef4aa8 --- /dev/null +++ b/Documents/LaTeX/OA22_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA22_manpage.tex +%% +%% Purpose: Manual Page File for OA22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OA22} +\paragraph{Cell} +\begin{quote} + \textbf{OA22} - a 2-2-input OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OA22(Z, C1, C0, B, A) +\end{quote} + +\paragraph{Description} +\input{OA22_circuit.tex} +%\input{OA22_schematic.tex} + +\paragraph{Truth Table} +%\input{OA22_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 43cc119492d79cb817a6bcbd0aaf015df2a5892e Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 18:24:22 +0200 Subject: [PATCH 115/673] [DOC] Resolve Naming Conflict, rename OA2111->OA23 --- Catalog/stacked4_cells.mk | 18 ++++++------- .../{OA2111_circuit.tex => OA23_circuit.tex} | 25 ++++++++++--------- .../{OA2111_manpage.tex => OA23_manpage.tex} | 21 ++++++---------- ...111_truthtable.tex => OA23_truthtable.tex} | 6 ++--- 4 files changed, 33 insertions(+), 37 deletions(-) rename Documents/LaTeX/{OA2111_circuit.tex => OA23_circuit.tex} (71%) rename Documents/LaTeX/{OA2111_manpage.tex => OA23_manpage.tex} (80%) rename Documents/LaTeX/{OA2111_truthtable.tex => OA23_truthtable.tex} (91%) diff --git a/Catalog/stacked4_cells.mk b/Catalog/stacked4_cells.mk index 94a36f4e..02b10f50 100644 --- a/Catalog/stacked4_cells.mk +++ b/Catalog/stacked4_cells.mk @@ -56,7 +56,7 @@ CELLS += AND4 \ AOA2111 \ AOA311 \ OA22 \ - OA2111 \ + OA23 \ OA3111 \ OA41 \ OA4111 \ @@ -84,10 +84,10 @@ AOA311: AOA211 OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ -OA2111: AND4 +OA23: AND4 $(POPCORN) -m oai -c $@ $< > $@ -OA3111: OA2111 +OA3111: OA23 $(POPCORN) -m oai -c $@ $< > $@ OA41: OA31 @@ -122,7 +122,7 @@ CELLS += AND4 \ AOA2111 \ AOA311 \ OA22 \ - OA2111 \ + OA23 \ OA3111 \ OA41 \ OA4111 \ @@ -150,10 +150,10 @@ AOA311: AOAI211 OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ -OA2111: AND4 +OA23: AND4 $(POPCORN) -m oai -c $@ $< > $@ -OA3111: OA2111 +OA3111: OA23 $(POPCORN) -m oai -c $@ $< > $@ OA41: OAI31 @@ -189,7 +189,7 @@ CELLS += AOAI2111 \ AOI41 \ NAND4 \ NOR4 \ - OAI2111 \ + OAI23 \ OAI3111 \ OAI41 \ OAI4111 \ @@ -216,13 +216,13 @@ NAND4: NAND3 NOR4: NOR3 $(POPCORN) -m nor -c $@ $< > $@ -OAI2111: NAND4 +OAI23: NAND4 $(POPCORN) -m oai -c $@ $< > $@ OAI41: OAI31 $(POPCORN) -m oai -c $@ $< > $@ -OAI3111: OAI2111 +OAI3111: OAI23 $(POPCORN) -m oai -c $@ $< > $@ OAI4111: OAI3111 diff --git a/Documents/LaTeX/OA2111_circuit.tex b/Documents/LaTeX/OA23_circuit.tex similarity index 71% rename from Documents/LaTeX/OA2111_circuit.tex rename to Documents/LaTeX/OA23_circuit.tex index f87cc64d..998c93ff 100644 --- a/Documents/LaTeX/OA2111_circuit.tex +++ b/Documents/LaTeX/OA23_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OA2111.tex +%% File: StdCellLib/Documents/LaTeX/OA23_circuit.tex %% -%% Purpose: Circuit File for OA2111 +%% Purpose: Circuit File for OA23 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,21 +34,22 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND + \gate{not}{19}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D0} % pin D0 + \pin{1}{7}{L}{D} % pin D \pin{1}{11}{L}{D1} % pin D1 - \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND gate -> right - \gate{not}{19}{4}{R}{}{} % NOT gate -> right - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C - \wire{9}{9}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{9}{9}{9}{7} % wire between OR and NAND \pin{23}{4}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OA2111_manpage.tex b/Documents/LaTeX/OA23_manpage.tex similarity index 80% rename from Documents/LaTeX/OA2111_manpage.tex rename to Documents/LaTeX/OA23_manpage.tex index 2b86141d..6fd95aa8 100644 --- a/Documents/LaTeX/OA2111_manpage.tex +++ b/Documents/LaTeX/OA23_manpage.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OA2111.tex +%% File: StdCellLib/Documents/LaTeX/OA23_manpage.tex %% -%% Purpose: Manual Page File for OA2111 +%% Purpose: Manual Page File for OA23 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OA2111} +\label{OA23} \paragraph{Cell} \begin{quote} - \textbf{OA2111} - a 2-1-1-1-input OR-AND gate + \textbf{OA23} - a 2-3-input OR-AND gate \end{quote} \paragraph{Synopsys} \begin{quote} - OA2111(Z, D1, D0, C, B, A) + OA23 (Z, D1, D, C, B, A) \end{quote} \paragraph{Description} -\input{OA2111_circuit.tex} -%\input{OA2111_schematic.tex} +\input{OA23_circuit.tex} +%\input{OA23_schematic.tex} \paragraph{Truth Table} -\input{OA2111_truthtable.tex} +%\input{OA23_truthtable.tex} \paragraph{Usage} @@ -57,8 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OA3111 - a 3-1-1-1-input AND-OR gate -\end{quote} diff --git a/Documents/LaTeX/OA2111_truthtable.tex b/Documents/LaTeX/OA23_truthtable.tex similarity index 91% rename from Documents/LaTeX/OA2111_truthtable.tex rename to Documents/LaTeX/OA23_truthtable.tex index e5451f6e..eb0bbf41 100644 --- a/Documents/LaTeX/OA2111_truthtable.tex +++ b/Documents/LaTeX/OA23_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OA2111.tex +%% File: StdCellLib/Documents/LaTeX/OA23_truthtable.tex %% -%% Purpose: Truth Table File for OA2111 +%% Purpose: Truth Table File for OA23 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 9f33f88064997c8626b19b8dd80032703c699717 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 18:29:13 +0200 Subject: [PATCH 116/673] [DOC] Add LaTeX circuit for OAI23 --- Documents/LaTeX/OAI23_circuit.tex | 56 +++++++++++++++++++++++++++++ Documents/LaTeX/OAI23_manpage.tex | 59 +++++++++++++++++++++++++++++++ 2 files changed, 115 insertions(+) create mode 100644 Documents/LaTeX/OAI23_circuit.tex create mode 100644 Documents/LaTeX/OAI23_manpage.tex diff --git a/Documents/LaTeX/OAI23_circuit.tex b/Documents/LaTeX/OAI23_circuit.tex new file mode 100644 index 00000000..9923522b --- /dev/null +++ b/Documents/LaTeX/OAI23_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI23_circuit.tex +%% +%% Purpose: Circuit File for OAI23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{9}{9}{9}{7} % wire between OR and NAND + \pin{17}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAI23_manpage.tex b/Documents/LaTeX/OAI23_manpage.tex new file mode 100644 index 00000000..07df2f01 --- /dev/null +++ b/Documents/LaTeX/OAI23_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI23_manpage.tex +%% +%% Purpose: Manual Page File for OAI23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAI23} +\paragraph{Cell} +\begin{quote} + \textbf{OAI23} - a 2-3-input OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAI23 (Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAI23_circuit.tex} +%\input{OAI23_schematic.tex} + +\paragraph{Truth Table} +%\input{OAI23_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 64db76ada63d50013759b1119c0d3c3df7f01ad6 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 18:54:26 +0200 Subject: [PATCH 117/673] [CELLS] Resolve Naming Conflict, rename AOAI2111->AOAI212, AOA2111->AOA212 --- Catalog/stacked4_cells.mk | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Catalog/stacked4_cells.mk b/Catalog/stacked4_cells.mk index 02b10f50..4f81caed 100644 --- a/Catalog/stacked4_cells.mk +++ b/Catalog/stacked4_cells.mk @@ -53,7 +53,7 @@ ifdef BUFFERED CELLS += AND4 \ AO2111 \ AO41 \ - AOA2111 \ + AOA212 \ AOA311 \ OA22 \ OA23 \ @@ -75,7 +75,7 @@ AO2111: OR4 AO41: AO31 $(POPCORN) -m aoi -c $@ $< > $@ -AOA2111: OA22 +AOA212: OA22 $(POPCORN) -m nand -c $@ $< > $@ AOA311: AOA211 @@ -119,7 +119,7 @@ ifeq ($(BUFFER),4) CELLS += AND4 \ AO2111 \ AO41 \ - AOA2111 \ + AOA212 \ AOA311 \ OA22 \ OA23 \ @@ -141,7 +141,7 @@ AO2111: OR4 AO41: AOI31 $(POPCORN) -m aoi -c $@ $< > $@ -AOA2111: OAI22 +AOA212: OAI22 $(POPCORN) -m nand -c $@ $< > $@ AOA311: AOAI211 @@ -183,7 +183,7 @@ BUFFERED = true else -CELLS += AOAI2111 \ +CELLS += AOAI212 \ AOAI311 \ AOI2111 \ AOI41 \ @@ -198,7 +198,7 @@ CELLS += AOAI2111 \ OAOI2111 \ OAOI311 -AOAI2111: OAI22 +AOAI212: OAI22 $(POPCORN) -m nand -c $@ $< > $@ AOAI311: AOAI211 From 9275e0fbb22373b775e02658d84f600689fac4e0 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 19:11:48 +0200 Subject: [PATCH 118/673] [DOC] Add LaTeX circuit for AOA212 and AOAI212 --- Documents/LaTeX/AOA212_circuit.tex | 58 ++++++++++++++++++++++++++++ Documents/LaTeX/AOA212_manpage.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/AOAI212_circuit.tex | 57 ++++++++++++++++++++++++++++ Documents/LaTeX/AOAI212_manpage.tex | 59 +++++++++++++++++++++++++++++ 4 files changed, 233 insertions(+) create mode 100644 Documents/LaTeX/AOA212_circuit.tex create mode 100644 Documents/LaTeX/AOA212_manpage.tex create mode 100644 Documents/LaTeX/AOAI212_circuit.tex create mode 100644 Documents/LaTeX/AOAI212_manpage.tex diff --git a/Documents/LaTeX/AOA212_circuit.tex b/Documents/LaTeX/AOA212_circuit.tex new file mode 100644 index 00000000..33385f1e --- /dev/null +++ b/Documents/LaTeX/AOA212_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOA212_circuit.tex +%% +%% Purpose: Circuit File for AOA212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{7}{R}{}{} % OR + \gate[\inputs{3}]{nand}{19}{3}{R}{}{} % NAND + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{16}{5}{16}{7} % wire between AND and OR + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOA212_manpage.tex b/Documents/LaTeX/AOA212_manpage.tex new file mode 100644 index 00000000..aaca6886 --- /dev/null +++ b/Documents/LaTeX/AOA212_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOA212_manpage.tex +%% +%% Purpose: Manual Page File for AOA212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOA212} +\paragraph{Cell} +\begin{quote} + \textbf{AOA212} - a 2-1-2-input AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOA212(Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOA212_circuit.tex} +%\input{AOA212_schematic.tex} + +\paragraph{Truth Table} +%\input{AOA212_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOAI212_circuit.tex b/Documents/LaTeX/AOAI212_circuit.tex new file mode 100644 index 00000000..08e270e8 --- /dev/null +++ b/Documents/LaTeX/AOAI212_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAI212_circuit.tex +%% +%% Purpose: Circuit File for AOAI212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{7}{R}{}{} % OR + \gate[\inputs{3}]{nand}{19}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{16}{5}{16}{7} % wire between AND and OR + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \pin{24}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAI212_manpage.tex b/Documents/LaTeX/AOAI212_manpage.tex new file mode 100644 index 00000000..60c2992d --- /dev/null +++ b/Documents/LaTeX/AOAI212_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAI212_manpage.tex +%% +%% Purpose: Manual Page File for AOAI212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAI212} +\paragraph{Cell} +\begin{quote} + \textbf{AOAI212} - a 2-1-2-input AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAI212(Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAI212_circuit.tex} +%\input{AOAI212_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAI212_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From bd851c9a06c865e13ba8545d562fb693dd6c3981 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 20:14:59 +0200 Subject: [PATCH 119/673] [DOC] Resolve Name Conflict, rename OAI32->OOAI32 --- Catalog/stacked3_cells.mk | 18 +++++++------- .../{OAI32_circuit.tex => OOAI32_circuit.tex} | 13 +++++----- .../{OAI32_manpage.tex => OOAI32_manpage.tex} | 24 +++++++------------ ...I32_schematic.tex => OOAI32_schematic.tex} | 6 ++--- ...2_truthtable.tex => OOAI32_truthtable.tex} | 6 ++--- 5 files changed, 31 insertions(+), 36 deletions(-) rename Documents/LaTeX/{OAI32_circuit.tex => OOAI32_circuit.tex} (91%) rename Documents/LaTeX/{OAI32_manpage.tex => OOAI32_manpage.tex} (75%) rename Documents/LaTeX/{OAI32_schematic.tex => OOAI32_schematic.tex} (94%) rename Documents/LaTeX/{OAI32_truthtable.tex => OOAI32_truthtable.tex} (91%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 9129f50a..91f35ba9 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -67,7 +67,6 @@ CELLS += AND3 \ OA22 \ OA31 \ OA311 \ - OA32 \ OA321 \ OA33 \ OA331 \ @@ -77,7 +76,8 @@ CELLS += AND3 \ OAO212 \ OAO221 \ OAOA2111 \ - OR3 + OR3 \ + OOA32 AND3: AND2 $(POPCORN) -m nand -c $(*F) -c $@ $< > $@ @@ -134,7 +134,7 @@ OA31: OA21 OA311: OA22 $(POPCORN) -m oai -c $@ $< > $@ -OA32: OOA22 +OOA32: OOA22 $(POPCORN) -m oai -c $@ $< > $@ OA321: OA221 @@ -193,7 +193,6 @@ CELLS += AND3 \ OA22 \ OA31 \ OA311 \ - OA32 \ OA321 \ OA33 \ OA331 \ @@ -203,7 +202,8 @@ CELLS += AND3 \ OAO212 \ OAO221 \ OAOA2111 \ - OR3 + OR3 \ + OOA32 AND3: NAND2 $(POPCORN) -m nand -c $@ $< > $@ @@ -260,7 +260,7 @@ OA31: OAI21 OA311: OA22 $(POPCORN) -m oai -c $@ $< > $@ -OA32: OOAI22 +OOA32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ OA321: OA221 @@ -321,7 +321,6 @@ CELLS += AOAI211 \ OAI22 \ OAI31 \ OAI311 \ - OAI32 \ OAI321 \ OAI33 \ OAI331 \ @@ -330,7 +329,8 @@ CELLS += AOAI211 \ OAOAI2111 \ OAOI211 \ OAOI212 \ - OAOI221 + OAOI221 \ + OOAI32 AOAI211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ @@ -390,7 +390,7 @@ OAI31: OAI21 OAI311: OAI22 $(POPCORN) -m oai -c $@ $< > $@ -OAI32: OOAI22 +OOAI32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ OAI321: OAI221 diff --git a/Documents/LaTeX/OAI32_circuit.tex b/Documents/LaTeX/OOAI32_circuit.tex similarity index 91% rename from Documents/LaTeX/OAI32_circuit.tex rename to Documents/LaTeX/OOAI32_circuit.tex index ef021e06..3ab9e2a1 100644 --- a/Documents/LaTeX/OAI32_circuit.tex +++ b/Documents/LaTeX/OOAI32_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI32.tex +%% File: StdCellLib/Documents/LaTeX/OOAI32_circuit.tex %% -%% Purpose: Circuit File for OAI33 +%% Purpose: Circuit File for OOAI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -37,14 +37,15 @@ \begin{figure}[h] %\caption{Circuit} \begin{center} \begin{circuitdiagram}{18}{12} + \usgate + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR gate -> right + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR gate -> right + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND gate -> right \pin{1}{1}{L}{A0} % pin A0 \pin{1}{5}{L}{A1} % pin A1 \pin{1}{7}{L}{B0} % pin B0 \pin{1}{9}{L}{B1} % pin B1 \pin{1}{11}{L}{B2} % pin B2 - \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND gate -> right \wire{9}{3}{9}{4} % wire between OR and NAND \wire{9}{9}{9}{8} % wire between OR and NAND \pin{17}{6}{R}{Z} % pin Z diff --git a/Documents/LaTeX/OAI32_manpage.tex b/Documents/LaTeX/OOAI32_manpage.tex similarity index 75% rename from Documents/LaTeX/OAI32_manpage.tex rename to Documents/LaTeX/OOAI32_manpage.tex index 865d1b1f..1c252fc9 100644 --- a/Documents/LaTeX/OAI32_manpage.tex +++ b/Documents/LaTeX/OOAI32_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI32.tex +%% File: StdCellLib/Documents/LaTeX/OOAI32_manpage.tex %% -%% Purpose: Manual Page File for OAI32 +%% Purpose: Manual Page File for OOAI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI32} +\label{OOAI32} \paragraph{Cell} \begin{quote} - \textbf{OAI32} - a 3-2-input OR-AND-Invert gate + \textbf{OOAI32} - a 3-2-input OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI32(Z, B2, B1, B0, A1, A0) + OOAI32(Z, B2, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{OAI32_circuit.tex} -\input{OAI32_schematic.tex} +\input{OOAI32_circuit.tex} +\input{OOAI32_schematic.tex} \paragraph{Truth Table} -\input{OAI32_truthtable.tex} +\input{OOAI32_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI22 - a 2-2-input OR-AND-Invert gate \\ - OAI33 - a 3-3-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI32_schematic.tex b/Documents/LaTeX/OOAI32_schematic.tex similarity index 94% rename from Documents/LaTeX/OAI32_schematic.tex rename to Documents/LaTeX/OOAI32_schematic.tex index 167bad2e..d714a272 100644 --- a/Documents/LaTeX/OAI32_schematic.tex +++ b/Documents/LaTeX/OOAI32_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI32.tex +%% File: StdCellLib/Documents/LaTeX/OOAI32_schematic.tex %% -%% Purpose: Schematic File for OAI32 +%% Purpose: Schematic File for OOAI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI32_truthtable.tex b/Documents/LaTeX/OOAI32_truthtable.tex similarity index 91% rename from Documents/LaTeX/OAI32_truthtable.tex rename to Documents/LaTeX/OOAI32_truthtable.tex index f71e38ae..f127eb93 100644 --- a/Documents/LaTeX/OAI32_truthtable.tex +++ b/Documents/LaTeX/OOAI32_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI32.tex +%% File: StdCellLib/Documents/LaTeX/OOAI32_truthtable.tex %% -%% Purpose: Truth Table File for OAI32 +%% Purpose: Truth Table File for OOAI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 77eec4a6bf61238902ac259cdaf19fb03d58f885 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 20:50:07 +0200 Subject: [PATCH 120/673] [DOC] Fix LaTeX circuit for OOAI32 --- Documents/LaTeX/OOAI32_circuit.tex | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Documents/LaTeX/OOAI32_circuit.tex b/Documents/LaTeX/OOAI32_circuit.tex index 3ab9e2a1..f5f30ab0 100644 --- a/Documents/LaTeX/OOAI32_circuit.tex +++ b/Documents/LaTeX/OOAI32_circuit.tex @@ -41,9 +41,9 @@ \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR gate -> right \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR gate -> right \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND gate -> right - \pin{1}{1}{L}{A0} % pin A0 + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{9}{L}{B1} % pin B1 \pin{1}{11}{L}{B2} % pin B2 \wire{9}{3}{9}{4} % wire between OR and NAND From c5d780c416dc484d81fa7f1f8a4f4a91395f38c1 Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 20:51:10 +0200 Subject: [PATCH 121/673] [DOC] Add LaTeX circuit for OOA32 --- Documents/LaTeX/OOA32_circuit.tex | 56 +++++++++++++++++++++++++++++ Documents/LaTeX/OOA32_manpage.tex | 59 +++++++++++++++++++++++++++++++ 2 files changed, 115 insertions(+) create mode 100644 Documents/LaTeX/OOA32_circuit.tex create mode 100644 Documents/LaTeX/OOA32_manpage.tex diff --git a/Documents/LaTeX/OOA32_circuit.tex b/Documents/LaTeX/OOA32_circuit.tex new file mode 100644 index 00000000..be3dd326 --- /dev/null +++ b/Documents/LaTeX/OOA32_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA32_circuit.tex +%% +%% Purpose: Circuit File for OOA32 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A0 + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B0 + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{9}{3}{9}{4} % wire between OR and NAND + \wire{9}{9}{9}{8} % wire between OR and NAND + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOA32_manpage.tex b/Documents/LaTeX/OOA32_manpage.tex new file mode 100644 index 00000000..9127f420 --- /dev/null +++ b/Documents/LaTeX/OOA32_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA32_manpage.tex +%% +%% Purpose: Manual Page File for OOA32 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOA32} +\paragraph{Cell} +\begin{quote} + \textbf{OOA32} - a 3-2-input OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOA32(Z, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOA32_circuit.tex} +%\input{OOA32_schematic.tex} + +\paragraph{Truth Table} +%\input{OOA32_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 50e28765628ffe37fad9d59f8ed905f81618d1ac Mon Sep 17 00:00:00 2001 From: chipforge Date: Mon, 9 Sep 2019 21:32:40 +0200 Subject: [PATCH 122/673] [DOC] Resolve Name Conflict, move AOAI212->AOOAI212; Add AOOA212 LaTeX circuit --- Catalog/stacked3_cells.mk | 24 +++++------ Documents/LaTeX/AOOA212_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/AOOA212_manpage.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/AOOAI212_circuit.tex | 59 +++++++++++++++++++++++++++ Documents/LaTeX/AOOAI212_manpage.tex | 61 ++++++++++++++++++++++++++++ 5 files changed, 253 insertions(+), 12 deletions(-) create mode 100644 Documents/LaTeX/AOOA212_circuit.tex create mode 100644 Documents/LaTeX/AOOA212_manpage.tex create mode 100644 Documents/LaTeX/AOOAI212_circuit.tex create mode 100644 Documents/LaTeX/AOOAI212_manpage.tex diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 91f35ba9..f371f522 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -61,9 +61,9 @@ CELLS += AND3 \ AO332 \ AO333 \ AOA211 \ - AOA212 \ AOA221 \ AOAO2111 \ + AOOA212 \ OA22 \ OA31 \ OA311 \ @@ -116,15 +116,15 @@ AO333: AO332 AOA211: OA21 $(POPCORN) -m nand -c $@ $< > $@ -AOA212: OOA22 - $(POPCORN) -m nand -c $@ $< > $@ - AOA221: OA31 $(POPCORN) -m nand -c $@ $< > $@ AOAO2111: OAO211 $(POPCORN) -m nand -c $@ $< > $@ +AOOA212: OOA22 + $(POPCORN) -m nand -c $@ $< > $@ + OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ @@ -187,9 +187,9 @@ CELLS += AND3 \ AO332 \ AO333 \ AOA211 \ - AOA212 \ AOA221 \ AOAO2111 \ + AOOA212 \ OA22 \ OA31 \ OA311 \ @@ -242,15 +242,15 @@ AO333: AO332 AOA211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ -AOA212: OOAI22 - $(POPCORN) -m nand -c $@ $< > $@ - AOA221: OA31 $(POPCORN) -m nand -c $@ $< > $@ AOAO2111: OAO211 $(POPCORN) -m nand -c $@ $< > $@ +AOOA212: OOAI22 + $(POPCORN) -m nand -c $@ $< > $@ + OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ @@ -304,7 +304,6 @@ else # -------- not buffered ------------------------------------ CELLS += AOAI211 \ - AOAI212 \ AOAI221 \ AOAOI2111 \ AOI211 \ @@ -316,6 +315,7 @@ CELLS += AOAI211 \ AOI331 \ AOI332 \ AOI333 \ + AOOAI212 \ NAND3 \ NOR3 \ OAI22 \ @@ -335,9 +335,6 @@ CELLS += AOAI211 \ AOAI211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ -AOAI212: OOAI22 - $(POPCORN) -m nand -c $@ $< > $@ - AOAI221: OAI31 $(POPCORN) -m nand -c $@ $< > $@ @@ -375,6 +372,9 @@ AOI333: LEVEL = 3 AOI333: AOI332 $(POPCORN) -m aoi -c $@ $< > $@ +AOOAI212: OOAI22 + $(POPCORN) -m nand -c $@ $< > $@ + NAND3: NAND2 $(POPCORN) -m nand -c $@ $< > $@ diff --git a/Documents/LaTeX/AOOA212_circuit.tex b/Documents/LaTeX/AOOA212_circuit.tex new file mode 100644 index 00000000..e9f7a2d5 --- /dev/null +++ b/Documents/LaTeX/AOOA212_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOOA212_circuit.tex +%% +%% Purpose: Circuit File for AOOA212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{12}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{6}{R}{}{} % NAND + \gate{not}{26}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{2}{7}{9}{7} % wire pin B + \wire{16}{3}{16}{4} % wire between OR and NAND + \wire{16}{9}{16}{8} % wire between OR and NAND + \pin{30}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOOA212_manpage.tex b/Documents/LaTeX/AOOA212_manpage.tex new file mode 100644 index 00000000..79029b1d --- /dev/null +++ b/Documents/LaTeX/AOOA212_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOOA212_manpage.tex +%% +%% Purpose: Manual Page File for AOOA212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOOA212} +\paragraph{Cell} +\begin{quote} + \textbf{AOOA212} - a 2-1-2-input AND-OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOOA212(Z, C1, C, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AOOA212_circuit.tex} +%\input{AOOA212_schematic.tex} + +\paragraph{Truth Table} +%\input{AOOA212_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AOOAI212_circuit.tex b/Documents/LaTeX/AOOAI212_circuit.tex new file mode 100644 index 00000000..79817e7e --- /dev/null +++ b/Documents/LaTeX/AOOAI212_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOOAI212_circuit.tex +%% +%% Purpose: Circuit File for AOOAI212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{12}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{6}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{2}{7}{9}{7} % wire pin B + \wire{16}{3}{16}{4} % wire between OR and NAND + \wire{16}{9}{16}{8} % wire between OR and NAND + \pin{24}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOOAI212_manpage.tex b/Documents/LaTeX/AOOAI212_manpage.tex new file mode 100644 index 00000000..647780cb --- /dev/null +++ b/Documents/LaTeX/AOOAI212_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOOAI212_manpage.tex +%% +%% Purpose: Manual Page File for AOOAI212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOOAI212} +\paragraph{Cell} +\begin{quote} + \textbf{AOOAI212} - a 2-1-2-input AND-OR-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOOAI212(Z, C1, C, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AOOAI212_circuit.tex} +%\input{AOOAI212_schematic.tex} + +\paragraph{Truth Table} +%\input{AOOAI212_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From c60d404713434a16bdf07f4c9c5f3ef6e1ad6a0f Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 09:53:59 +0200 Subject: [PATCH 123/673] [CELLS] Resolve Name Conflict, move OAI311->OAI32, OA311->OA32 --- Catalog/stacked3_cells.mk | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index f371f522..cb462efb 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -66,7 +66,7 @@ CELLS += AND3 \ AOOA212 \ OA22 \ OA31 \ - OA311 \ + OA32 \ OA321 \ OA33 \ OA331 \ @@ -131,7 +131,7 @@ OA22: AND3 OA31: OA21 $(POPCORN) -m oai -c $@ $< > $@ -OA311: OA22 +OA32: OA22 $(POPCORN) -m oai -c $@ $< > $@ OOA32: OOA22 @@ -192,7 +192,7 @@ CELLS += AND3 \ AOOA212 \ OA22 \ OA31 \ - OA311 \ + OA32 \ OA321 \ OA33 \ OA331 \ @@ -257,7 +257,7 @@ OA22: AND3 OA31: OAI21 $(POPCORN) -m oai -c $@ $< > $@ -OA311: OA22 +OA32: OA22 $(POPCORN) -m oai -c $@ $< > $@ OOA32: OOAI22 @@ -320,7 +320,7 @@ CELLS += AOAI211 \ NOR3 \ OAI22 \ OAI31 \ - OAI311 \ + OAI32 \ OAI321 \ OAI33 \ OAI331 \ @@ -387,7 +387,7 @@ OAI22: NAND3 OAI31: OAI21 $(POPCORN) -m oai -c $@ $< > $@ -OAI311: OAI22 +OAI32: OAI22 $(POPCORN) -m oai -c $@ $< > $@ OOAI32: OOAI22 From dc81d0baacfe524f3947f668e2a5964ff2905f40 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 09:54:42 +0200 Subject: [PATCH 124/673] [DOC] Add LaTeX circuit for OAI32, OA32 --- Documents/LaTeX/OA32_circuit.tex | 56 +++++++++++++++++++++++++++++ Documents/LaTeX/OA32_manpage.tex | 59 +++++++++++++++++++++++++++++++ Documents/LaTeX/OAI32_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/OAI32_manpage.tex | 59 +++++++++++++++++++++++++++++++ 4 files changed, 229 insertions(+) create mode 100644 Documents/LaTeX/OA32_circuit.tex create mode 100644 Documents/LaTeX/OA32_manpage.tex create mode 100644 Documents/LaTeX/OAI32_circuit.tex create mode 100644 Documents/LaTeX/OAI32_manpage.tex diff --git a/Documents/LaTeX/OA32_circuit.tex b/Documents/LaTeX/OA32_circuit.tex new file mode 100644 index 00000000..b9e12793 --- /dev/null +++ b/Documents/LaTeX/OA32_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA32_circuit.tex +%% +%% Purpose: Circuit File for OA32 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{10} + \usgate + \gate[\inputs{3}]{or}{5}{7}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \wire{9}{5}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire between pin and NAND + \wire{2}{3}{9}{3} % wire between pin and NAND + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OA32_manpage.tex b/Documents/LaTeX/OA32_manpage.tex new file mode 100644 index 00000000..0e8ace06 --- /dev/null +++ b/Documents/LaTeX/OA32_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA32_manpage.tex +%% +%% Purpose: Manual Page File for OA32 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OA32} +\paragraph{Cell} +\begin{quote} + \textbf{OA32} - a 3-2-input OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OA32(Z, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OA32_circuit.tex} +%\input{OA32_schematic.tex} + +\paragraph{Truth Table} +%\input{OA32_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAI32_circuit.tex b/Documents/LaTeX/OAI32_circuit.tex new file mode 100644 index 00000000..1a438ba5 --- /dev/null +++ b/Documents/LaTeX/OAI32_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI32_circuit.tex +%% +%% Purpose: Circuit File for OAI32 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{10} + \usgate + \gate[\inputs{3}]{or}{5}{7}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \wire{9}{5}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire between pin and NAND + \wire{2}{3}{9}{3} % wire between pin and NAND + \pin{17}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAI32_manpage.tex b/Documents/LaTeX/OAI32_manpage.tex new file mode 100644 index 00000000..5fa894e1 --- /dev/null +++ b/Documents/LaTeX/OAI32_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI32_manpage.tex +%% +%% Purpose: Manual Page File for OAI32 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAI32} +\paragraph{Cell} +\begin{quote} + \textbf{OAI32} - a 3-2-input OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAI32(Z, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAI32_circuit.tex} +%\input{OAI32_schematic.tex} + +\paragraph{Truth Table} +%\input{OAI32_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 2d0d76faa2bf98f3ce0f83b9343ed8d3c10df186 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 11:09:11 +0200 Subject: [PATCH 125/673] [DOC] Add LaTeX circuit for AO31 --- Documents/LaTeX/AO31_circuit.tex | 53 +++++++++++++++++++++++++++++ Documents/LaTeX/AO31_manpage.tex | 57 ++++++++++++++++++++++++++++++++ 2 files changed, 110 insertions(+) create mode 100644 Documents/LaTeX/AO31_circuit.tex create mode 100644 Documents/LaTeX/AO31_manpage.tex diff --git a/Documents/LaTeX/AO31_circuit.tex b/Documents/LaTeX/AO31_circuit.tex new file mode 100644 index 00000000..0706a6c0 --- /dev/null +++ b/Documents/LaTeX/AO31_circuit.tex @@ -0,0 +1,53 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO31_circuit.tex +%% +%% Purpose: Circuit File for AO31 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{8} + \usgate + \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{3}{R}{}{} % NOR + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \wire{2}{1}{9}{1} % wire from pin A + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO31_manpage.tex b/Documents/LaTeX/AO31_manpage.tex new file mode 100644 index 00000000..cd062781 --- /dev/null +++ b/Documents/LaTeX/AO31_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO31_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AO31 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AO31 - a 3-1-input AND-OR gate} \label{logical:AO31} + +\paragraph{Synopsys} +\begin{quote} + AO31 (Z B2 B1 B A) +\end{quote} + +\paragraph{Description} +\input{AO31_circuit.tex} +%\input{AO31_schematic.tex} + +\paragraph{Truth Table} +%\input{AO31_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 3e5251b33e46795da3a4d59226bc0f23b2d1e438 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 11:29:36 +0200 Subject: [PATCH 126/673] [DOC] Add LaTeX circuit for OAO211 and OAOI211 --- Documents/LaTeX/OAO211_circuit.tex | 55 +++++++++++++++++++++++++++ Documents/LaTeX/OAO211_manpage.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/OAOI211_circuit.tex | 54 ++++++++++++++++++++++++++ Documents/LaTeX/OAOI211_manpage.tex | 59 +++++++++++++++++++++++++++++ 4 files changed, 227 insertions(+) create mode 100644 Documents/LaTeX/OAO211_circuit.tex create mode 100644 Documents/LaTeX/OAO211_manpage.tex create mode 100644 Documents/LaTeX/OAOI211_circuit.tex create mode 100644 Documents/LaTeX/OAOI211_manpage.tex diff --git a/Documents/LaTeX/OAO211_circuit.tex b/Documents/LaTeX/OAO211_circuit.tex new file mode 100644 index 00000000..ae7cb5d7 --- /dev/null +++ b/Documents/LaTeX/OAO211_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO211_circuit.tex +%% +%% Purpose: Circuit File for OAO211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{10} + \usgate + \gate[\inputs{2}]{or}{5}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{3}{R}{}{} % NOR + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{9}{L}{C1} % pin C1 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAO211_manpage.tex b/Documents/LaTeX/OAO211_manpage.tex new file mode 100644 index 00000000..4a97937e --- /dev/null +++ b/Documents/LaTeX/OAO211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO211_manpage.tex +%% +%% Purpose: Manual Page File for OAO211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAO211} +\paragraph{Cell} +\begin{quote} + \textbf{OAO211} - a 2-1-1-input OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAO211(Z, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAO211_circuit.tex} +%\input{OAO211_schematic.tex} + +\paragraph{Truth Table} +%\input{OAO211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOI211_circuit.tex b/Documents/LaTeX/OAOI211_circuit.tex new file mode 100644 index 00000000..ef9a1b70 --- /dev/null +++ b/Documents/LaTeX/OAOI211_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI211_circuit.tex +%% +%% Purpose: Circuit File for OAOI211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{10} + \usgate + \gate[\inputs{2}]{or}{5}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{9}{L}{C1} % pin C1 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin C + \pin{24}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOI211_manpage.tex b/Documents/LaTeX/OAOI211_manpage.tex new file mode 100644 index 00000000..6ac7e102 --- /dev/null +++ b/Documents/LaTeX/OAOI211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI211_manpage.tex +%% +%% Purpose: Manual Page File for OAOI211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOI211} +\paragraph{Cell} +\begin{quote} + \textbf{OAOI211} - a 2-1-1-input OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOI211(Z, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOI211_circuit.tex} +%\input{OAOI211_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOI211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From e59817690e2ea6eb8ffb4c1015b2e37f701a4622 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 11:57:55 +0200 Subject: [PATCH 127/673] [DOC] Add LaTeX circuit for AOI41 and AO41 --- Documents/LaTeX/AO41_circuit.tex | 55 +++++++++++++++++++++++++++++ Documents/LaTeX/AO41_manpage.tex | 57 +++++++++++++++++++++++++++++++ Documents/LaTeX/AOI41_circuit.tex | 54 +++++++++++++++++++++++++++++ Documents/LaTeX/AOI41_manpage.tex | 57 +++++++++++++++++++++++++++++++ 4 files changed, 223 insertions(+) create mode 100644 Documents/LaTeX/AO41_circuit.tex create mode 100644 Documents/LaTeX/AO41_manpage.tex create mode 100644 Documents/LaTeX/AOI41_circuit.tex create mode 100644 Documents/LaTeX/AOI41_manpage.tex diff --git a/Documents/LaTeX/AO41_circuit.tex b/Documents/LaTeX/AO41_circuit.tex new file mode 100644 index 00000000..2e11c723 --- /dev/null +++ b/Documents/LaTeX/AO41_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO41_circuit.tex +%% +%% Purpose: Circuit File for AO41 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{10} + \usgate + \gate[\inputs{4}]{and}{5}{6}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{3}{R}{}{} % NOR + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{B3} % pin B3 + \wire{9}{5}{9}{6} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire from pin A + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO41_manpage.tex b/Documents/LaTeX/AO41_manpage.tex new file mode 100644 index 00000000..3703ce6b --- /dev/null +++ b/Documents/LaTeX/AO41_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO41_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AO41 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AO41 - a 4-1-input AND-OR gate} \label{logical:AO41} + +\paragraph{Synopsys} +\begin{quote} + AO41 (Z B3 B2 B1 B A) +\end{quote} + +\paragraph{Description} +\input{AO41_circuit.tex} +%\input{AO41_schematic.tex} + +\paragraph{Truth Table} +%\input{AO41_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AOI41_circuit.tex b/Documents/LaTeX/AOI41_circuit.tex new file mode 100644 index 00000000..ec4179fe --- /dev/null +++ b/Documents/LaTeX/AOI41_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI41_circuit.tex +%% +%% Purpose: Circuit File for AOI41 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{10} + \usgate + \gate[\inputs{4}]{and}{5}{6}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{B3} % pin B3 + \wire{9}{5}{9}{6} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire from pin A + \pin{17}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOI41_manpage.tex b/Documents/LaTeX/AOI41_manpage.tex new file mode 100644 index 00000000..dcc8c4dc --- /dev/null +++ b/Documents/LaTeX/AOI41_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI41_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AOI41 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AOI41 - a 4-1-input AND-OR-Invert gate} \label{logical:AOI41} + +\paragraph{Synopsys} +\begin{quote} + AOI41 (Z B3 B2 B1 B A) +\end{quote} + +\paragraph{Description} +\input{AOI41_circuit.tex} +%\input{AOI41_schematic.tex} + +\paragraph{Truth Table} +%\input{AOI41_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From b57b7f835d524cbfd9628774daa3517a3e7f0166 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 12:24:42 +0200 Subject: [PATCH 128/673] [DOC] Add LaTeX circuit for OAO221 and OAOI221 --- Documents/LaTeX/OAO221_circuit.tex | 58 ++++++++++++++++++++++++++++ Documents/LaTeX/OAO221_manpage.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/OAOI221_circuit.tex | 57 ++++++++++++++++++++++++++++ Documents/LaTeX/OAOI221_manpage.tex | 59 +++++++++++++++++++++++++++++ 4 files changed, 233 insertions(+) create mode 100644 Documents/LaTeX/OAO221_circuit.tex create mode 100644 Documents/LaTeX/OAO221_manpage.tex create mode 100644 Documents/LaTeX/OAOI221_circuit.tex create mode 100644 Documents/LaTeX/OAOI221_manpage.tex diff --git a/Documents/LaTeX/OAO221_circuit.tex b/Documents/LaTeX/OAO221_circuit.tex new file mode 100644 index 00000000..294a4040 --- /dev/null +++ b/Documents/LaTeX/OAO221_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO221_circuit.tex +%% +%% Purpose: Circuit File for OAO221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{3}{R}{}{} % NOR + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \wire{9}{7}{9}{9} % wire between OR and AND + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin B + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAO221_manpage.tex b/Documents/LaTeX/OAO221_manpage.tex new file mode 100644 index 00000000..8f030745 --- /dev/null +++ b/Documents/LaTeX/OAO221_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO221_manpage.tex +%% +%% Purpose: Manual Page File for OAO221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAO221} +\paragraph{Cell} +\begin{quote} + \textbf{OAO221} - a 2-2-1-input OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAO221(Z, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OAO221_circuit.tex} +%\input{OAO221_schematic.tex} + +\paragraph{Truth Table} +%\input{OAO221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOI221_circuit.tex b/Documents/LaTeX/OAOI221_circuit.tex new file mode 100644 index 00000000..75373b45 --- /dev/null +++ b/Documents/LaTeX/OAOI221_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI221_circuit.tex +%% +%% Purpose: Circuit File for OAOI221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{12} + \usgate + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \wire{9}{7}{9}{9} % wire between AND and OR + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin B + \pin{24}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOI221_manpage.tex b/Documents/LaTeX/OAOI221_manpage.tex new file mode 100644 index 00000000..884f94dc --- /dev/null +++ b/Documents/LaTeX/OAOI221_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI221_manpage.tex +%% +%% Purpose: Manual Page File for OAOI221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOI221} +\paragraph{Cell} +\begin{quote} + \textbf{OAOI221} - a 2-2-1-input OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOI221(Z, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOI221_circuit.tex} +%\input{OAOI221_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOI221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 8dc068ecc3554864bfe425aca00829b9e3ac4edc Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 12:47:17 +0200 Subject: [PATCH 129/673] [DOC] Add LaTeX circuit for AOAO2111 and AOAOI2111 --- Documents/LaTeX/AOAO2111_circuit.tex | 58 ++++++++++++++++++++++++++ Documents/LaTeX/AOAO2111_manpage.tex | 59 +++++++++++++++++++++++++++ Documents/LaTeX/AOAOI2111_circuit.tex | 57 ++++++++++++++++++++++++++ Documents/LaTeX/AOAOI2111_manpage.tex | 59 +++++++++++++++++++++++++++ 4 files changed, 233 insertions(+) create mode 100644 Documents/LaTeX/AOAO2111_circuit.tex create mode 100644 Documents/LaTeX/AOAO2111_manpage.tex create mode 100644 Documents/LaTeX/AOAOI2111_circuit.tex create mode 100644 Documents/LaTeX/AOAOI2111_manpage.tex diff --git a/Documents/LaTeX/AOAO2111_circuit.tex b/Documents/LaTeX/AOAO2111_circuit.tex new file mode 100644 index 00000000..056fd2bf --- /dev/null +++ b/Documents/LaTeX/AOAO2111_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAO2111_circuit.tex +%% +%% Purpose: Circuit File for AOAO2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{38}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{19}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{26}{3}{R}{}{} % NOR + \gate{not}{33}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \pin{37}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAO2111_manpage.tex b/Documents/LaTeX/AOAO2111_manpage.tex new file mode 100644 index 00000000..5d763cb0 --- /dev/null +++ b/Documents/LaTeX/AOAO2111_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAO2111_manpage.tex +%% +%% Purpose: Manual Page File for AOAO2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAO2111} +\paragraph{Cell} +\begin{quote} + \textbf{AOAO2111} - a 2-1-1-1-input AND-OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAO2111(Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAO2111_circuit.tex} +%\input{AOAO2111_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAO2111_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOAOI2111_circuit.tex b/Documents/LaTeX/AOAOI2111_circuit.tex new file mode 100644 index 00000000..337426e8 --- /dev/null +++ b/Documents/LaTeX/AOAOI2111_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOI2111_circuit.tex +%% +%% Purpose: Circuit File for AOAOI2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{32}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{19}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{26}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \pin{31}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAOI2111_manpage.tex b/Documents/LaTeX/AOAOI2111_manpage.tex new file mode 100644 index 00000000..09d9cade --- /dev/null +++ b/Documents/LaTeX/AOAOI2111_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOI2111_manpage.tex +%% +%% Purpose: Manual Page File for AOAOI2111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAOI2111} +\paragraph{Cell} +\begin{quote} + \textbf{AOAOI2111} - a 2-1-1-1-input AND-OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAOI2111(Z, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAOI2111_circuit.tex} +%\input{AOAOI2111_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAOI2111_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From e373477b81d2b7367a5dee182184bd33b785beb6 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 13:02:24 +0200 Subject: [PATCH 130/673] [DOC] Add LaTeX circuit for OAO311 and OAOI311 --- Documents/LaTeX/OAO311_circuit.tex | 56 +++++++++++++++++++++++++++ Documents/LaTeX/OAO311_manpage.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/OAOI311_circuit.tex | 55 +++++++++++++++++++++++++++ Documents/LaTeX/OAOI311_manpage.tex | 59 +++++++++++++++++++++++++++++ 4 files changed, 229 insertions(+) create mode 100644 Documents/LaTeX/OAO311_circuit.tex create mode 100644 Documents/LaTeX/OAO311_manpage.tex create mode 100644 Documents/LaTeX/OAOI311_circuit.tex create mode 100644 Documents/LaTeX/OAOI311_manpage.tex diff --git a/Documents/LaTeX/OAO311_circuit.tex b/Documents/LaTeX/OAO311_circuit.tex new file mode 100644 index 00000000..34db03d3 --- /dev/null +++ b/Documents/LaTeX/OAO311_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO311_circuit.tex +%% +%% Purpose: Circuit File for OAO311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{10} + \usgate + \gate[\inputs{3}]{or}{5}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{3}{R}{}{} % NOR + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAO311_manpage.tex b/Documents/LaTeX/OAO311_manpage.tex new file mode 100644 index 00000000..fe734faf --- /dev/null +++ b/Documents/LaTeX/OAO311_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO311_manpage.tex +%% +%% Purpose: Manual Page File for OAO311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAO311} +\paragraph{Cell} +\begin{quote} + \textbf{OAO311} - a 3-1-1-input OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAO311(Z, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAO311_circuit.tex} +%\input{OAO311_schematic.tex} + +\paragraph{Truth Table} +%\input{OAO311_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOI311_circuit.tex b/Documents/LaTeX/OAOI311_circuit.tex new file mode 100644 index 00000000..ffb06ed1 --- /dev/null +++ b/Documents/LaTeX/OAOI311_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI311_circuit.tex +%% +%% Purpose: Circuit File for OAOI311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{10} + \usgate + \gate[\inputs{3}]{or}{5}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin C + \pin{24}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOI311_manpage.tex b/Documents/LaTeX/OAOI311_manpage.tex new file mode 100644 index 00000000..412052ac --- /dev/null +++ b/Documents/LaTeX/OAOI311_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI311_manpage.tex +%% +%% Purpose: Manual Page File for OAOI311 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOI311} +\paragraph{Cell} +\begin{quote} + \textbf{OAOI311} - a 3-1-1-input OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOI311(Z, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOI311_circuit.tex} +%\input{OAOI311_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOI311_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From fdafd9640ebf4df227c26e0cf9a140f44efa4196 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 13:37:13 +0200 Subject: [PATCH 131/673] [DOC] Resolve Name Conflict, move AOI22->AAOI22, AO22->AAO22 --- Catalog/stacked2_cells.mk | 24 +++++++++---------- .../{AO22_circuit.tex => AAO22_circuit.tex} | 4 ++-- .../{AO22_manpage.tex => AAO22_manpage.tex} | 16 ++++++------- .../{AOI22_circuit.tex => AAOI22_circuit.tex} | 23 +++++++++--------- .../{AOI22_manpage.tex => AAOI22_manpage.tex} | 23 +++++++----------- ...I22_schematic.tex => AAOI22_schematic.tex} | 6 ++--- ...2_truthtable.tex => AAOI22_truthtable.tex} | 6 ++--- 7 files changed, 49 insertions(+), 53 deletions(-) rename Documents/LaTeX/{AO22_circuit.tex => AAO22_circuit.tex} (95%) rename Documents/LaTeX/{AO22_manpage.tex => AAO22_manpage.tex} (85%) rename Documents/LaTeX/{AOI22_circuit.tex => AAOI22_circuit.tex} (72%) rename Documents/LaTeX/{AOI22_manpage.tex => AAOI22_manpage.tex} (77%) rename Documents/LaTeX/{AOI22_schematic.tex => AAOI22_schematic.tex} (94%) rename Documents/LaTeX/{AOI22_truthtable.tex => AAOI22_truthtable.tex} (91%) diff --git a/Catalog/stacked2_cells.mk b/Catalog/stacked2_cells.mk index 891b3acd..0f8bd516 100644 --- a/Catalog/stacked2_cells.mk +++ b/Catalog/stacked2_cells.mk @@ -48,23 +48,23 @@ ifeq ($(BUFFER),2) # -------- now buffered ------------------------------------ -CELLS += AND2 \ +CELLS += AAO22 \ + AND2 \ AO21 \ - AO22 \ OA21 \ OOA22 \ OR2 +AAO22: LEVEL = 2 +AAO22: AO21 + $(POPCORN) -m nand -c $@ $< > $@ + AND2: INV $(POPCORN) -m nand -c $@ $< > $@ AO21: OR2 $(POPCORN) -m aoi -c $@ $< > $@ -AO22: LEVEL = 2 -AO22: AO21 - $(POPCORN) -m nand -c $@ $< > $@ - OA21: AND2 $(POPCORN) -m oai -c $@ $< > $@ @@ -81,20 +81,20 @@ else # -------- not buffered ------------------------------------ -CELLS += AOI21 \ - AOI22 \ +CELLS += AAOI22 \ + AOI21 \ NAND2 \ NOR2 \ OAI21 \ OOAI22 +AAOI22: LEVEL = 2 +AAOI22: AOI21 + $(POPCORN) -m nand -c $@ $< > $@ + AOI21: NOR2 $(POPCORN) -m aoi -c $@ $< > $@ -AOI22: LEVEL = 2 -AOI22: AOI21 - $(POPCORN) -m nand -c $@ $< > $@ - NAND2: INV $(POPCORN) -m nand -c $@ $< > $@ diff --git a/Documents/LaTeX/AO22_circuit.tex b/Documents/LaTeX/AAO22_circuit.tex similarity index 95% rename from Documents/LaTeX/AO22_circuit.tex rename to Documents/LaTeX/AAO22_circuit.tex index 03f7fb92..ac6531c1 100644 --- a/Documents/LaTeX/AO22_circuit.tex +++ b/Documents/LaTeX/AAO22_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/AO22_circuit.tex +%% File: StdCellLib/Documents/LaTeX/AAO22_circuit.tex %% -%% Purpose: Circuit File for AO22 +%% Purpose: Circuit File for AAO22 %% %% ************ LaTeX with circdia.sty package *************** %% diff --git a/Documents/LaTeX/AO22_manpage.tex b/Documents/LaTeX/AAO22_manpage.tex similarity index 85% rename from Documents/LaTeX/AO22_manpage.tex rename to Documents/LaTeX/AAO22_manpage.tex index d0ea6a2a..f157c6f5 100644 --- a/Documents/LaTeX/AO22_manpage.tex +++ b/Documents/LaTeX/AAO22_manpage.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AO22.tex +%% File: StdCellLib/Documents/LaTeX/AAO22_manpage.tex %% -%% Purpose: Manual Page File for AO22 +%% Purpose: Manual Page File for AAO22 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AO22} +\label{AAO22} \paragraph{Cell} \begin{quote} - \textbf{AO22} - a 2-2-input AND-OR gate + \textbf{AAO22} - a 2-2-input AND-AND-OR gate \end{quote} \paragraph{Synopsys} \begin{quote} - AO22(Z, B1, B, A1, A) + AAO22(Z, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{AO22_circuit.tex} -%\input{AO22_schematic.tex} +\input{AAO22_circuit.tex} +%\input{AAO22_schematic.tex} \paragraph{Truth Table} -%\input{AO22_truthtable.tex} +%\input{AAO22_truthtable.tex} \paragraph{Usage} diff --git a/Documents/LaTeX/AOI22_circuit.tex b/Documents/LaTeX/AAOI22_circuit.tex similarity index 72% rename from Documents/LaTeX/AOI22_circuit.tex rename to Documents/LaTeX/AAOI22_circuit.tex index 60818db2..d58bae4a 100644 --- a/Documents/LaTeX/AOI22_circuit.tex +++ b/Documents/LaTeX/AAOI22_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI22.tex +%% File: StdCellLib/Documents/LaTeX/AAOI22_circuit.tex %% -%% Purpose: Circuit File for AOI22 +%% Purpose: Circuit File for AAOI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,18 +34,19 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{12} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{11}{L}{B1} % pin B1 - \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR gate -> right - \wire{9}{3}{9}{4} % wire between AND and NOR - \wire{9}{9}{9}{8} % wire between AND and NOR + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{9}{9}{8} % wire between AND and NOR \pin{17}{6}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOI22_manpage.tex b/Documents/LaTeX/AAOI22_manpage.tex similarity index 77% rename from Documents/LaTeX/AOI22_manpage.tex rename to Documents/LaTeX/AAOI22_manpage.tex index c40faede..f0fc80bf 100644 --- a/Documents/LaTeX/AOI22_manpage.tex +++ b/Documents/LaTeX/AAOI22_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI22.tex +%% File: StdCellLib/Documents/LaTeX/AAOI22_manpage.tex %% -%% Purpose: Manual Page File for AOI22 +%% Purpose: Manual Page File for AAOI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI22} +\label{AAOI22} \paragraph{Cell} \begin{quote} - \textbf{AOI22} - a 2-2-input AND-OR-Invert gate + \textbf{AAOI22} - a 2-2-input AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI22(Z, B1, B0, A1, A0) + AAOI22(Z, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{AOI22_circuit.tex} -\input{AOI22_schematic.tex} +\input{AAOI22_circuit.tex} +%\input{AAOI22_schematic.tex} \paragraph{Truth Table} -\input{AOI22_truthtable.tex} +%\input{AAOI22_truthtable.tex} \paragraph{Usage} @@ -57,8 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI33 - a 3-3-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI22_schematic.tex b/Documents/LaTeX/AAOI22_schematic.tex similarity index 94% rename from Documents/LaTeX/AOI22_schematic.tex rename to Documents/LaTeX/AAOI22_schematic.tex index 8c64131e..f0a0684a 100644 --- a/Documents/LaTeX/AOI22_schematic.tex +++ b/Documents/LaTeX/AAOI22_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI22.tex +%% File: StdCellLib/Documents/LaTeX/AAOI22_schematic.tex %% -%% Purpose: Schematic File for AOI22 +%% Purpose: Schematic File for AAOI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI22_truthtable.tex b/Documents/LaTeX/AAOI22_truthtable.tex similarity index 91% rename from Documents/LaTeX/AOI22_truthtable.tex rename to Documents/LaTeX/AAOI22_truthtable.tex index e449cd89..4fed6855 100644 --- a/Documents/LaTeX/AOI22_truthtable.tex +++ b/Documents/LaTeX/AAOI22_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI22.tex +%% File: StdCellLib/Documents/LaTeX/AAOI22_truthtable.tex %% -%% Purpose: Truth Table File for AOI22 +%% Purpose: Truth Table File for AAOI22 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From d1c26ac82d367f20b0d9797a17a45742245cb87e Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 18:15:08 +0200 Subject: [PATCH 132/673] [DOC] Fix LaTeX circuit for OAI22 --- Documents/LaTeX/OAI22_circuit.tex | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Documents/LaTeX/OAI22_circuit.tex b/Documents/LaTeX/OAI22_circuit.tex index d7975c10..5c61cf92 100644 --- a/Documents/LaTeX/OAI22_circuit.tex +++ b/Documents/LaTeX/OAI22_circuit.tex @@ -42,8 +42,8 @@ \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C0} % pin C0 - \pin{1}{9}{L}{C1} % pin C2 + \pin{1}{5}{L}{C} % pin C + \pin{1}{9}{L}{C1} % pin C1 \wire{9}{5}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire between pin and NAND \wire{2}{3}{9}{3} % wire between pin and NAND From 0ea7f9808eeeeced31a3e1bc376d77a36762fb68 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 18:22:39 +0200 Subject: [PATCH 133/673] [DOC] Fix LaTeX circuit for OA22 --- Documents/LaTeX/OA22_circuit.tex | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Documents/LaTeX/OA22_circuit.tex b/Documents/LaTeX/OA22_circuit.tex index 1743cb68..8ca72465 100644 --- a/Documents/LaTeX/OA22_circuit.tex +++ b/Documents/LaTeX/OA22_circuit.tex @@ -43,7 +43,7 @@ \gate{not}{19}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C0} % pin C0 + \pin{1}{5}{L}{C} % pin C \pin{1}{9}{L}{C1} % pin C2 \wire{9}{5}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire between pin and NAND From d6ef69058c90c5f05330d7eec75f75c156b54c3a Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 18:25:34 +0200 Subject: [PATCH 134/673] [DOC] Add LaTeX circuit for AO22 and AOI22 --- Documents/LaTeX/AO22_circuit.tex | 55 ++++++++++++++++++++++++++++ Documents/LaTeX/AO22_manpage.tex | 59 +++++++++++++++++++++++++++++++ Documents/LaTeX/AOI22_circuit.tex | 54 ++++++++++++++++++++++++++++ Documents/LaTeX/AOI22_manpage.tex | 59 +++++++++++++++++++++++++++++++ 4 files changed, 227 insertions(+) create mode 100644 Documents/LaTeX/AO22_circuit.tex create mode 100644 Documents/LaTeX/AO22_manpage.tex create mode 100644 Documents/LaTeX/AOI22_circuit.tex create mode 100644 Documents/LaTeX/AOI22_manpage.tex diff --git a/Documents/LaTeX/AO22_circuit.tex b/Documents/LaTeX/AO22_circuit.tex new file mode 100644 index 00000000..c9bc76db --- /dev/null +++ b/Documents/LaTeX/AO22_circuit.tex @@ -0,0 +1,55 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO22_circuit.tex +%% +%% Purpose: Circuit File for AO22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{10} + \usgate + \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{9}{L}{C1} % pin C2 + \wire{9}{5}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire between pin and NOR + \wire{2}{3}{9}{3} % wire between pin and NOR + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO22_manpage.tex b/Documents/LaTeX/AO22_manpage.tex new file mode 100644 index 00000000..a1135f3e --- /dev/null +++ b/Documents/LaTeX/AO22_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO22_manpage.tex +%% +%% Purpose: Manual Page File for AO22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AO22} +\paragraph{Cell} +\begin{quote} + \textbf{AO22} - a 2-2-input AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AO22(Z, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AO22_circuit.tex} +%\input{AO22_schematic.tex} + +\paragraph{Truth Table} +%\input{AO22_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOI22_circuit.tex b/Documents/LaTeX/AOI22_circuit.tex new file mode 100644 index 00000000..6f9caa92 --- /dev/null +++ b/Documents/LaTeX/AOI22_circuit.tex @@ -0,0 +1,54 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI22_circuit.tex +%% +%% Purpose: Circuit File for AOI22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{10} + \usgate + \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{9}{L}{C1} % pin C1 + \wire{9}{5}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire between pin and NAND + \wire{2}{3}{9}{3} % wire between pin and NAND + \pin{17}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOI22_manpage.tex b/Documents/LaTeX/AOI22_manpage.tex new file mode 100644 index 00000000..faa6ff66 --- /dev/null +++ b/Documents/LaTeX/AOI22_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI22_manpage.tex +%% +%% Purpose: Manual Page File for AOI22 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOI22} +\paragraph{Cell} +\begin{quote} + \textbf{AOI22} - a 2-2-input AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOI22(Z, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOI22_circuit.tex} +%\input{AOI22_schematic.tex} + +\paragraph{Truth Table} +%\input{AOI22_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 3c26504ada5c848a65f1d9153a04204f2f5d487d Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 18:51:34 +0200 Subject: [PATCH 135/673] [DOC] Resolve Name Conflict, move AOI32->AAOI32 --- .../{AOI32_circuit.tex => AAOI32_circuit.tex} | 23 +++++++++--------- .../{AOI32_manpage.tex => AAOI32_manpage.tex} | 24 +++++++------------ ...I32_schematic.tex => AAOI32_schematic.tex} | 6 ++--- ...2_truthtable.tex => AAOI32_truthtable.tex} | 6 ++--- 4 files changed, 27 insertions(+), 32 deletions(-) rename Documents/LaTeX/{AOI32_circuit.tex => AAOI32_circuit.tex} (72%) rename Documents/LaTeX/{AOI32_manpage.tex => AAOI32_manpage.tex} (75%) rename Documents/LaTeX/{AOI32_schematic.tex => AAOI32_schematic.tex} (94%) rename Documents/LaTeX/{AOI32_truthtable.tex => AAOI32_truthtable.tex} (91%) diff --git a/Documents/LaTeX/AOI32_circuit.tex b/Documents/LaTeX/AAOI32_circuit.tex similarity index 72% rename from Documents/LaTeX/AOI32_circuit.tex rename to Documents/LaTeX/AAOI32_circuit.tex index 6fbede25..bf90ef8a 100644 --- a/Documents/LaTeX/AOI32_circuit.tex +++ b/Documents/LaTeX/AAOI32_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI32.tex +%% File: StdCellLib/Documents/LaTeX/AAOI32_circuit.tex %% -%% Purpose: Circuit File for AOI33 +%% Purpose: Circuit File for AAOI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,19 +34,20 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{12} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{9}{L}{B1} % pin B1 \pin{1}{11}{L}{B2} % pin B2 - \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR gate -> right - \wire{9}{3}{9}{4} % wire between AND and NOR - \wire{9}{9}{9}{8} % wire between AND and NOR + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{9}{9}{8} % wire between AND and NOR \pin{17}{6}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOI32_manpage.tex b/Documents/LaTeX/AAOI32_manpage.tex similarity index 75% rename from Documents/LaTeX/AOI32_manpage.tex rename to Documents/LaTeX/AAOI32_manpage.tex index 85ea6f89..dc37db16 100644 --- a/Documents/LaTeX/AOI32_manpage.tex +++ b/Documents/LaTeX/AAOI32_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI32.tex +%% File: StdCellLib/Documents/LaTeX/AAOI32_manpage.tex %% -%% Purpose: Manual Page File for AOI32 +%% Purpose: Manual Page File for AAOI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI32} +\label{AAOI32} \paragraph{Cell} \begin{quote} - \textbf{AOI32} - a 3-2-input AND-OR-Invert gate + \textbf{AAOI32} - a 3-2-input AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI32(Z, B2, B1, B0, A1, A0) + AAOI32(Z, B2, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{AOI32_circuit.tex} -\input{AOI32_schematic.tex} +\input{AAOI32_circuit.tex} +%\input{AAOI32_schematic.tex} \paragraph{Truth Table} -\input{AOI32_truthtable.tex} +%\input{AAOI32_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI22 - a 2-2-input AND-OR-Invert gate \\ - AOI33 - a 3-3-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI32_schematic.tex b/Documents/LaTeX/AAOI32_schematic.tex similarity index 94% rename from Documents/LaTeX/AOI32_schematic.tex rename to Documents/LaTeX/AAOI32_schematic.tex index 25395994..ae6d0321 100644 --- a/Documents/LaTeX/AOI32_schematic.tex +++ b/Documents/LaTeX/AAOI32_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI32.tex +%% File: StdCellLib/Documents/LaTeX/AAOI32_schematic.tex %% -%% Purpose: Schematic File for AOI32 +%% Purpose: Schematic File for AAOI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI32_truthtable.tex b/Documents/LaTeX/AAOI32_truthtable.tex similarity index 91% rename from Documents/LaTeX/AOI32_truthtable.tex rename to Documents/LaTeX/AAOI32_truthtable.tex index 88d812d8..9eec7581 100644 --- a/Documents/LaTeX/AOI32_truthtable.tex +++ b/Documents/LaTeX/AAOI32_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI32.tex +%% File: StdCellLib/Documents/LaTeX/AAOI32_truthtable.tex %% -%% Purpose: Truth Table File for AOI32 +%% Purpose: Truth Table File for AAOI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 9fea6d219612d35362e1ac5788ad994fb42b39ad Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 18:56:01 +0200 Subject: [PATCH 136/673] [DOC] Add LaTeX circuit for AAO32 --- Documents/LaTeX/AAO32_circuit.tex | 56 +++++++++++++++++++++++++++++ Documents/LaTeX/AAO32_manpage.tex | 59 +++++++++++++++++++++++++++++++ 2 files changed, 115 insertions(+) create mode 100644 Documents/LaTeX/AAO32_circuit.tex create mode 100644 Documents/LaTeX/AAO32_manpage.tex diff --git a/Documents/LaTeX/AAO32_circuit.tex b/Documents/LaTeX/AAO32_circuit.tex new file mode 100644 index 00000000..275e45db --- /dev/null +++ b/Documents/LaTeX/AAO32_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO32_circuit.tex +%% +%% Purpose: Circuit File for AAO32 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{9}{9}{8} % wire between AND and NOR + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO32_manpage.tex b/Documents/LaTeX/AAO32_manpage.tex new file mode 100644 index 00000000..6af9a1b3 --- /dev/null +++ b/Documents/LaTeX/AAO32_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO32_manpage.tex +%% +%% Purpose: Manual Page File for AAO32 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO32} +\paragraph{Cell} +\begin{quote} + \textbf{AAO32} - a 3-2-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO32(Z, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAO32_circuit.tex} +%\input{AAO32_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO32_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From f9bc28569c602df192bbf1d48df8dc9195095225 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 19:04:54 +0200 Subject: [PATCH 137/673] [DOC] Resolve Name Conflict, move AOI311->AOI32 --- .../{AOI311_circuit.tex => AOI32_circuit.tex} | 14 +++++++------- .../{AOI311_manpage.tex => AOI32_manpage.tex} | 18 +++++++++--------- ...OI311_schematic.tex => AOI32_schematic.tex} | 6 +++--- ...311_truthtable.tex => AOI32_truthtable.tex} | 6 +++--- 4 files changed, 22 insertions(+), 22 deletions(-) rename Documents/LaTeX/{AOI311_circuit.tex => AOI32_circuit.tex} (81%) rename Documents/LaTeX/{AOI311_manpage.tex => AOI32_manpage.tex} (81%) rename Documents/LaTeX/{AOI311_schematic.tex => AOI32_schematic.tex} (94%) rename Documents/LaTeX/{AOI311_truthtable.tex => AOI32_truthtable.tex} (91%) diff --git a/Documents/LaTeX/AOI311_circuit.tex b/Documents/LaTeX/AOI32_circuit.tex similarity index 81% rename from Documents/LaTeX/AOI311_circuit.tex rename to Documents/LaTeX/AOI32_circuit.tex index 16b32d19..ebf476dd 100644 --- a/Documents/LaTeX/AOI311_circuit.tex +++ b/Documents/LaTeX/AOI32_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/AOI311_circuit.tex +%% File: StdCellLib/Documents/LaTeX/AOI32_circuit.tex %% -%% Purpose: Circuit File for AOI211 +%% Purpose: Circuit File for AOI32 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -38,16 +38,16 @@ \begin{center} \begin{circuitdiagram}{18}{10} \usgate - \gate[\inputs{3}]{and}{5}{7}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR gate -> right + \gate[\inputs{3}]{and}{5}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C \pin{1}{7}{L}{C1} % pin C1 \pin{1}{9}{L}{C2} % pin C2 - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{9}{7}{9}{5} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{9}{7}{9}{5} % wire between AND and NOR \pin{17}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOI311_manpage.tex b/Documents/LaTeX/AOI32_manpage.tex similarity index 81% rename from Documents/LaTeX/AOI311_manpage.tex rename to Documents/LaTeX/AOI32_manpage.tex index 4950de58..43b62e5d 100644 --- a/Documents/LaTeX/AOI311_manpage.tex +++ b/Documents/LaTeX/AOI32_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI311.tex +%% File: StdCellLib/Documents/LaTeX/AOI32_manpage.tex %% -%% Purpose: Manual Page File for AOI311 +%% Purpose: Manual Page File for AOI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI311} +\label{AOI32} \paragraph{Cell} \begin{quote} - \textbf{AOI311} - a 3-1-1-input AND-OR-Invert gate + \textbf{AOI32} - a 3-2-input AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI311(Z, C2, C1, C, B, A) + AOI32(Z, C2, C1, C, B, A) \end{quote} \paragraph{Description} -\input{AOI311_circuit.tex} -\input{AOI311_schematic.tex} +\input{AOI32_circuit.tex} +\input{AOI32_schematic.tex} \paragraph{Truth Table} -\input{AOI311_truthtable.tex} +\input{AOI32_truthtable.tex} \paragraph{Usage} diff --git a/Documents/LaTeX/AOI311_schematic.tex b/Documents/LaTeX/AOI32_schematic.tex similarity index 94% rename from Documents/LaTeX/AOI311_schematic.tex rename to Documents/LaTeX/AOI32_schematic.tex index a71a87d3..d415093d 100644 --- a/Documents/LaTeX/AOI311_schematic.tex +++ b/Documents/LaTeX/AOI32_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI311.tex +%% File: StdCellLib/Documents/LaTeX/AOI32_schematic.tex %% -%% Purpose: Schematic File for AOI311 +%% Purpose: Schematic File for AOI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI311_truthtable.tex b/Documents/LaTeX/AOI32_truthtable.tex similarity index 91% rename from Documents/LaTeX/AOI311_truthtable.tex rename to Documents/LaTeX/AOI32_truthtable.tex index a0e2445d..98bc08c8 100644 --- a/Documents/LaTeX/AOI311_truthtable.tex +++ b/Documents/LaTeX/AOI32_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI211.tex +%% File: StdCellLib/Documents/LaTeX/AOI32_truthtable.tex %% -%% Purpose: Truth Table File for AOI211 +%% Purpose: Truth Table File for AOI32 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 3d2238271598e4d3998ba855b780e64a19bf933e Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 19:08:53 +0200 Subject: [PATCH 138/673] [DOC] Resolve Name Conflict, move AO311->AO32 --- .../{AO311_circuit.tex => AO32_circuit.tex} | 4 ++-- .../{AO311_manpage.tex => AO32_manpage.tex} | 16 ++++++++-------- 2 files changed, 10 insertions(+), 10 deletions(-) rename Documents/LaTeX/{AO311_circuit.tex => AO32_circuit.tex} (95%) rename Documents/LaTeX/{AO311_manpage.tex => AO32_manpage.tex} (84%) diff --git a/Documents/LaTeX/AO311_circuit.tex b/Documents/LaTeX/AO32_circuit.tex similarity index 95% rename from Documents/LaTeX/AO311_circuit.tex rename to Documents/LaTeX/AO32_circuit.tex index 5ce4a4bd..f48b2d74 100644 --- a/Documents/LaTeX/AO311_circuit.tex +++ b/Documents/LaTeX/AO32_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/AO311_circuit.tex +%% File: StdCellLib/Documents/LaTeX/AO32_circuit.tex %% -%% Purpose: Circuit File for AOI211 +%% Purpose: Circuit File for AOI32 %% %% ************ LaTeX with circdia.sty package *************** %% diff --git a/Documents/LaTeX/AO311_manpage.tex b/Documents/LaTeX/AO32_manpage.tex similarity index 84% rename from Documents/LaTeX/AO311_manpage.tex rename to Documents/LaTeX/AO32_manpage.tex index efc7da62..a7b51a9b 100644 --- a/Documents/LaTeX/AO311_manpage.tex +++ b/Documents/LaTeX/AO32_manpage.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/AO311_manpage.tex +%% File: StdCellLib/Documents/LaTeX/AO32_manpage.tex %% -%% Purpose: Manual Page File for AO311 +%% Purpose: Manual Page File for AO32 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AO311} +\label{AO32} \paragraph{Cell} \begin{quote} - \textbf{AO311} - a 3-1-1-input AND-OR gate + \textbf{AO32} - a 3-2-input AND-OR gate \end{quote} \paragraph{Synopsys} \begin{quote} - AO311(Z, C2, C1, C, B, A) + AO32(Z, C2, C1, C, B, A) \end{quote} \paragraph{Description} -\input{AO311_circuit.tex} -%\input{AO311_schematic.tex} +\input{AO32_circuit.tex} +%\input{AO32_schematic.tex} \paragraph{Truth Table} -%\input{AO311_truthtable.tex} +%\input{AO32_truthtable.tex} \paragraph{Usage} From 1a58103496520d8259c4d5ac468d93e89e65d074 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 20:59:30 +0200 Subject: [PATCH 139/673] [DOC] Resolve Name Conflict, move OAO2111->OAO212, OAOI2111->OAOI212 --- .../{OAO2111_circuit.tex => OAO212_circuit.tex} | 4 ++-- .../{OAO2111_manpage.tex => OAO212_manpage.tex} | 16 ++++++++-------- ...{OAOI2111_circuit.tex => OAOI212_circuit.tex} | 4 ++-- ...{OAOI2111_manpage.tex => OAOI212_manpage.tex} | 16 ++++++++-------- 4 files changed, 20 insertions(+), 20 deletions(-) rename Documents/LaTeX/{OAO2111_circuit.tex => OAO212_circuit.tex} (95%) rename Documents/LaTeX/{OAO2111_manpage.tex => OAO212_manpage.tex} (84%) rename Documents/LaTeX/{OAOI2111_circuit.tex => OAOI212_circuit.tex} (95%) rename Documents/LaTeX/{OAOI2111_manpage.tex => OAOI212_manpage.tex} (83%) diff --git a/Documents/LaTeX/OAO2111_circuit.tex b/Documents/LaTeX/OAO212_circuit.tex similarity index 95% rename from Documents/LaTeX/OAO2111_circuit.tex rename to Documents/LaTeX/OAO212_circuit.tex index 91d40ebe..84a09c74 100644 --- a/Documents/LaTeX/OAO2111_circuit.tex +++ b/Documents/LaTeX/OAO212_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/OAO2111_circuit.tex +%% File: StdCellLib/Documents/LaTeX/OAO212_circuit.tex %% -%% Purpose: Circuit File for OAO2111 +%% Purpose: Circuit File for OAO212 %% %% ************ LaTeX with circdia.sty package *************** %% diff --git a/Documents/LaTeX/OAO2111_manpage.tex b/Documents/LaTeX/OAO212_manpage.tex similarity index 84% rename from Documents/LaTeX/OAO2111_manpage.tex rename to Documents/LaTeX/OAO212_manpage.tex index cb2c0c0f..bf3f7fd0 100644 --- a/Documents/LaTeX/OAO2111_manpage.tex +++ b/Documents/LaTeX/OAO212_manpage.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/OAO2111_manpage.tex +%% File: StdCellLib/Documents/LaTeX/OAO212_manpage.tex %% -%% Purpose: Manual Page File for OAO2111 +%% Purpose: Manual Page File for OAO212 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAO2111} +\label{OAO212} \paragraph{Cell} \begin{quote} - \textbf{OAO2111} - a 2-1-1-1-input OR-AND-OR gate + \textbf{OAO212} - a 2-1-2-input OR-AND-OR gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAO2111(Z, D1, D, C, B, A) + OAO212(Z, D1, D, C, B, A) \end{quote} \paragraph{Description} -\input{OAO2111_circuit.tex} -%\input{OAO2111_schematic.tex} +\input{OAO212_circuit.tex} +%\input{OAO212_schematic.tex} \paragraph{Truth Table} -%\input{OAO2111_truthtable.tex} +%\input{OAO212_truthtable.tex} \paragraph{Usage} diff --git a/Documents/LaTeX/OAOI2111_circuit.tex b/Documents/LaTeX/OAOI212_circuit.tex similarity index 95% rename from Documents/LaTeX/OAOI2111_circuit.tex rename to Documents/LaTeX/OAOI212_circuit.tex index 94943ea2..7b89b951 100644 --- a/Documents/LaTeX/OAOI2111_circuit.tex +++ b/Documents/LaTeX/OAOI212_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/OAOI2111_circuit.tex +%% File: StdCellLib/Documents/LaTeX/OAOI212_circuit.tex %% -%% Purpose: Circuit File for OAOI2111 +%% Purpose: Circuit File for OAOI212 %% %% ************ LaTeX with circdia.sty package *************** %% diff --git a/Documents/LaTeX/OAOI2111_manpage.tex b/Documents/LaTeX/OAOI212_manpage.tex similarity index 83% rename from Documents/LaTeX/OAOI2111_manpage.tex rename to Documents/LaTeX/OAOI212_manpage.tex index 6873d9b3..f42f5c7d 100644 --- a/Documents/LaTeX/OAOI2111_manpage.tex +++ b/Documents/LaTeX/OAOI212_manpage.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/OAOI2111_manpage.tex +%% File: StdCellLib/Documents/LaTeX/OAOI212_manpage.tex %% -%% Purpose: Manual Page File for OAOI2111 +%% Purpose: Manual Page File for OAOI212 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAOI2111} +\label{OAOI212} \paragraph{Cell} \begin{quote} - \textbf{OAOI2111} - a 2-1-1-1-input OR-AND-OR-Invert gate + \textbf{OAOI212} - a 2-1-2-input OR-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAOI2111(Z, D1, D, C, B, A) + OAOI212(Z, D1, D, C, B, A) \end{quote} \paragraph{Description} -\input{OAOI2111_circuit.tex} -%\input{OAOI2111_schematic.tex} +\input{OAOI212_circuit.tex} +%\input{OAOI212_schematic.tex} \paragraph{Truth Table} -%\input{OAOI2111_truthtable.tex} +%\input{OAOI212_truthtable.tex} \paragraph{Usage} From fa49106b0b3e1e333c6d732405fe75f8c01e6549 Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 10 Sep 2019 21:30:51 +0200 Subject: [PATCH 140/673] [DOC] Add LaTeX circuit for AO23 and AOI23 --- Documents/LaTeX/AO23_circuit.tex | 57 +++++++++++++++++++++++++++++++ Documents/LaTeX/AO23_manpage.tex | 57 +++++++++++++++++++++++++++++++ Documents/LaTeX/AOI23_circuit.tex | 56 ++++++++++++++++++++++++++++++ Documents/LaTeX/AOI23_manpage.tex | 57 +++++++++++++++++++++++++++++++ 4 files changed, 227 insertions(+) create mode 100644 Documents/LaTeX/AO23_circuit.tex create mode 100644 Documents/LaTeX/AO23_manpage.tex create mode 100644 Documents/LaTeX/AOI23_circuit.tex create mode 100644 Documents/LaTeX/AOI23_manpage.tex diff --git a/Documents/LaTeX/AO23_circuit.tex b/Documents/LaTeX/AO23_circuit.tex new file mode 100644 index 00000000..ddaf8993 --- /dev/null +++ b/Documents/LaTeX/AO23_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO23_circuit.tex +%% +%% Purpose: Circuit File for AO23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR + \gate{not}{19}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{9}{7}{9}{9} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \pin{23}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO23_manpage.tex b/Documents/LaTeX/AO23_manpage.tex new file mode 100644 index 00000000..ed0bf624 --- /dev/null +++ b/Documents/LaTeX/AO23_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO23_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AO23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AO23 - a 2-3-input AND-OR gate} \label{logical:AO23} + +\paragraph{Synopsys} +\begin{quote} + AO23 (Z D1 D C B A) +\end{quote} + +\paragraph{Description} +\input{AO23_circuit.tex} +%\input{AO23_schematic.tex} + +\paragraph{Truth Table} +%\input{AO23_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AOI23_circuit.tex b/Documents/LaTeX/AOI23_circuit.tex new file mode 100644 index 00000000..b3ce104c --- /dev/null +++ b/Documents/LaTeX/AOI23_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI23_circuit.tex +%% +%% Purpose: Circuit File for AOI23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{12} + \usgate + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \wire{9}{7}{9}{9} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \pin{17}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOI23_manpage.tex b/Documents/LaTeX/AOI23_manpage.tex new file mode 100644 index 00000000..a24d6031 --- /dev/null +++ b/Documents/LaTeX/AOI23_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI23_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AOI23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AOI23 - a 2-3-input AND-OR-Invert gate} \label{logical:AOI23} + +\paragraph{Synopsys} +\begin{quote} + AOI23 (Z D1 D C B A) +\end{quote} + +\paragraph{Description} +\input{AOI23_circuit.tex} +%\input{AOI23_schematic.tex} + +\paragraph{Truth Table} +%\input{AOI23_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 5066dc6a24bb97b6fc604fc40ca5eded65adb947 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 06:08:30 +0200 Subject: [PATCH 141/673] [DOC] Resolve Name Conflict, move AOI333->AAAOI333 --- Catalog/stacked3_cells.mk | 40 +++++++++---------- ...OI333_circuit.tex => AAAOI333_circuit.tex} | 39 +++++++++--------- ...OI333_manpage.tex => AAAOI333_manpage.tex} | 25 +++++------- ...3_schematic.tex => AAAOI333_schematic.tex} | 6 +-- ...truthtable.tex => AAAOI333_truthtable.tex} | 6 +-- 5 files changed, 55 insertions(+), 61 deletions(-) rename Documents/LaTeX/{AOI333_circuit.tex => AAAOI333_circuit.tex} (60%) rename Documents/LaTeX/{AOI333_manpage.tex => AAAOI333_manpage.tex} (73%) rename Documents/LaTeX/{AOI333_schematic.tex => AAAOI333_schematic.tex} (95%) rename Documents/LaTeX/{AOI333_truthtable.tex => AAAOI333_truthtable.tex} (95%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index cb462efb..af56bbad 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -50,7 +50,8 @@ ifdef BUFFERED # -------- already buffered -------------------------------- -CELLS += AND3 \ +CELLS += AAAO333 \ + AND3 \ AO211 \ AO31 \ AO311 \ @@ -59,7 +60,6 @@ CELLS += AND3 \ AO33 \ AO331 \ AO332 \ - AO333 \ AOA211 \ AOA221 \ AOAO2111 \ @@ -79,6 +79,10 @@ CELLS += AND3 \ OR3 \ OOA32 +AAAO333: LEVEL = 3 +AAAO333: AO332 + $(POPCORN) -m aoi -c $@ $< > $@ + AND3: AND2 $(POPCORN) -m nand -c $(*F) -c $@ $< > $@ @@ -109,10 +113,6 @@ AO332: LEVEL = 3 AO332: AO331 $(POPCORN) -m aoi -c $@ $< > $@ -AO333: LEVEL = 3 -AO333: AO332 - $(POPCORN) -m aoi -c $@ $< > $@ - AOA211: OA21 $(POPCORN) -m nand -c $@ $< > $@ @@ -176,7 +176,8 @@ ifeq ($(BUFFER),3) # -------- now buffered ------------------------------------ -CELLS += AND3 \ +CELLS += AAAO333 \ + AND3 \ AO211 \ AO31 \ AO311 \ @@ -185,7 +186,6 @@ CELLS += AND3 \ AO33 \ AO331 \ AO332 \ - AO333 \ AOA211 \ AOA221 \ AOAO2111 \ @@ -205,6 +205,10 @@ CELLS += AND3 \ OR3 \ OOA32 +AAAO333: LEVEL = 3 +AAAO333: AO332 + $(POPCORN) -m aoi -c $@ $< > $@ + AND3: NAND2 $(POPCORN) -m nand -c $@ $< > $@ @@ -235,10 +239,6 @@ AO332: LEVEL = 3 AO332: AO331 $(POPCORN) -m aoi -c $@ $< > $@ -AO333: LEVEL = 3 -AO333: AO332 - $(POPCORN) -m aoi -c $@ $< > $@ - AOA211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ @@ -303,18 +303,18 @@ else # -------- not buffered ------------------------------------ -CELLS += AOAI211 \ +CELLS += AAAOI333 \ + AOAI211 \ AOAI221 \ AOAOI2111 \ AOI211 \ AOI31 \ - AOI311 \ + AOI32 \ AOI32 \ AOI321 \ AOI33 \ AOI331 \ AOI332 \ - AOI333 \ AOOAI212 \ NAND3 \ NOR3 \ @@ -332,6 +332,10 @@ CELLS += AOAI211 \ OAOI221 \ OOAI32 +AAAOI333: LEVEL = 3 +AAAOI333: AOI332 + $(POPCORN) -m aoi -c $@ $< > $@ + AOAI211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ @@ -347,7 +351,7 @@ AOI211: NOR3 AOI31: AOI21 $(POPCORN) -m aoi -c $@ $< > $@ -AOI311: AOI211 +AOI32: AOI22 $(POPCORN) -m aoi -c $@ $< > $@ AOI32: AOI22 @@ -368,10 +372,6 @@ AOI332: LEVEL = 3 AOI332: AOI331 $(POPCORN) -m aoi -c $@ $< > $@ -AOI333: LEVEL = 3 -AOI333: AOI332 - $(POPCORN) -m aoi -c $@ $< > $@ - AOOAI212: OOAI22 $(POPCORN) -m nand -c $@ $< > $@ diff --git a/Documents/LaTeX/AOI333_circuit.tex b/Documents/LaTeX/AAAOI333_circuit.tex similarity index 60% rename from Documents/LaTeX/AOI333_circuit.tex rename to Documents/LaTeX/AAAOI333_circuit.tex index e31b9ed7..8edaea25 100644 --- a/Documents/LaTeX/AOI333_circuit.tex +++ b/Documents/LaTeX/AAAOI333_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI333.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI333_circuit.tex %% -%% Purpose: Circuit File for AOI333 +%% Purpose: Circuit File for AAAOI333 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,24 +34,25 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{18} - \pin{1}{1}{L}{A0} % pin A0 - \pin{1}{3}{L}{A1} % pin A1 - \pin{1}{5}{L}{A2} % pin A2 - \pin{1}{7}{L}{B0} % pin B0 - \pin{1}{9}{L}{B1} % pin B1 - \pin{1}{11}{L}{B2} % pin B2 - \pin{1}{13}{L}{C0} % pin C0 - \pin{1}{15}{L}{C1} % pin C1 - \pin{1}{17}{L}{C2} % pin C2 - \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR gate -> right - \wire{9}{3}{9}{7} % wire between AND and NOR - \wire{9}{11}{9}{15} % wire between AND and NOR + \usgate + \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{15} % wire between AND and NOR \pin{17}{9}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOI333_manpage.tex b/Documents/LaTeX/AAAOI333_manpage.tex similarity index 73% rename from Documents/LaTeX/AOI333_manpage.tex rename to Documents/LaTeX/AAAOI333_manpage.tex index 255eb86b..e04ab24d 100644 --- a/Documents/LaTeX/AOI333_manpage.tex +++ b/Documents/LaTeX/AAAOI333_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI333.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI333_manpage.tex %% -%% Purpose: Manual Page File for AOI333 +%% Purpose: Manual Page File for AAAOI333 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI333} +\label{AAAOI333} \paragraph{Cell} \begin{quote} - \textbf{AOI333} - a 3-3-3-input AND-OR-Invert gate + \textbf{AAAOI333} - a 3-3-3-input AND-AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI333(Z, C2, C1, C0, B2, B1, B0, A2, A1, A0) + AAAOI333(Z, C2, C1, C, B2, B1, B, A2, A1, A) \end{quote} \paragraph{Description} -\input{AOI333_circuit.tex} -\input{AOI333_schematic.tex} +\input{AAAOI333_circuit.tex} +\input{AAAOI333_schematic.tex} \paragraph{Truth Table} -\input{AOI333_truthtable.tex} +\input{AAAOI333_truthtable.tex} \paragraph{Usage} @@ -57,10 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI222 - a 2-2-2-input AND-OR-Invert gate \\ - AOI322 - a 3-2-2-input AND-OR-Invert gate \\ - AOI332 - a 3-3-2-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI333_schematic.tex b/Documents/LaTeX/AAAOI333_schematic.tex similarity index 95% rename from Documents/LaTeX/AOI333_schematic.tex rename to Documents/LaTeX/AAAOI333_schematic.tex index 6ec81fc9..7b9519b0 100644 --- a/Documents/LaTeX/AOI333_schematic.tex +++ b/Documents/LaTeX/AAAOI333_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI333.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI333_schematic.tex %% -%% Purpose: Schematic File for AOI333 +%% Purpose: Schematic File for AAAOI333 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI333_truthtable.tex b/Documents/LaTeX/AAAOI333_truthtable.tex similarity index 95% rename from Documents/LaTeX/AOI333_truthtable.tex rename to Documents/LaTeX/AAAOI333_truthtable.tex index 1f9767ed..931f0d9e 100644 --- a/Documents/LaTeX/AOI333_truthtable.tex +++ b/Documents/LaTeX/AAAOI333_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI333.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI333_truthtable.tex %% -%% Purpose: Truth Table File for AOI333 +%% Purpose: Truth Table File for AAAOI333 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 9e186024c2e855b4882dabad975e635ef9f62461 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 06:14:46 +0200 Subject: [PATCH 142/673] [DOC] Add LaTeX circuit for AAAO333 --- Documents/LaTeX/AAAO333_circuit.tex | 61 +++++++++++++++++++++++++++++ Documents/LaTeX/AAAO333_manpage.tex | 59 ++++++++++++++++++++++++++++ 2 files changed, 120 insertions(+) create mode 100644 Documents/LaTeX/AAAO333_circuit.tex create mode 100644 Documents/LaTeX/AAAO333_manpage.tex diff --git a/Documents/LaTeX/AAAO333_circuit.tex b/Documents/LaTeX/AAAO333_circuit.tex new file mode 100644 index 00000000..1d08247b --- /dev/null +++ b/Documents/LaTeX/AAAO333_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO333_circuit.tex +%% +%% Purpose: Circuit File for AAAO333 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{15} % wire between AND and NOR + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAAO333_manpage.tex b/Documents/LaTeX/AAAO333_manpage.tex new file mode 100644 index 00000000..5dc30992 --- /dev/null +++ b/Documents/LaTeX/AAAO333_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO333_manpage.tex +%% +%% Purpose: Manual Page File for AAAO333 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAAO333} +\paragraph{Cell} +\begin{quote} + \textbf{AAAO333} - a 3-3-3-input AND-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAAO333(Z, C2, C1, C, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAAO333_circuit.tex} +%\input{AAAO333_schematic.tex} + +\paragraph{Truth Table} +%\input{AAAO333_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From dc263cd4f2a569ca0f8c444a1a50c515ae5183fe Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 06:39:08 +0200 Subject: [PATCH 143/673] [DOC] Resolve Name Conflict, move AOI332->AAAOI332 --- ...OI332_circuit.tex => AAAOI332_circuit.tex} | 27 ++++++++++--------- ...OI332_manpage.tex => AAAOI332_manpage.tex} | 25 +++++++---------- ...2_schematic.tex => AAAOI332_schematic.tex} | 6 ++--- ...truthtable.tex => AAAOI332_truthtable.tex} | 6 ++--- 4 files changed, 29 insertions(+), 35 deletions(-) rename Documents/LaTeX/{AOI332_circuit.tex => AAAOI332_circuit.tex} (70%) rename Documents/LaTeX/{AOI332_manpage.tex => AAAOI332_manpage.tex} (73%) rename Documents/LaTeX/{AOI332_schematic.tex => AAAOI332_schematic.tex} (95%) rename Documents/LaTeX/{AOI332_truthtable.tex => AAAOI332_truthtable.tex} (94%) diff --git a/Documents/LaTeX/AOI332_circuit.tex b/Documents/LaTeX/AAAOI332_circuit.tex similarity index 70% rename from Documents/LaTeX/AOI332_circuit.tex rename to Documents/LaTeX/AAAOI332_circuit.tex index 1d95d9ab..1abf029f 100644 --- a/Documents/LaTeX/AOI332_circuit.tex +++ b/Documents/LaTeX/AAAOI332_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI332.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI332_circuit.tex %% -%% Purpose: Circuit File for AOI332 +%% Purpose: Circuit File for AAAOI332 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,23 +34,24 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{18} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{9}{L}{B1} % pin B1 \pin{1}{11}{L}{B2} % pin B2 - \pin{1}{13}{L}{C0} % pin C0 + \pin{1}{13}{L}{C} % pin C \pin{1}{15}{L}{C1} % pin C1 \pin{1}{17}{L}{C2} % pin C2 - \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR gate -> right - \wire{9}{3}{9}{7} % wire between AND and NOR - \wire{9}{11}{9}{15} % wire between AND and NOR + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{15} % wire between AND and NOR \pin{17}{9}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOI332_manpage.tex b/Documents/LaTeX/AAAOI332_manpage.tex similarity index 73% rename from Documents/LaTeX/AOI332_manpage.tex rename to Documents/LaTeX/AAAOI332_manpage.tex index 6b1f73e5..6269d1f6 100644 --- a/Documents/LaTeX/AOI332_manpage.tex +++ b/Documents/LaTeX/AAAOI332_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI332.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI332_manpage.tex %% -%% Purpose: Manual Page File for AOI332 +%% Purpose: Manual Page File for AAAOI332 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI332} +\label{AAAOI332} \paragraph{Cell} \begin{quote} - \textbf{AOI332} - a 3-3-2-input AND-OR-Invert gate + \textbf{AAAOI332} - a 3-3-2-input AND-AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI332(Z, C2, C1, C0, B2, B1, B0, A1, A0) + AAAOI332(Z, C2, C1, C, B2, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{AOI332_circuit.tex} -\input{AOI332_schematic.tex} +\input{AAAOI332_circuit.tex} +\input{AAAOI332_schematic.tex} \paragraph{Truth Table} -\input{AOI332_truthtable.tex} +\input{AAAOI332_truthtable.tex} \paragraph{Usage} @@ -57,10 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI222 - a 2-2-2-input AND-OR-Invert gate \\ - AOI322 - a 3-2-2-input AND-OR-Invert gate \\ - AOI333 - a 3-3-3-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI332_schematic.tex b/Documents/LaTeX/AAAOI332_schematic.tex similarity index 95% rename from Documents/LaTeX/AOI332_schematic.tex rename to Documents/LaTeX/AAAOI332_schematic.tex index d210f161..8d211d82 100644 --- a/Documents/LaTeX/AOI332_schematic.tex +++ b/Documents/LaTeX/AAAOI332_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI332.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI332_schematic.tex %% -%% Purpose: Schematic File for AOI332 +%% Purpose: Schematic File for AAAOI332 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI332_truthtable.tex b/Documents/LaTeX/AAAOI332_truthtable.tex similarity index 94% rename from Documents/LaTeX/AOI332_truthtable.tex rename to Documents/LaTeX/AAAOI332_truthtable.tex index e1a4e0cd..7b9d39e5 100644 --- a/Documents/LaTeX/AOI332_truthtable.tex +++ b/Documents/LaTeX/AAAOI332_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI332.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI332_truthtable.tex %% -%% Purpose: Truth Table File for AOI332 +%% Purpose: Truth Table File for AAAOI332 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 3a598662f960e32da1a681e0534405365a0a5ed6 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 06:43:27 +0200 Subject: [PATCH 144/673] [DOC] Add LaTeX circuit for AAAO332 --- Catalog/stacked3_cells.mk | 32 +++++++++------ Documents/LaTeX/AAAO332_circuit.tex | 60 +++++++++++++++++++++++++++++ Documents/LaTeX/AAAO332_manpage.tex | 59 ++++++++++++++++++++++++++++ 3 files changed, 140 insertions(+), 11 deletions(-) create mode 100644 Documents/LaTeX/AAAO332_circuit.tex create mode 100644 Documents/LaTeX/AAAO332_manpage.tex diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index af56bbad..7df17fd4 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -50,7 +50,8 @@ ifdef BUFFERED # -------- already buffered -------------------------------- -CELLS += AAAO333 \ +CELLS += AAAO332 \ + AAAO333 \ AND3 \ AO211 \ AO31 \ @@ -79,8 +80,12 @@ CELLS += AAAO333 \ OR3 \ OOA32 +AAAO332: LEVEL = 3 +AAAO332: AAAO331 + $(POPCORN) -m aoi -c $@ $< > $@ + AAAO333: LEVEL = 3 -AAAO333: AO332 +AAAO333: AAAO332 $(POPCORN) -m aoi -c $@ $< > $@ AND3: AND2 @@ -176,7 +181,8 @@ ifeq ($(BUFFER),3) # -------- now buffered ------------------------------------ -CELLS += AAAO333 \ +CELLS += AAAO332 \ + AAAO333 \ AND3 \ AO211 \ AO31 \ @@ -205,8 +211,12 @@ CELLS += AAAO333 \ OR3 \ OOA32 +AAAO332: LEVEL = 3 +AAAO332: AAAO331 + $(POPCORN) -m aoi -c $@ $< > $@ + AAAO333: LEVEL = 3 -AAAO333: AO332 +AAAO333: AAAO332 $(POPCORN) -m aoi -c $@ $< > $@ AND3: NAND2 @@ -303,7 +313,8 @@ else # -------- not buffered ------------------------------------ -CELLS += AAAOI333 \ +CELLS += AAAOI332 \ + AAAOI333 \ AOAI211 \ AOAI221 \ AOAOI2111 \ @@ -314,7 +325,6 @@ CELLS += AAAOI333 \ AOI321 \ AOI33 \ AOI331 \ - AOI332 \ AOOAI212 \ NAND3 \ NOR3 \ @@ -332,8 +342,12 @@ CELLS += AAAOI333 \ OAOI221 \ OOAI32 +AAAOI332: LEVEL = 3 +AAAOI332: AOI331 + $(POPCORN) -m aoi -c $@ $< > $@ + AAAOI333: LEVEL = 3 -AAAOI333: AOI332 +AAAOI333: AAAOI332 $(POPCORN) -m aoi -c $@ $< > $@ AOAI211: OAI21 @@ -368,10 +382,6 @@ AOI331: LEVEL = 3 AOI331: AOI321 $(POPCORN) -m aoi -c $@ $< > $@ -AOI332: LEVEL = 3 -AOI332: AOI331 - $(POPCORN) -m aoi -c $@ $< > $@ - AOOAI212: OOAI22 $(POPCORN) -m nand -c $@ $< > $@ diff --git a/Documents/LaTeX/AAAO332_circuit.tex b/Documents/LaTeX/AAAO332_circuit.tex new file mode 100644 index 00000000..f0d1ca25 --- /dev/null +++ b/Documents/LaTeX/AAAO332_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO332_circuit.tex +%% +%% Purpose: Circuit File for AAAO332 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{15} % wire between AND and NOR + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAAO332_manpage.tex b/Documents/LaTeX/AAAO332_manpage.tex new file mode 100644 index 00000000..1d101556 --- /dev/null +++ b/Documents/LaTeX/AAAO332_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO332_manpage.tex +%% +%% Purpose: Manual Page File for AAAO332 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAAO332} +\paragraph{Cell} +\begin{quote} + \textbf{AAAO332} - a 3-3-2-input AND-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAAO332(Z, C2, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAAO332_circuit.tex} +%\input{AAAO332_schematic.tex} + +\paragraph{Truth Table} +%\input{AAAO332_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 4ad85bbe44deb54cb3f9de581017d2431a3d5d99 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 10:42:27 +0200 Subject: [PATCH 145/673] [DOC] Resolve Name Conflict, move AOI331->AAOI331 --- Catalog/stacked3_cells.mk | 15 ++++++++++++ ...AOI331_circuit.tex => AAOI331_circuit.tex} | 23 +++++++++--------- ...AOI331_manpage.tex => AAOI331_manpage.tex} | 24 +++++++------------ ...31_schematic.tex => AAOI331_schematic.tex} | 4 ++-- ..._truthtable.tex => AAOI331_truthtable.tex} | 6 ++--- 5 files changed, 41 insertions(+), 31 deletions(-) rename Documents/LaTeX/{AOI331_circuit.tex => AAOI331_circuit.tex} (74%) rename Documents/LaTeX/{AOI331_manpage.tex => AAOI331_manpage.tex} (75%) rename Documents/LaTeX/{AOI331_schematic.tex => AAOI331_schematic.tex} (97%) rename Documents/LaTeX/{AOI331_truthtable.tex => AAOI331_truthtable.tex} (92%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 7df17fd4..c696cb25 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -52,6 +52,7 @@ ifdef BUFFERED CELLS += AAAO332 \ AAAO333 \ + AAO331 \ AND3 \ AO211 \ AO31 \ @@ -88,6 +89,10 @@ AAAO333: LEVEL = 3 AAAO333: AAAO332 $(POPCORN) -m aoi -c $@ $< > $@ +AAO331: LEVEL = 3 +AAO331: AAO321 + $(POPCORN) -m aoi -c $@ $< > $@ + AND3: AND2 $(POPCORN) -m nand -c $(*F) -c $@ $< > $@ @@ -183,6 +188,7 @@ ifeq ($(BUFFER),3) CELLS += AAAO332 \ AAAO333 \ + AAO331 \ AND3 \ AO211 \ AO31 \ @@ -219,6 +225,10 @@ AAAO333: LEVEL = 3 AAAO333: AAAO332 $(POPCORN) -m aoi -c $@ $< > $@ +AAO331: LEVEL = 3 +AAO331: AAO321 + $(POPCORN) -m aoi -c $@ $< > $@ + AND3: NAND2 $(POPCORN) -m nand -c $@ $< > $@ @@ -315,6 +325,7 @@ else CELLS += AAAOI332 \ AAAOI333 \ + AAOI331 \ AOAI211 \ AOAI221 \ AOAOI2111 \ @@ -350,6 +361,10 @@ AAAOI333: LEVEL = 3 AAAOI333: AAAOI332 $(POPCORN) -m aoi -c $@ $< > $@ +AAOI331: LEVEL = 3 +AAOI331: AAAOI321 + $(POPCORN) -m aoi -c $@ $< > $@ + AOAI211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ diff --git a/Documents/LaTeX/AOI331_circuit.tex b/Documents/LaTeX/AAOI331_circuit.tex similarity index 74% rename from Documents/LaTeX/AOI331_circuit.tex rename to Documents/LaTeX/AAOI331_circuit.tex index 4f7d1832..6db09afe 100644 --- a/Documents/LaTeX/AOI331_circuit.tex +++ b/Documents/LaTeX/AAOI331_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI331.tex +%% File: StdCellLib/Documents/LaTeX/AAOI331_circuit.tex %% -%% Purpose: Circuit File for AOI331 +%% Purpose: Circuit File for AAOI331 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,21 +34,22 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{B1} % pin B1 \pin{1}{7}{L}{B2} % pin B2 - \pin{1}{9}{L}{C0} % pin C0 + \pin{1}{9}{L}{C} % pin C \pin{1}{11}{L}{C1} % pin C1 \pin{1}{13}{L}{C2} % pin C2 - \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR gate -> right - \wire{9}{1}{9}{3} % wire between AND and NOR - \wire{9}{11}{9}{7} % wire between AND and NOR + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{11}{9}{7} % wire between AND and NOR \wire{2}{1}{9}{1} % wire before NOR \pin{17}{5}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/AOI331_manpage.tex b/Documents/LaTeX/AAOI331_manpage.tex similarity index 75% rename from Documents/LaTeX/AOI331_manpage.tex rename to Documents/LaTeX/AAOI331_manpage.tex index 13a24509..67d005ee 100644 --- a/Documents/LaTeX/AOI331_manpage.tex +++ b/Documents/LaTeX/AAOI331_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI331.tex +%% File: StdCellLib/Documents/LaTeX/AAOI331_manpage.tex %% -%% Purpose: Manual Page File for AOI331 +%% Purpose: Manual Page File for AAOI331 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI331} +\label{AAOI331} \paragraph{Cell} \begin{quote} - \textbf{AOI331} - a 3-3-1-input AND-OR-Invert gate + \textbf{AAOI331} - a 3-3-1-input AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI331(Z, C2, C1, C0, B2, B1, B0, A) + AAOI331(Z, C2, C1, C, B2, B1, B, A) \end{quote} \paragraph{Description} -\input{AOI331_circuit.tex} -\input{AOI331_schematic.tex} +\input{AAOI331_circuit.tex} +\input{AAOI331_schematic.tex} \paragraph{Truth Table} -\input{AOI331_truthtable.tex} +\input{AAOI331_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI221 - a 2-2-1-input AND-OR-Invert gate \\ - AOI321 - a 3-2-1-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI331_schematic.tex b/Documents/LaTeX/AAOI331_schematic.tex similarity index 97% rename from Documents/LaTeX/AOI331_schematic.tex rename to Documents/LaTeX/AAOI331_schematic.tex index 81c928e0..e90abdda 100644 --- a/Documents/LaTeX/AOI331_schematic.tex +++ b/Documents/LaTeX/AAOI331_schematic.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI331.tex +%% File: StdCellLib/Documents/LaTeX/AAOI331_schematic.tex %% -%% Purpose: Schematic File for AOI331 +%% Purpose: Schematic File for AAOI331 %% %% ************ LaTeX with circdia.sty package *************** %% diff --git a/Documents/LaTeX/AOI331_truthtable.tex b/Documents/LaTeX/AAOI331_truthtable.tex similarity index 92% rename from Documents/LaTeX/AOI331_truthtable.tex rename to Documents/LaTeX/AAOI331_truthtable.tex index 60f30310..17e0a9c5 100644 --- a/Documents/LaTeX/AOI331_truthtable.tex +++ b/Documents/LaTeX/AAOI331_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI331.tex +%% File: StdCellLib/Documents/LaTeX/AAOI331_truthtable.tex %% -%% Purpose: Truth Table File for AOI331 +%% Purpose: Truth Table File for AAOI331 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 72ae8a3cb73d159ee8f7f37f36ec78be639fd4b7 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 10:47:42 +0200 Subject: [PATCH 146/673] [DOC] Add LaTeX circuit for AAO331 --- Documents/LaTeX/AAO331_circuit.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/AAO331_manpage.tex | 59 ++++++++++++++++++++++++++++++ 2 files changed, 118 insertions(+) create mode 100644 Documents/LaTeX/AAO331_circuit.tex create mode 100644 Documents/LaTeX/AAO331_manpage.tex diff --git a/Documents/LaTeX/AAO331_circuit.tex b/Documents/LaTeX/AAO331_circuit.tex new file mode 100644 index 00000000..e77f7a3a --- /dev/null +++ b/Documents/LaTeX/AAO331_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO331_circuit.tex +%% +%% Purpose: Circuit File for AAO331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR + \gate{not}{19}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{11}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire before NOR + \pin{23}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO331_manpage.tex b/Documents/LaTeX/AAO331_manpage.tex new file mode 100644 index 00000000..7cdfa26d --- /dev/null +++ b/Documents/LaTeX/AAO331_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO331_manpage.tex +%% +%% Purpose: Manual Page File for AAO331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO331} +\paragraph{Cell} +\begin{quote} + \textbf{AAO331} - a 3-3-1-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO331(Z, C2, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAO331_circuit.tex} +%\input{AAO331_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO331_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From ed7bcd74102e0cbc241f64ea345091c9d847cbb3 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 11:25:46 +0200 Subject: [PATCH 147/673] [DOC] Resolve Name Conflict, move AOI321->AAOI321 --- Catalog/stacked3_cells.mk | 12 ++++++--- ...AOI321_circuit.tex => AAOI321_circuit.tex} | 27 ++++++++++--------- ...AOI321_manpage.tex => AAOI321_manpage.tex} | 24 +++++++---------- ...21_schematic.tex => AAOI321_schematic.tex} | 6 ++--- ..._truthtable.tex => AAOI321_truthtable.tex} | 6 ++--- 5 files changed, 37 insertions(+), 38 deletions(-) rename Documents/LaTeX/{AOI321_circuit.tex => AAOI321_circuit.tex} (70%) rename Documents/LaTeX/{AOI321_manpage.tex => AAOI321_manpage.tex} (75%) rename Documents/LaTeX/{AOI321_schematic.tex => AAOI321_schematic.tex} (95%) rename Documents/LaTeX/{AOI321_truthtable.tex => AAOI321_truthtable.tex} (92%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index c696cb25..d81fac90 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -52,13 +52,13 @@ ifdef BUFFERED CELLS += AAAO332 \ AAAO333 \ + AAO321 \ AAO331 \ AND3 \ AO211 \ AO31 \ AO311 \ AO32 \ - AO321 \ AO33 \ AO331 \ AO332 \ @@ -188,13 +188,13 @@ ifeq ($(BUFFER),3) CELLS += AAAO332 \ AAAO333 \ + AAO321 \ AAO331 \ AND3 \ AO211 \ AO31 \ AO311 \ AO32 \ - AO321 \ AO33 \ AO331 \ AO332 \ @@ -325,6 +325,7 @@ else CELLS += AAAOI332 \ AAAOI333 \ + AAOI321 \ AAOI331 \ AOAI211 \ AOAI221 \ @@ -333,7 +334,6 @@ CELLS += AAAOI332 \ AOI31 \ AOI32 \ AOI32 \ - AOI321 \ AOI33 \ AOI331 \ AOOAI212 \ @@ -362,7 +362,11 @@ AAAOI333: AAAOI332 $(POPCORN) -m aoi -c $@ $< > $@ AAOI331: LEVEL = 3 -AAOI331: AAAOI321 +AAOI331: AAOI321 + $(POPCORN) -m aoi -c $@ $< > $@ + +AAOI321: LEVEL = 3 +AAOI321: AAOI221 $(POPCORN) -m aoi -c $@ $< > $@ AOAI211: OAI21 diff --git a/Documents/LaTeX/AOI321_circuit.tex b/Documents/LaTeX/AAOI321_circuit.tex similarity index 70% rename from Documents/LaTeX/AOI321_circuit.tex rename to Documents/LaTeX/AAOI321_circuit.tex index bafb10ed..f1197ab6 100644 --- a/Documents/LaTeX/AOI321_circuit.tex +++ b/Documents/LaTeX/AAOI321_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI321.tex +%% File: StdCellLib/Documents/LaTeX/AAOI321_circuit.tex %% -%% Purpose: Circuit File for AOI321 +%% Purpose: Circuit File for AAOI321 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,20 +34,21 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{7}{L}{B1} % pin B1 - \pin{1}{9}{L}{C0} % pin C0 - \pin{1}{11}{L}{C1} % pin C1 - \pin{1}{13}{L}{C2} % pin C2 - \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR gate -> right - \wire{9}{1}{9}{3} % wire between AND and NOR - \wire{9}{11}{9}{7} % wire between AND and NOR + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{11}{9}{7} % wire between AND and NOR \wire{2}{1}{9}{1} % wire before NOR \pin{17}{5}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/AOI321_manpage.tex b/Documents/LaTeX/AAOI321_manpage.tex similarity index 75% rename from Documents/LaTeX/AOI321_manpage.tex rename to Documents/LaTeX/AAOI321_manpage.tex index 739d1d52..df7183f6 100644 --- a/Documents/LaTeX/AOI321_manpage.tex +++ b/Documents/LaTeX/AAOI321_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI321.tex +%% File: StdCellLib/Documents/LaTeX/AAOI321_manpage.tex %% -%% Purpose: Manual Page File for AOI321 +%% Purpose: Manual Page File for AAOI321 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI321} +\label{AAOI321} \paragraph{Cell} \begin{quote} - \textbf{AOI321} - a 3-2-1-input AND-OR-Invert gate + \textbf{AAOI321} - a 3-2-1-input AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI321(Z, C2, C1, C0, B1, B0, A) + AAOI321(Z, C2, C1, C, B1, B, A) \end{quote} \paragraph{Description} -\input{AOI321_circuit.tex} -\input{AOI321_schematic.tex} +\input{AAOI321_circuit.tex} +\input{AAOI321_schematic.tex} \paragraph{Truth Table} -\input{AOI321_truthtable.tex} +\input{AAOI321_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI311 - a 3-1-1-input AND-OR-Invert gate \\ - AOI331 - a 3-3-1-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI321_schematic.tex b/Documents/LaTeX/AAOI321_schematic.tex similarity index 95% rename from Documents/LaTeX/AOI321_schematic.tex rename to Documents/LaTeX/AAOI321_schematic.tex index 4057bb21..41ce188c 100644 --- a/Documents/LaTeX/AOI321_schematic.tex +++ b/Documents/LaTeX/AAOI321_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI321.tex +%% File: StdCellLib/Documents/LaTeX/AAOI321_schematic.tex %% -%% Purpose: Schematic File for AOI321 +%% Purpose: Schematic File for AAOI321 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI321_truthtable.tex b/Documents/LaTeX/AAOI321_truthtable.tex similarity index 92% rename from Documents/LaTeX/AOI321_truthtable.tex rename to Documents/LaTeX/AAOI321_truthtable.tex index 9c38038b..bcc71fc8 100644 --- a/Documents/LaTeX/AOI321_truthtable.tex +++ b/Documents/LaTeX/AAOI321_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI321.tex +%% File: StdCellLib/Documents/LaTeX/AAOI321_truthtable.tex %% -%% Purpose: Truth Table File for AOI321 +%% Purpose: Truth Table File for AAOI321 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From fb93e52f0934e4198de9376b987ee148bdcd4009 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 11:29:43 +0200 Subject: [PATCH 148/673] [DOC] Add LaTeX circuit for AAO321 --- Documents/LaTeX/AAO321_circuit.tex | 58 +++++++++++++++++++++++++++++ Documents/LaTeX/AAO321_manpage.tex | 59 ++++++++++++++++++++++++++++++ 2 files changed, 117 insertions(+) create mode 100644 Documents/LaTeX/AAO321_circuit.tex create mode 100644 Documents/LaTeX/AAO321_manpage.tex diff --git a/Documents/LaTeX/AAO321_circuit.tex b/Documents/LaTeX/AAO321_circuit.tex new file mode 100644 index 00000000..eac34ba1 --- /dev/null +++ b/Documents/LaTeX/AAO321_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO321_circuit.tex +%% +%% Purpose: Circuit File for AAO321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR + \gate{not}{19}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{11}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire before NOR + \pin{23}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO321_manpage.tex b/Documents/LaTeX/AAO321_manpage.tex new file mode 100644 index 00000000..879c9f1e --- /dev/null +++ b/Documents/LaTeX/AAO321_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO321_manpage.tex +%% +%% Purpose: Manual Page File for AAO321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO321} +\paragraph{Cell} +\begin{quote} + \textbf{AAO321} - a 3-2-1-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO321(Z, C2, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAO321_circuit.tex} +%\input{AAO321_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO321_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From ec7a56c4c145a33afcdb3dd5527771afbe728953 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 12:04:26 +0200 Subject: [PATCH 149/673] [DOC] Resolve Name Conflict, move OAI333->OOOAI333 --- Catalog/stacked3_cells.mk | 36 ++++++++--------- ...AI333_circuit.tex => OOOAI333_circuit.tex} | 39 ++++++++++--------- ...AI333_manpage.tex => OOOAI333_manpage.tex} | 25 +++++------- ...3_schematic.tex => OOOAI333_schematic.tex} | 6 +-- ...truthtable.tex => OOOAI333_truthtable.tex} | 6 +-- 5 files changed, 53 insertions(+), 59 deletions(-) rename Documents/LaTeX/{OAI333_circuit.tex => OOOAI333_circuit.tex} (60%) rename Documents/LaTeX/{OAI333_manpage.tex => OOOAI333_manpage.tex} (72%) rename Documents/LaTeX/{OAI333_schematic.tex => OOOAI333_schematic.tex} (95%) rename Documents/LaTeX/{OAI333_truthtable.tex => OOOAI333_truthtable.tex} (95%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index d81fac90..726d6cc3 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -73,13 +73,13 @@ CELLS += AAAO332 \ OA33 \ OA331 \ OA332 \ - OA333 \ OAO211 \ OAO212 \ OAO221 \ OAOA2111 \ OR3 \ - OOA32 + OOA32 \ + OA333 AAAO332: LEVEL = 3 AAAO332: AAAO331 @@ -162,10 +162,6 @@ OA332: LEVEL = 3 OA332: OA331 $(POPCORN) -m oai -c $@ $< > $@ -OA333: LEVEL = 3 -OA333: OA332 - $(POPCORN) -m oai -c $@ $< > $@ - OAO211: AO21 $(POPCORN) -m nor -c $@ $< > $@ @@ -181,6 +177,10 @@ OAOA2111: AOA211 OR3: OR2 $(POPCORN) -m nor -c $@ $< > $@ +OOOA333: LEVEL = 3 +OOOA333: OA332 + $(POPCORN) -m oai -c $@ $< > $@ + else ifeq ($(BUFFER),3) @@ -209,13 +209,13 @@ CELLS += AAAO332 \ OA33 \ OA331 \ OA332 \ - OA333 \ OAO211 \ OAO212 \ OAO221 \ OAOA2111 \ OR3 \ - OOA32 + OOA32 \ + OA333 AAAO332: LEVEL = 3 AAAO332: AAAO331 @@ -298,10 +298,6 @@ OA332: LEVEL = 3 OA332: OA331 $(POPCORN) -m oai -c $@ $< > $@ -OA333: LEVEL = 3 -OA333: OA332 - $(POPCORN) -m oai -c $@ $< > $@ - OAO211: AOI21 $(POPCORN) -m nor -c $@ $< > $@ @@ -317,6 +313,10 @@ OAOA2111: AOA211 OR3: NOR2 $(POPCORN) -m nor -c $@ $< > $@ +OOOA333: LEVEL = 3 +OOOA333: OA332 + $(POPCORN) -m oai -c $@ $< > $@ + BUFFERED = true else @@ -346,12 +346,12 @@ CELLS += AAAOI332 \ OAI33 \ OAI331 \ OAI332 \ - OAI333 \ OAOAI2111 \ OAOI211 \ OAOI212 \ OAOI221 \ - OOAI32 + OOAI32 \ + OOOOAI333 AAAOI332: LEVEL = 3 AAAOI332: AOI331 @@ -437,10 +437,6 @@ OAI332: LEVEL = 3 OAI332: OAI331 $(POPCORN) -m oai -c $@ $< > $@ -OAI333: LEVEL = 3 -OAI333: OAI332 - $(POPCORN) -m oai -c $@ $< > $@ - OAOAI2111: AOAI211 $(POPCORN) -m nor -c $@ $< > $@ @@ -453,5 +449,9 @@ OAOI212: AOI22 OAOI221: AOI31 $(POPCORN) -m nor -c $@ $< > $@ +OOOAI333: LEVEL = 3 +OOOAI333: OAI332 + $(POPCORN) -m oai -c $@ $< > $@ + endif endif diff --git a/Documents/LaTeX/OAI333_circuit.tex b/Documents/LaTeX/OOOAI333_circuit.tex similarity index 60% rename from Documents/LaTeX/OAI333_circuit.tex rename to Documents/LaTeX/OOOAI333_circuit.tex index a20cc6bd..32bd4530 100644 --- a/Documents/LaTeX/OAI333_circuit.tex +++ b/Documents/LaTeX/OOOAI333_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI333.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI333_circuit.tex %% -%% Purpose: Circuit File for OAI333 +%% Purpose: Circuit File for OOOAI333 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,24 +34,25 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{18} - \pin{1}{1}{L}{A0} % pin A0 - \pin{1}{3}{L}{A1} % pin A1 - \pin{1}{5}{L}{A2} % pin A2 - \pin{1}{7}{L}{B0} % pin B0 - \pin{1}{9}{L}{B1} % pin B1 - \pin{1}{11}{L}{B2} % pin B2 - \pin{1}{13}{L}{C0} % pin C0 - \pin{1}{15}{L}{C1} % pin C1 - \pin{1}{17}{L}{C2} % pin C2 - \gate[\inputs{3}]{or}{5}{3}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND gate -> right - \wire{9}{3}{9}{7} % wire between OR and NAND - \wire{9}{11}{9}{15} % wire between OR and NAND + \usgate + \gate[\inputs{3}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \wire{9}{3}{9}{7} % wire between OR and NAND + \wire{9}{11}{9}{15} % wire between OR and NAND \pin{17}{9}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OAI333_manpage.tex b/Documents/LaTeX/OOOAI333_manpage.tex similarity index 72% rename from Documents/LaTeX/OAI333_manpage.tex rename to Documents/LaTeX/OOOAI333_manpage.tex index bfaff9d9..f2c5d92e 100644 --- a/Documents/LaTeX/OAI333_manpage.tex +++ b/Documents/LaTeX/OOOAI333_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI333.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI333_manpage.tex %% -%% Purpose: Manual Page File for OAI333 +%% Purpose: Manual Page File for OOOAI333 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI333} +\label{OOOAI333} \paragraph{Cell} \begin{quote} - \textbf{OAI333} - a 3-3-3-input OR-AND-Invert gate + \textbf{OOOAI333} - a 3-3-3-input OR-OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI333(Z, C2, C1, C0, B2, B1, B0, A2, A1, A0) + OOOAI333(Z, C2, C1, C, B2, B1, B, A2, A1, A) \end{quote} \paragraph{Description} -\input{OAI333_circuit.tex} -\input{OAI333_schematic.tex} +\input{OOOAI333_circuit.tex} +\input{OOOAI333_schematic.tex} \paragraph{Truth Table} -\input{OAI333_truthtable.tex} +\input{OOOAI333_truthtable.tex} \paragraph{Usage} @@ -57,10 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI222 - a 2-2-2-input OR-AND-Invert gate \\ - OAI322 - a 3-2-2-input OR-AND-Invert gate \\ - OAI332 - a 3-3-2-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI333_schematic.tex b/Documents/LaTeX/OOOAI333_schematic.tex similarity index 95% rename from Documents/LaTeX/OAI333_schematic.tex rename to Documents/LaTeX/OOOAI333_schematic.tex index c7366390..2d9a51ac 100644 --- a/Documents/LaTeX/OAI333_schematic.tex +++ b/Documents/LaTeX/OOOAI333_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI333.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI333_schematic.tex %% -%% Purpose: Schematic File for OAI333 +%% Purpose: Schematic File for OOOAI333 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI333_truthtable.tex b/Documents/LaTeX/OOOAI333_truthtable.tex similarity index 95% rename from Documents/LaTeX/OAI333_truthtable.tex rename to Documents/LaTeX/OOOAI333_truthtable.tex index e84a0fba..616ceb10 100644 --- a/Documents/LaTeX/OAI333_truthtable.tex +++ b/Documents/LaTeX/OOOAI333_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI333.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI333_truthtable.tex %% -%% Purpose: Truth Table File for OAI333 +%% Purpose: Truth Table File for OOOAI333 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From eb96ea637cba844b3a0a8d1d351c2fe5d1255b7b Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 12:14:00 +0200 Subject: [PATCH 150/673] [DOC] Add LaTeX circuit for OOOA333 --- Documents/LaTeX/OOOA333_circuit.tex | 61 +++++++++++++++++++++++++++++ Documents/LaTeX/OOOA333_manpage.tex | 59 ++++++++++++++++++++++++++++ 2 files changed, 120 insertions(+) create mode 100644 Documents/LaTeX/OOOA333_circuit.tex create mode 100644 Documents/LaTeX/OOOA333_manpage.tex diff --git a/Documents/LaTeX/OOOA333_circuit.tex b/Documents/LaTeX/OOOA333_circuit.tex new file mode 100644 index 00000000..f564ea69 --- /dev/null +++ b/Documents/LaTeX/OOOA333_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOOA333_circuit.tex +%% +%% Purpose: Circuit File for OOOA333 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{3}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \wire{9}{3}{9}{7} % wire between OR and NAND + \wire{9}{11}{9}{15} % wire between OR and NAND + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOOA333_manpage.tex b/Documents/LaTeX/OOOA333_manpage.tex new file mode 100644 index 00000000..510dbaf2 --- /dev/null +++ b/Documents/LaTeX/OOOA333_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOOA333_manpage.tex +%% +%% Purpose: Manual Page File for OOOA333 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOOA333} +\paragraph{Cell} +\begin{quote} + \textbf{OOOA333} - a 3-3-3-input OR-OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOOA333(Z, C2, C1, C, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOOA333_circuit.tex} +%\input{OOOA333_schematic.tex} + +\paragraph{Truth Table} +%\input{OOOA333_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 1cbbc16c1eaf8ad74bae5efed0847688c08be16b Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 12:30:18 +0200 Subject: [PATCH 151/673] [DOC] Resolve Name Conflict, move OAI332->OOOAI332 --- Catalog/stacked3_cells.mk | 40 +++++++++---------- ...AI332_circuit.tex => OOOAI332_circuit.tex} | 27 +++++++------ ...AI332_manpage.tex => OOOAI332_manpage.tex} | 25 +++++------- ...2_schematic.tex => OOOAI332_schematic.tex} | 6 +-- ...truthtable.tex => OOOAI332_truthtable.tex} | 6 +-- 5 files changed, 49 insertions(+), 55 deletions(-) rename Documents/LaTeX/{OAI332_circuit.tex => OOOAI332_circuit.tex} (70%) rename Documents/LaTeX/{OAI332_manpage.tex => OOOAI332_manpage.tex} (73%) rename Documents/LaTeX/{OAI332_schematic.tex => OOOAI332_schematic.tex} (95%) rename Documents/LaTeX/{OAI332_truthtable.tex => OOOAI332_truthtable.tex} (94%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 726d6cc3..62a837cb 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -72,14 +72,14 @@ CELLS += AAAO332 \ OA321 \ OA33 \ OA331 \ - OA332 \ OAO211 \ OAO212 \ OAO221 \ OAOA2111 \ OR3 \ OOA32 \ - OA333 + OOOA332 \ + OOOA333 AAAO332: LEVEL = 3 AAAO332: AAAO331 @@ -158,10 +158,6 @@ OA331: LEVEL = 3 OA331: OA321 $(POPCORN) -m oai -c $@ $< > $@ -OA332: LEVEL = 3 -OA332: OA331 - $(POPCORN) -m oai -c $@ $< > $@ - OAO211: AO21 $(POPCORN) -m nor -c $@ $< > $@ @@ -177,8 +173,12 @@ OAOA2111: AOA211 OR3: OR2 $(POPCORN) -m nor -c $@ $< > $@ +OOOA332: LEVEL = 3 +OOOA332: OOA331 + $(POPCORN) -m oai -c $@ $< > $@ + OOOA333: LEVEL = 3 -OOOA333: OA332 +OOOA333: OOOA332 $(POPCORN) -m oai -c $@ $< > $@ else @@ -208,14 +208,14 @@ CELLS += AAAO332 \ OA321 \ OA33 \ OA331 \ - OA332 \ OAO211 \ OAO212 \ OAO221 \ OAOA2111 \ OR3 \ OOA32 \ - OA333 + OOOA332 \ + OOOA333 AAAO332: LEVEL = 3 AAAO332: AAAO331 @@ -294,10 +294,6 @@ OA331: LEVEL = 3 OA331: OA321 $(POPCORN) -m oai -c $@ $< > $@ -OA332: LEVEL = 3 -OA332: OA331 - $(POPCORN) -m oai -c $@ $< > $@ - OAO211: AOI21 $(POPCORN) -m nor -c $@ $< > $@ @@ -313,8 +309,12 @@ OAOA2111: AOA211 OR3: NOR2 $(POPCORN) -m nor -c $@ $< > $@ +OOOA332: LEVEL = 3 +OOOA332: OOA331 + $(POPCORN) -m oai -c $@ $< > $@ + OOOA333: LEVEL = 3 -OOOA333: OA332 +OOOA333: OOOA332 $(POPCORN) -m oai -c $@ $< > $@ BUFFERED = true @@ -345,12 +345,12 @@ CELLS += AAAOI332 \ OAI321 \ OAI33 \ OAI331 \ - OAI332 \ OAOAI2111 \ OAOI211 \ OAOI212 \ OAOI221 \ OOAI32 \ + OOOAI332 \ OOOOAI333 AAAOI332: LEVEL = 3 @@ -433,10 +433,6 @@ OAI331: LEVEL = 3 OAI331: OAI321 $(POPCORN) -m oai -c $@ $< > $@ -OAI332: LEVEL = 3 -OAI332: OAI331 - $(POPCORN) -m oai -c $@ $< > $@ - OAOAI2111: AOAI211 $(POPCORN) -m nor -c $@ $< > $@ @@ -449,8 +445,12 @@ OAOI212: AOI22 OAOI221: AOI31 $(POPCORN) -m nor -c $@ $< > $@ +OOOAI332: LEVEL = 3 +OOOAI332: OOAI331 + $(POPCORN) -m oai -c $@ $< > $@ + OOOAI333: LEVEL = 3 -OOOAI333: OAI332 +OOOAI333: OOOAI332 $(POPCORN) -m oai -c $@ $< > $@ endif diff --git a/Documents/LaTeX/OAI332_circuit.tex b/Documents/LaTeX/OOOAI332_circuit.tex similarity index 70% rename from Documents/LaTeX/OAI332_circuit.tex rename to Documents/LaTeX/OOOAI332_circuit.tex index d5edf9d6..80180a6f 100644 --- a/Documents/LaTeX/OAI332_circuit.tex +++ b/Documents/LaTeX/OOOAI332_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI332.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI332_circuit.tex %% -%% Purpose: Circuit File for OAI332 +%% Purpose: Circuit File for OOOAI332 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,23 +34,24 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{18} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{9}{L}{B1} % pin B1 \pin{1}{11}{L}{B2} % pin B2 - \pin{1}{13}{L}{C0} % pin C0 + \pin{1}{13}{L}{C} % pin C \pin{1}{15}{L}{C1} % pin C1 \pin{1}{17}{L}{C2} % pin C2 - \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND gate -> right - \wire{9}{3}{9}{7} % wire between OR and NAND - \wire{9}{11}{9}{15} % wire between OR and NAND + \wire{9}{3}{9}{7} % wire between OR and NAND + \wire{9}{11}{9}{15} % wire between OR and NAND \pin{17}{9}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OAI332_manpage.tex b/Documents/LaTeX/OOOAI332_manpage.tex similarity index 73% rename from Documents/LaTeX/OAI332_manpage.tex rename to Documents/LaTeX/OOOAI332_manpage.tex index fe746fa5..78c8a9a5 100644 --- a/Documents/LaTeX/OAI332_manpage.tex +++ b/Documents/LaTeX/OOOAI332_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI332.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI332_manpage.tex %% -%% Purpose: Manual Page File for OAI332 +%% Purpose: Manual Page File for OOOAI332 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI332} +\label{OOOAI332} \paragraph{Cell} \begin{quote} - \textbf{OAI332} - a 3-3-2-input OR-AND-Invert gate + \textbf{OOOAI332} - a 3-3-2-input OR-OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI332(Z, C2, C1, C0, B2, B1, B0, A1, A0) + OOOAI332(Z, C2, C1, C, B2, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{OAI322_circuit.tex} -\input{OAI322_schematic.tex} +\input{OOOAI332_circuit.tex} +\input{OOOAI332_schematic.tex} \paragraph{Truth Table} -\input{OAI322_truthtable.tex} +\input{OOOAI332_truthtable.tex} \paragraph{Usage} @@ -57,10 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI222 - a 2-2-2-input OR-AND-Invert gate \\ - OAI322 - a 3-2-2-input OR-AND-Invert gate \\ - OAI333 - a 3-3-3-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI332_schematic.tex b/Documents/LaTeX/OOOAI332_schematic.tex similarity index 95% rename from Documents/LaTeX/OAI332_schematic.tex rename to Documents/LaTeX/OOOAI332_schematic.tex index a68e2d0b..c64733b7 100644 --- a/Documents/LaTeX/OAI332_schematic.tex +++ b/Documents/LaTeX/OOOAI332_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI332.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI332_schematic.tex %% -%% Purpose: Schematic File for OAI332 +%% Purpose: Schematic File for OOOAI332 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI332_truthtable.tex b/Documents/LaTeX/OOOAI332_truthtable.tex similarity index 94% rename from Documents/LaTeX/OAI332_truthtable.tex rename to Documents/LaTeX/OOOAI332_truthtable.tex index 8fe8610d..4a85853f 100644 --- a/Documents/LaTeX/OAI332_truthtable.tex +++ b/Documents/LaTeX/OOOAI332_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI332.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI332_truthtable.tex %% -%% Purpose: Truth Table File for OAI332 +%% Purpose: Truth Table File for OOOAI332 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From b192ad29b4c7916e21bdbaa57fbe2454ef75607c Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 12:56:31 +0200 Subject: [PATCH 152/673] [DOC] Add LaTeX circuit for OOOA332 --- Documents/LaTeX/OOOA332_circuit.tex | 60 +++++++++++++++++++++++++++++ Documents/LaTeX/OOOA332_manpage.tex | 59 ++++++++++++++++++++++++++++ 2 files changed, 119 insertions(+) create mode 100644 Documents/LaTeX/OOOA332_circuit.tex create mode 100644 Documents/LaTeX/OOOA332_manpage.tex diff --git a/Documents/LaTeX/OOOA332_circuit.tex b/Documents/LaTeX/OOOA332_circuit.tex new file mode 100644 index 00000000..005e1271 --- /dev/null +++ b/Documents/LaTeX/OOOA332_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOOA332_circuit.tex +%% +%% Purpose: Circuit File for OOOA332 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \wire{9}{3}{9}{7} % wire between OR and NAND + \wire{9}{11}{9}{15} % wire between OR and NAND + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOOA332_manpage.tex b/Documents/LaTeX/OOOA332_manpage.tex new file mode 100644 index 00000000..3f991677 --- /dev/null +++ b/Documents/LaTeX/OOOA332_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOOA332_manpage.tex +%% +%% Purpose: Manual Page File for OOOA332 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOOA332} +\paragraph{Cell} +\begin{quote} + \textbf{OOOA332} - a 3-3-2-input OR-OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOOA332(Z, C2, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOOA332_circuit.tex} +%\input{OOOA332_schematic.tex} + +\paragraph{Truth Table} +%\input{OOOA332_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 5112edf4f23e17cff694f67011e5297c89adeba9 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 13:09:04 +0200 Subject: [PATCH 153/673] [DOC] Resolve Name Conflict, move OAI331->OOAI331 --- Catalog/stacked3_cells.mk | 30 +++++++++---------- ...OAI331_circuit.tex => OOAI331_circuit.tex} | 27 +++++++++-------- ...OAI331_manpage.tex => OOAI331_manpage.tex} | 24 ++++++--------- ...31_schematic.tex => OOAI331_schematic.tex} | 6 ++-- ..._truthtable.tex => OOAI331_truthtable.tex} | 6 ++-- 5 files changed, 44 insertions(+), 49 deletions(-) rename Documents/LaTeX/{OAI331_circuit.tex => OOAI331_circuit.tex} (70%) rename Documents/LaTeX/{OAI331_manpage.tex => OOAI331_manpage.tex} (75%) rename Documents/LaTeX/{OAI331_schematic.tex => OOAI331_schematic.tex} (95%) rename Documents/LaTeX/{OAI331_truthtable.tex => OOAI331_truthtable.tex} (92%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 62a837cb..15b6027a 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -71,13 +71,13 @@ CELLS += AAAO332 \ OA32 \ OA321 \ OA33 \ - OA331 \ OAO211 \ OAO212 \ OAO221 \ OAOA2111 \ OR3 \ OOA32 \ + OOA331 \ OOOA332 \ OOOA333 @@ -154,10 +154,6 @@ OA33: LEVEL = 3 OA33: OA32 $(POPCORN) -m oai -c $@ $< > $@ -OA331: LEVEL = 3 -OA331: OA321 - $(POPCORN) -m oai -c $@ $< > $@ - OAO211: AO21 $(POPCORN) -m nor -c $@ $< > $@ @@ -173,6 +169,10 @@ OAOA2111: AOA211 OR3: OR2 $(POPCORN) -m nor -c $@ $< > $@ +OOA331: LEVEL = 3 +OOA331: OOA321 + $(POPCORN) -m oai -c $@ $< > $@ + OOOA332: LEVEL = 3 OOOA332: OOA331 $(POPCORN) -m oai -c $@ $< > $@ @@ -207,13 +207,13 @@ CELLS += AAAO332 \ OA32 \ OA321 \ OA33 \ - OA331 \ OAO211 \ OAO212 \ OAO221 \ OAOA2111 \ OR3 \ OOA32 \ + OOA331 \ OOOA332 \ OOOA333 @@ -290,10 +290,6 @@ OA33: LEVEL = 3 OA33: OA32 $(POPCORN) -m oai -c $@ $< > $@ -OA331: LEVEL = 3 -OA331: OA321 - $(POPCORN) -m oai -c $@ $< > $@ - OAO211: AOI21 $(POPCORN) -m nor -c $@ $< > $@ @@ -309,6 +305,10 @@ OAOA2111: AOA211 OR3: NOR2 $(POPCORN) -m nor -c $@ $< > $@ +OA331: LEVEL = 3 +OA331: OOA321 + $(POPCORN) -m oai -c $@ $< > $@ + OOOA332: LEVEL = 3 OOOA332: OOA331 $(POPCORN) -m oai -c $@ $< > $@ @@ -344,12 +344,12 @@ CELLS += AAAOI332 \ OAI32 \ OAI321 \ OAI33 \ - OAI331 \ OAOAI2111 \ OAOI211 \ OAOI212 \ OAOI221 \ OOAI32 \ + OOAI331 \ OOOAI332 \ OOOOAI333 @@ -429,10 +429,6 @@ OAI33: LEVEL = 3 OAI33: OAI32 $(POPCORN) -m oai -c $@ $< > $@ -OAI331: LEVEL = 3 -OAI331: OAI321 - $(POPCORN) -m oai -c $@ $< > $@ - OAOAI2111: AOAI211 $(POPCORN) -m nor -c $@ $< > $@ @@ -445,6 +441,10 @@ OAOI212: AOI22 OAOI221: AOI31 $(POPCORN) -m nor -c $@ $< > $@ +OOAI331: LEVEL = 3 +OOAI331: OOAI321 + $(POPCORN) -m oai -c $@ $< > $@ + OOOAI332: LEVEL = 3 OOOAI332: OOAI331 $(POPCORN) -m oai -c $@ $< > $@ diff --git a/Documents/LaTeX/OAI331_circuit.tex b/Documents/LaTeX/OOAI331_circuit.tex similarity index 70% rename from Documents/LaTeX/OAI331_circuit.tex rename to Documents/LaTeX/OOAI331_circuit.tex index b37187f6..33bb41b6 100644 --- a/Documents/LaTeX/OAI331_circuit.tex +++ b/Documents/LaTeX/OOAI331_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI331.tex +%% File: StdCellLib/Documents/LaTeX/OOAI331_circuit.tex %% -%% Purpose: Circuit File for OAI331 +%% Purpose: Circuit File for OOAI331 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,21 +34,22 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{3}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{B1} % pin B1 \pin{1}{7}{L}{B2} % pin B2 - \pin{1}{9}{L}{C0} % pin C0 - \pin{1}{11}{L}{C1} % pin C1 - \pin{1}{13}{L}{C2} % pin C2 - \gate[\inputs{3}]{or}{5}{5}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND gate -> right - \wire{9}{1}{9}{3} % wire between OR and NAND - \wire{9}{11}{9}{7} % wire between OR and NAND + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{9}{1}{9}{3} % wire between OR and NAND + \wire{9}{11}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire before NAND \pin{17}{5}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/OAI331_manpage.tex b/Documents/LaTeX/OOAI331_manpage.tex similarity index 75% rename from Documents/LaTeX/OAI331_manpage.tex rename to Documents/LaTeX/OOAI331_manpage.tex index 68692831..bb415bb9 100644 --- a/Documents/LaTeX/OAI331_manpage.tex +++ b/Documents/LaTeX/OOAI331_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI331.tex +%% File: StdCellLib/Documents/LaTeX/OOAI331_manpage.tex %% -%% Purpose: Manual Page File for OAI331 +%% Purpose: Manual Page File for OOAI331 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI331} +\label{OOAI331} \paragraph{Cell} \begin{quote} - \textbf{OAI331} - a 3-3-1-input OR-AND-Invert gate + \textbf{OOAI331} - a 3-3-1-input OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI331(Z, C2, C1, C0, B2, B1, B0, A) + OOAI331(Z, C2, C1, C, B2, B1, B, A) \end{quote} \paragraph{Description} -\input{OAI331_circuit.tex} -\input{OAI331_schematic.tex} +\input{OOAI331_circuit.tex} +\input{OOAI331_schematic.tex} \paragraph{Truth Table} -\input{OAI331_truthtable.tex} +\input{OOAI331_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI221 - a 2-2-1-input OR-AND-Invert gate \\ - OAI321 - a 3-2-1-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI331_schematic.tex b/Documents/LaTeX/OOAI331_schematic.tex similarity index 95% rename from Documents/LaTeX/OAI331_schematic.tex rename to Documents/LaTeX/OOAI331_schematic.tex index c4a41ab9..e3b97c06 100644 --- a/Documents/LaTeX/OAI331_schematic.tex +++ b/Documents/LaTeX/OOAI331_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI331.tex +%% File: StdCellLib/Documents/LaTeX/OOAI331_schematic.tex %% -%% Purpose: Schematic File for OAI331 +%% Purpose: Schematic File for OOAI331 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI331_truthtable.tex b/Documents/LaTeX/OOAI331_truthtable.tex similarity index 92% rename from Documents/LaTeX/OAI331_truthtable.tex rename to Documents/LaTeX/OOAI331_truthtable.tex index 9ed47f11..d885329d 100644 --- a/Documents/LaTeX/OAI331_truthtable.tex +++ b/Documents/LaTeX/OOAI331_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI331.tex +%% File: StdCellLib/Documents/LaTeX/OOAI331_truthtable.tex %% -%% Purpose: Truth Table File for OAI331 +%% Purpose: Truth Table File for OOAI331 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 2c98eb54ed853ad0fd23e89f92312f51b4f711ce Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 13:12:55 +0200 Subject: [PATCH 154/673] [DOC] Add LaTeX circuit for OOA331 --- Documents/LaTeX/OOA331_circuit.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/OOA331_manpage.tex | 59 ++++++++++++++++++++++++++++++ 2 files changed, 118 insertions(+) create mode 100644 Documents/LaTeX/OOA331_circuit.tex create mode 100644 Documents/LaTeX/OOA331_manpage.tex diff --git a/Documents/LaTeX/OOA331_circuit.tex b/Documents/LaTeX/OOA331_circuit.tex new file mode 100644 index 00000000..b273bdbc --- /dev/null +++ b/Documents/LaTeX/OOA331_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA331_circuit.tex +%% +%% Purpose: Circuit File for OOA331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{3}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND + \gate{not}{19}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{9}{1}{9}{3} % wire between OR and NAND + \wire{9}{11}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire before NAND + \pin{23}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOA331_manpage.tex b/Documents/LaTeX/OOA331_manpage.tex new file mode 100644 index 00000000..d57da802 --- /dev/null +++ b/Documents/LaTeX/OOA331_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA331_manpage.tex +%% +%% Purpose: Manual Page File for OOA331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOA331} +\paragraph{Cell} +\begin{quote} + \textbf{OOA331} - a 3-3-1-input OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOA331(Z, C2, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOA331_circuit.tex} +%\input{OOA331_schematic.tex} + +\paragraph{Truth Table} +%\input{OOA331_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 29d9bba4dada97bd98acffda9ef30e259d9d3699 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 13:31:01 +0200 Subject: [PATCH 155/673] [DOC] Resolve Name Conflict, move OAI321->OOAI321 --- Catalog/stacked3_cells.mk | 24 ++++++++--------- ...OAI321_circuit.tex => OOAI321_circuit.tex} | 27 ++++++++++--------- ...OAI321_manpage.tex => OOAI321_manpage.tex} | 24 +++++++---------- ...21_schematic.tex => OOAI321_schematic.tex} | 6 ++--- ..._truthtable.tex => OOAI321_truthtable.tex} | 6 ++--- 5 files changed, 41 insertions(+), 46 deletions(-) rename Documents/LaTeX/{OAI321_circuit.tex => OOAI321_circuit.tex} (70%) rename Documents/LaTeX/{OAI321_manpage.tex => OOAI321_manpage.tex} (75%) rename Documents/LaTeX/{OAI321_schematic.tex => OOAI321_schematic.tex} (95%) rename Documents/LaTeX/{OAI321_truthtable.tex => OOAI321_truthtable.tex} (92%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 15b6027a..ada266ce 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -69,7 +69,6 @@ CELLS += AAAO332 \ OA22 \ OA31 \ OA32 \ - OA321 \ OA33 \ OAO211 \ OAO212 \ @@ -77,6 +76,7 @@ CELLS += AAAO332 \ OAOA2111 \ OR3 \ OOA32 \ + OOA321 \ OOA331 \ OOOA332 \ OOOA333 @@ -147,9 +147,6 @@ OA32: OA22 OOA32: OOA22 $(POPCORN) -m oai -c $@ $< > $@ -OA321: OA221 - $(POPCORN) -m oai -c $@ $< > $@ - OA33: LEVEL = 3 OA33: OA32 $(POPCORN) -m oai -c $@ $< > $@ @@ -169,6 +166,9 @@ OAOA2111: AOA211 OR3: OR2 $(POPCORN) -m nor -c $@ $< > $@ +OOA321: OOA221 + $(POPCORN) -m oai -c $@ $< > $@ + OOA331: LEVEL = 3 OOA331: OOA321 $(POPCORN) -m oai -c $@ $< > $@ @@ -205,7 +205,6 @@ CELLS += AAAO332 \ OA22 \ OA31 \ OA32 \ - OA321 \ OA33 \ OAO211 \ OAO212 \ @@ -213,6 +212,7 @@ CELLS += AAAO332 \ OAOA2111 \ OR3 \ OOA32 \ + OOA321 \ OOA331 \ OOOA332 \ OOOA333 @@ -283,9 +283,6 @@ OA32: OA22 OOA32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ -OA321: OA221 - $(POPCORN) -m oai -c $@ $< > $@ - OA33: LEVEL = 3 OA33: OA32 $(POPCORN) -m oai -c $@ $< > $@ @@ -305,6 +302,9 @@ OAOA2111: AOA211 OR3: NOR2 $(POPCORN) -m nor -c $@ $< > $@ +OOA321: OOA221 + $(POPCORN) -m oai -c $@ $< > $@ + OA331: LEVEL = 3 OA331: OOA321 $(POPCORN) -m oai -c $@ $< > $@ @@ -342,13 +342,13 @@ CELLS += AAAOI332 \ OAI22 \ OAI31 \ OAI32 \ - OAI321 \ OAI33 \ OAOAI2111 \ OAOI211 \ OAOI212 \ OAOI221 \ OOAI32 \ + OOAI321 \ OOAI331 \ OOOAI332 \ OOOOAI333 @@ -422,9 +422,6 @@ OAI32: OAI22 OOAI32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ -OAI321: OAI221 - $(POPCORN) -m oai -c $@ $< > $@ - OAI33: LEVEL = 3 OAI33: OAI32 $(POPCORN) -m oai -c $@ $< > $@ @@ -441,6 +438,9 @@ OAOI212: AOI22 OAOI221: AOI31 $(POPCORN) -m nor -c $@ $< > $@ +OOAI321: OOAI221 + $(POPCORN) -m oai -c $@ $< > $@ + OOAI331: LEVEL = 3 OOAI331: OOAI321 $(POPCORN) -m oai -c $@ $< > $@ diff --git a/Documents/LaTeX/OAI321_circuit.tex b/Documents/LaTeX/OOAI321_circuit.tex similarity index 70% rename from Documents/LaTeX/OAI321_circuit.tex rename to Documents/LaTeX/OOAI321_circuit.tex index 7d8c1f73..5994ba30 100644 --- a/Documents/LaTeX/OAI321_circuit.tex +++ b/Documents/LaTeX/OOAI321_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI321.tex +%% File: StdCellLib/Documents/LaTeX/OOAI321_circuit.tex %% -%% Purpose: Circuit File for OAI321 +%% Purpose: Circuit File for OOAI321 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,20 +34,21 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{7}{L}{B1} % pin B1 - \pin{1}{9}{L}{C0} % pin C0 - \pin{1}{11}{L}{C1} % pin C1 - \pin{1}{13}{L}{C2} % pin C2 - \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND gate -> right - \wire{9}{1}{9}{3} % wire between OR and NAND - \wire{9}{11}{9}{7} % wire between OR and NAND + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{9}{1}{9}{3} % wire between OR and NAND + \wire{9}{11}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire before NAND \pin{17}{5}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/OAI321_manpage.tex b/Documents/LaTeX/OOAI321_manpage.tex similarity index 75% rename from Documents/LaTeX/OAI321_manpage.tex rename to Documents/LaTeX/OOAI321_manpage.tex index 81682fdf..c486688b 100644 --- a/Documents/LaTeX/OAI321_manpage.tex +++ b/Documents/LaTeX/OOAI321_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI321.tex +%% File: StdCellLib/Documents/LaTeX/OOAI321_manpage.tex %% -%% Purpose: Manual Page File for OAI321 +%% Purpose: Manual Page File for OOAI321 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI321} +\label{OOAI321} \paragraph{Cell} \begin{quote} - \textbf{OAI321} - a 3-2-1-input OR-AND-Invert gate + \textbf{OOAI321} - a 3-2-1-input OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI321(Z, C2, C1, C0, B1, B0, A) + OOAI321(Z, C2, C1, C, B1, B, A) \end{quote} \paragraph{Description} -\input{OAI321_circuit.tex} -\input{OAI321_schematic.tex} +\input{OOAI321_circuit.tex} +\input{OOAI321_schematic.tex} \paragraph{Truth Table} -\input{OAI321_truthtable.tex} +\input{OOAI321_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI221 - a 2-2-1-input OR-AND-Invert gate \\ - OAI331 - a 3-3-1-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI321_schematic.tex b/Documents/LaTeX/OOAI321_schematic.tex similarity index 95% rename from Documents/LaTeX/OAI321_schematic.tex rename to Documents/LaTeX/OOAI321_schematic.tex index 0db93890..ba51aa8e 100644 --- a/Documents/LaTeX/OAI321_schematic.tex +++ b/Documents/LaTeX/OOAI321_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI321.tex +%% File: StdCellLib/Documents/LaTeX/OOAI321_schematic.tex %% -%% Purpose: Schematic File for OAI321 +%% Purpose: Schematic File for OOAI321 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI321_truthtable.tex b/Documents/LaTeX/OOAI321_truthtable.tex similarity index 92% rename from Documents/LaTeX/OAI321_truthtable.tex rename to Documents/LaTeX/OOAI321_truthtable.tex index ccfcfa23..f5f39a61 100644 --- a/Documents/LaTeX/OAI321_truthtable.tex +++ b/Documents/LaTeX/OOAI321_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI321.tex +%% File: StdCellLib/Documents/LaTeX/OOAI321_truthtable.tex %% -%% Purpose: Truth Table File for OAI321 +%% Purpose: Truth Table File for OOAI321 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 3bcdc27615e58a06e602918b0cdb80f9ecbec190 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 13:34:39 +0200 Subject: [PATCH 156/673] [DOC] Add LaTeX circuit for OOA321 --- Documents/LaTeX/OOA321_circuit.tex | 58 +++++++++++++++++++++++++++++ Documents/LaTeX/OOA321_manpage.tex | 59 ++++++++++++++++++++++++++++++ 2 files changed, 117 insertions(+) create mode 100644 Documents/LaTeX/OOA321_circuit.tex create mode 100644 Documents/LaTeX/OOA321_manpage.tex diff --git a/Documents/LaTeX/OOA321_circuit.tex b/Documents/LaTeX/OOA321_circuit.tex new file mode 100644 index 00000000..2af07b19 --- /dev/null +++ b/Documents/LaTeX/OOA321_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA321_circuit.tex +%% +%% Purpose: Circuit File for OOA321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND + \gate{not}{19}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{9}{1}{9}{3} % wire between OR and NAND + \wire{9}{11}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire before NAND + \pin{23}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOA321_manpage.tex b/Documents/LaTeX/OOA321_manpage.tex new file mode 100644 index 00000000..c49dbe03 --- /dev/null +++ b/Documents/LaTeX/OOA321_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA321_manpage.tex +%% +%% Purpose: Manual Page File for OOA321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOA321} +\paragraph{Cell} +\begin{quote} + \textbf{OOA321} - a 3-2-1-input OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOA321(Z, C2, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOA321_circuit.tex} +%\input{OOA321_schematic.tex} + +\paragraph{Truth Table} +%\input{OOA321_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From cbc7113671143fcdd850c80d2783de03e11ffddb Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 14:02:59 +0200 Subject: [PATCH 157/673] [DOC] Resolve Name Conflict, move AOI33->AAOI33 --- Catalog/stacked3_cells.mk | 17 ++++++------- .../{AOI33_circuit.tex => AAOI33_circuit.tex} | 25 ++++++++++--------- .../{AOI33_manpage.tex => AAOI33_manpage.tex} | 24 +++++++----------- ...I33_schematic.tex => AAOI33_schematic.tex} | 6 ++--- ...3_truthtable.tex => AAOI33_truthtable.tex} | 6 ++--- 5 files changed, 36 insertions(+), 42 deletions(-) rename Documents/LaTeX/{AOI33_circuit.tex => AAOI33_circuit.tex} (71%) rename Documents/LaTeX/{AOI33_manpage.tex => AAOI33_manpage.tex} (75%) rename Documents/LaTeX/{AOI33_schematic.tex => AAOI33_schematic.tex} (95%) rename Documents/LaTeX/{AOI33_truthtable.tex => AAOI33_truthtable.tex} (92%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index ada266ce..14fb519d 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -59,7 +59,7 @@ CELLS += AAAO332 \ AO31 \ AO311 \ AO32 \ - AO33 \ + AAO33 \ AO331 \ AO332 \ AOA211 \ @@ -111,8 +111,8 @@ AO32: AO22 AO321: AO221 $(POPCORN) -m aoi -c $@ $< > $@ -AO33: LEVEL = 3 -AO33: AO32 +AAO33: LEVEL = 3 +AAO33: AAO32 $(POPCORN) -m aoi -c $@ $< > $@ AO331: LEVEL = 3 @@ -247,8 +247,8 @@ AO32: AOI22 AO321: AO221 $(POPCORN) -m aoi -c $@ $< > $@ -AO33: LEVEL = 3 -AO33: AO32 +AAO33: LEVEL = 3 +AAO33: AAO32 $(POPCORN) -m aoi -c $@ $< > $@ AO331: LEVEL = 3 @@ -333,8 +333,7 @@ CELLS += AAAOI332 \ AOI211 \ AOI31 \ AOI32 \ - AOI32 \ - AOI33 \ + AAOI33 \ AOI331 \ AOOAI212 \ NAND3 \ @@ -393,8 +392,8 @@ AOI32: AOI22 AOI321: AOI221 $(POPCORN) -m aoi -c $@ $< > $@ -AOI33: LEVEL = 3 -AOI33: AOI32 +AAOI33: LEVEL = 3 +AAOI33: AAOI32 $(POPCORN) -m aoi -c $@ $< > $@ AOI331: LEVEL = 3 diff --git a/Documents/LaTeX/AOI33_circuit.tex b/Documents/LaTeX/AAOI33_circuit.tex similarity index 71% rename from Documents/LaTeX/AOI33_circuit.tex rename to Documents/LaTeX/AAOI33_circuit.tex index 064829bb..8203b9cb 100644 --- a/Documents/LaTeX/AOI33_circuit.tex +++ b/Documents/LaTeX/AAOI33_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI33.tex +%% File: StdCellLib/Documents/LaTeX/AAOI33_circuit.tex %% -%% Purpose: Circuit File for AOI33 +%% Purpose: Circuit File for AAOI33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,21 +34,22 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{12} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{A1} % pin A1 \pin{1}{5}{L}{A2} % pin A2 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{9}{L}{B1} % pin B1 \pin{1}{11}{L}{B2} % pin B2 - \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR gate -> right - \wire{9}{3}{9}{4} % wire between AND and NOR - \wire{9}{9}{9}{8} % wire between AND and NOR - \pin{17}{6}{R}{Z} % pin Z + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{9}{9}{8} % wire between AND and NOR + \pin{17}{6}{R}{Z} % pin Z \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOI33_manpage.tex b/Documents/LaTeX/AAOI33_manpage.tex similarity index 75% rename from Documents/LaTeX/AOI33_manpage.tex rename to Documents/LaTeX/AAOI33_manpage.tex index fee27216..b2c27451 100644 --- a/Documents/LaTeX/AOI33_manpage.tex +++ b/Documents/LaTeX/AAOI33_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI33.tex +%% File: StdCellLib/Documents/LaTeX/AAOI33_manpage.tex %% -%% Purpose: Manual Page File for AOI33 +%% Purpose: Manual Page File for AAOI33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI33} +\label{AAOI33} \paragraph{Cell} \begin{quote} - \textbf{AOI33} - a 3-3-input AND-OR-Invert gate + \textbf{AAOI33} - a 3-3-input AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI33(Z, B2, B1, B0, A2, A1, A0) + AAOI33(Z, B2, B1, B, A2, A1, A) \end{quote} \paragraph{Description} -\input{AOI33_circuit.tex} -\input{AOI33_schematic.tex} +\input{AAOI33_circuit.tex} +\input{AAOI33_schematic.tex} \paragraph{Truth Table} -\input{AOI33_truthtable.tex} +\input{AAOI33_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI22 - a 2-2-input AND-OR-Invert gate \\ - AOI32 - a 3-2-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI33_schematic.tex b/Documents/LaTeX/AAOI33_schematic.tex similarity index 95% rename from Documents/LaTeX/AOI33_schematic.tex rename to Documents/LaTeX/AAOI33_schematic.tex index f2ef4b99..143ef17d 100644 --- a/Documents/LaTeX/AOI33_schematic.tex +++ b/Documents/LaTeX/AAOI33_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI33.tex +%% File: StdCellLib/Documents/LaTeX/AAOI33_schematic.tex %% -%% Purpose: Schematic File for AOI33 +%% Purpose: Schematic File for AAOI33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI33_truthtable.tex b/Documents/LaTeX/AAOI33_truthtable.tex similarity index 92% rename from Documents/LaTeX/AOI33_truthtable.tex rename to Documents/LaTeX/AAOI33_truthtable.tex index 9fe275fd..fe477753 100644 --- a/Documents/LaTeX/AOI33_truthtable.tex +++ b/Documents/LaTeX/AAOI33_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI33.tex +%% File: StdCellLib/Documents/LaTeX/AAOI33_truthtable.tex %% -%% Purpose: Truth Table File for AOI33 +%% Purpose: Truth Table File for AAOI33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 424de965950e0f7ff2993c5aec60d895b20234e8 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 14:29:40 +0200 Subject: [PATCH 158/673] [DOC] Add LaTeX circuit for AAO33 --- Documents/LaTeX/AAO33_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AAO33_manpage.tex | 59 +++++++++++++++++++++++++++++++ 2 files changed, 116 insertions(+) create mode 100644 Documents/LaTeX/AAO33_circuit.tex create mode 100644 Documents/LaTeX/AAO33_manpage.tex diff --git a/Documents/LaTeX/AAO33_circuit.tex b/Documents/LaTeX/AAO33_circuit.tex new file mode 100644 index 00000000..0c9a89f1 --- /dev/null +++ b/Documents/LaTeX/AAO33_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO33_circuit.tex +%% +%% Purpose: Circuit File for AAO33 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{9}{9}{8} % wire between AND and NOR + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO33_manpage.tex b/Documents/LaTeX/AAO33_manpage.tex new file mode 100644 index 00000000..e53dab49 --- /dev/null +++ b/Documents/LaTeX/AAO33_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO33_manpage.tex +%% +%% Purpose: Manual Page File for AAO33 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO33} +\paragraph{Cell} +\begin{quote} + \textbf{AAO33} - a 3-3-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO33(Z, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAO33_circuit.tex} +%\input{AAO33_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO33_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From a40cf27ee4dd878160087c9d16fa0b46570b9b84 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 14:47:38 +0200 Subject: [PATCH 159/673] [DOC] Resolve Name Conflict, move AOI221->AAOI221 --- ...AOI221_circuit.tex => AAOI221_circuit.tex} | 25 ++++++++++--------- ...AOI221_manpage.tex => AAOI221_manpage.tex} | 24 +++++++----------- ...21_schematic.tex => AAOI221_schematic.tex} | 6 ++--- ..._truthtable.tex => AAOI221_truthtable.tex} | 6 ++--- 4 files changed, 28 insertions(+), 33 deletions(-) rename Documents/LaTeX/{AOI221_circuit.tex => AAOI221_circuit.tex} (71%) rename Documents/LaTeX/{AOI221_manpage.tex => AAOI221_manpage.tex} (75%) rename Documents/LaTeX/{AOI221_schematic.tex => AAOI221_schematic.tex} (94%) rename Documents/LaTeX/{AOI221_truthtable.tex => AAOI221_truthtable.tex} (91%) diff --git a/Documents/LaTeX/AOI221_circuit.tex b/Documents/LaTeX/AAOI221_circuit.tex similarity index 71% rename from Documents/LaTeX/AOI221_circuit.tex rename to Documents/LaTeX/AAOI221_circuit.tex index a1edafe5..fab0b5a8 100644 --- a/Documents/LaTeX/AOI221_circuit.tex +++ b/Documents/LaTeX/AAOI221_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI221.tex +%% File: StdCellLib/Documents/LaTeX/AAOI221_circuit.tex %% -%% Purpose: Circuit File for AOI221 +%% Purpose: Circuit File for AAOI221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,19 +34,20 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{7}{L}{B1} % pin B1 - \pin{1}{9}{L}{C0} % pin C0 - \pin{1}{13}{L}{C1} % pin C1 - \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR gate -> right - \wire{9}{1}{9}{3} % wire between AND and NOR - \wire{9}{11}{9}{7} % wire between AND and NOR + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{11}{9}{7} % wire between AND and NOR \wire{2}{1}{9}{1} % wire before NOR \pin{17}{5}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/AOI221_manpage.tex b/Documents/LaTeX/AAOI221_manpage.tex similarity index 75% rename from Documents/LaTeX/AOI221_manpage.tex rename to Documents/LaTeX/AAOI221_manpage.tex index bb47530e..012bef42 100644 --- a/Documents/LaTeX/AOI221_manpage.tex +++ b/Documents/LaTeX/AAOI221_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI221.tex +%% File: StdCellLib/Documents/LaTeX/AAOI221_manpage.tex %% -%% Purpose: Manual Page File for AOI221 +%% Purpose: Manual Page File for AAOI221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI221} +\label{AAOI221} \paragraph{Cell} \begin{quote} - \textbf{AOI221} - a 2-2-1-input AND-OR-Invert gate + \textbf{AAOI221} - a 2-2-1-input AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI221(Z, C1, C0, B1, B0, A) + AAOI221(Z, C1, C, B1, B, A) \end{quote} \paragraph{Description} -\input{AOI221_circuit.tex} -\input{AOI221_schematic.tex} +\input{AAOI221_circuit.tex} +\input{AAOI221_schematic.tex} \paragraph{Truth Table} -\input{AOI221_truthtable.tex} +\input{AAOI221_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI321 - a 3-2-1-input AND-OR-Invert gate \\ - AOI331 - a 3-3-1-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI221_schematic.tex b/Documents/LaTeX/AAOI221_schematic.tex similarity index 94% rename from Documents/LaTeX/AOI221_schematic.tex rename to Documents/LaTeX/AAOI221_schematic.tex index c1a6f2ee..050d8014 100644 --- a/Documents/LaTeX/AOI221_schematic.tex +++ b/Documents/LaTeX/AAOI221_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI221.tex +%% File: StdCellLib/Documents/LaTeX/AAOI221_schematic.tex %% -%% Purpose: Schematic File for AOI221 +%% Purpose: Schematic File for AAOI221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI221_truthtable.tex b/Documents/LaTeX/AAOI221_truthtable.tex similarity index 91% rename from Documents/LaTeX/AOI221_truthtable.tex rename to Documents/LaTeX/AAOI221_truthtable.tex index 2823d9f2..a2a03a18 100644 --- a/Documents/LaTeX/AOI221_truthtable.tex +++ b/Documents/LaTeX/AAOI221_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI221.tex +%% File: StdCellLib/Documents/LaTeX/AAOI221_truthtable.tex %% -%% Purpose: Truth Table File for AOI221 +%% Purpose: Truth Table File for AAOI221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 06372d3f90c75c894ae9e4a51d26d612e768065d Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 15:02:15 +0200 Subject: [PATCH 160/673] [DOC] Resolve Name Conflict, remove AOI211 --- Documents/LaTeX/AOI211_circuit.tex | 54 -------------------- Documents/LaTeX/AOI211_manpage.tex | 64 ----------------------- Documents/LaTeX/AOI211_schematic.tex | 73 --------------------------- Documents/LaTeX/AOI211_truthtable.tex | 50 ------------------ 4 files changed, 241 deletions(-) delete mode 100644 Documents/LaTeX/AOI211_circuit.tex delete mode 100644 Documents/LaTeX/AOI211_manpage.tex delete mode 100644 Documents/LaTeX/AOI211_schematic.tex delete mode 100644 Documents/LaTeX/AOI211_truthtable.tex diff --git a/Documents/LaTeX/AOI211_circuit.tex b/Documents/LaTeX/AOI211_circuit.tex deleted file mode 100644 index 80ccaec3..00000000 --- a/Documents/LaTeX/AOI211_circuit.tex +++ /dev/null @@ -1,54 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/AOI211_circuit.tex -%% -%% Purpose: Circuit File for AOI211 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2019 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\begin{center} - Circuit - \begin{figure}[h] %\caption{Circuit} - \begin{center} - \begin{circuitdiagram}{18}{10} - \usgate - \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND - \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR - \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{9}{L}{C1} % pin C1 - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{9}{7}{9}{5} % wire between AND and NOR - \pin{17}{3}{R}{Z} % pin Z - \end{circuitdiagram} - \end{center} - \end{figure} -\end{center} diff --git a/Documents/LaTeX/AOI211_manpage.tex b/Documents/LaTeX/AOI211_manpage.tex deleted file mode 100644 index 03ed674d..00000000 --- a/Documents/LaTeX/AOI211_manpage.tex +++ /dev/null @@ -1,64 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/AOI211_manpage.tex -%% -%% Purpose: Manual Page File for AOI211 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2019 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\label{AOI211} -\paragraph{Cell} -\begin{quote} - \textbf{AOI211} - a 2-1-1-input AND-OR-Invert gate -\end{quote} - -\paragraph{Synopsys} -\begin{quote} - AOI211(Z, C1, C, B, A) -\end{quote} - -\paragraph{Description} -\input{AOI211_circuit.tex} -\input{AOI211_schematic.tex} - -\paragraph{Truth Table} -\input{AOI211_truthtable.tex} - -\paragraph{Usage} - -\paragraph{Fan-in / Fan-out} - -\paragraph{Layout} - -\paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI311 - a 3-1-1-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI211_schematic.tex b/Documents/LaTeX/AOI211_schematic.tex deleted file mode 100644 index 23fc51b7..00000000 --- a/Documents/LaTeX/AOI211_schematic.tex +++ /dev/null @@ -1,73 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI211.tex -%% -%% Purpose: Schematic File for AOI211 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\begin{center} - Schematic (one stage, 3$T_{p}$/2$T_{n}$ stacked, 8T total) - \begin{figure}[h] %\caption{Schematic} - \begin{center} - \begin{circuitdiagram}{36}{33} - \pin{2}{8.5}{L}{A} % pin A, n-channel - \pin{14}{8.5}{L}{B} % pin B, n-channel - \pin{26}{2.5}{L}{C1} % pin C1, n-channel - \pin{26}{8.5}{L}{C0} % pin C0, p-channel - \pin{2}{17.5}{L}{A} % pin A, p-channel - \pin{2}{23.5}{L}{B} % pin B, p-channel - \pin{2}{29.5}{L}{C0} % pin C0, p-channel - \pin{14}{29.5}{L}{C1} % pin C1, p-channel - \trans[\wireU{0.5}]{nenh*}{6}{10}{R}{$M_{NA}$}{} - \trans[\wireU{0.5}]{nenh*}{18}{10}{R}{$M_{NB}$}{} - \trans[\wireUD{0.5}]{nenh*}{30}{10}{R}{$M_{NC0}$}{} - \trans[\wireU{0.5}]{nenh*}{30}{4}{R}{$M_{NC1}$}{} - \trans[\wireUD{0.5}]{penh*}{6}{16}{R}{}{$M_{PA}$} - \trans[\wireUD{0.5}]{penh*}{6}{22}{R}{}{$M_{PB}$} - \trans[\wireUD{0.5}]{penh*}{6}{28}{R}{}{$M_{PC0}$} - \trans[\wireUD{0.5}]{penh*}{18}{28}{R}{}{$M_{PC1}$} - \ground{8}{0.5}{D} % ground below nmos - \ground{20}{0.5}{D} % ground below nmos - \ground{32}{0.5}{D} % ground below nmos - \power{8}{31.5}{U}{} % power above left pmos - \power{20}{31.5}{U}{} % power above left pmos - \wire{8}{1}{8}{8} - \wire{20}{1}{20}{8} - \wire{8}{25}{20}{25} % wire short between pmos - \wire{8}{13}{34}{13} % wire before pin Z - \junct{8}{13} - \junct{8}{25} - \junct{20}{13} - \junct{32}{13} - \pin{35}{13}{R}{Z} % pin Z - \end{circuitdiagram} - \end{center} - \end{figure} -\end{center} diff --git a/Documents/LaTeX/AOI211_truthtable.tex b/Documents/LaTeX/AOI211_truthtable.tex deleted file mode 100644 index 72f9a0b5..00000000 --- a/Documents/LaTeX/AOI211_truthtable.tex +++ /dev/null @@ -1,50 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI211.tex -%% -%% Purpose: Truth Table File for AOI211 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// - -\begin{center} - {\(Z = \lnot ((C1 \land C0) \lor B \lor A \))} - \begin{table}[h] %\caption{\(Z = \lnot ((C1 \land C0) \lor B \lor A \))} - \begin{center} - \begin{tabular}{|c|c|c|c||c|} \hline - C1 & C0 & B & A & Z \\ \hline\hline - 0 & X & 0 & 0 & 1 \\ \hline - 1 & 1 & X & X & 0 \\ \hline - X & 0 & 0 & 0 & 1 \\ \hline - X & X & 1 & X & 0 \\ \hline - X & X & X & 1 & 0 \\ \hline - \end{tabular} - \end{center} - \end{table} -\end{center} From 3dca53e46cf78bdb83e38e1837efda1fd67cee23 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 15:32:07 +0200 Subject: [PATCH 161/673] [DOC] Resolve Name Conflict, move OAI33->OOAI33 --- Catalog/stacked3_cells.mk | 30 +++++++++---------- .../{OAI33_circuit.tex => OOAI33_circuit.tex} | 19 ++++++------ .../{OAI33_manpage.tex => OOAI33_manpage.tex} | 24 ++++++--------- ...I33_schematic.tex => OOAI33_schematic.tex} | 6 ++-- ...3_truthtable.tex => OOAI33_truthtable.tex} | 6 ++-- 5 files changed, 40 insertions(+), 45 deletions(-) rename Documents/LaTeX/{OAI33_circuit.tex => OOAI33_circuit.tex} (78%) rename Documents/LaTeX/{OAI33_manpage.tex => OOAI33_manpage.tex} (75%) rename Documents/LaTeX/{OAI33_schematic.tex => OOAI33_schematic.tex} (95%) rename Documents/LaTeX/{OAI33_truthtable.tex => OOAI33_truthtable.tex} (92%) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index 14fb519d..c65e51bb 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -69,7 +69,6 @@ CELLS += AAAO332 \ OA22 \ OA31 \ OA32 \ - OA33 \ OAO211 \ OAO212 \ OAO221 \ @@ -77,6 +76,7 @@ CELLS += AAAO332 \ OR3 \ OOA32 \ OOA321 \ + OOA33 \ OOA331 \ OOOA332 \ OOOA333 @@ -147,10 +147,6 @@ OA32: OA22 OOA32: OOA22 $(POPCORN) -m oai -c $@ $< > $@ -OA33: LEVEL = 3 -OA33: OA32 - $(POPCORN) -m oai -c $@ $< > $@ - OAO211: AO21 $(POPCORN) -m nor -c $@ $< > $@ @@ -169,6 +165,10 @@ OR3: OR2 OOA321: OOA221 $(POPCORN) -m oai -c $@ $< > $@ +OOA33: LEVEL = 3 +OOA33: OOA32 + $(POPCORN) -m oai -c $@ $< > $@ + OOA331: LEVEL = 3 OOA331: OOA321 $(POPCORN) -m oai -c $@ $< > $@ @@ -205,7 +205,6 @@ CELLS += AAAO332 \ OA22 \ OA31 \ OA32 \ - OA33 \ OAO211 \ OAO212 \ OAO221 \ @@ -213,6 +212,7 @@ CELLS += AAAO332 \ OR3 \ OOA32 \ OOA321 \ + OOA33 \ OOA331 \ OOOA332 \ OOOA333 @@ -283,10 +283,6 @@ OA32: OA22 OOA32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ -OA33: LEVEL = 3 -OA33: OA32 - $(POPCORN) -m oai -c $@ $< > $@ - OAO211: AOI21 $(POPCORN) -m nor -c $@ $< > $@ @@ -305,6 +301,10 @@ OR3: NOR2 OOA321: OOA221 $(POPCORN) -m oai -c $@ $< > $@ +OOA33: LEVEL = 3 +OOA33: OOA32 + $(POPCORN) -m oai -c $@ $< > $@ + OA331: LEVEL = 3 OA331: OOA321 $(POPCORN) -m oai -c $@ $< > $@ @@ -341,13 +341,13 @@ CELLS += AAAOI332 \ OAI22 \ OAI31 \ OAI32 \ - OAI33 \ OAOAI2111 \ OAOI211 \ OAOI212 \ OAOI221 \ OOAI32 \ OOAI321 \ + OOAI33 \ OOAI331 \ OOOAI332 \ OOOOAI333 @@ -421,10 +421,6 @@ OAI32: OAI22 OOAI32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ -OAI33: LEVEL = 3 -OAI33: OAI32 - $(POPCORN) -m oai -c $@ $< > $@ - OAOAI2111: AOAI211 $(POPCORN) -m nor -c $@ $< > $@ @@ -440,6 +436,10 @@ OAOI221: AOI31 OOAI321: OOAI221 $(POPCORN) -m oai -c $@ $< > $@ +OOAI33: LEVEL = 3 +OOAI33: OOAI32 + $(POPCORN) -m oai -c $@ $< > $@ + OOAI331: LEVEL = 3 OOAI331: OOAI321 $(POPCORN) -m oai -c $@ $< > $@ diff --git a/Documents/LaTeX/OAI33_circuit.tex b/Documents/LaTeX/OOAI33_circuit.tex similarity index 78% rename from Documents/LaTeX/OAI33_circuit.tex rename to Documents/LaTeX/OOAI33_circuit.tex index bf53a89c..87666798 100644 --- a/Documents/LaTeX/OAI33_circuit.tex +++ b/Documents/LaTeX/OOAI33_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI33.tex +%% File: StdCellLib/Documents/LaTeX/OOAI33_circuit.tex %% -%% Purpose: Circuit File for OAI33 +%% Purpose: Circuit File for OOAI33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,18 +34,19 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{12} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A0 \pin{1}{3}{L}{A1} % pin A1 \pin{1}{5}{L}{A2} % pin A2 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B0 \pin{1}{9}{L}{B1} % pin B1 \pin{1}{11}{L}{B2} % pin B2 - \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{or}{5}{3}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND gate -> right \wire{9}{3}{9}{4} % wire between OR and NAND \wire{9}{9}{9}{8} % wire between OR and NAND \pin{17}{6}{R}{Z} % pin Z diff --git a/Documents/LaTeX/OAI33_manpage.tex b/Documents/LaTeX/OOAI33_manpage.tex similarity index 75% rename from Documents/LaTeX/OAI33_manpage.tex rename to Documents/LaTeX/OOAI33_manpage.tex index 38934d0c..dfa855c3 100644 --- a/Documents/LaTeX/OAI33_manpage.tex +++ b/Documents/LaTeX/OOAI33_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI33.tex +%% File: StdCellLib/Documents/LaTeX/OOAI33_manpage.tex %% -%% Purpose: Manual Page File for OAI33 +%% Purpose: Manual Page File for OOAI33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI33} +\label{OOAI33} \paragraph{Cell} \begin{quote} - \textbf{OAI33} - a 3-3-input OR-AND-Invert gate + \textbf{OOAI33} - a 3-3-input OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI33(Z, B2, B1, B0, A2, A1, A0) + OOAI33(Z, B2, B1, B, A2, A1, A) \end{quote} \paragraph{Description} -\input{OAI33_circuit.tex} -\input{OAI33_schematic.tex} +\input{OOAI33_circuit.tex} +\input{OOAI33_schematic.tex} \paragraph{Truth Table} -\input{OAI33_truthtable.tex} +\input{OOAI33_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI22 - a 2-2-input OR-AND-Invert gate \\ - OAI32 - a 3-2-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI33_schematic.tex b/Documents/LaTeX/OOAI33_schematic.tex similarity index 95% rename from Documents/LaTeX/OAI33_schematic.tex rename to Documents/LaTeX/OOAI33_schematic.tex index 7c3097c1..a688e4b5 100644 --- a/Documents/LaTeX/OAI33_schematic.tex +++ b/Documents/LaTeX/OOAI33_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI33.tex +%% File: StdCellLib/Documents/LaTeX/OOAI33_schematic.tex %% -%% Purpose: Schematic File for OAI33 +%% Purpose: Schematic File for OOAI33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI33_truthtable.tex b/Documents/LaTeX/OOAI33_truthtable.tex similarity index 92% rename from Documents/LaTeX/OAI33_truthtable.tex rename to Documents/LaTeX/OOAI33_truthtable.tex index 47cf5340..f86771ff 100644 --- a/Documents/LaTeX/OAI33_truthtable.tex +++ b/Documents/LaTeX/OOAI33_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI33.tex +%% File: StdCellLib/Documents/LaTeX/OOAI33_truthtable.tex %% -%% Purpose: Truth Table File for OAI33 +%% Purpose: Truth Table File for OOAI33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From a09a3604475ab84a7764e9e24271b2f37c92578f Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 15:48:25 +0200 Subject: [PATCH 162/673] [DOC] Add LaTeX circuit for OOA33 --- Documents/LaTeX/OOA33_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/OOA33_manpage.tex | 59 +++++++++++++++++++++++++++++++ 2 files changed, 116 insertions(+) create mode 100644 Documents/LaTeX/OOA33_circuit.tex create mode 100644 Documents/LaTeX/OOA33_manpage.tex diff --git a/Documents/LaTeX/OOA33_circuit.tex b/Documents/LaTeX/OOA33_circuit.tex new file mode 100644 index 00000000..1fcc111b --- /dev/null +++ b/Documents/LaTeX/OOA33_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA33_circuit.tex +%% +%% Purpose: Circuit File for OOA33 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A0 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B0 + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{9}{3}{9}{4} % wire between OR and NAND + \wire{9}{9}{9}{8} % wire between OR and NAND + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOA33_manpage.tex b/Documents/LaTeX/OOA33_manpage.tex new file mode 100644 index 00000000..31002be9 --- /dev/null +++ b/Documents/LaTeX/OOA33_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA33_manpage.tex +%% +%% Purpose: Manual Page File for OOA33 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOA33} +\paragraph{Cell} +\begin{quote} + \textbf{OOA33} - a 3-3-input OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOA33(Z, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOA33_circuit.tex} +%\input{OOA33_schematic.tex} + +\paragraph{Truth Table} +%\input{OOA33_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 4126ae55778bf4f9e44beeac741c62ae8769d255 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 16:03:07 +0200 Subject: [PATCH 163/673] [DOC] Add LaTeX circuit for OA33 and OAI33 --- Catalog/stacked4_cells.mk | 6 ++-- Documents/LaTeX/OA33_circuit.tex | 58 ++++++++++++++++++++++++++++++ Documents/LaTeX/OA33_manpage.tex | 59 +++++++++++++++++++++++++++++++ Documents/LaTeX/OAI33_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/OAI33_manpage.tex | 59 +++++++++++++++++++++++++++++++ 5 files changed, 236 insertions(+), 3 deletions(-) create mode 100644 Documents/LaTeX/OA33_circuit.tex create mode 100644 Documents/LaTeX/OA33_manpage.tex create mode 100644 Documents/LaTeX/OAI33_circuit.tex create mode 100644 Documents/LaTeX/OAI33_manpage.tex diff --git a/Catalog/stacked4_cells.mk b/Catalog/stacked4_cells.mk index 4f81caed..743d825d 100644 --- a/Catalog/stacked4_cells.mk +++ b/Catalog/stacked4_cells.mk @@ -87,7 +87,7 @@ OA22: AND3 OA23: AND4 $(POPCORN) -m oai -c $@ $< > $@ -OA3111: OA23 +OA33: OA23 $(POPCORN) -m oai -c $@ $< > $@ OA41: OA31 @@ -153,7 +153,7 @@ OA22: AND3 OA23: AND4 $(POPCORN) -m oai -c $@ $< > $@ -OA3111: OA23 +OA33: OA23 $(POPCORN) -m oai -c $@ $< > $@ OA41: OAI31 @@ -222,7 +222,7 @@ OAI23: NAND4 OAI41: OAI31 $(POPCORN) -m oai -c $@ $< > $@ -OAI3111: OAI23 +OAI33: OAI23 $(POPCORN) -m oai -c $@ $< > $@ OAI4111: OAI3111 diff --git a/Documents/LaTeX/OA33_circuit.tex b/Documents/LaTeX/OA33_circuit.tex new file mode 100644 index 00000000..0b7b9f98 --- /dev/null +++ b/Documents/LaTeX/OA33_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI23_circuit.tex +%% +%% Purpose: Circuit File for OAI23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND + \gate{not}{19}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{9}{L}{D1} % pin D1 + \pin{1}{11}{L}{D2} % pin D2 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{9}{9}{9}{7} % wire between OR and NAND + \pin{23}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OA33_manpage.tex b/Documents/LaTeX/OA33_manpage.tex new file mode 100644 index 00000000..21e0880a --- /dev/null +++ b/Documents/LaTeX/OA33_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA33_manpage.tex +%% +%% Purpose: Manual Page File for OA33 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OA33} +\paragraph{Cell} +\begin{quote} + \textbf{OA33} - a 3-3-input OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OA33 (Z, D2, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OA33_circuit.tex} +%\input{OA33_schematic.tex} + +\paragraph{Truth Table} +%\input{OA33_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAI33_circuit.tex b/Documents/LaTeX/OAI33_circuit.tex new file mode 100644 index 00000000..94540f51 --- /dev/null +++ b/Documents/LaTeX/OAI33_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI23_circuit.tex +%% +%% Purpose: Circuit File for OAI23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{12} + \usgate + \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{9}{L}{D1} % pin D1 + \pin{1}{11}{L}{D2} % pin D2 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{9}{9}{9}{7} % wire between OR and NAND + \pin{17}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAI33_manpage.tex b/Documents/LaTeX/OAI33_manpage.tex new file mode 100644 index 00000000..5d1382f8 --- /dev/null +++ b/Documents/LaTeX/OAI33_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI33_manpage.tex +%% +%% Purpose: Manual Page File for OAI33 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAI33} +\paragraph{Cell} +\begin{quote} + \textbf{OAI33} - a 3-3-input OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAI33 (Z, D2, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAI33_circuit.tex} +%\input{OAI33_schematic.tex} + +\paragraph{Truth Table} +%\input{OAI33_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From e6a14aa12ea23178f603864f9c4066025825c8ac Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 16:19:21 +0200 Subject: [PATCH 164/673] [DOC] Add LaTeX circuit for OA43 and OAI43 --- Documents/LaTeX/OA43_circuit.tex | 59 +++++++++++++++++++++++++++++++ Documents/LaTeX/OA43_manpage.tex | 59 +++++++++++++++++++++++++++++++ Documents/LaTeX/OAI43_circuit.tex | 58 ++++++++++++++++++++++++++++++ Documents/LaTeX/OAI43_manpage.tex | 59 +++++++++++++++++++++++++++++++ 4 files changed, 235 insertions(+) create mode 100644 Documents/LaTeX/OA43_circuit.tex create mode 100644 Documents/LaTeX/OA43_manpage.tex create mode 100644 Documents/LaTeX/OAI43_circuit.tex create mode 100644 Documents/LaTeX/OAI43_manpage.tex diff --git a/Documents/LaTeX/OA43_circuit.tex b/Documents/LaTeX/OA43_circuit.tex new file mode 100644 index 00000000..97354af6 --- /dev/null +++ b/Documents/LaTeX/OA43_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI23_circuit.tex +%% +%% Purpose: Circuit File for OAI23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{4}]{or}{5}{10}{R}{}{} % OR + \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND + \gate{not}{19}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{9}{L}{D1} % pin D1 + \pin{1}{11}{L}{D2} % pin D2 + \pin{1}{13}{L}{D3} % pin D3 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{9}{7}{9}{10} % wire between OR and NAND + \pin{23}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OA43_manpage.tex b/Documents/LaTeX/OA43_manpage.tex new file mode 100644 index 00000000..69b9cdba --- /dev/null +++ b/Documents/LaTeX/OA43_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OA43_manpage.tex +%% +%% Purpose: Manual Page File for OA43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OA43} +\paragraph{Cell} +\begin{quote} + \textbf{OA43} - a 4-3-input OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OA43 (Z, D3, D2, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OA43_circuit.tex} +%\input{OA43_schematic.tex} + +\paragraph{Truth Table} +%\input{OA43_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAI43_circuit.tex b/Documents/LaTeX/OAI43_circuit.tex new file mode 100644 index 00000000..c9e6fe38 --- /dev/null +++ b/Documents/LaTeX/OAI43_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI23_circuit.tex +%% +%% Purpose: Circuit File for OAI23 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{4}]{or}{5}{10}{R}{}{} % OR + \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{9}{L}{D1} % pin D1 + \pin{1}{11}{L}{D2} % pin D2 + \pin{1}{13}{L}{D3} % pin D3 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{9}{7}{9}{10} % wire between OR and NAND + \pin{17}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAI43_manpage.tex b/Documents/LaTeX/OAI43_manpage.tex new file mode 100644 index 00000000..51c37d86 --- /dev/null +++ b/Documents/LaTeX/OAI43_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAI43_manpage.tex +%% +%% Purpose: Manual Page File for OAI43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAI43} +\paragraph{Cell} +\begin{quote} + \textbf{OAI43} - a 4-3-input OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAI43 (Z, D3, D2, D1, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAI43_circuit.tex} +%\input{OAI43_schematic.tex} + +\paragraph{Truth Table} +%\input{OAI43_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 4faec5cdf655585481f224e894e5783339711a9e Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 18:23:50 +0200 Subject: [PATCH 165/673] [DOC] Add LaTeX circuit for OAAO212 and OAAOI212 --- Documents/LaTeX/OAAO212_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/OAAO212_manpage.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/OAAOI212_circuit.tex | 59 +++++++++++++++++++++++++++ Documents/LaTeX/OAAOI212_manpage.tex | 61 ++++++++++++++++++++++++++++ 4 files changed, 241 insertions(+) create mode 100644 Documents/LaTeX/OAAO212_circuit.tex create mode 100644 Documents/LaTeX/OAAO212_manpage.tex create mode 100644 Documents/LaTeX/OAAOI212_circuit.tex create mode 100644 Documents/LaTeX/OAAOI212_manpage.tex diff --git a/Documents/LaTeX/OAAO212_circuit.tex b/Documents/LaTeX/OAAO212_circuit.tex new file mode 100644 index 00000000..372ff74d --- /dev/null +++ b/Documents/LaTeX/OAAO212_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO212_circuit.tex +%% +%% Purpose: Circuit File for OAAO212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{6}{R}{}{} % NOR + \gate{not}{26}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{2}{7}{9}{7} % wire pin B + \wire{16}{3}{16}{4} % wire between AND and NOR + \wire{16}{9}{16}{8} % wire between AND and NOR + \pin{30}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO212_manpage.tex b/Documents/LaTeX/OAAO212_manpage.tex new file mode 100644 index 00000000..bae09fb8 --- /dev/null +++ b/Documents/LaTeX/OAAO212_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO212_manpage.tex +%% +%% Purpose: Manual Page File for OAAO212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO212} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO212} - a 2-1-2-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO212(Z, C1, C, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAO212_circuit.tex} +%\input{OAAO212_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO212_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI212_circuit.tex b/Documents/LaTeX/OAAOI212_circuit.tex new file mode 100644 index 00000000..ad049335 --- /dev/null +++ b/Documents/LaTeX/OAAOI212_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI212_circuit.tex +%% +%% Purpose: Circuit File for OAAOI212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{2}{7}{9}{7} % wire pin B + \wire{16}{3}{16}{4} % wire between AND and NOR + \wire{16}{9}{16}{8} % wire between AND and NOR + \pin{24}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI212_manpage.tex b/Documents/LaTeX/OAAOI212_manpage.tex new file mode 100644 index 00000000..12deb135 --- /dev/null +++ b/Documents/LaTeX/OAAOI212_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI212_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI212 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI212} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI212} - a 2-1-2-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI212(Z, C1, C, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI212_circuit.tex} +%\input{OAAOI212_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI212_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 17d80ea0bee84001e3a766f2107566f6309ff5fa Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 20:09:22 +0200 Subject: [PATCH 166/673] [DOC] Add LaTeX circuit for AOAO2211 and AOAOI2211 --- Documents/LaTeX/AOAO2211_circuit.tex | 61 +++++++++++++++++++++++++++ Documents/LaTeX/AOAO2211_manpage.tex | 59 ++++++++++++++++++++++++++ Documents/LaTeX/AOAOI2211_circuit.tex | 60 ++++++++++++++++++++++++++ Documents/LaTeX/AOAOI2211_manpage.tex | 59 ++++++++++++++++++++++++++ 4 files changed, 239 insertions(+) create mode 100644 Documents/LaTeX/AOAO2211_circuit.tex create mode 100644 Documents/LaTeX/AOAO2211_manpage.tex create mode 100644 Documents/LaTeX/AOAOI2211_circuit.tex create mode 100644 Documents/LaTeX/AOAOI2211_manpage.tex diff --git a/Documents/LaTeX/AOAO2211_circuit.tex b/Documents/LaTeX/AOAO2211_circuit.tex new file mode 100644 index 00000000..b73d7e3f --- /dev/null +++ b/Documents/LaTeX/AOAO2211_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAO2211_circuit.tex +%% +%% Purpose: Circuit File for AOAO2211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{38}{14} + \usgate + \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{or}{12}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{19}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{26}{3}{R}{}{} % NOR + \gate{not}{33}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{D} % pin D + \pin{1}{13}{L}{D1} % pin D1 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{7}{9}{7} % wire from pin C1 + \wire{9}{9}{9}{11} % wire between AND and OR + \pin{37}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAO2211_manpage.tex b/Documents/LaTeX/AOAO2211_manpage.tex new file mode 100644 index 00000000..5dfc366e --- /dev/null +++ b/Documents/LaTeX/AOAO2211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAO2211_manpage.tex +%% +%% Purpose: Manual Page File for AOAO2211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAO2211} +\paragraph{Cell} +\begin{quote} + \textbf{AOAO2211} - a 2-2-1-1-input AND-OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAO2211(Z, D1, D, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAO2211_circuit.tex} +%\input{AOAO2211_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAO2211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOAOI2211_circuit.tex b/Documents/LaTeX/AOAOI2211_circuit.tex new file mode 100644 index 00000000..361573aa --- /dev/null +++ b/Documents/LaTeX/AOAOI2211_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOI2211_circuit.tex +%% +%% Purpose: Circuit File for AOAOI2211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{32}{14} + \usgate + \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{or}{12}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{19}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{26}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{D} % pin D + \pin{1}{13}{L}{D1} % pin D1 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{7}{9}{7} % wire from pin C1 + \wire{9}{9}{9}{11} % wire between AND and OR + \pin{31}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAOI2211_manpage.tex b/Documents/LaTeX/AOAOI2211_manpage.tex new file mode 100644 index 00000000..a4c2dcc2 --- /dev/null +++ b/Documents/LaTeX/AOAOI2211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOI2211_manpage.tex +%% +%% Purpose: Manual Page File for AOAOI2211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAOI2211} +\paragraph{Cell} +\begin{quote} + \textbf{AOAOI2211} - a 2-2-1-1-input AND-OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAOI2211(Z, D1, D, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAOI2211_circuit.tex} +%\input{AOAOI2211_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAOI2211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From a681f87ea2751b92c795b7c35dab041419dfab28 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 20:17:53 +0200 Subject: [PATCH 167/673] [DOC] Add LaTeX circuit for OAOA2211 and OAOAI2211 --- Documents/LaTeX/OAOA2211_circuit.tex | 61 +++++++++++++++++++++++++++ Documents/LaTeX/OAOA2211_manpage.tex | 59 ++++++++++++++++++++++++++ Documents/LaTeX/OAOAI2211_circuit.tex | 60 ++++++++++++++++++++++++++ Documents/LaTeX/OAOAI2211_manpage.tex | 59 ++++++++++++++++++++++++++ 4 files changed, 239 insertions(+) create mode 100644 Documents/LaTeX/OAOA2211_circuit.tex create mode 100644 Documents/LaTeX/OAOA2211_manpage.tex create mode 100644 Documents/LaTeX/OAOAI2211_circuit.tex create mode 100644 Documents/LaTeX/OAOAI2211_manpage.tex diff --git a/Documents/LaTeX/OAOA2211_circuit.tex b/Documents/LaTeX/OAOA2211_circuit.tex new file mode 100644 index 00000000..0cdf32dd --- /dev/null +++ b/Documents/LaTeX/OAOA2211_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOA2211_circuit.tex +%% +%% Purpose: Circuit File for OAOA2211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{38}{14} + \usgate + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{19}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{26}{3}{R}{}{} % NAND + \gate{not}{33}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{D} % pin D + \pin{1}{13}{L}{D1} % pin D1 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{7}{9}{7} % wire from pin C1 + \wire{9}{9}{9}{11} % wire between AND and OR + \pin{37}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOA2211_manpage.tex b/Documents/LaTeX/OAOA2211_manpage.tex new file mode 100644 index 00000000..32e17f15 --- /dev/null +++ b/Documents/LaTeX/OAOA2211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOA2211_manpage.tex +%% +%% Purpose: Manual Page File for OAOA2211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOA2211} +\paragraph{Cell} +\begin{quote} + \textbf{OAOA2211} - a 2-2-1-1-input OR-AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOA2211(Z, D1, D, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOA2211_circuit.tex} +%\input{OAOA2211_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOA2211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOAI2211_circuit.tex b/Documents/LaTeX/OAOAI2211_circuit.tex new file mode 100644 index 00000000..4cdd43f1 --- /dev/null +++ b/Documents/LaTeX/OAOAI2211_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOAI2211_circuit.tex +%% +%% Purpose: Circuit File for OAOAI2211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{32}{14} + \usgate + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{19}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{26}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{D} % pin D + \pin{1}{13}{L}{D1} % pin D1 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{7}{9}{7} % wire from pin C1 + \wire{9}{9}{9}{11} % wire between OR and AND + \pin{31}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOAI2211_manpage.tex b/Documents/LaTeX/OAOAI2211_manpage.tex new file mode 100644 index 00000000..04994943 --- /dev/null +++ b/Documents/LaTeX/OAOAI2211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOAI2211_manpage.tex +%% +%% Purpose: Manual Page File for OAOAI2211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOAI2211} +\paragraph{Cell} +\begin{quote} + \textbf{OAOAI2211} - a 2-2-1-1-input OR-AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOAI2211(Z, D1, D, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOAI2211_circuit.tex} +%\input{OAOAI2211_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOAI2211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 7faf11af5fe92747840482b3062aacfe2fb6b0b3 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 20:36:24 +0200 Subject: [PATCH 168/673] [DOC] Add LaTeX circuit for OAOA3211 and OAOAI3211 --- Documents/LaTeX/OAOA3211_circuit.tex | 62 +++++++++++++++++++++++++++ Documents/LaTeX/OAOA3211_manpage.tex | 59 +++++++++++++++++++++++++ Documents/LaTeX/OAOAI3211_circuit.tex | 61 ++++++++++++++++++++++++++ Documents/LaTeX/OAOAI3211_manpage.tex | 59 +++++++++++++++++++++++++ 4 files changed, 241 insertions(+) create mode 100644 Documents/LaTeX/OAOA3211_circuit.tex create mode 100644 Documents/LaTeX/OAOA3211_manpage.tex create mode 100644 Documents/LaTeX/OAOAI3211_circuit.tex create mode 100644 Documents/LaTeX/OAOAI3211_manpage.tex diff --git a/Documents/LaTeX/OAOA3211_circuit.tex b/Documents/LaTeX/OAOA3211_circuit.tex new file mode 100644 index 00000000..2e2ac8fb --- /dev/null +++ b/Documents/LaTeX/OAOA3211_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOA3211_circuit.tex +%% +%% Purpose: Circuit File for OAOA3211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{38}{14} + \usgate + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{19}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{26}{3}{R}{}{} % NAND + \gate{not}{33}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{13}{L}{D2} % pin D2 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{7}{9}{7} % wire from pin C1 + \wire{9}{9}{9}{11} % wire between AND and OR + \pin{37}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOA3211_manpage.tex b/Documents/LaTeX/OAOA3211_manpage.tex new file mode 100644 index 00000000..4bd1d5ac --- /dev/null +++ b/Documents/LaTeX/OAOA3211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOA3211_manpage.tex +%% +%% Purpose: Manual Page File for OAOA3211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOA3211} +\paragraph{Cell} +\begin{quote} + \textbf{OAOA3211} - a 3-2-1-1-input OR-AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOA3211(Z, D2, D1, D, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOA3211_circuit.tex} +%\input{OAOA3211_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOA3211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOAI3211_circuit.tex b/Documents/LaTeX/OAOAI3211_circuit.tex new file mode 100644 index 00000000..e259f4f9 --- /dev/null +++ b/Documents/LaTeX/OAOAI3211_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOAI3211_circuit.tex +%% +%% Purpose: Circuit File for OAOAI3211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{32}{14} + \usgate + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{2}]{or}{19}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{26}{3}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{13}{L}{D2} % pin D2 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{7}{9}{7} % wire from pin C1 + \wire{9}{9}{9}{11} % wire between OR and AND + \pin{31}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOAI3211_manpage.tex b/Documents/LaTeX/OAOAI3211_manpage.tex new file mode 100644 index 00000000..db64cd1f --- /dev/null +++ b/Documents/LaTeX/OAOAI3211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOAI3211_manpage.tex +%% +%% Purpose: Manual Page File for OAOAI3211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOAI3211} +\paragraph{Cell} +\begin{quote} + \textbf{OAOAI3211} - a 3-2-1-1-input OR-AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOAI3211(Z, D1, D, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{OAOAI3211_circuit.tex} +%\input{OAOAI3211_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOAI3211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 60fb9ac7a9dd9c1022a9b4460e6b626f97acd78d Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 20:48:03 +0200 Subject: [PATCH 169/673] [DOC] Add LaTeX circuit for AOAO3211 and AOAOI3211 --- Documents/LaTeX/AOAO3211_circuit.tex | 62 +++++++++++++++++++++++++++ Documents/LaTeX/AOAO3211_manpage.tex | 59 +++++++++++++++++++++++++ Documents/LaTeX/AOAOI3211_circuit.tex | 61 ++++++++++++++++++++++++++ Documents/LaTeX/AOAOI3211_manpage.tex | 59 +++++++++++++++++++++++++ 4 files changed, 241 insertions(+) create mode 100644 Documents/LaTeX/AOAO3211_circuit.tex create mode 100644 Documents/LaTeX/AOAO3211_manpage.tex create mode 100644 Documents/LaTeX/AOAOI3211_circuit.tex create mode 100644 Documents/LaTeX/AOAOI3211_manpage.tex diff --git a/Documents/LaTeX/AOAO3211_circuit.tex b/Documents/LaTeX/AOAO3211_circuit.tex new file mode 100644 index 00000000..b6139dc8 --- /dev/null +++ b/Documents/LaTeX/AOAO3211_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAO3211_circuit.tex +%% +%% Purpose: Circuit File for AOAO3211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{38}{14} + \usgate + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{or}{12}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{19}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{26}{3}{R}{}{} % NOR + \gate{not}{33}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{13}{L}{D2} % pin D2 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{7}{9}{7} % wire from pin C1 + \wire{9}{9}{9}{11} % wire between OR and AND + \pin{37}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAO3211_manpage.tex b/Documents/LaTeX/AOAO3211_manpage.tex new file mode 100644 index 00000000..2edce95a --- /dev/null +++ b/Documents/LaTeX/AOAO3211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAO3211_manpage.tex +%% +%% Purpose: Manual Page File for AOAO3211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAO3211} +\paragraph{Cell} +\begin{quote} + \textbf{AOAO3211} - a 3-2-1-1-input AND-OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAO3211(Z, D2, D1, D, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAO3211_circuit.tex} +%\input{AOAO3211_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAO3211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOAOI3211_circuit.tex b/Documents/LaTeX/AOAOI3211_circuit.tex new file mode 100644 index 00000000..8731cf95 --- /dev/null +++ b/Documents/LaTeX/AOAOI3211_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOI3211_circuit.tex +%% +%% Purpose: Circuit File for AOAOI3211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{32}{14} + \usgate + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{or}{12}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{19}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{26}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{D} % pin D + \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{13}{L}{D2} % pin D2 + \wire{2}{1}{23}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{7}{9}{7} % wire from pin C1 + \wire{9}{9}{9}{11} % wire between AND and OR + \pin{31}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAOI3211_manpage.tex b/Documents/LaTeX/AOAOI3211_manpage.tex new file mode 100644 index 00000000..aaa31460 --- /dev/null +++ b/Documents/LaTeX/AOAOI3211_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOI3211_manpage.tex +%% +%% Purpose: Manual Page File for AOAOI3211 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAOI3211} +\paragraph{Cell} +\begin{quote} + \textbf{AOAOI3211} - a 3-2-1-1-input AND-OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAOI3211(Z, D2, D1, D, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAOI3211_circuit.tex} +%\input{AOAOI3211_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAOI3211_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From a2fe9e9e95c617029678ac38ef7944e50f094ba2 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 21:05:07 +0200 Subject: [PATCH 170/673] [DOC] Fix LaTeX circuit for AAO221 --- ...{OA3111_circuit.tex => AAO221_circuit.tex} | 34 ++++++------ ...{OA3111_manpage.tex => AAO221_manpage.tex} | 23 ++++---- .../{AO3111_circuit.tex => AO33_circuit.tex} | 0 .../{AO3111_manpage.tex => AO33_manpage.tex} | 0 ...111_truthtable.tex => AO33_truthtable.tex} | 0 Documents/LaTeX/OA3111_truthtable.tex | 52 ------------------- 6 files changed, 26 insertions(+), 83 deletions(-) rename Documents/LaTeX/{OA3111_circuit.tex => AAO221_circuit.tex} (63%) rename Documents/LaTeX/{OA3111_manpage.tex => AAO221_manpage.tex} (77%) rename Documents/LaTeX/{AO3111_circuit.tex => AO33_circuit.tex} (100%) rename Documents/LaTeX/{AO3111_manpage.tex => AO33_manpage.tex} (100%) rename Documents/LaTeX/{AO3111_truthtable.tex => AO33_truthtable.tex} (100%) delete mode 100644 Documents/LaTeX/OA3111_truthtable.tex diff --git a/Documents/LaTeX/OA3111_circuit.tex b/Documents/LaTeX/AAO221_circuit.tex similarity index 63% rename from Documents/LaTeX/OA3111_circuit.tex rename to Documents/LaTeX/AAO221_circuit.tex index 127db690..00ae3b20 100644 --- a/Documents/LaTeX/OA3111_circuit.tex +++ b/Documents/LaTeX/AAO221_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OA3111.tex +%% File: StdCellLib/Documents/LaTeX/AAO221_circuit.tex %% -%% Purpose: Circuit File for OA3111 +%% Purpose: Circuit File for AAO221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,23 +34,23 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{24}{12} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR + \gate{not}{19}{5}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D0} % pin D0 - \pin{1}{9}{L}{D1} % pin D1 - \pin{1}{11}{L}{D2} % pin D2 - \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND gate -> right - \gate{not}{19}{4}{R}{}{} % NOT gate -> right - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C - \wire{9}{9}{9}{7} % wire between OR and NAND - \pin{23}{4}{R}{Z} % pin Z + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{11}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire before NOR + \pin{23}{5}{R}{Z} % pin Z \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OA3111_manpage.tex b/Documents/LaTeX/AAO221_manpage.tex similarity index 77% rename from Documents/LaTeX/OA3111_manpage.tex rename to Documents/LaTeX/AAO221_manpage.tex index 33b495df..a02c2cff 100644 --- a/Documents/LaTeX/OA3111_manpage.tex +++ b/Documents/LaTeX/AAO221_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OA3111.tex +%% File: StdCellLib/Documents/LaTeX/AAO221_manpage.tex %% -%% Purpose: Manual Page File for OA3111 +%% Purpose: Manual Page File for AAO221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OA3111} +\label{AAO221} \paragraph{Cell} \begin{quote} - \textbf{OA3111} - a 3-1-1-1-input OR-AND gate + \textbf{AAO221} - a 2-2-1-input AND-AND-OR gate \end{quote} \paragraph{Synopsys} \begin{quote} - OA3111(Z, D2, D1, D0, C, B, A) + AAO221(Z, C1, C, B1, B, A) \end{quote} \paragraph{Description} -\input{OA3111_circuit.tex} -%\input{OA3111_schematic.tex} +\input{AAO221_circuit.tex} +%\input{AAO221_schematic.tex} \paragraph{Truth Table} -\input{OA3111_truthtable.tex} +%\input{AAO221_truthtable.tex} \paragraph{Usage} @@ -57,8 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OA2111 - a 2-1-1-1-input AND-OR gate -\end{quote} diff --git a/Documents/LaTeX/AO3111_circuit.tex b/Documents/LaTeX/AO33_circuit.tex similarity index 100% rename from Documents/LaTeX/AO3111_circuit.tex rename to Documents/LaTeX/AO33_circuit.tex diff --git a/Documents/LaTeX/AO3111_manpage.tex b/Documents/LaTeX/AO33_manpage.tex similarity index 100% rename from Documents/LaTeX/AO3111_manpage.tex rename to Documents/LaTeX/AO33_manpage.tex diff --git a/Documents/LaTeX/AO3111_truthtable.tex b/Documents/LaTeX/AO33_truthtable.tex similarity index 100% rename from Documents/LaTeX/AO3111_truthtable.tex rename to Documents/LaTeX/AO33_truthtable.tex diff --git a/Documents/LaTeX/OA3111_truthtable.tex b/Documents/LaTeX/OA3111_truthtable.tex deleted file mode 100644 index 4f0ae59b..00000000 --- a/Documents/LaTeX/OA3111_truthtable.tex +++ /dev/null @@ -1,52 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/truthtable_OA3111.tex -%% -%% Purpose: Truth Table File for OA3111 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// - -\begin{center} - {\(Z = (D2 \lor D1 \lor D0) \land C \land B \land A \)} - \begin{table}[h] %\caption{\(Z = (D2 \lor D1 \lor D0) \land C \land B \land A \)} - \begin{center} - \begin{tabular}{|c|c|c|c|c|c||c|} \hline - D2 & D1 & D0 & C & B & A & Z \\ \hline\hline - 0 & 0 & 0 & X & X & X & 0 \\ \hline - 1 & X & X & 1 & 1 & 1 & 1 \\ \hline - X & 1 & X & 1 & 1 & 1 & 1 \\ \hline - X & X & 1 & 1 & 1 & 1 & 1 \\ \hline - X & X & X & 0 & X & X & 0 \\ \hline - X & X & X & X & 0 & X & 0 \\ \hline - X & X & X & X & X & 0 & 0 \\ \hline - \end{tabular} - \end{center} - \end{table} -\end{center} From 6d738b43501e21108903be86506317f7a067a897 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 21:55:09 +0200 Subject: [PATCH 171/673] [DOC] Resolve Name Conflict, move AOI222->AAAOI222 --- ...OI222_circuit.tex => AAAOI222_circuit.tex} | 23 ++++++++++--------- ...OI222_manpage.tex => AAAOI222_manpage.tex} | 16 ++++++------- ...2_schematic.tex => AAAOI222_schematic.tex} | 6 ++--- ...truthtable.tex => AAAOI222_truthtable.tex} | 6 ++--- 4 files changed, 26 insertions(+), 25 deletions(-) rename Documents/LaTeX/{AOI222_circuit.tex => AAAOI222_circuit.tex} (72%) rename Documents/LaTeX/{AOI222_manpage.tex => AAAOI222_manpage.tex} (84%) rename Documents/LaTeX/{AOI222_schematic.tex => AAAOI222_schematic.tex} (95%) rename Documents/LaTeX/{AOI222_truthtable.tex => AAAOI222_truthtable.tex} (92%) diff --git a/Documents/LaTeX/AOI222_circuit.tex b/Documents/LaTeX/AAAOI222_circuit.tex similarity index 72% rename from Documents/LaTeX/AOI222_circuit.tex rename to Documents/LaTeX/AAAOI222_circuit.tex index a21fadc3..aae1b902 100644 --- a/Documents/LaTeX/AOI222_circuit.tex +++ b/Documents/LaTeX/AAAOI222_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI222.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI222_circuit.tex %% -%% Purpose: Circuit File for AOI222 +%% Purpose: Circuit File for AAAOI222 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,22 +34,23 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{18} + \usgate + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR \pin{1}{1}{L}{A0} % pin A0 \pin{1}{5}{L}{A1} % pin A1 \pin{1}{7}{L}{B0} % pin B0 \pin{1}{11}{L}{B1} % pin B1 \pin{1}{13}{L}{C0} % pin C0 \pin{1}{17}{L}{C1} % pin C1 - \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{and}{5}{15}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR gate -> right - \wire{9}{3}{9}{7} % wire between AND and NOR - \wire{9}{11}{9}{15} % wire between AND and NOR - \pin{17}{9}{R}{Z} % pin Z + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{15} % wire between AND and NOR + \pin{17}{9}{R}{Z} % pin Z \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOI222_manpage.tex b/Documents/LaTeX/AAAOI222_manpage.tex similarity index 84% rename from Documents/LaTeX/AOI222_manpage.tex rename to Documents/LaTeX/AAAOI222_manpage.tex index 29831545..e723685f 100644 --- a/Documents/LaTeX/AOI222_manpage.tex +++ b/Documents/LaTeX/AAAOI222_manpage.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI222.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI222_manpage.tex %% -%% Purpose: Manual Page File for AOI222 +%% Purpose: Manual Page File for AAAOI222 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI222} +\label{AAAOI222} \paragraph{Cell} \begin{quote} - \textbf{AOI222} - a 2-2-2-input AND-OR-Invert gate + \textbf{AAAOI222} - a 2-2-2-input AND-AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI222(Z, C1, C0, B1, B0, A1, A0) + AAAOI222(Z, C1, C, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{AOI222_circuit.tex} -\input{AOI222_schematic.tex} +\input{AAAOI222_circuit.tex} +\input{AAAOI222_schematic.tex} \paragraph{Truth Table} -\input{AOI222_truthtable.tex} +\input{AAAOI222_truthtable.tex} \paragraph{Usage} diff --git a/Documents/LaTeX/AOI222_schematic.tex b/Documents/LaTeX/AAAOI222_schematic.tex similarity index 95% rename from Documents/LaTeX/AOI222_schematic.tex rename to Documents/LaTeX/AAAOI222_schematic.tex index 894d2c9a..c7c3659f 100644 --- a/Documents/LaTeX/AOI222_schematic.tex +++ b/Documents/LaTeX/AAAOI222_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI222.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI222_schematic.tex %% -%% Purpose: Schematic File for AOI222 +%% Purpose: Schematic File for AAAOI222 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI222_truthtable.tex b/Documents/LaTeX/AAAOI222_truthtable.tex similarity index 92% rename from Documents/LaTeX/AOI222_truthtable.tex rename to Documents/LaTeX/AAAOI222_truthtable.tex index 987547ee..02c01f66 100644 --- a/Documents/LaTeX/AOI222_truthtable.tex +++ b/Documents/LaTeX/AAAOI222_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI222.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI222_truthtable.tex %% -%% Purpose: Truth Table File for AOI222 +%% Purpose: Truth Table File for AAAOI222 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 86843d563968b19c10d97a5d0b7e91e78680977a Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 22:01:21 +0200 Subject: [PATCH 172/673] [DOC] Add LaTeX circuit for AAAO222 --- Documents/LaTeX/AAAO222_circuit.tex | 58 ++++++++++++++++++++++++++++ Documents/LaTeX/AAAO222_manpage.tex | 59 +++++++++++++++++++++++++++++ 2 files changed, 117 insertions(+) create mode 100644 Documents/LaTeX/AAAO222_circuit.tex create mode 100644 Documents/LaTeX/AAAO222_manpage.tex diff --git a/Documents/LaTeX/AAAO222_circuit.tex b/Documents/LaTeX/AAAO222_circuit.tex new file mode 100644 index 00000000..5a6b86fb --- /dev/null +++ b/Documents/LaTeX/AAAO222_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO222_circuit.tex +%% +%% Purpose: Circuit File for AAAO222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{15} % wire between AND and NOR + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAAO222_manpage.tex b/Documents/LaTeX/AAAO222_manpage.tex new file mode 100644 index 00000000..ffc5c194 --- /dev/null +++ b/Documents/LaTeX/AAAO222_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO222_manpage.tex +%% +%% Purpose: Manual Page File for AAAO222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2018 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAAO222} +\paragraph{Cell} +\begin{quote} + \textbf{AAAO222} - a 2-2-2-input AND-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAAO222(Z, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAAO222_circuit.tex} +%\input{AAAO222_schematic.tex} + +\paragraph{Truth Table} +%\input{AAAO222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From d78aebc6168e8853873c4337fd350eb689505a87 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 11 Sep 2019 22:02:33 +0200 Subject: [PATCH 173/673] [DOC] Fix LaTeX circuit for AAAOI222 --- Documents/LaTeX/AAAOI222_circuit.tex | 6 +++--- Documents/LaTeX/AAAOI222_manpage.tex | 7 ------- 2 files changed, 3 insertions(+), 10 deletions(-) diff --git a/Documents/LaTeX/AAAOI222_circuit.tex b/Documents/LaTeX/AAAOI222_circuit.tex index aae1b902..cbaf524d 100644 --- a/Documents/LaTeX/AAAOI222_circuit.tex +++ b/Documents/LaTeX/AAAOI222_circuit.tex @@ -42,11 +42,11 @@ \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND \gate[\inputs{2}]{and}{5}{15}{R}{}{} % AND \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR - \pin{1}{1}{L}{A0} % pin A0 + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{11}{L}{B1} % pin B1 - \pin{1}{13}{L}{C0} % pin C0 + \pin{1}{13}{L}{C} % pin C \pin{1}{17}{L}{C1} % pin C1 \wire{9}{3}{9}{7} % wire between AND and NOR \wire{9}{11}{9}{15} % wire between AND and NOR diff --git a/Documents/LaTeX/AAAOI222_manpage.tex b/Documents/LaTeX/AAAOI222_manpage.tex index e723685f..dcda7b25 100644 --- a/Documents/LaTeX/AAAOI222_manpage.tex +++ b/Documents/LaTeX/AAAOI222_manpage.tex @@ -57,10 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI322 - a 3-2-2-input AND-OR-Invert gate \\ - AOI332 - a 3-3-2-input AND-OR-Invert gate \\ - AOI333 - a 3-3-3-input AND-OR-Invert gate -\end{quote} From cb77aec3cb089a60477672299734ee367042c2f2 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 06:48:57 +0200 Subject: [PATCH 174/673] [DOC] Resolve Name Conflict, move AOI322->AAAOI322 --- ...OI322_circuit.tex => AAAOI322_circuit.tex} | 23 +++++++++-------- ...OI322_manpage.tex => AAAOI322_manpage.tex} | 25 +++++++------------ ...2_schematic.tex => AAAOI322_schematic.tex} | 6 ++--- ...truthtable.tex => AAAOI322_truthtable.tex} | 6 ++--- 4 files changed, 27 insertions(+), 33 deletions(-) rename Documents/LaTeX/{AOI322_circuit.tex => AAAOI322_circuit.tex} (74%) rename Documents/LaTeX/{AOI322_manpage.tex => AAAOI322_manpage.tex} (73%) rename Documents/LaTeX/{AOI322_schematic.tex => AAAOI322_schematic.tex} (95%) rename Documents/LaTeX/{AOI322_truthtable.tex => AAAOI322_truthtable.tex} (93%) diff --git a/Documents/LaTeX/AOI322_circuit.tex b/Documents/LaTeX/AAAOI322_circuit.tex similarity index 74% rename from Documents/LaTeX/AOI322_circuit.tex rename to Documents/LaTeX/AAAOI322_circuit.tex index 6da4cee1..8e19ec5f 100644 --- a/Documents/LaTeX/AOI322_circuit.tex +++ b/Documents/LaTeX/AAAOI322_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI322.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI322_circuit.tex %% -%% Purpose: Circuit File for AOI322 +%% Purpose: Circuit File for AAAOI322 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,20 +34,21 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{18} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{11}{L}{B1} % pin B1 - \pin{1}{13}{L}{C0} % pin C0 + \pin{1}{13}{L}{C} % pin C \pin{1}{15}{L}{C1} % pin C1 \pin{1}{17}{L}{C2} % pin C2 - \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND gate -> right - \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR gate -> right \wire{9}{3}{9}{7} % wire between AND and NOR \wire{9}{11}{9}{15} % wire between AND and NOR \pin{17}{9}{R}{Z} % pin Z diff --git a/Documents/LaTeX/AOI322_manpage.tex b/Documents/LaTeX/AAAOI322_manpage.tex similarity index 73% rename from Documents/LaTeX/AOI322_manpage.tex rename to Documents/LaTeX/AAAOI322_manpage.tex index 90e31b88..fecb2e58 100644 --- a/Documents/LaTeX/AOI322_manpage.tex +++ b/Documents/LaTeX/AAAOI322_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AOI322.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI322_manpage.tex %% -%% Purpose: Manual Page File for AOI322 +%% Purpose: Manual Page File for AAAOI322 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AOI322} +\label{AAAOI322} \paragraph{Cell} \begin{quote} - \textbf{AOI322} - a 3-2-2-input AND-OR-Invert gate + \textbf{AAAOI322} - a 3-2-2-input AND-AND-AND-OR-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - AOI322(Z, C2, C1, C0, B1, B0, A1, A0) + AAAOI322(Z, C2, C1, C, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{AOI322_circuit.tex} -\input{AOI322_schematic.tex} +\input{AAAOI322_circuit.tex} +\input{AAAOI322_schematic.tex} \paragraph{Truth Table} -\input{AOI322_truthtable.tex} +\input{AAAOI322_truthtable.tex} \paragraph{Usage} @@ -57,10 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AOI222 - a 2-2-2-input AND-OR-Invert gate \\ - AOI332 - a 3-2-2-input AND-OR-Invert gate \\ - AOI333 - a 3-3-3-input AND-OR-Invert gate -\end{quote} diff --git a/Documents/LaTeX/AOI322_schematic.tex b/Documents/LaTeX/AAAOI322_schematic.tex similarity index 95% rename from Documents/LaTeX/AOI322_schematic.tex rename to Documents/LaTeX/AAAOI322_schematic.tex index 278bb29f..700dc3f1 100644 --- a/Documents/LaTeX/AOI322_schematic.tex +++ b/Documents/LaTeX/AAAOI322_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_AOI322.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI322_schematic.tex %% -%% Purpose: Schematic File for AOI322 +%% Purpose: Schematic File for AAAOI322 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/AOI322_truthtable.tex b/Documents/LaTeX/AAAOI322_truthtable.tex similarity index 93% rename from Documents/LaTeX/AOI322_truthtable.tex rename to Documents/LaTeX/AAAOI322_truthtable.tex index de2b60d1..45aa6a9d 100644 --- a/Documents/LaTeX/AOI322_truthtable.tex +++ b/Documents/LaTeX/AAAOI322_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI322.tex +%% File: StdCellLib/Documents/LaTeX/AAAOI322_truthtable.tex %% -%% Purpose: Truth Table File for AOI322 +%% Purpose: Truth Table File for AAAOI322 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 2e596df0ae5a9875553f38ee25d17e6db5e2a171 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 06:55:11 +0200 Subject: [PATCH 175/673] [DOC] Add LaTeX circuit for AAAO322 --- Documents/LaTeX/AAAO322_circuit.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/AAAO322_manpage.tex | 59 +++++++++++++++++++++++++++++ 2 files changed, 118 insertions(+) create mode 100644 Documents/LaTeX/AAAO322_circuit.tex create mode 100644 Documents/LaTeX/AAAO322_manpage.tex diff --git a/Documents/LaTeX/AAAO322_circuit.tex b/Documents/LaTeX/AAAO322_circuit.tex new file mode 100644 index 00000000..a317a54e --- /dev/null +++ b/Documents/LaTeX/AAAO322_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO322_circuit.tex +%% +%% Purpose: Circuit File for AAAO322 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{15} % wire between AND and NOR + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAAO322_manpage.tex b/Documents/LaTeX/AAAO322_manpage.tex new file mode 100644 index 00000000..7d6b4ce3 --- /dev/null +++ b/Documents/LaTeX/AAAO322_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO322_manpage.tex +%% +%% Purpose: Manual Page File for AAAO322 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAAO322} +\paragraph{Cell} +\begin{quote} + \textbf{AAAO322} - a 3-2-2-input AND-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAAO322(Z, C2, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAAO322_circuit.tex} +%\input{AAAO322_schematic.tex} + +\paragraph{Truth Table} +%\input{AAAO322_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 3b957f1fe41c11cf493745220b58e180314c9241 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 07:01:43 +0200 Subject: [PATCH 176/673] [DOC] Add LaTeX circuit for OOOAI322 --- ...AI322_circuit.tex => OOOAI322_circuit.tex} | 27 ++++++++++--------- ...AI322_manpage.tex => OOOAI322_manpage.tex} | 18 ++++++------- ...2_schematic.tex => OOOAI322_schematic.tex} | 6 ++--- ...truthtable.tex => OOOAI322_truthtable.tex} | 6 ++--- 4 files changed, 29 insertions(+), 28 deletions(-) rename Documents/LaTeX/{OAI322_circuit.tex => OOOAI322_circuit.tex} (69%) rename Documents/LaTeX/{OAI322_manpage.tex => OOOAI322_manpage.tex} (81%) rename Documents/LaTeX/{OAI322_schematic.tex => OOOAI322_schematic.tex} (95%) rename Documents/LaTeX/{OAI322_truthtable.tex => OOOAI322_truthtable.tex} (93%) diff --git a/Documents/LaTeX/OAI322_circuit.tex b/Documents/LaTeX/OOOAI322_circuit.tex similarity index 69% rename from Documents/LaTeX/OAI322_circuit.tex rename to Documents/LaTeX/OOOAI322_circuit.tex index 7433cdf2..30bacc88 100644 --- a/Documents/LaTeX/OAI322_circuit.tex +++ b/Documents/LaTeX/OOOAI322_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI322.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI322_circuit.tex %% -%% Purpose: Circuit File for OAI322 +%% Purpose: Circuit File for OOOAI322 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,22 +34,23 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{18} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{11}{L}{B1} % pin B1 - \pin{1}{13}{L}{C0} % pin C0 + \pin{1}{13}{L}{C} % pin C \pin{1}{15}{L}{C1} % pin C1 \pin{1}{17}{L}{C2} % pin C2 - \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND gate -> right - \wire{9}{3}{9}{7} % wire between OR and NAND - \wire{9}{11}{9}{15} % wire between OR and NAND + \wire{9}{3}{9}{7} % wire between OR and NAND + \wire{9}{11}{9}{15} % wire between OR and NAND \pin{17}{9}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OAI322_manpage.tex b/Documents/LaTeX/OOOAI322_manpage.tex similarity index 81% rename from Documents/LaTeX/OAI322_manpage.tex rename to Documents/LaTeX/OOOAI322_manpage.tex index 4b4b9759..5866d54d 100644 --- a/Documents/LaTeX/OAI322_manpage.tex +++ b/Documents/LaTeX/OOOAI322_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI322.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI322_manpage.tex %% -%% Purpose: Manual Page File for OAI322 +%% Purpose: Manual Page File for OOOAI322 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI322} +\label{OOOAI322} \paragraph{Cell} \begin{quote} - \textbf{OAI322} - a 3-2-2-input OR-AND-Invert gate + \textbf{OOOAI322} - a 3-2-2-input OR-OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI322(Z, C2, C1, C0, B1, B0, A1, A0) + OOOAI322(Z, C2, C1, C, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{OAI322_circuit.tex} -\input{OAI322_schematic.tex} +\input{OOOAI322_circuit.tex} +\input{OOOAI322_schematic.tex} \paragraph{Truth Table} -\input{OAI322_truthtable.tex} +\input{OOOAI322_truthtable.tex} \paragraph{Usage} diff --git a/Documents/LaTeX/OAI322_schematic.tex b/Documents/LaTeX/OOOAI322_schematic.tex similarity index 95% rename from Documents/LaTeX/OAI322_schematic.tex rename to Documents/LaTeX/OOOAI322_schematic.tex index c0cc2a01..e7088c15 100644 --- a/Documents/LaTeX/OAI322_schematic.tex +++ b/Documents/LaTeX/OOOAI322_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI322.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI322_schematic.tex %% -%% Purpose: Schematic File for OAI322 +%% Purpose: Schematic File for OOOAI322 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI322_truthtable.tex b/Documents/LaTeX/OOOAI322_truthtable.tex similarity index 93% rename from Documents/LaTeX/OAI322_truthtable.tex rename to Documents/LaTeX/OOOAI322_truthtable.tex index 50701025..4876a9ca 100644 --- a/Documents/LaTeX/OAI322_truthtable.tex +++ b/Documents/LaTeX/OOOAI322_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI322.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI322_truthtable.tex %% -%% Purpose: Truth Table File for OAI322 +%% Purpose: Truth Table File for OOOAI322 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From b751d38f7fe21ea20206f33219229ec715bfbaf8 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 07:07:54 +0200 Subject: [PATCH 177/673] [DOC] Add LaTeX circuit for OOOA322 --- Documents/LaTeX/OOOA322_circuit.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/OOOA322_manpage.tex | 59 +++++++++++++++++++++++++++++ 2 files changed, 118 insertions(+) create mode 100644 Documents/LaTeX/OOOA322_circuit.tex create mode 100644 Documents/LaTeX/OOOA322_manpage.tex diff --git a/Documents/LaTeX/OOOA322_circuit.tex b/Documents/LaTeX/OOOA322_circuit.tex new file mode 100644 index 00000000..533f3395 --- /dev/null +++ b/Documents/LaTeX/OOOA322_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOOA322_circuit.tex +%% +%% Purpose: Circuit File for OOOA322 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \wire{9}{3}{9}{7} % wire between OR and NAND + \wire{9}{11}{9}{15} % wire between OR and NAND + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOOA322_manpage.tex b/Documents/LaTeX/OOOA322_manpage.tex new file mode 100644 index 00000000..7a626b07 --- /dev/null +++ b/Documents/LaTeX/OOOA322_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOOA322_manpage.tex +%% +%% Purpose: Manual Page File for OOOA322 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOOA322} +\paragraph{Cell} +\begin{quote} + \textbf{OOOA322} - a 3-2-2-input OR-OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOOA322(Z, C2, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOOA322_circuit.tex} +%\input{OOOA322_schematic.tex} + +\paragraph{Truth Table} +%\input{OOOA322_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From dd9972105fe75ec981415e3b642418e4048b7e63 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 07:23:36 +0200 Subject: [PATCH 178/673] [DOC] Resolve Name Conflict, move OAI221->OOAI221 --- ...OAI221_circuit.tex => OOAI221_circuit.tex} | 23 +++++++++--------- ...OAI221_manpage.tex => OOAI221_manpage.tex} | 24 +++++++------------ ...21_schematic.tex => OOAI221_schematic.tex} | 6 ++--- ..._truthtable.tex => OOAI221_truthtable.tex} | 6 ++--- 4 files changed, 27 insertions(+), 32 deletions(-) rename Documents/LaTeX/{OAI221_circuit.tex => OOAI221_circuit.tex} (73%) rename Documents/LaTeX/{OAI221_manpage.tex => OOAI221_manpage.tex} (75%) rename Documents/LaTeX/{OAI221_schematic.tex => OOAI221_schematic.tex} (94%) rename Documents/LaTeX/{OAI221_truthtable.tex => OOAI221_truthtable.tex} (91%) diff --git a/Documents/LaTeX/OAI221_circuit.tex b/Documents/LaTeX/OOAI221_circuit.tex similarity index 73% rename from Documents/LaTeX/OAI221_circuit.tex rename to Documents/LaTeX/OOAI221_circuit.tex index 188fb41a..ba2d2dcc 100644 --- a/Documents/LaTeX/OAI221_circuit.tex +++ b/Documents/LaTeX/OOAI221_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI221.tex +%% File: StdCellLib/Documents/LaTeX/OOAI221_circuit.tex %% -%% Purpose: Circuit File for OAI221 +%% Purpose: Circuit File for OOAI221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,19 +34,20 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{7}{L}{B1} % pin B1 - \pin{1}{9}{L}{C0} % pin C0 + \pin{1}{9}{L}{C} % pin C \pin{1}{13}{L}{C1} % pin C1 - \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND gate -> right - \wire{9}{1}{9}{3} % wire between OR and NAND - \wire{9}{11}{9}{7} % wire between OR and NAND + \wire{9}{1}{9}{3} % wire between OR and NAND + \wire{9}{11}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire before NAND \pin{17}{5}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/OAI221_manpage.tex b/Documents/LaTeX/OOAI221_manpage.tex similarity index 75% rename from Documents/LaTeX/OAI221_manpage.tex rename to Documents/LaTeX/OOAI221_manpage.tex index 78aad943..473afd1b 100644 --- a/Documents/LaTeX/OAI221_manpage.tex +++ b/Documents/LaTeX/OOAI221_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI221.tex +%% File: StdCellLib/Documents/LaTeX/OOAI221_manpage.tex %% -%% Purpose: Manual Page File for OAI221 +%% Purpose: Manual Page File for OOAI221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI221} +\label{OOAI221} \paragraph{Cell} \begin{quote} - \textbf{OAI221} - a 2-2-1-input OR-AND-Invert gate + \textbf{OOAI221} - a 2-2-1-input OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI221(Z, C1, C0, B1, B0, A) + OOAI221(Z, C1, C, B1, B, A) \end{quote} \paragraph{Description} -\input{OAI221_circuit.tex} -\input{OAI221_schematic.tex} +\input{OOAI221_circuit.tex} +\input{OOAI221_schematic.tex} \paragraph{Truth Table} -\input{OAI221_truthtable.tex} +\input{OOAI221_truthtable.tex} \paragraph{Usage} @@ -57,9 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI321 - a 3-2-1-input OR-AND-Invert gate \\ - OAI331 - a 3-3-1-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI221_schematic.tex b/Documents/LaTeX/OOAI221_schematic.tex similarity index 94% rename from Documents/LaTeX/OAI221_schematic.tex rename to Documents/LaTeX/OOAI221_schematic.tex index 101491a5..9ac49a01 100644 --- a/Documents/LaTeX/OAI221_schematic.tex +++ b/Documents/LaTeX/OOAI221_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI221.tex +%% File: StdCellLib/Documents/LaTeX/OOAI221_schematic.tex %% -%% Purpose: Schematic File for OAI221 +%% Purpose: Schematic File for OOAI221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI221_truthtable.tex b/Documents/LaTeX/OOAI221_truthtable.tex similarity index 91% rename from Documents/LaTeX/OAI221_truthtable.tex rename to Documents/LaTeX/OOAI221_truthtable.tex index 58f6efc3..f3ba4741 100644 --- a/Documents/LaTeX/OAI221_truthtable.tex +++ b/Documents/LaTeX/OOAI221_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI221.tex +%% File: StdCellLib/Documents/LaTeX/OOAI221_truthtable.tex %% -%% Purpose: Truth Table File for OAI221 +%% Purpose: Truth Table File for OOAI221 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From 0daa3fe4ca74d61831391d86664a8d66c9cdc703 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 07:28:47 +0200 Subject: [PATCH 179/673] [DOC] Add LaTeX circuit for OOA221 --- Documents/LaTeX/OOA221_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/OOA221_manpage.tex | 59 ++++++++++++++++++++++++++++++ 2 files changed, 116 insertions(+) create mode 100644 Documents/LaTeX/OOA221_circuit.tex create mode 100644 Documents/LaTeX/OOA221_manpage.tex diff --git a/Documents/LaTeX/OOA221_circuit.tex b/Documents/LaTeX/OOA221_circuit.tex new file mode 100644 index 00000000..a2d7f854 --- /dev/null +++ b/Documents/LaTeX/OOA221_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA221_circuit.tex +%% +%% Purpose: Circuit File for OOA221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{5}{R}{}{} % NAND + \gate{not}{19}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{9}{1}{9}{3} % wire between OR and NAND + \wire{9}{11}{9}{7} % wire between OR and NAND + \wire{2}{1}{9}{1} % wire before NAND + \pin{23}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOA221_manpage.tex b/Documents/LaTeX/OOA221_manpage.tex new file mode 100644 index 00000000..de0f5aa3 --- /dev/null +++ b/Documents/LaTeX/OOA221_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA221_manpage.tex +%% +%% Purpose: Manual Page File for OOA221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOA221} +\paragraph{Cell} +\begin{quote} + \textbf{OOA221} - a 2-2-1-input OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOA221(Z, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOA221_circuit.tex} +%\input{OOA221_schematic.tex} + +\paragraph{Truth Table} +%\input{OOA221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From b3e1c82bce4f3b143515d95dd663acd4d7a9a713 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 11:24:26 +0200 Subject: [PATCH 180/673] [DOC] Resolve Name Conflict, move OAI222->OOOAI222 --- ...AI222_circuit.tex => OOOAI222_circuit.tex} | 29 ++++++++++--------- ...AI222_manpage.tex => OOOAI222_manpage.tex} | 25 ++++++---------- ...2_schematic.tex => OOOAI222_schematic.tex} | 6 ++-- ...truthtable.tex => OOOAI222_truthtable.tex} | 6 ++-- 4 files changed, 30 insertions(+), 36 deletions(-) rename Documents/LaTeX/{OAI222_circuit.tex => OOOAI222_circuit.tex} (67%) rename Documents/LaTeX/{OAI222_manpage.tex => OOOAI222_manpage.tex} (73%) rename Documents/LaTeX/{OAI222_schematic.tex => OOOAI222_schematic.tex} (95%) rename Documents/LaTeX/{OAI222_truthtable.tex => OOOAI222_truthtable.tex} (92%) diff --git a/Documents/LaTeX/OAI222_circuit.tex b/Documents/LaTeX/OOOAI222_circuit.tex similarity index 67% rename from Documents/LaTeX/OAI222_circuit.tex rename to Documents/LaTeX/OOOAI222_circuit.tex index f175d02c..349ad004 100644 --- a/Documents/LaTeX/OAI222_circuit.tex +++ b/Documents/LaTeX/OOOAI222_circuit.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI222.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI222_circuit.tex %% -%% Purpose: Circuit File for OAI222 +%% Purpose: Circuit File for OOOAI222 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,22 +34,23 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{18} - \pin{1}{1}{L}{A0} % pin A0 + \usgate + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{A1} % pin A1 - \pin{1}{7}{L}{B0} % pin B0 + \pin{1}{7}{L}{B} % pin B \pin{1}{11}{L}{B1} % pin B1 - \pin{1}{13}{L}{C0} % pin C0 + \pin{1}{13}{L}{C} % pin C \pin{1}{17}{L}{C1} % pin C1 - \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND gate -> right - \wire{9}{3}{9}{7} % wire between OR and NAND - \wire{9}{11}{9}{15} % wire between OR and NAND - \pin{17}{9}{R}{Z} % pin Z + \wire{9}{3}{9}{7} % wire between OR and NAND + \wire{9}{11}{9}{15} % wire between OR and NAND + \pin{17}{9}{R}{Z} % pin Z \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI222_manpage.tex b/Documents/LaTeX/OOOAI222_manpage.tex similarity index 73% rename from Documents/LaTeX/OAI222_manpage.tex rename to Documents/LaTeX/OOOAI222_manpage.tex index dae12d7c..075e15a2 100644 --- a/Documents/LaTeX/OAI222_manpage.tex +++ b/Documents/LaTeX/OOOAI222_manpage.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI222.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI222_manpage.tex %% -%% Purpose: Manual Page File for OAI222 +%% Purpose: Manual Page File for OOOAI222 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{OAI222} +\label{OOOAI222} \paragraph{Cell} \begin{quote} - \textbf{OAI222} - a 2-2-2-input OR-AND-Invert gate + \textbf{OOOAI222} - a 2-2-2-input OR-OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OAI222(Z, C1, C0, B1, B0, A1, A0) + OOOAI222(Z, C1, C, B1, B, A1, A) \end{quote} \paragraph{Description} -\input{OAI222_circuit.tex} -\input{OAI222_schematic.tex} +\input{OOOAI222_circuit.tex} +\input{OOOAI222_schematic.tex} \paragraph{Truth Table} -\input{OAI222_truthtable.tex} +\input{OOOAI222_truthtable.tex} \paragraph{Usage} @@ -57,10 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI322 - a 2-2-2-input OR-AND-Invert gate \\ - OAI332 - a 3-3-2-input OR-AND-Invert gate \\ - OAI333 - a 3-3-3-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI222_schematic.tex b/Documents/LaTeX/OOOAI222_schematic.tex similarity index 95% rename from Documents/LaTeX/OAI222_schematic.tex rename to Documents/LaTeX/OOOAI222_schematic.tex index c191044e..f599d583 100644 --- a/Documents/LaTeX/OAI222_schematic.tex +++ b/Documents/LaTeX/OOOAI222_schematic.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI222.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI222_schematic.tex %% -%% Purpose: Schematic File for OAI222 +%% Purpose: Schematic File for OOOAI222 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI222_truthtable.tex b/Documents/LaTeX/OOOAI222_truthtable.tex similarity index 92% rename from Documents/LaTeX/OAI222_truthtable.tex rename to Documents/LaTeX/OOOAI222_truthtable.tex index 54e36bfa..b9693427 100644 --- a/Documents/LaTeX/OAI222_truthtable.tex +++ b/Documents/LaTeX/OOOAI222_truthtable.tex @@ -9,15 +9,15 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI222.tex +%% File: StdCellLib/Documents/LaTeX/OOOAI222_truthtable.tex %% -%% Purpose: Truth Table File for OAI222 +%% Purpose: Truth Table File for OOOAI222 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon From dbadf06c2012c8fc81f1073d1a4113f0bcf98113 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 11:28:36 +0200 Subject: [PATCH 181/673] [DOC] Add LaTeX circuit for OOOA222 --- Documents/LaTeX/OOOA222_circuit.tex | 58 ++++++++++++++++++++++++++++ Documents/LaTeX/OOOA222_manpage.tex | 59 +++++++++++++++++++++++++++++ 2 files changed, 117 insertions(+) create mode 100644 Documents/LaTeX/OOOA222_circuit.tex create mode 100644 Documents/LaTeX/OOOA222_manpage.tex diff --git a/Documents/LaTeX/OOOA222_circuit.tex b/Documents/LaTeX/OOOA222_circuit.tex new file mode 100644 index 00000000..6193cc3f --- /dev/null +++ b/Documents/LaTeX/OOOA222_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOOA222_circuit.tex +%% +%% Purpose: Circuit File for OOOA222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{nand}{12}{9}{R}{}{} % NAND + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{9}{3}{9}{7} % wire between OR and NAND + \wire{9}{11}{9}{15} % wire between OR and NAND + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOOA222_manpage.tex b/Documents/LaTeX/OOOA222_manpage.tex new file mode 100644 index 00000000..c1d1e5d6 --- /dev/null +++ b/Documents/LaTeX/OOOA222_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOOA222_manpage.tex +%% +%% Purpose: Manual Page File for OOOA222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOOA222} +\paragraph{Cell} +\begin{quote} + \textbf{OOOA222} - a 2-2-2-input OR-OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOOA222(Z, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOOA222_circuit.tex} +%\input{OOOA222_schematic.tex} + +\paragraph{Truth Table} +%\input{OOOA222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From a8ad634279bdc03186cce59bf060334bf69186e2 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 12:14:00 +0200 Subject: [PATCH 182/673] [DOC] Resolve Name Conflict, remove OAI311 --- Documents/LaTeX/OAI311_circuit.tex | 54 ------------------ Documents/LaTeX/OAI311_manpage.tex | 64 ---------------------- Documents/LaTeX/OAI311_schematic.tex | 79 --------------------------- Documents/LaTeX/OAI311_truthtable.tex | 51 ----------------- 4 files changed, 248 deletions(-) delete mode 100644 Documents/LaTeX/OAI311_circuit.tex delete mode 100644 Documents/LaTeX/OAI311_manpage.tex delete mode 100644 Documents/LaTeX/OAI311_schematic.tex delete mode 100644 Documents/LaTeX/OAI311_truthtable.tex diff --git a/Documents/LaTeX/OAI311_circuit.tex b/Documents/LaTeX/OAI311_circuit.tex deleted file mode 100644 index 4f1911e8..00000000 --- a/Documents/LaTeX/OAI311_circuit.tex +++ /dev/null @@ -1,54 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI311.tex -%% -%% Purpose: Circuit File for OAI311 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\begin{center} - Circuit - \begin{figure}[h] %\caption{Circuit} - \begin{center} - \begin{circuitdiagram}{18}{10} - \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C0} % pin C0 - \pin{1}{7}{L}{C1} % pin C1 - \pin{1}{9}{L}{C2} % pin C2 - \gate[\inputs{3}]{or}{5}{7}{R}{}{} % OR gate -> right - \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND gate -> right - \wire{9}{5}{9}{7} % wire between OR and NAND - \wire{2}{1}{9}{1} % wire between pin and NAND - \wire{2}{3}{9}{3} % wire between pin and NAND - \pin{17}{3}{R}{Z} % pin Z - \end{circuitdiagram} - \end{center} - \end{figure} -\end{center} diff --git a/Documents/LaTeX/OAI311_manpage.tex b/Documents/LaTeX/OAI311_manpage.tex deleted file mode 100644 index f6080e4f..00000000 --- a/Documents/LaTeX/OAI311_manpage.tex +++ /dev/null @@ -1,64 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/manpage_OAI311.tex -%% -%% Purpose: Manual Page File for OAI311 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\label{OAI311} -\paragraph{Cell} -\begin{quote} - \textbf{OAI311} - a 3-1-1-input OR-AND-Invert gate -\end{quote} - -\paragraph{Synopsys} -\begin{quote} - OAI311(Z, C2, C1, C0, B, A) -\end{quote} - -\paragraph{Description} -\input{OAI311_circuit.tex} -\input{OAI311_schematic.tex} - -\paragraph{Truth Table} -\input{OAI311_truthtable.tex} - -\paragraph{Usage} - -\paragraph{Fan-in / Fan-out} - -\paragraph{Layout} - -\paragraph{Files} - -\paragraph{See also} -\begin{quote} - OAI211 - a 2-1-1-input OR-AND-Invert gate -\end{quote} diff --git a/Documents/LaTeX/OAI311_schematic.tex b/Documents/LaTeX/OAI311_schematic.tex deleted file mode 100644 index 6b12cab8..00000000 --- a/Documents/LaTeX/OAI311_schematic.tex +++ /dev/null @@ -1,79 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/schematic_OAI311.tex -%% -%% Purpose: Schematic File for OAI311 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\begin{center} - Schematic (one stage, 3$T_{p}$/3$T_{n}$ stacked, 10T total) - \begin{figure}[h] %\caption{Schematic} - \begin{center} - \begin{circuitdiagram}{36}{39} - \pin{2}{2.5}{L}{C0} % pin C0, n-channel - \pin{2}{8.5}{L}{B} % pin B, n-channel - \pin{2}{14.5}{L}{A} % pin A, n-channel - \pin{14}{2.5}{L}{C1} % pin C1, n-channel - \pin{26}{2.5}{L}{C2} % pin C2, n-channel - \pin{2}{23.5}{L}{A} % pin A, p-channel - \pin{14}{23.5}{L}{B} % pin B, p-channel - \pin{26}{23.5}{L}{C0} % pin C0, p-channel - \pin{26}{29.5}{L}{C1} % pin C1, p-channel - \pin{26}{35.5}{L}{C2} % pin C2, p-channel - \trans[\wireU{0.5}]{nenh*}{6}{4}{R}{$M_{NC0}$}{} - \trans[\wireUD{0.5}]{nenh*}{6}{10}{R}{$M_{NB}$}{} - \trans[\wireUD{0.5}]{nenh*}{6}{16}{R}{$M_{NA}$}{} - \trans[\wireU{0.5}]{nenh*}{18}{4}{R}{$M_{NC1}$}{} - \trans[\wireU{0.5}]{nenh*}{30}{4}{R}{$M_{NC2}$}{} - \trans[\wireD{0.5}]{penh*}{6}{22}{R}{}{$M_{PA}$} - \trans[\wireD{0.5}]{penh*}{18}{22}{R}{}{$M_{PB}$} - \trans[\wireUD{0.5}]{penh*}{30}{22}{R}{}{$M_{PC0}$} - \trans[\wireUD{0.5}]{penh*}{30}{28}{R}{}{$M_{PC1}$} - \trans[\wireD{0.5}]{penh*}{30}{34}{R}{}{$M_{PC2}$} - \ground{8}{0.5}{D} % ground below nmos - \ground{20}{0.5}{D} % ground below nmos - \ground{32}{0.5}{D} % ground below nmos - \power{8}{37.5}{U}{} % power above left pmos - \power{20}{37.5}{U}{} % power above middle pmos - \power{32}{37.5}{U}{} % power above right pmos - \wire{8}{24}{8}{37} - \wire{20}{24}{20}{38} - \wire{8}{7}{32}{7} % wire short between nmos - \wire{8}{19}{34}{19} % wire before pin Z - \junct{8}{19} - \junct{8}{7} - \junct{20}{7} - \junct{20}{19} - \junct{32}{19} - \pin{35}{19}{R}{Z} % pin Z - \end{circuitdiagram} - \end{center} - \end{figure} -\end{center} diff --git a/Documents/LaTeX/OAI311_truthtable.tex b/Documents/LaTeX/OAI311_truthtable.tex deleted file mode 100644 index a4352559..00000000 --- a/Documents/LaTeX/OAI311_truthtable.tex +++ /dev/null @@ -1,51 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/truthtable_OAI311.tex -%% -%% Purpose: Truth Table File for OAI311 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// - -\begin{center} - {\(Z = \lnot ((C2 \lor C1 \lor C0) \land B \land A) \)} - \begin{table}[h] %\caption{\(Z = \lnot ((C2 \lor C1 \lor C0) \land B \land A) \)} - \begin{center} - \begin{tabular}{|c|c|c|c|c||c|} \hline - C2 & C1 & C0 & B & A & Z \\ \hline\hline - 0 & 0 & 0 & X & X & 1 \\ \hline - 1 & X & X & 1 & 1 & 0 \\ \hline - X & 1 & X & 1 & 1 & 0 \\ \hline - X & X & 1 & 1 & 1 & 0 \\ \hline - X & X & X & 0 & X & 1 \\ \hline - X & X & X & X & 0 & 1 \\ \hline - \end{tabular} - \end{center} - \end{table} -\end{center} From f5a74a33a8693c494c962a5ab66a29eb345744d7 Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 20:59:30 +0200 Subject: [PATCH 183/673] [CELLS] Resolve Name Conflict, move AOI32->AAOI32, AO32->AAO32 --- Catalog/stacked3_cells.mk | 15 ++++++--------- 1 file changed, 6 insertions(+), 9 deletions(-) diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index c65e51bb..c8a24b9d 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -58,7 +58,7 @@ CELLS += AAAO332 \ AO211 \ AO31 \ AO311 \ - AO32 \ + AAO32 \ AAO33 \ AO331 \ AO332 \ @@ -105,7 +105,7 @@ AO31: AO21 AO311: AO211 $(POPCORN) -m aoi -c $@ $< > $@ -AO32: AO22 +AAO32: AAO22 $(POPCORN) -m aoi -c $@ $< > $@ AO321: AO221 @@ -194,7 +194,7 @@ CELLS += AAAO332 \ AO211 \ AO31 \ AO311 \ - AO32 \ + AAO32 \ AO33 \ AO331 \ AO332 \ @@ -241,7 +241,7 @@ AO31: AOI21 AO311: AO211 $(POPCORN) -m aoi -c $@ $< > $@ -AO32: AOI22 +AAO32: AAOI22 $(POPCORN) -m aoi -c $@ $< > $@ AO321: AO221 @@ -332,7 +332,7 @@ CELLS += AAAOI332 \ AOAOI2111 \ AOI211 \ AOI31 \ - AOI32 \ + AAOI32 \ AAOI33 \ AOI331 \ AOOAI212 \ @@ -383,10 +383,7 @@ AOI211: NOR3 AOI31: AOI21 $(POPCORN) -m aoi -c $@ $< > $@ -AOI32: AOI22 - $(POPCORN) -m aoi -c $@ $< > $@ - -AOI32: AOI22 +AAOI32: AAOI22 $(POPCORN) -m aoi -c $@ $< > $@ AOI321: AOI221 From 48ac79ce0a4e3fd254943084ceafadee48502efb Mon Sep 17 00:00:00 2001 From: chipforge Date: Thu, 12 Sep 2019 21:21:06 +0200 Subject: [PATCH 184/673] [DOC] Rectify output port name heuristic - Y w/o Buffer, Z with Buffer --- Documents/LaTeX/AAAOI222_circuit.tex | 2 +- Documents/LaTeX/AAAOI322_circuit.tex | 2 +- Documents/LaTeX/AAAOI332_circuit.tex | 2 +- Documents/LaTeX/AAAOI333_circuit.tex | 2 +- Documents/LaTeX/AAOI221_circuit.tex | 2 +- Documents/LaTeX/AAOI22_circuit.tex | 2 +- Documents/LaTeX/AAOI321_circuit.tex | 2 +- Documents/LaTeX/AAOI32_circuit.tex | 2 +- Documents/LaTeX/AAOI331_circuit.tex | 2 +- Documents/LaTeX/AAOI33_circuit.tex | 2 +- Documents/LaTeX/AO211_circuit.tex | 2 +- Documents/LaTeX/AO33_circuit.tex | 23 ++++++++++++----------- Documents/LaTeX/AOAI211_circuit.tex | 2 +- Documents/LaTeX/AOAI212_circuit.tex | 2 +- Documents/LaTeX/AOAI221_circuit.tex | 2 +- Documents/LaTeX/AOAI311_circuit.tex | 2 +- Documents/LaTeX/AOAOI2111_circuit.tex | 2 +- Documents/LaTeX/AOAOI2211_circuit.tex | 2 +- Documents/LaTeX/AOAOI3211_circuit.tex | 2 +- Documents/LaTeX/AOI21_circuit.tex | 2 +- Documents/LaTeX/AOI22_circuit.tex | 2 +- Documents/LaTeX/AOI23_circuit.tex | 2 +- Documents/LaTeX/AOI31_circuit.tex | 2 +- Documents/LaTeX/AOI32_circuit.tex | 2 +- Documents/LaTeX/AOI41_circuit.tex | 2 +- Documents/LaTeX/AOOAI212_circuit.tex | 2 +- Documents/LaTeX/INV_circuit.tex | 2 +- Documents/LaTeX/OAAOI212_circuit.tex | 2 +- Documents/LaTeX/OAI21_circuit.tex | 2 +- Documents/LaTeX/OAI22_circuit.tex | 2 +- Documents/LaTeX/OAI23_circuit.tex | 2 +- Documents/LaTeX/OAI31_circuit.tex | 2 +- Documents/LaTeX/OAI32_circuit.tex | 2 +- Documents/LaTeX/OAI33_circuit.tex | 2 +- Documents/LaTeX/OAI41_circuit.tex | 2 +- Documents/LaTeX/OAI43_circuit.tex | 2 +- Documents/LaTeX/OAOAI2111_circuit.tex | 2 +- Documents/LaTeX/OAOAI2211_circuit.tex | 2 +- Documents/LaTeX/OAOAI3211_circuit.tex | 2 +- Documents/LaTeX/OAOI211_circuit.tex | 2 +- Documents/LaTeX/OAOI212_circuit.tex | 2 +- Documents/LaTeX/OAOI221_circuit.tex | 2 +- Documents/LaTeX/OAOI311_circuit.tex | 2 +- Documents/LaTeX/OOAI221_circuit.tex | 2 +- Documents/LaTeX/OOAI22_circuit.tex | 2 +- Documents/LaTeX/OOAI22_manpage.tex | 4 ++-- Documents/LaTeX/OOAI321_circuit.tex | 2 +- Documents/LaTeX/OOAI32_circuit.tex | 2 +- Documents/LaTeX/OOAI331_circuit.tex | 2 +- Documents/LaTeX/OOAI33_circuit.tex | 2 +- Documents/LaTeX/OOOAI222_circuit.tex | 2 +- Documents/LaTeX/OOOAI322_circuit.tex | 2 +- Documents/LaTeX/OOOAI332_circuit.tex | 2 +- Documents/LaTeX/OOOAI333_circuit.tex | 2 +- Documents/LaTeX/TIE0_circuit.tex | 10 +++++----- Documents/LaTeX/TIE1_circuit.tex | 10 +++++----- 56 files changed, 76 insertions(+), 75 deletions(-) diff --git a/Documents/LaTeX/AAAOI222_circuit.tex b/Documents/LaTeX/AAAOI222_circuit.tex index cbaf524d..dd286353 100644 --- a/Documents/LaTeX/AAAOI222_circuit.tex +++ b/Documents/LaTeX/AAAOI222_circuit.tex @@ -50,7 +50,7 @@ \pin{1}{17}{L}{C1} % pin C1 \wire{9}{3}{9}{7} % wire between AND and NOR \wire{9}{11}{9}{15} % wire between AND and NOR - \pin{17}{9}{R}{Z} % pin Z + \pin{17}{9}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAAOI322_circuit.tex b/Documents/LaTeX/AAAOI322_circuit.tex index 8e19ec5f..e162aa45 100644 --- a/Documents/LaTeX/AAAOI322_circuit.tex +++ b/Documents/LaTeX/AAAOI322_circuit.tex @@ -51,7 +51,7 @@ \pin{1}{17}{L}{C2} % pin C2 \wire{9}{3}{9}{7} % wire between AND and NOR \wire{9}{11}{9}{15} % wire between AND and NOR - \pin{17}{9}{R}{Z} % pin Z + \pin{17}{9}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAAOI332_circuit.tex b/Documents/LaTeX/AAAOI332_circuit.tex index 1abf029f..dd319dd6 100644 --- a/Documents/LaTeX/AAAOI332_circuit.tex +++ b/Documents/LaTeX/AAAOI332_circuit.tex @@ -52,7 +52,7 @@ \pin{1}{17}{L}{C2} % pin C2 \wire{9}{3}{9}{7} % wire between AND and NOR \wire{9}{11}{9}{15} % wire between AND and NOR - \pin{17}{9}{R}{Z} % pin Z + \pin{17}{9}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAAOI333_circuit.tex b/Documents/LaTeX/AAAOI333_circuit.tex index 8edaea25..ce445e37 100644 --- a/Documents/LaTeX/AAAOI333_circuit.tex +++ b/Documents/LaTeX/AAAOI333_circuit.tex @@ -53,7 +53,7 @@ \pin{1}{17}{L}{C2} % pin C2 \wire{9}{3}{9}{7} % wire between AND and NOR \wire{9}{11}{9}{15} % wire between AND and NOR - \pin{17}{9}{R}{Z} % pin Z + \pin{17}{9}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAOI221_circuit.tex b/Documents/LaTeX/AAOI221_circuit.tex index fab0b5a8..3ae64a26 100644 --- a/Documents/LaTeX/AAOI221_circuit.tex +++ b/Documents/LaTeX/AAOI221_circuit.tex @@ -49,7 +49,7 @@ \wire{9}{1}{9}{3} % wire between AND and NOR \wire{9}{11}{9}{7} % wire between AND and NOR \wire{2}{1}{9}{1} % wire before NOR - \pin{17}{5}{R}{Z} % pin Z + \pin{17}{5}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAOI22_circuit.tex b/Documents/LaTeX/AAOI22_circuit.tex index d58bae4a..c3a99faa 100644 --- a/Documents/LaTeX/AAOI22_circuit.tex +++ b/Documents/LaTeX/AAOI22_circuit.tex @@ -47,7 +47,7 @@ \pin{1}{11}{L}{B1} % pin B1 \wire{9}{3}{9}{4} % wire between AND and NOR \wire{9}{9}{9}{8} % wire between AND and NOR - \pin{17}{6}{R}{Z} % pin Z + \pin{17}{6}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAOI321_circuit.tex b/Documents/LaTeX/AAOI321_circuit.tex index f1197ab6..36cb6bb6 100644 --- a/Documents/LaTeX/AAOI321_circuit.tex +++ b/Documents/LaTeX/AAOI321_circuit.tex @@ -50,7 +50,7 @@ \wire{9}{1}{9}{3} % wire between AND and NOR \wire{9}{11}{9}{7} % wire between AND and NOR \wire{2}{1}{9}{1} % wire before NOR - \pin{17}{5}{R}{Z} % pin Z + \pin{17}{5}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAOI32_circuit.tex b/Documents/LaTeX/AAOI32_circuit.tex index bf90ef8a..7a8e8717 100644 --- a/Documents/LaTeX/AAOI32_circuit.tex +++ b/Documents/LaTeX/AAOI32_circuit.tex @@ -48,7 +48,7 @@ \pin{1}{11}{L}{B2} % pin B2 \wire{9}{3}{9}{4} % wire between AND and NOR \wire{9}{9}{9}{8} % wire between AND and NOR - \pin{17}{6}{R}{Z} % pin Z + \pin{17}{6}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAOI331_circuit.tex b/Documents/LaTeX/AAOI331_circuit.tex index 6db09afe..def323dd 100644 --- a/Documents/LaTeX/AAOI331_circuit.tex +++ b/Documents/LaTeX/AAOI331_circuit.tex @@ -51,7 +51,7 @@ \wire{9}{1}{9}{3} % wire between AND and NOR \wire{9}{11}{9}{7} % wire between AND and NOR \wire{2}{1}{9}{1} % wire before NOR - \pin{17}{5}{R}{Z} % pin Z + \pin{17}{5}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AAOI33_circuit.tex b/Documents/LaTeX/AAOI33_circuit.tex index 8203b9cb..1d9ec050 100644 --- a/Documents/LaTeX/AAOI33_circuit.tex +++ b/Documents/LaTeX/AAOI33_circuit.tex @@ -49,7 +49,7 @@ \pin{1}{11}{L}{B2} % pin B2 \wire{9}{3}{9}{4} % wire between AND and NOR \wire{9}{9}{9}{8} % wire between AND and NOR - \pin{17}{6}{R}{Z} % pin Z + \pin{17}{6}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AO211_circuit.tex b/Documents/LaTeX/AO211_circuit.tex index fffb5f8a..4fed6d06 100644 --- a/Documents/LaTeX/AO211_circuit.tex +++ b/Documents/LaTeX/AO211_circuit.tex @@ -36,7 +36,7 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}[draft*]{24}{10} + \begin{circuitdiagram}{24}{10} \usgate \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR diff --git a/Documents/LaTeX/AO33_circuit.tex b/Documents/LaTeX/AO33_circuit.tex index 77fd0fc9..4302d12f 100644 --- a/Documents/LaTeX/AO33_circuit.tex +++ b/Documents/LaTeX/AO33_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AO3111.tex +%% File: StdCellLib/Documents/LaTeX/AO33_circuit.tex %% -%% Purpose: Circuit File for AO3111 +%% Purpose: Circuit File for AO33 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -34,22 +34,23 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR + \gate{not}{19}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D0} % pin D0 + \pin{1}{7}{L}{D} % pin D \pin{1}{9}{L}{D1} % pin D1 \pin{1}{11}{L}{D2} % pin D2 - \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR gate -> right - \gate{not}{19}{4}{R}{}{} % NOT gate -> right - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C - \wire{9}{9}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{5}{9}{5} % wire from pin C + \wire{9}{9}{9}{7} % wire between AND and NOR \pin{23}{4}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOAI211_circuit.tex b/Documents/LaTeX/AOAI211_circuit.tex index bfa9b7e9..2cb440d0 100644 --- a/Documents/LaTeX/AOAI211_circuit.tex +++ b/Documents/LaTeX/AOAI211_circuit.tex @@ -47,7 +47,7 @@ \pin{1}{9}{L}{C1} % pin C1 \wire{2}{1}{16}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin C - \pin{24}{3}{R}{Z} % pin Z + \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOAI212_circuit.tex b/Documents/LaTeX/AOAI212_circuit.tex index 08e270e8..0648c95b 100644 --- a/Documents/LaTeX/AOAI212_circuit.tex +++ b/Documents/LaTeX/AOAI212_circuit.tex @@ -50,7 +50,7 @@ \wire{2}{1}{16}{1} % wire from pin A \wire{2}{3}{16}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin C - \pin{24}{3}{R}{Z} % pin Z + \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOAI221_circuit.tex b/Documents/LaTeX/AOAI221_circuit.tex index 69096921..ab924c6b 100644 --- a/Documents/LaTeX/AOAI221_circuit.tex +++ b/Documents/LaTeX/AOAI221_circuit.tex @@ -50,7 +50,7 @@ \wire{2}{1}{16}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin B - \pin{24}{3}{R}{Z} % pin Z + \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOAI311_circuit.tex b/Documents/LaTeX/AOAI311_circuit.tex index 323fd0df..35d0711b 100644 --- a/Documents/LaTeX/AOAI311_circuit.tex +++ b/Documents/LaTeX/AOAI311_circuit.tex @@ -48,7 +48,7 @@ \pin{1}{9}{L}{C2} % pin C2 \wire{2}{1}{16}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin B - \pin{24}{3}{R}{Z} % pin Z + \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOAOI2111_circuit.tex b/Documents/LaTeX/AOAOI2111_circuit.tex index 337426e8..9850fd6d 100644 --- a/Documents/LaTeX/AOAOI2111_circuit.tex +++ b/Documents/LaTeX/AOAOI2111_circuit.tex @@ -50,7 +50,7 @@ \wire{2}{1}{23}{1} % wire from pin A \wire{2}{3}{16}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin C - \pin{31}{3}{R}{Z} % pin Z + \pin{31}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOAOI2211_circuit.tex b/Documents/LaTeX/AOAOI2211_circuit.tex index 361573aa..ef997ede 100644 --- a/Documents/LaTeX/AOAOI2211_circuit.tex +++ b/Documents/LaTeX/AOAOI2211_circuit.tex @@ -53,7 +53,7 @@ \wire{2}{5}{9}{5} % wire from pin C \wire{2}{7}{9}{7} % wire from pin C1 \wire{9}{9}{9}{11} % wire between AND and OR - \pin{31}{3}{R}{Z} % pin Z + \pin{31}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOAOI3211_circuit.tex b/Documents/LaTeX/AOAOI3211_circuit.tex index 8731cf95..4898e6ce 100644 --- a/Documents/LaTeX/AOAOI3211_circuit.tex +++ b/Documents/LaTeX/AOAOI3211_circuit.tex @@ -54,7 +54,7 @@ \wire{2}{5}{9}{5} % wire from pin C \wire{2}{7}{9}{7} % wire from pin C1 \wire{9}{9}{9}{11} % wire between AND and OR - \pin{31}{3}{R}{Z} % pin Z + \pin{31}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOI21_circuit.tex b/Documents/LaTeX/AOI21_circuit.tex index a61f1bdd..43eef4e1 100644 --- a/Documents/LaTeX/AOI21_circuit.tex +++ b/Documents/LaTeX/AOI21_circuit.tex @@ -43,7 +43,7 @@ \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND gate -> right \gate[\inputs{2}]{nor}{12}{3}{R}{}{} % NOR gate -> right \wire{2}{1}{9}{1} % wire from pin A - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOI22_circuit.tex b/Documents/LaTeX/AOI22_circuit.tex index 6f9caa92..66178f50 100644 --- a/Documents/LaTeX/AOI22_circuit.tex +++ b/Documents/LaTeX/AOI22_circuit.tex @@ -47,7 +47,7 @@ \wire{9}{5}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire between pin and NAND \wire{2}{3}{9}{3} % wire between pin and NAND - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOI23_circuit.tex b/Documents/LaTeX/AOI23_circuit.tex index b3ce104c..ed7e26c2 100644 --- a/Documents/LaTeX/AOI23_circuit.tex +++ b/Documents/LaTeX/AOI23_circuit.tex @@ -49,7 +49,7 @@ \wire{2}{1}{9}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin C - \pin{17}{4}{R}{Z} % pin Z + \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOI31_circuit.tex b/Documents/LaTeX/AOI31_circuit.tex index e531e9e0..1d34b97c 100644 --- a/Documents/LaTeX/AOI31_circuit.tex +++ b/Documents/LaTeX/AOI31_circuit.tex @@ -44,7 +44,7 @@ \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND gate -> right \gate[\inputs{2}]{nor}{12}{3}{R}{}{} % NOR gate -> right \wire{2}{1}{9}{1} % wire from pin A - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOI32_circuit.tex b/Documents/LaTeX/AOI32_circuit.tex index ebf476dd..3367cfa9 100644 --- a/Documents/LaTeX/AOI32_circuit.tex +++ b/Documents/LaTeX/AOI32_circuit.tex @@ -48,7 +48,7 @@ \wire{2}{1}{9}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin B \wire{9}{7}{9}{5} % wire between AND and NOR - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOI41_circuit.tex b/Documents/LaTeX/AOI41_circuit.tex index ec4179fe..308f7f89 100644 --- a/Documents/LaTeX/AOI41_circuit.tex +++ b/Documents/LaTeX/AOI41_circuit.tex @@ -47,7 +47,7 @@ \pin{1}{9}{L}{B3} % pin B3 \wire{9}{5}{9}{6} % wire between OR and NAND \wire{2}{1}{9}{1} % wire from pin A - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/AOOAI212_circuit.tex b/Documents/LaTeX/AOOAI212_circuit.tex index 79817e7e..d7335e63 100644 --- a/Documents/LaTeX/AOOAI212_circuit.tex +++ b/Documents/LaTeX/AOOAI212_circuit.tex @@ -52,7 +52,7 @@ \wire{2}{7}{9}{7} % wire pin B \wire{16}{3}{16}{4} % wire between OR and NAND \wire{16}{9}{16}{8} % wire between OR and NAND - \pin{24}{6}{R}{Z} % pin Z + \pin{24}{6}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/INV_circuit.tex b/Documents/LaTeX/INV_circuit.tex index e3b6b373..48704434 100644 --- a/Documents/LaTeX/INV_circuit.tex +++ b/Documents/LaTeX/INV_circuit.tex @@ -39,7 +39,7 @@ \begin{circuitdiagram}{11}{6} \pin{1}{3}{L}{A} % pin A \gate{not}{5}{3}{R}{}{} % NOT gate -> right - \pin{9}{3}{R}{Z} % pin Z + \pin{9}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAAOI212_circuit.tex b/Documents/LaTeX/OAAOI212_circuit.tex index ad049335..bd8b99b3 100644 --- a/Documents/LaTeX/OAAOI212_circuit.tex +++ b/Documents/LaTeX/OAAOI212_circuit.tex @@ -52,7 +52,7 @@ \wire{2}{7}{9}{7} % wire pin B \wire{16}{3}{16}{4} % wire between AND and NOR \wire{16}{9}{16}{8} % wire between AND and NOR - \pin{24}{6}{R}{Z} % pin Z + \pin{24}{6}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI21_circuit.tex b/Documents/LaTeX/OAI21_circuit.tex index e46c784e..3903eab1 100644 --- a/Documents/LaTeX/OAI21_circuit.tex +++ b/Documents/LaTeX/OAI21_circuit.tex @@ -43,7 +43,7 @@ \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR gate -> right \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND gate -> right \wire{2}{1}{9}{1} % wire from pin A - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI22_circuit.tex b/Documents/LaTeX/OAI22_circuit.tex index 5c61cf92..99bfbd74 100644 --- a/Documents/LaTeX/OAI22_circuit.tex +++ b/Documents/LaTeX/OAI22_circuit.tex @@ -47,7 +47,7 @@ \wire{9}{5}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire between pin and NAND \wire{2}{3}{9}{3} % wire between pin and NAND - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI23_circuit.tex b/Documents/LaTeX/OAI23_circuit.tex index 9923522b..02643bc4 100644 --- a/Documents/LaTeX/OAI23_circuit.tex +++ b/Documents/LaTeX/OAI23_circuit.tex @@ -49,7 +49,7 @@ \wire{2}{3}{9}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin C \wire{9}{9}{9}{7} % wire between OR and NAND - \pin{17}{4}{R}{Z} % pin Z + \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI31_circuit.tex b/Documents/LaTeX/OAI31_circuit.tex index 3905f0dc..77ad587a 100644 --- a/Documents/LaTeX/OAI31_circuit.tex +++ b/Documents/LaTeX/OAI31_circuit.tex @@ -45,7 +45,7 @@ \pin{1}{5}{L}{B1} % pin B1 \pin{1}{7}{L}{B2} % pin B2 \wire{2}{1}{9}{1} % wire from pin A - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI32_circuit.tex b/Documents/LaTeX/OAI32_circuit.tex index 1a438ba5..39d9a7ee 100644 --- a/Documents/LaTeX/OAI32_circuit.tex +++ b/Documents/LaTeX/OAI32_circuit.tex @@ -48,7 +48,7 @@ \wire{9}{5}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire between pin and NAND \wire{2}{3}{9}{3} % wire between pin and NAND - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI33_circuit.tex b/Documents/LaTeX/OAI33_circuit.tex index 94540f51..771fdde1 100644 --- a/Documents/LaTeX/OAI33_circuit.tex +++ b/Documents/LaTeX/OAI33_circuit.tex @@ -50,7 +50,7 @@ \wire{2}{3}{9}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin C \wire{9}{9}{9}{7} % wire between OR and NAND - \pin{17}{4}{R}{Z} % pin Z + \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI41_circuit.tex b/Documents/LaTeX/OAI41_circuit.tex index 0195161a..8ab5fe8c 100644 --- a/Documents/LaTeX/OAI41_circuit.tex +++ b/Documents/LaTeX/OAI41_circuit.tex @@ -47,7 +47,7 @@ \pin{1}{9}{L}{B3} % pin B3 \wire{9}{5}{9}{6} % wire between OR and NAND \wire{2}{1}{9}{1} % wire from pin A - \pin{17}{3}{R}{Z} % pin Z + \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAI43_circuit.tex b/Documents/LaTeX/OAI43_circuit.tex index c9e6fe38..245e0334 100644 --- a/Documents/LaTeX/OAI43_circuit.tex +++ b/Documents/LaTeX/OAI43_circuit.tex @@ -51,7 +51,7 @@ \wire{2}{3}{9}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin C \wire{9}{7}{9}{10} % wire between OR and NAND - \pin{17}{4}{R}{Z} % pin Z + \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAOAI2111_circuit.tex b/Documents/LaTeX/OAOAI2111_circuit.tex index 205c1604..1f848a1f 100644 --- a/Documents/LaTeX/OAOAI2111_circuit.tex +++ b/Documents/LaTeX/OAOAI2111_circuit.tex @@ -50,7 +50,7 @@ \wire{2}{1}{23}{1} % wire from pin A \wire{2}{3}{16}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin C - \pin{31}{3}{R}{Z} % pin Z + \pin{31}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAOAI2211_circuit.tex b/Documents/LaTeX/OAOAI2211_circuit.tex index 4cdd43f1..e87f4fa4 100644 --- a/Documents/LaTeX/OAOAI2211_circuit.tex +++ b/Documents/LaTeX/OAOAI2211_circuit.tex @@ -53,7 +53,7 @@ \wire{2}{5}{9}{5} % wire from pin C \wire{2}{7}{9}{7} % wire from pin C1 \wire{9}{9}{9}{11} % wire between OR and AND - \pin{31}{3}{R}{Z} % pin Z + \pin{31}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAOAI3211_circuit.tex b/Documents/LaTeX/OAOAI3211_circuit.tex index e259f4f9..c627660b 100644 --- a/Documents/LaTeX/OAOAI3211_circuit.tex +++ b/Documents/LaTeX/OAOAI3211_circuit.tex @@ -54,7 +54,7 @@ \wire{2}{5}{9}{5} % wire from pin C \wire{2}{7}{9}{7} % wire from pin C1 \wire{9}{9}{9}{11} % wire between OR and AND - \pin{31}{3}{R}{Z} % pin Z + \pin{31}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAOI211_circuit.tex b/Documents/LaTeX/OAOI211_circuit.tex index ef9a1b70..64eea15a 100644 --- a/Documents/LaTeX/OAOI211_circuit.tex +++ b/Documents/LaTeX/OAOI211_circuit.tex @@ -47,7 +47,7 @@ \pin{1}{9}{L}{C1} % pin C1 \wire{2}{1}{16}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin C - \pin{24}{3}{R}{Z} % pin Z + \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAOI212_circuit.tex b/Documents/LaTeX/OAOI212_circuit.tex index 7b89b951..7aeaa9e8 100644 --- a/Documents/LaTeX/OAOI212_circuit.tex +++ b/Documents/LaTeX/OAOI212_circuit.tex @@ -50,7 +50,7 @@ \wire{2}{3}{16}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin C \wire{16}{7}{16}{5} % wire between AND and NOR - \pin{24}{3}{R}{Z} % pin Z + \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAOI221_circuit.tex b/Documents/LaTeX/OAOI221_circuit.tex index 75373b45..c25e64fb 100644 --- a/Documents/LaTeX/OAOI221_circuit.tex +++ b/Documents/LaTeX/OAOI221_circuit.tex @@ -50,7 +50,7 @@ \wire{2}{1}{16}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin B \wire{2}{5}{9}{5} % wire from pin B - \pin{24}{3}{R}{Z} % pin Z + \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OAOI311_circuit.tex b/Documents/LaTeX/OAOI311_circuit.tex index ffb06ed1..ef92d4bb 100644 --- a/Documents/LaTeX/OAOI311_circuit.tex +++ b/Documents/LaTeX/OAOI311_circuit.tex @@ -48,7 +48,7 @@ \pin{1}{9}{L}{C2} % pin C2 \wire{2}{1}{16}{1} % wire from pin A \wire{2}{3}{9}{3} % wire from pin C - \pin{24}{3}{R}{Z} % pin Z + \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOAI221_circuit.tex b/Documents/LaTeX/OOAI221_circuit.tex index ba2d2dcc..a54e2481 100644 --- a/Documents/LaTeX/OOAI221_circuit.tex +++ b/Documents/LaTeX/OOAI221_circuit.tex @@ -49,7 +49,7 @@ \wire{9}{1}{9}{3} % wire between OR and NAND \wire{9}{11}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire before NAND - \pin{17}{5}{R}{Z} % pin Z + \pin{17}{5}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOAI22_circuit.tex b/Documents/LaTeX/OOAI22_circuit.tex index 8d1b2a80..6511b67a 100644 --- a/Documents/LaTeX/OOAI22_circuit.tex +++ b/Documents/LaTeX/OOAI22_circuit.tex @@ -47,7 +47,7 @@ \pin{1}{11}{L}{B1} % pin B1 \wire{9}{3}{9}{4} % wire between OR and NAND \wire{9}{9}{9}{8} % wire between OR and NAND - \pin{17}{6}{R}{Z} % pin Z + \pin{17}{6}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOAI22_manpage.tex b/Documents/LaTeX/OOAI22_manpage.tex index 1b17a522..e13fa5f3 100644 --- a/Documents/LaTeX/OOAI22_manpage.tex +++ b/Documents/LaTeX/OOAI22_manpage.tex @@ -35,12 +35,12 @@ \label{OOAI22} \paragraph{Cell} \begin{quote} - \textbf{OOAI22} - a 2-2-input OR_OR-AND-Invert gate + \textbf{OOAI22} - a 2-2-input OR-OR-AND-Invert gate \end{quote} \paragraph{Synopsys} \begin{quote} - OOAI22(Z, B1, B0, A1, A0) + OOAI22(Y, B1, B0, A1, A0) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OOAI321_circuit.tex b/Documents/LaTeX/OOAI321_circuit.tex index 5994ba30..8a43d623 100644 --- a/Documents/LaTeX/OOAI321_circuit.tex +++ b/Documents/LaTeX/OOAI321_circuit.tex @@ -50,7 +50,7 @@ \wire{9}{1}{9}{3} % wire between OR and NAND \wire{9}{11}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire before NAND - \pin{17}{5}{R}{Z} % pin Z + \pin{17}{5}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOAI32_circuit.tex b/Documents/LaTeX/OOAI32_circuit.tex index f5f30ab0..17782032 100644 --- a/Documents/LaTeX/OOAI32_circuit.tex +++ b/Documents/LaTeX/OOAI32_circuit.tex @@ -48,7 +48,7 @@ \pin{1}{11}{L}{B2} % pin B2 \wire{9}{3}{9}{4} % wire between OR and NAND \wire{9}{9}{9}{8} % wire between OR and NAND - \pin{17}{6}{R}{Z} % pin Z + \pin{17}{6}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOAI331_circuit.tex b/Documents/LaTeX/OOAI331_circuit.tex index 33bb41b6..55871182 100644 --- a/Documents/LaTeX/OOAI331_circuit.tex +++ b/Documents/LaTeX/OOAI331_circuit.tex @@ -51,7 +51,7 @@ \wire{9}{1}{9}{3} % wire between OR and NAND \wire{9}{11}{9}{7} % wire between OR and NAND \wire{2}{1}{9}{1} % wire before NAND - \pin{17}{5}{R}{Z} % pin Z + \pin{17}{5}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOAI33_circuit.tex b/Documents/LaTeX/OOAI33_circuit.tex index 87666798..035a7d91 100644 --- a/Documents/LaTeX/OOAI33_circuit.tex +++ b/Documents/LaTeX/OOAI33_circuit.tex @@ -49,7 +49,7 @@ \pin{1}{11}{L}{B2} % pin B2 \wire{9}{3}{9}{4} % wire between OR and NAND \wire{9}{9}{9}{8} % wire between OR and NAND - \pin{17}{6}{R}{Z} % pin Z + \pin{17}{6}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOOAI222_circuit.tex b/Documents/LaTeX/OOOAI222_circuit.tex index 349ad004..1511a605 100644 --- a/Documents/LaTeX/OOOAI222_circuit.tex +++ b/Documents/LaTeX/OOOAI222_circuit.tex @@ -50,7 +50,7 @@ \pin{1}{17}{L}{C1} % pin C1 \wire{9}{3}{9}{7} % wire between OR and NAND \wire{9}{11}{9}{15} % wire between OR and NAND - \pin{17}{9}{R}{Z} % pin Z + \pin{17}{9}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOOAI322_circuit.tex b/Documents/LaTeX/OOOAI322_circuit.tex index 30bacc88..43eab800 100644 --- a/Documents/LaTeX/OOOAI322_circuit.tex +++ b/Documents/LaTeX/OOOAI322_circuit.tex @@ -51,7 +51,7 @@ \pin{1}{17}{L}{C2} % pin C2 \wire{9}{3}{9}{7} % wire between OR and NAND \wire{9}{11}{9}{15} % wire between OR and NAND - \pin{17}{9}{R}{Z} % pin Z + \pin{17}{9}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOOAI332_circuit.tex b/Documents/LaTeX/OOOAI332_circuit.tex index 80180a6f..7d3f55d6 100644 --- a/Documents/LaTeX/OOOAI332_circuit.tex +++ b/Documents/LaTeX/OOOAI332_circuit.tex @@ -52,7 +52,7 @@ \pin{1}{17}{L}{C2} % pin C2 \wire{9}{3}{9}{7} % wire between OR and NAND \wire{9}{11}{9}{15} % wire between OR and NAND - \pin{17}{9}{R}{Z} % pin Z + \pin{17}{9}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/OOOAI333_circuit.tex b/Documents/LaTeX/OOOAI333_circuit.tex index 32bd4530..04f5b292 100644 --- a/Documents/LaTeX/OOOAI333_circuit.tex +++ b/Documents/LaTeX/OOOAI333_circuit.tex @@ -53,7 +53,7 @@ \pin{1}{17}{L}{C2} % pin C2 \wire{9}{3}{9}{7} % wire between OR and NAND \wire{9}{11}{9}{15} % wire between OR and NAND - \pin{17}{9}{R}{Z} % pin Z + \pin{17}{9}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/TIE0_circuit.tex b/Documents/LaTeX/TIE0_circuit.tex index 8b2fcc41..153a1d1f 100644 --- a/Documents/LaTeX/TIE0_circuit.tex +++ b/Documents/LaTeX/TIE0_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_TIE0.tex +%% File: StdCellLib/Documents/LaTeX/TIE0_circuit.tex %% %% Purpose: Circuit File for TIE0 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,13 +34,13 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{8}{8} \resis{2}{4}{V}{R}{} % pull down R \ground{2}{0.5}{D} - \wire{2}{7}{6}{7} % pin Z - \pin{7}{7}{R}{Z} % pin Z + \wire{2}{7}{6}{7} % pin Y + \pin{7}{7}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/TIE1_circuit.tex b/Documents/LaTeX/TIE1_circuit.tex index 721d5654..c5d096f8 100644 --- a/Documents/LaTeX/TIE1_circuit.tex +++ b/Documents/LaTeX/TIE1_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_TIE1.tex +%% File: StdCellLib/Documents/LaTeX/TIE1_circuit.tex %% %% Purpose: Circuit File for TIE1 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,13 +34,13 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{8}{8} \resis{2}{4}{V}{R}{} % pull up R \power{2}{7.5}{U}{} - \wire{2}{1}{6}{1} % pin Z - \pin{7}{1}{R}{Z} % pin Z + \wire{2}{1}{6}{1} % pin Y + \pin{7}{1}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} From 1792ec4c6efc8f404958a2756f037b4793a9d89b Mon Sep 17 00:00:00 2001 From: chipforge Date: Fri, 13 Sep 2019 14:45:47 +0200 Subject: [PATCH 185/673] [DOC] Resolve Name Conflict, move AO3111->AO33 --- Documents/LaTeX/AO33_manpage.tex | 21 ++++++++------------- Documents/LaTeX/AO33_truthtable.tex | 4 ++-- 2 files changed, 10 insertions(+), 15 deletions(-) diff --git a/Documents/LaTeX/AO33_manpage.tex b/Documents/LaTeX/AO33_manpage.tex index faa8bcd6..0764c5c5 100644 --- a/Documents/LaTeX/AO33_manpage.tex +++ b/Documents/LaTeX/AO33_manpage.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/manpage_AO3111.tex +%% File: StdCellLib/Documents/LaTeX/AO33_manpage.tex %% -%% Purpose: Manual Page File for AO3111 +%% Purpose: Manual Page File for AO33 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -32,23 +32,23 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AO3111} +\label{AO33} \paragraph{Cell} \begin{quote} - \textbf{AO3111} - a 3-1-1-1-input AND-OR gate + \textbf{AO33} - a 3-3-input AND-OR gate \end{quote} \paragraph{Synopsys} \begin{quote} - AO3111(Z, D2, D1, D0, C, B, A) + AO33(Z, D2, D1, D, C, B, A) \end{quote} \paragraph{Description} -\input{AO3111_circuit.tex} -%\input{AO3111_schematic.tex} +\input{AO33_circuit.tex} +%\input{AO33_schematic.tex} \paragraph{Truth Table} -\input{AO3111_truthtable.tex} +\input{AO33_truthtable.tex} \paragraph{Usage} @@ -57,8 +57,3 @@ \paragraph{Layout} \paragraph{Files} - -\paragraph{See also} -\begin{quote} - AO2111 - a 2-1-1-1-input AND-OR gate -\end{quote} diff --git a/Documents/LaTeX/AO33_truthtable.tex b/Documents/LaTeX/AO33_truthtable.tex index 63966b57..51b543ab 100644 --- a/Documents/LaTeX/AO33_truthtable.tex +++ b/Documents/LaTeX/AO33_truthtable.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AO3111.tex +%% File: StdCellLib/Documents/LaTeX/AO33_truthtable.tex %% -%% Purpose: Truth Table File for AO3111 +%% Purpose: Truth Table File for AO33 %% %% ************ LaTeX with circdia.sty package *************** %% From 95e8d61019db3bab327ffcc972e22e31044d15eb Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 14 Sep 2019 13:24:13 +0200 Subject: [PATCH 186/673] [TOOLS] enlarge mosfet vector with transistor size --- Tools/cell.5 | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/Tools/cell.5 b/Tools/cell.5 index 0121cc05..126733f8 100644 --- a/Tools/cell.5 +++ b/Tools/cell.5 @@ -83,7 +83,7 @@ All circuit lines are an ordered, white-space-separated list of strings (also te .Pp Every circuits line contains this arguments in the following order: .Bd -literal -type gate drain source bulk stacked xgrid ygrid +type gate drain source bulk stacked xgrid ygrid size .Ed .Bl -ohang .It Em type @@ -104,6 +104,8 @@ This coordinates rising up from left (1st grid position is 1) to right. .It Em ygrid Y-position (as integer) inside the grid; always positive for pMOS transistors and always negative for nMOS transistors. This coordinates are aligned to the output with zero. +.It Em size +Size (as integer) of the mosfet; representing the number of minimum sized transister length for width parameter. .El .Sh AUTHORS .An chipforge Aq popcorn@nospam.chipforge.org From c78eff005636e44128f090b41ac2fce8699a5155 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 14 Sep 2019 13:25:18 +0200 Subject: [PATCH 187/673] [TOOLS] enlarge mosfet vector with transistor size --- Tools/popcorn/popcorn-cell.scm | 156 +++++++------- Tools/popcorn/popcorn-lib.scm | 362 ++++++++++++++++++++------------- 2 files changed, 303 insertions(+), 215 deletions(-) diff --git a/Tools/popcorn/popcorn-cell.scm b/Tools/popcorn/popcorn-cell.scm index c6390ae8..36d7a9de 100644 --- a/Tools/popcorn/popcorn-cell.scm +++ b/Tools/popcorn/popcorn-cell.scm @@ -64,7 +64,7 @@ ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test? #f) + (define build-in-self-test? #t) ;; ------------ build-in sanity checks --------------------------- @@ -189,7 +189,7 @@ ; convert mosfet vector into one long string ; Example: -; (mosfet->string '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "nmos A Y GND GND 1 1 -1" +; (mosfet->string '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => "nmos A Y GND GND 1 1 -1 1" ; Definition: (define mosfet->string @@ -204,6 +204,7 @@ (number->string (mosfet-stacked mosfet)) (number->string (mosfet-xaxis mosfet)) (number->string (mosfet-yaxis mosfet)) + (mosfet-size mosfet) ) ) ) @@ -212,7 +213,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet->string '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "nmos A Y GND GND 1 1 -1") + (if (equal? (mosfet->string '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) "nmos A Y GND GND 1 1 -1 1") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet->string test" (current-error-port)) @@ -229,7 +230,7 @@ ; take netlist and format them into string ; Example -; (netlist->string (cell-netlist INV-cell)) => "pmos A" Y VDD VDD" 1 1 1\nnmos A Y GND GND 1 1 -1" +; (netlist->string (cell-netlist INV-cell)) => "pmos A Y VDD VDD 1 1 1 g\nnmos A Y GND GND 1 1 -1 1" ; Definition: (define netlist->string @@ -245,7 +246,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (netlist->string (cell-netlist INV-cell)) '("pmos A Y VDD VDD 1 1 1" "nmos A Y GND GND 1 1 -1")) + (if (equal? (netlist->string (cell-netlist INV-cell)) '("pmos A Y VDD VDD 1 1 1 g" "nmos A Y GND GND 1 1 -1 1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " netlist->string test" (current-error-port)) @@ -262,7 +263,7 @@ ; take list-of-string and feed format instruction ; Example: -; (multiline-format (current-output-port) (netlist->string (cell-netlist INV-cell))) => ("pmos A" Y VDD VDD" 1 1 1" "nmos A Y GND GND 1 1 -1") +; (multiline-format (current-output-port) (netlist->string (cell-netlist INV-cell))) => ("pmos A Y VDD VDD 1 1 1 g" "nmos A Y GND GND 1 1 -1 1") ; Definitimn: (define multiline-format @@ -282,7 +283,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (multiline-formast (current-output-port) (cell-netlist INV-cell)) '("pmos A Y VDD VDD 1 1 1" "nmos A Y GND GND 1 1 -1") + (if (equal? (multiline-formast (current-output-port) (cell-netlist INV-cell)) '("pmos A Y VDD VDD 1 1 1 g" "nmos A Y GND GND 1 1 -1 1") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " netlist->string test" (current-error-port)) @@ -335,8 +336,6 @@ (multiline-format at-port (cell-additional cell)) ) ; netlist -; (format (at-port) -;"~a" (netlist->string (cell-netlist cell))) (multiline-format at-port (netlist->string (cell-netlist cell))) ; done (format (at-port) @@ -396,11 +395,11 @@ ; return all strings, representing the netlist as schematic of mosfet circuit ; Example: -; (netlist->sprites ('#("nmos" "A" "Y" "GND" "GND" 1 1 -1))) => '(" | GND " -; " | +-- " -; " A ---| | nMOS " -; " | +-- " -; " | Y ")) +; (netlist->sprites ('#("nmos" "A" "Y" "GND" "GND" 1 1 -1 1))) => '(" | GND " +; " | +-- " +; " A ---| | 1 " +; " | +-- " +; " | Y ")) ; Definition: (define mosfet->sprites @@ -420,7 +419,7 @@ (cons (list (string-append " | " (format-node (mosfet-source mosfet)) " ") " | +-- " - (string-append (format-node (mosfet-gate mosfet)) "---| | nMOS ") + (string-append (format-node (mosfet-gate mosfet)) "---| | " (format-node (mosfet-size mosfet)) " ") " | +-- " (string-append " | " (format-node (mosfet-drain mosfet)) " ")) (mosfet->sprites (cdr netlist) (+ xpos 1)))] @@ -428,7 +427,7 @@ (cons (list (string-append " | " (format-node (mosfet-drain mosfet)) " ") " | +-- " - (string-append (format-node (mosfet-gate mosfet)) "--o| | pMOS ") + (string-append (format-node (mosfet-gate mosfet)) "--o| | " (format-node (mosfet-size mosfet)) " ") " | +-- " (string-append " | " (format-node (mosfet-source mosfet)) " ")) (mosfet->sprites (cdr netlist) (+ xpos 1)))] @@ -446,7 +445,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 2 -1)) 1) + (if (equal? (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 2 -1 "1")) 1) '((" " " " " " @@ -454,7 +453,7 @@ " ") (" | GND " " | +-- " - "A ---| | nMOS " + "A ---| | 1 " " | +-- " " | Y "))) (display "++ passed" (current-error-port)) @@ -473,7 +472,7 @@ ; return dedicated line of given sprites as string ; Example: -; (get-one-sprite-line (mosfet->sprites ('#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) 3) => "---| | nMOS" +; (get-one-sprite-line (mosfet->sprites ('#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) 1) 3) => "---| | 1" ; Definition: (define get-one-sprite-line @@ -488,7 +487,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (get-one-sprite-line (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1) #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) 1) 3) "A ---| | nMOS B ---| | nMOS ") + (if (equal? (get-one-sprite-line (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") #("nmos" "B" "Y" "GND" "GND" 1 2 -1 "1")) 1) 3) "A ---| | 1 B ---| | 1 ") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " get-one-sprite-line test" (current-error-port)) @@ -520,10 +519,10 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (get-one-sprite-row (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1) #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) 1) 5) + (if (equal? (get-one-sprite-row (mosfet->sprites '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") #("nmos" "B" "Y" "GND" "GND" 1 2 -1 "1")) 1) 5) '("# | Y | Y " "# | +-- | +-- " - "# A ---| | nMOS B ---| | nMOS " + "# A ---| | 1 B ---| | 1 " "# | +-- | +-- " "# | GND | GND ")) (display "++ passed" (current-error-port)) @@ -585,13 +584,13 @@ (begin (if (equal? (get-all-rows (cell-netlist INV-cell) 1) '("# | VDD " "# | +-- " - "# A --o| | pMOS " + "# A --o| | g " "# | +-- " "# | Y " ; !! connectivity "# | Y " "# | +-- " - "# A ---| | nMOS " + "# A ---| | 1 " "# | +-- " "# | GND ")) (display "++ passed" (current-error-port)) @@ -676,22 +675,22 @@ ;; ------------ calculate next input character node --------------- ; Congtract: -; next-input-char-node: node-list -> node +; next-input-char-node: mosfet -> node ; Purpose: -; search for highest used input node, calculate next +; check mosfet input node, calculate next ; Example: -; (next-input-char-node "A1") => "B" +; (next-input-char-node '#("pmos" "A1 "Y" "GND" "GND" 1 1 -1 "g")) => "B" ; Definition: (define next-input-char-node - (lambda (node-list) - (let ((sorted-list (sort-nodes-descending node-list))) + (lambda (mosfet) + (let ((node (mosfet-gate mosfet))) (cond - [(null? sorted-list) "A"] + [(null? node) "A"] [else - (string (car (cdr (memq (string-ref (car sorted-list) 0) input-space))))] + (string (car (cdr (memq (string-ref node 0) input-space))))] ) ) ) @@ -700,7 +699,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (next-input-char-node '("A1")) "B") + (if (equal? (next-input-char-node '#("pmos" "A" "Y" "GND" "GND" 1 1 -1 "g")) "B") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " next-input-char-node test" (current-error-port)) @@ -711,24 +710,24 @@ ;; ------------ calculate next input number node --------------- ; Congtract: -; next-input-num-node: node-list -> node +; next-input-num-node: mosfet -> node ; Purpose: -; search for highest used input node, calculate next +; check mosfet input node, calculate next ; Example: -; (next-input-num-node "A1") => "A2" +; (next-input-num-node '#("pmos" "A" "Y" "GND" "GND" 1 1 -1 "g")) => "A1" ; Definition: (define next-input-num-node - (lambda (node-list) - (let ((sorted-list (sort-nodes-descending node-list))) + (lambda (mosfet) + (let ((node (mosfet-gate mosfet))) (cond - [(null? sorted-list) "A1"] - [(eqv? (string-length (car sorted-list)) 1) - (string (string-ref (car sorted-list) 0) #\1)] + [(null? node) "A"] + [(eqv? (string-length node) 1) + (string (string-ref node 0) #\1)] [else - (string (string-ref (car sorted-list) 0) (integer->char (+ 1 (char->integer (string-ref (car sorted-list) 1)))))] + (string (string-ref node 0) (integer->char (+ 1 (char->integer (string-ref node 1)))))] ) ) ) @@ -737,7 +736,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (next-input-num-node '("A2")) "A3") + (if (equal? (next-input-num-node '#("pmos" "A1" "Y" "GND" "GND" 1 1 -1 "g")) "A2") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " next-input-num-node test" (current-error-port)) @@ -789,7 +788,7 @@ ; crawl through network and find transistor to expand ; Example: -; (find-mosfet-anchor (pullup-network (cell-netlist INV-cell))) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (find-mosfet-anchor (pullup-network (cell-netlist INV-cell))) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define find-mosfet-anchor @@ -814,7 +813,7 @@ (if build-in-self-test? (begin (if (equal? (find-mosfet-anchor (pulldown-network (cell-netlist INV-cell)) 2) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " find-mosfet-anchor test" (current-error-port)) @@ -831,8 +830,8 @@ ; expand network by mosfet in serial ; Example: -; (expand-netlist-serial (pulldown-network (cell-netlist INV-cell)) "N2" "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => -; (#("nmos" "A" "Y" "N2" "GND" 1 1 -1) #("nmos" "B" "N2" "GND" "GND" 2 1 -2)) +; (expand-netlist-serial (pulldown-network (cell-netlist INV-cell)) "N2" "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "0")) => +; (#("nmos" "A" "Y" "N2" "GND" 1 1 -1 "1") #("nmos" "B" "N2" "GND" "GND" 2 1 -2 "0")) ; Definition: (define expand-netlist-serial @@ -867,8 +866,10 @@ ; positive, pullup network (mosfet-yaxis! new-mosfet (+ (mosfet-yaxis org-mosfet) 1)) ) + ; transistor sizing + (mosfet-size! new-mosfet "0") ; !! no size yet ; return - (cons new-mosfet netlist) + (append (list new-mosfet org-mosfet) (cdr netlist)) ) )] [else @@ -880,9 +881,9 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-netlist-serial (pulldown-network (cell-netlist INV-cell)) "N1" "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) - '(#("nmos" "A" "Y" "N1" "GND" 1 1 -1) - #("nmos" "B" "N1" "GND" "GND" 2 1 -2))) + (if (equal? (expand-netlist-serial (pulldown-network (cell-netlist INV-cell)) "N1" "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) + '( #("nmos" "B" "N1" "GND" "GND" 2 1 -2 "0") + #("nmos" "A" "Y" "N1" "GND" 1 1 -1 "1"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " expand-netlist-serial test" (current-error-port)) @@ -899,8 +900,8 @@ ; expand network by mosfet in parallel ; Example: -; (expand-netlist-parallel (pulldown-down (cell-netlist INV-cell)) "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => -; (#("nmos" "A" "Y" "GND" "GND" 1 1 -1) #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) +; (expand-netlist-parallel (pulldown-down (cell-netlist INV-cell)) "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => +; (#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") #("nmos" "B" "Y" "GND" "GND" 1 2 -1 "1")) ; Definition: (define expand-netlist-parallel @@ -929,6 +930,8 @@ ) ; use same yaxis number (mosfet-yaxis! new-mosfet (mosfet-yaxis org-mosfet)) + ; transistor sizing + (mosfet-size! new-mosfet (mosfet-size org-mosfet)) ; always same size ; return (cons new-mosfet netlist) ) @@ -939,9 +942,9 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (expand-netlist-parallel (pulldown-network (cell-netlist INV-cell)) "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) - '(#("nmos" "B" "Y" "GND" "GND" 1 2 -1) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) + (if (equal? (expand-netlist-parallel (pulldown-network (cell-netlist INV-cell)) "B" #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) + '(#("nmos" "B" "Y" "GND" "GND" 1 2 -1 "1") + #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " expand-netlist-parallel test" (current-error-port)) @@ -991,6 +994,9 @@ ; use default yaxis numbers (mosfet-yaxis! pmos-mosfet 1) (mosfet-yaxis! nmos-mosfet -1) + ; transistor sizing + (mosfet-size! pmos-mosfet "g") + (mosfet-size! nmos-mosfet "1") ; return (cons pmos-mosfet (cons nmos-mosfet netlist)) ) @@ -1022,12 +1028,12 @@ ; Definition: (define cell:expand-nand - (lambda (cell stacked-limit buffer-limit cell-name) + (lambda (cell stacked-limit buffer-limit cell-name cell-descr) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pulldown-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) (1st-node (next-node-number (intermediate-nodes netlist))) - (new-gate (next-input-char-node (input-nodes netlist)))) + (new-gate (next-input-char-node anchor))) (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) (begin @@ -1041,7 +1047,7 @@ ; set new cell-id (cell-id! cell cell-name) ; set new cell description - (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") + (cell-text! cell cell-descr) ; set input nodes (cell-inputs! cell (sort-nodes-descending (input-nodes (cell-netlist cell)))) ; set output nodes @@ -1062,7 +1068,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell:expand-nand INV-cell 4 4) NAND2-cell) + (if (equal? (cell:expand-nand INV-cell 4 4 "NAND2" "a 2-input Not-AND (or NAND) gate") NAND2-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell:expand-nand test" (current-error-port)) @@ -1083,12 +1089,12 @@ ; Definition: (define cell:expand-nor - (lambda (cell stacked-limit buffer-limit cell-name) + (lambda (cell stacked-limit buffer-limit cell-name cell-descr) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pullup-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) (1st-node (next-node-number (intermediate-nodes netlist))) - (new-gate (next-input-char-node (input-nodes netlist)))) + (new-gate (next-input-char-node anchor ))) (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) (begin @@ -1102,7 +1108,7 @@ ; set new cell-id (cell-id! cell cell-name) ; set new cell description - (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") + (cell-text! cell cell-descr) ; set input nodes (cell-inputs! cell (sort-nodes-descending (input-nodes (cell-netlist cell)))) ; set output nodes @@ -1123,7 +1129,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell:expand-nor INV-cell 4 4) NOR2-cell) + (if (equal? (cell:expand-nor INV-cell 4 4 "NOR2" "a 2-input Not-OR (or NOR) gate") NOR2-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell:expand-nor test" (current-error-port)) @@ -1144,12 +1150,12 @@ ; Definition: (define cell:expand-oai - (lambda (cell stacked-limit buffer-limit cell-name) + (lambda (cell stacked-limit buffer-limit cell-name cell-descr) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pullup-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) (1st-node (next-node-number (intermediate-nodes netlist))) - (new-gate (next-input-num-node (input-nodes netlist)))) + (new-gate (next-input-num-node anchor ))) (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) (begin @@ -1163,7 +1169,7 @@ ; set new cell-id (cell-id! cell cell-name) ; set new cell description - (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") + (cell-text! cell cell-descr) ; set input nodes (cell-inputs! cell (sort-nodes-descending (input-nodes (cell-netlist cell)))) ; set output nodes @@ -1184,7 +1190,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell:expand-oai NAND2-cell 4 4) OAI21-cell) + (if (equal? (cell:expand-oai NAND2-cell 4 4 "OAI21" "a 2-1-input OR-AND-Invert (or OAI) gate") OAI21-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell:expand-oai test" (current-error-port)) @@ -1205,15 +1211,19 @@ ; Definition: (define cell:expand-aoi - (lambda (cell stacked-limit buffer-limit cell-name) + (lambda (cell stacked-limit buffer-limit cell-name cell-descr) (let ((netlist (cell-netlist cell))) (let ((anchor (find-mosfet-anchor (pulldown-network netlist) stacked-limit))) (let ((complementary (complementary-mosfets netlist anchor)) (1st-node (next-node-number (intermediate-nodes netlist))) - (new-gate (next-input-num-node (input-nodes netlist)))) + (new-gate (next-input-num-node anchor))) (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) (begin +(display cell-name (current-error-port)) (read-line) +(display anchor (current-error-port)) (read-line) +(display complementary (current-error-port)) (read-line) +(display new-gate (current-error-port)) (read-line) ; netlist (if (and (null? (buffer-network new-netlist)) (>= (metric-highest-stacked new-netlist) buffer-limit)) ; netlist is yet still not buffered but already on level @@ -1224,7 +1234,7 @@ ; set new cell-id (cell-id! cell cell-name) ; set new cell description - (cell-text! cell ".AUTOGENERATED by 'Popcorn' R7RS Scheme tool") + (cell-text! cell cell-descr) ; set input nodes (cell-inputs! cell (sort-nodes-descending (input-nodes (cell-netlist cell)))) ; set output nodes @@ -1241,18 +1251,18 @@ ) ) ) - +#| ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell:expand-aoi NOR2-cell 4 4) AOI21-cell) + (if (equal? (cell:expand-aoi NOR2-cell 4 4 "AOI21" "a 2-1-input AND-OR-Invert (or AOI) gate") AOI21-cell) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell:expand-aoi test" (current-error-port)) (newline (current-error-port)) ) ) - +|# ;; =================================================================== ;; END OF R7RS LIBRARY ;; =================================================================== diff --git a/Tools/popcorn/popcorn-lib.scm b/Tools/popcorn/popcorn-lib.scm index ad707876..fcb37735 100644 --- a/Tools/popcorn/popcorn-lib.scm +++ b/Tools/popcorn/popcorn-lib.scm @@ -70,6 +70,7 @@ mosfet-stacked mosfet-stacked! mosfet-xaxis mosfet-xaxis! mosfet-yaxis mosfet-yaxis! + mosfet-size mosfet-size! sanity-mosfet? ; example cells INV-cell @@ -126,7 +127,7 @@ ;; ------------ build-in self test ------------------------------- ; use this switch during development only - (define build-in-self-test? #f) + (define build-in-self-test? #t) ;; ------------ build-in sanity checks --------------------------- @@ -368,6 +369,8 @@ ; +---------------+ ; #7 | y-axis point | 1 ; +---------------+ +; #7 | size | "g" +; +---------------+ ; define constants for vector indices (define |circuit-type#| 0) @@ -378,6 +381,7 @@ (define |stacked#| 5) (define |xaxis-point#| 6) (define |yaxis-point#| 7) + (define |size#| 8) ;; ------------ generate empty mosfet --------------------------- @@ -393,14 +397,14 @@ ; Definition (define generate-mosfet (lambda () - (make-vector 8 "") + (make-vector 9 "") ) ) ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (generate-mosfet) #("" "" "" "" "" "" "" "")) + (if (equal? (generate-mosfet) #("" "" "" "" "" "" "" "" "")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " generate-mosfet test" (current-error-port)) @@ -429,7 +433,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-type '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "nmos") + (if (equal? (mosfet-type '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) "nmos") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-type test" (current-error-port)) @@ -446,7 +450,7 @@ ; set the mosfet type in a mosfet description vector ; Example: -; (mosfet-type! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1) "nmos") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-type! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1 "1") "nmos") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-type! @@ -459,8 +463,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-type! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1) "nmos") - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-type! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1 "1") "nmos") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-type! test" (current-error-port)) @@ -489,7 +493,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (mosfet-nmos? '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (mosfet-nmos? '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-nmos? test" (current-error-port)) @@ -506,7 +510,7 @@ ; set the mosfet type in a mosfet description vector to nmos ; Example: -; (mosfet-nmos! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1)) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-nmos! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-nmos! @@ -519,8 +523,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-nmos! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1)) - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-nmos! '#("pmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-nmos! test" (current-error-port)) @@ -549,7 +553,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (mosfet-pmos? '#("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) + (if (mosfet-pmos? '#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-pmos? test" (current-error-port)) @@ -566,7 +570,7 @@ ; set the mosfet type in a mosfet description vector to pmos ; Example: -; (mosfet-pmos! '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => '#("pmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-pmos! '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "g")) => '#("pmos" "A" "Y" "GND" "GND" 1 1 -1 "g") ; Definition: (define mosfet-pmos! @@ -579,8 +583,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-pmos! '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) - '#("pmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-pmos! '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "g")) + '#("pmos" "A" "Y" "GND" "GND" 1 1 -1 "g")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-pmos! test" (current-error-port)) @@ -596,7 +600,7 @@ ; get the mosfet gate node out of mosfet transistor vector ; Example: -; (mosfet-gate '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "A" +; (mosfet-gate '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => "A" ; Definition: (define mosfet-gate @@ -608,7 +612,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-gate '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "A") + (if (equal? (mosfet-gate '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) "A") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-gate test" (current-error-port)) @@ -625,7 +629,7 @@ ; set the mosfet gate in a mosfet description vector ; Example: -; (mosfet-gate! '#("nmos" "B" "Y" "GND" "GND" 1 1 -1) "A") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-gate! '#("nmos" "B" "Y" "GND" "GND" 1 1 -1 "1") "A") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-gate! @@ -638,8 +642,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-gate! '#("nmos" "B" "Y" "GND" "GND" 1 1 -1) "A") - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-gate! '#("nmos" "B" "Y" "GND" "GND" 1 1 -1 "1") "A") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-gate! test" (current-error-port)) @@ -655,7 +659,7 @@ ; get the mosfet drain node out of mosfet transistor vector ; Example: -; (mosfet-drain '#("nmos" "A" "Y" "VDD" "VDD" 1 1 -1)) => "Y" +; (mosfet-drain '#("nmos" "A" "Y" "VDD" "VDD" 1 1 -1 "1")) => "Y" ; Definition: (define mosfet-drain @@ -667,7 +671,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-drain '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "Y") + (if (equal? (mosfet-drain '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) "Y") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-drain test" (current-error-port)) @@ -684,7 +688,7 @@ ; set the mosfet drain in a mosfet description vector ; Example: -; (mosfet-drain! '#("nmos" "A" "Z" "GND" "GND" 1 1 -1) "Y") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-drain! '#("nmos" "A" "Z" "GND" "GND" 1 1 -1 "1") "Y") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-drain! @@ -697,8 +701,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-drain! '#("nmos" "A" "Z" "GND" "GND" 1 1 -1) "Y") - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-drain! '#("nmos" "A" "Z" "GND" "GND" 1 1 -1 "1") "Y") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-drain! test" (current-error-port)) @@ -714,7 +718,7 @@ ; get the mosfet source node out of mosfet transistor vector ; Example: -; (mosfet-source '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "GND" +; (mosfet-source '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => "GND" ; Definition: (define mosfet-source @@ -726,7 +730,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-source '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "GND") + (if (equal? (mosfet-source '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) "GND") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-source test" (current-error-port)) @@ -743,7 +747,7 @@ ; set the mosfet source in a mosfet description vector ; Example: -; (mosfet-source! '#("nmos" "A" "Y" "VDD" "GND" 1 1 -1) "GND") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-source! '#("nmos" "A" "Y" "VDD" "GND" 1 1 -1 "1") "GND") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-source! @@ -756,8 +760,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-source! '#("nmos" "A" "Y" "VDD" "GND" 1 1 -1) "GND") - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-source! '#("nmos" "A" "Y" "VDD" "GND" 1 1 -1 "1") "GND") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-source! test" (current-error-port)) @@ -773,7 +777,7 @@ ; get the mosfet bulk node out of mosfet transistor vector ; Example: -; (mosfet-bulk '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => "GND" +; (mosfet-bulk '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => "GND" ; Definition: (define mosfet-bulk @@ -785,7 +789,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-bulk '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) "GND") + (if (equal? (mosfet-bulk '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) "GND") (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-bulk test" (current-error-port)) @@ -802,7 +806,7 @@ ; set the mosfet bulk in a mosfet description vector ; Example: -; (mosfet-bulk! '#("nmos" "A" "Y" "GND" "VDD" 1 1 -1) "GND") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-bulk! '#("nmos" "A" "Y" "GND" "VDD" 1 1 -1 "1") "GND") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-bulk! @@ -815,8 +819,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-bulk! '#("nmos" "A" "Y" "GND" "VDD" 1 1 -1) "GND") - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-bulk! '#("nmos" "A" "Y" "GND" "VDD" 1 1 -1 "1") "GND") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-bulk! test" (current-error-port)) @@ -833,7 +837,7 @@ ; get the mosfet number of stacked transistors out of mosfet transistor vector ; Example: -; (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => 1 +; (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => 1 ; Definition: (define mosfet-stacked @@ -845,7 +849,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) + (if (equal? (mosfet-stacked '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) 1) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-stacked test" (current-error-port)) @@ -862,7 +866,7 @@ ; set the number of stacked transistors in a mosfet description vector ; Example: -; (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1 "1") 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-stacked! @@ -875,8 +879,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1) 1) - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-stacked! '#("nmos" "A" "Y" "GND" "GND" 0 1 -1 "1") 1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-stacked! test" (current-error-port)) @@ -893,7 +897,7 @@ ; get the mosfet x-axis coordinate out of mosfet transistor vector ; Example: -; (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => 1 +; (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => 1 ; Definition: (define mosfet-xaxis @@ -905,7 +909,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) 1) + (if (equal? (mosfet-xaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) 1) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-xaxis test" (current-error-port)) @@ -922,7 +926,7 @@ ; set the mosfet xaxis in a mosfet description vector ; Example: -; (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1 "1") 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-xaxis! @@ -935,8 +939,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-xaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1 "1") 1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-xaxis! test" (current-error-port)) @@ -953,7 +957,7 @@ ; get the mosfet y-axis coordinate out of mosfet transistor vector ; Example: -; (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) => -1 +; (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => -1 ; Definition: (define mosfet-yaxis @@ -965,7 +969,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) -1) + (if (equal? (mosfet-yaxis '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) -1) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-yaxis test" (current-error-port)) @@ -982,7 +986,7 @@ ; set the mosfet yaxis in a mosfet description vector ; Example: -; (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1) 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1 "1") 1) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define mosfet-yaxis! @@ -995,8 +999,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 1 0) -1) - '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (mosfet-yaxis! '#("nmos" "A" "Y" "GND" "GND" 1 1 0 "1") -1) + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-yaxis! test" (current-error-port)) @@ -1004,6 +1008,66 @@ ) ) +;; ------------ getter function : mosfet-size ------------------- + +; Contract: +; mosfet-size: mosfet -> number + +; Purpose: +; get the mosfet size (as multiple of standard size) out of mosfet transistor vector + +; Example: +; (mosfet-size '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) => 1 + +; Definition: + (define mosfet-size + (lambda (mosfet) + (vector-ref mosfet |size#|) + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-size '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) "1") + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-size test" (current-error-port)) + (newline (current-error-port)) + ) + ) + +;; ------------ setter function : mosfet-size! --------------- + +; Contract: +; mosfet-size! : mosfet number -> mosfet + +; Purpose: +; set the mosfet size in a mosfet description vector + +; Example: +; (mosfet-size! '#("nmos" "A" "Y" "GND" "GND" 1 0 -1 0) "g") => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "g") + +; Definition: + (define mosfet-size! + (lambda (mosfet size) + (vector-set! mosfet |size#| size) + mosfet + ) + ) + +; Test: !! replace code by a portable SRFI test environemt + (if build-in-self-test? + (begin + (if (equal? (mosfet-size! '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "0") "g") + '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "g")) + (display "++ passed" (current-error-port)) + (display "-- failed" (current-error-port))) + (display " mosfet-size! test" (current-error-port)) + (newline (current-error-port)) + ) + ) + ;; ------------ sanity check for mosfet --------------------------- ; Contract: @@ -1131,6 +1195,18 @@ ) ) ) + ; transistor size + (let ((size (mosfet-size mosfet))) + (if (string? size) + #t + (begin + (display "!! insane mosfet-size check " (current-error-port)) + (display size (current-error-port)) + (newline (current-error-port)) + #f + ) + ) + ) ) ) ) @@ -1145,21 +1221,21 @@ ; ^ Vdd ; | ; | --+ -; A --o| | pmos +; A --o| | g ; | --+ ; | ; *---- Y ; | ; | --+ -; A ---| | nmos +; A ---| | 1 ; | --+ ; | ; _|_ Gnd (define INV-cell '#("INV" "a Not (or Inverter) gate" ("A") ("Y") () - (#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") + #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) ()) ) @@ -1168,23 +1244,23 @@ ; ^ Vdd ^ Vdd ; | | ; | --+ | --+ -; A --o| | pmos +--o| | pmos +; A --o| | g +--o| | g ; | --+ | | --+ ; | | | ; *-----------* *---- Z ; | | | ; | --+ | | --+ -; A ---| | nmos +---| | nmos +; A ---| | 1 +---| | 1 ; | --+ | --+ ; | | ; _|_ Gnd _|_ Gnd (define BUF-cell '#("BUF" "a non-inverting Buffer gate" ("A") ("Z") () - (#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1) - #("nmos" "N1" "Z" "GND" "GND" 1 2 -1) - #("pmos" "A" "N1" "VDD" "VDD" 1 1 1) - #("nmos" "A" "N1" "GND" "GND" 1 1 -1)) + (#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1 "g") + #("nmos" "N1" "Z" "GND" "GND" 1 2 -1 "1") + #("pmos" "A" "N1" "VDD" "VDD" 1 1 1 "g") + #("nmos" "A" "N1" "GND" "GND" 1 1 -1 "1")) ()) ) @@ -1193,28 +1269,28 @@ ; ^ Vdd ^ Vdd ; | | ; | --+ | --+ -; A --o| | pmos B --o| | pmos +; A --o| | g B --o| | g ; | --+ | --+ ; | | ; *-------------------*---- Y ; | ; | --+ -; A ---| | nmos +; A ---| | 2 ; | --+ ; | N2 ; | ; | --+ -; B ---| | nmos +; B ---| | 2 ; | --+ ; | ; _|_ Gnd (define NAND2-cell '#("NAND2" "a 2-input Not-AND (or NAND) gate" ("B" "A") ("Y") () - (#("pmos" "B" "Y" "VDD" "VDD" 1 2 1) - #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "N2" "GND" 1 1 -1) - #("nmos" "B" "N2" "GND" "GND" 2 1 -2)) + (#("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g") + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") + #("nmos" "A" "Y" "N2" "GND" 1 1 -1 "2") + #("nmos" "B" "N2" "GND" "GND" 2 1 -2 "2")) ()) ) @@ -1223,28 +1299,28 @@ ; ^ Vdd ; | ; | --+ -; B --o| | pmos +; B --o| | 2g ; | --+ ; | ; | N1 ; | --+ -; A --o| | pmos +; A --o| | 2g ; | --+ ; | ; *-------------------*---- Y ; | | ; | --+ | --+ -; A ---| | nmos B ---| | nmos +; A ---| | 1 B ---| | 1 ; | --+ | --+ ; | | ; _|_ Gnd _|_ Gnd - (define NOR2-cell '#("NAND2" "a 2-input Not-AND (or NAND) gate" + (define NOR2-cell '#("NOR2" "a 2-input Not-OR (or NOR) gate" ("B" "A") ("Y") () - (#("pmos" "B" "N1" "VDD" "VDD" 2 1 2) - #("pmos" "A" "Z" "N1" "VDD" 1 1 1) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1) - #("nmos" "B" "Y" "GND" "GND" 1 2 -1)) + (#("pmos" "B" "N1" "VDD" "VDD" 2 1 2 "2g") + #("pmos" "A" "Z" "N1" "VDD" 1 1 1 "2g") + #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") + #("nmos" "B" "Y" "GND" "GND" 1 2 -1 "1")) ()) ) @@ -1253,36 +1329,36 @@ ; ^ Vdd ; | ; | --+ -; B1 --o| | pmos +; B1 --o| | 2g ; | --+ ; ^ Vdd | ; | | N1 ; | --+ | --+ -; A --o| | pmos B0 --o| | pmos +; A --o| | g B0 --o| | 2g ; | --+ | --+ ; | | ; *-------------------*---- Y ; | ; | --+ -; A ---| | nmos +; A ---| | 2 ; | --+ ; | N2 ; *-------------------* ; | | ; | --+ | --+ -; B0 ---| | nmos B1 ---| | nmos +; B0 ---| | 2 B1 ---| | 2 ; | --+ | --+ ; | | ; _|_ Gnd _|_ Gnd (define OAI21-cell '#("OAI21" "a 2-1-input OR-AND-Invert (or OAI) gate" ("B1" "B0" "A") ("Y") () - (#("pmos" "B1" "N1" "VDD" "VDD" 2 2 2) - #("pmos" "B0" "Y" "N1" "VDD" 1 2 1) - #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "N2" "GND" 1 1 -1) - #("nmos" "B0" "N2" "GND" "GND" 2 1 -2) - #("nmos" "B1" "N2" "GND" "GND" 2 2 -2)) + (#("pmos" "B1" "N1" "VDD" "VDD" 2 2 2 "2g") + #("pmos" "B0" "Y" "N1" "VDD" 1 2 1 "2g") + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") + #("nmos" "A" "Y" "N2" "GND" 1 1 -1 "2") + #("nmos" "B0" "N2" "GND" "GND" 2 1 -2 "2") + #("nmos" "B1" "N2" "GND" "GND" 2 2 -2 "2")) ()) ) @@ -1291,36 +1367,36 @@ ; ^ Vdd ^ Vdd ; | | ; | --+ | --+ -; B0 --o| | pmos B1 --o| | pmos +; B0 --o| | 2g B1 --o| | 2g ; | --+ | --+ ; | N1 | ; *-------------------* ; | ; | --+ -; A --o| | pmos +; A --o| | 2g ; | --+ ; | ; *-------------------*---- Y ; | | ; | --+ | --+ -; A ---| | nmos B0 ---| | nmos +; A ---| | 1 B0 ---| | 2 ; | --+ | --+ ; | | ; _|_ Gnd | N2 ; | --+ -; B1 ---| | nmos +; B1 ---| | 2 ; | --+ ; | ; _|_ Gnd (define AOI21-cell '#("AOI21" "a 2-1-input AND-OR-Invert (or AOI) gate" ("B1" "B0" "A") ("Y") () - (#("pmos" "B1" "N1" "VDD" "VDD" 2 2 2) - #("pmos" "B0" "Y" "N1" "VDD" 2 1 2) - #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1) - #("nmos" "B0" "Y" "N2" "GND" 2 2 -1) - #("nmos" "B1" "N2" "GND" "GND" 2 2 -2)) + (#("pmos" "B1" "N1" "VDD" "VDD" 2 2 2 "2g") + #("pmos" "B0" "Y" "N1" "VDD" 2 1 2 "2g") + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "2g") + #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") + #("nmos" "B0" "Y" "N2" "GND" 2 2 -1 "2") + #("nmos" "B1" "N2" "GND" "GND" 2 2 -2 "2")) ()) ) @@ -1340,8 +1416,8 @@ ; +---------------+ ; #4 | cell clocks | '() ; for latches ; +---------------+ -; #5 | netlist | '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) -; +---------------+ #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) +; #5 | netlist | '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") +; +---------------+ #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) ; #6 | additional | '() ; e.g. handover ASCII-Art ; +---------------+ @@ -1375,7 +1451,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (generate-cell) #('() '() '() '() '() '() '())) + (if (equal? (generate-cell) #(() () () () () () ())) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " generate-cell test" (current-error-port)) @@ -1632,7 +1708,7 @@ ; get the netlist out of a cell description vector ; Example: -; (cell-netlist INV-cell) => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) +; (cell-netlist INV-cell) => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) ; Definition: (define cell-netlist @@ -1644,8 +1720,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (cell-netlist INV-cell) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) + (if (equal? (cell-netlist INV-cell) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") + #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " cell-netlist test" (current-error-port)) @@ -1662,8 +1738,8 @@ ; set the netlist in a cell description vector ; Example: -; (cell-netlist! INV-cell '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) -; #("nmos" "A" "Y" "GND" "GND" 1 1 -1))) => INV-cell +; (cell-netlist! INV-cell '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") +; #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1"))) => INV-cell ; Definition: (define cell-netlist! @@ -1874,8 +1950,8 @@ ; get network with buffering transistors only ; Example: -; (buffer-network (cell-netlist BUF-cell)) => '(#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1) -; #("nmos" "N1" "Z" "GND" "GND" 1 2 -1)) +; (buffer-network (cell-netlist BUF-cell)) => '(#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1 "g") +; #("nmos" "N1" "Z" "GND" "GND" 1 2 -1 "1")) ; Definition: (define buffer-network (lambda (netlist) @@ -1897,7 +1973,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (buffer-network (cell-netlist BUF-cell)) '(#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1) #("nmos" "N1" "Z" "GND" "GND" 1 2 -1))) + (if (equal? (buffer-network (cell-netlist BUF-cell)) '(#("pmos" "N1" "Z" "VDD" "VDD" 1 2 1 "g") #("nmos" "N1" "Z" "GND" "GND" 1 2 -1 "1"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " buffer-network test" (current-error-port)) @@ -1913,8 +1989,8 @@ ; Purpose: ; get network with pull-up transistors only -; Example: -; (pullup-network (cell-netlist INV-cell)) => #('pmos "A" "Y" "VDD" 'VDD" 1 1 1) +; Example +; (pullup-network (cell-netlist INV-cell)) => #('pmos "A" "Y" "VDD" 'VDD" 1 1 1 "g") ; Note: ; Implementation with (map) or (filter) are better? @@ -1943,7 +2019,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (pullup-network (cell-netlist INV-cell)) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1))) + (if (equal? (pullup-network (cell-netlist INV-cell)) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " pullup-network test" (current-error-port)) @@ -1960,7 +2036,7 @@ ; get network with pull-down transistors only ; Example: -; (pulldown-network (cell-netlist INV-cell)) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (pulldown-network (cell-netlist INV-cell)) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Note: ; Implementation with (map) or (filter) are better? @@ -1989,7 +2065,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (pulldown-network (cell-netlist INV-cell)) '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1))) + (if (equal? (pulldown-network (cell-netlist INV-cell)) '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " pulldown-network test" (current-error-port)) @@ -2006,7 +2082,7 @@ ; get all transistors which are connected to VDD ; Example: -; (vdd-mosfets (cell-netlist INV-cell)) => #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) +; (vdd-mosfets (cell-netlist INV-cell)) => #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") ; Note: ; Implementation with (map) or (filter) are better? @@ -2031,7 +2107,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (vdd-mosfets (cell-netlist INV-cell)) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1))) + (if (equal? (vdd-mosfets (cell-netlist INV-cell)) '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " vdd-mosfets test" (current-error-port)) @@ -2048,7 +2124,7 @@ ; get all transistors which are connected to GND ; Example: -; (gnd-mosfets (cell-netlist INV-cell)) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (gnd-mosfets (cell-netlist INV-cell)) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Note: ; Implementation with (map) or (filter) are better? @@ -2073,7 +2149,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (gnd-mosfets (cell-netlist INV-cell)) '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1))) + (if (equal? (gnd-mosfets (cell-netlist INV-cell)) '(#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " gnd-mosfets test" (current-error-port)) @@ -2132,7 +2208,7 @@ ; get complementary transistors which is connected to the same input ; Example: -; (complementary-mosfets (cell-netlist INV-cell) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (complementary-mosfets (cell-netlist INV-cell) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g")) => #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1") ; Definition: (define complementary-mosfets @@ -2157,7 +2233,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (complementary-mosfets (cell-netlist INV-cell) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) #("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (complementary-mosfets (cell-netlist INV-cell) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g")) #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " complementary-mosfets test" (current-error-port)) @@ -2196,7 +2272,7 @@ ; sort all transistors regarding their names ; Example: -; (sort-mosfet-ascending '(#("nmos" "B" "N2" "GND" "GND" 2 1 -2) #("nmos" "A" "Y" "N2" GND 1 1 -1))) => (pulldown-network (cell-netlist NAND2-cell)) +; (sort-mosfet-ascending '(#("nmos" "B" "N2" "GND" "GND" 2 1 -2 "2") #("nmos" "A" "Y" "N2" GND 1 1 -1 "2"))) => (pulldown-network (cell-netlist NAND2-cell)) ; Definition: (define sort-mosfet-ascending @@ -2208,7 +2284,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (sort-mosfet-ascending '(#("nmos" "B" "N2" "GND" "GND" 2 1 -2) #("nmos" "A" "Y" "N2" "GND" 1 1 -1))) (pulldown-network (cell-netlist NAND2-cell))) + (if (equal? (sort-mosfet-ascending '(#("nmos" "B" "N2" "GND" "GND" 2 1 -2 "2") #("nmos" "A" "Y" "N2" "GND" 1 1 -1 "2"))) (pulldown-network (cell-netlist NAND2-cell))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " sort-mosfet-ascending test" (current-error-port)) @@ -2225,7 +2301,7 @@ ; sort all transistors regarding their names ; Example: -; (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) => (pullup-network (cell-netlist NAND2-cell)) +; (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g"))) => (pullup-network (cell-netlist NAND2-cell)) ; Definition: (define sort-mosfet-descending @@ -2237,7 +2313,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) (pullup-network (cell-netlist NAND2-cell))) + (if (equal? (sort-mosfet-descending '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g"))) (pullup-network (cell-netlist NAND2-cell))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " sort-mosfet-descending test" (current-error-port)) @@ -2269,10 +2345,10 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (sort-netlist '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1) - #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Y" "N2" "GND" 1 1 -1) - #("nmos" "B" "N2" "GND" "GND" 2 1 -2))) (cell-netlist NAND2-cell)) + (if (equal? (sort-netlist '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g") + #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") + #("nmos" "A" "Y" "N2" "GND" 1 1 -1 "2") + #("nmos" "B" "N2" "GND" "GND" 2 1 -2 "2"))) (cell-netlist NAND2-cell)) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " sort-netlist test" (current-error-port)) @@ -2289,7 +2365,7 @@ ; filter all transistors regarding one char group ; Example: -; (filter-mosfet-char '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1)) "A") => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) +; (filter-mosfet-char '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g")) "A") => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("nmos" "A" "Y" "N2" "GND" 1 1 -1 "2")) ; Definition: (define filter-mosfet-char @@ -2311,7 +2387,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (filter-mosfet-char (cell-netlist NAND2-cell) "A") '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("nmos" "A" "Y" "N2" "GND" 1 1 -1))) + (if (equal? (filter-mosfet-char (cell-netlist NAND2-cell) "A") '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("nmos" "A" "Y" "N2" "GND" 1 1 -1 "2"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " filter-mosfet-char" (current-error-port)) @@ -2328,7 +2404,7 @@ ; filter all transistors out with similiar node group ; Example: -; (filter-mosfet-remove '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1)) "B") => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) +; (filter-mosfet-remove '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g")) "B") => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g")) ; Definition: (define filter-mosfet-remove @@ -2350,7 +2426,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (filter-mosfet-remove (cell-netlist NAND2-cell) "B") '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("nmos" "A" "Y" "N2" "GND" 1 1 -1))) + (if (equal? (filter-mosfet-remove (cell-netlist AOI21-cell) "B1") '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "2g") #("nmos" "A" "Y" "GND" "GND" 1 1 -1 "1"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " filter-mosfet-remove" (current-error-port)) @@ -2367,7 +2443,7 @@ ; return all transistors in one column ; Example: -; (filter-mosfet-column '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 1 2)) 2) => '(#("pmos" "B" "Y" "VDD" "VDD" 1 1 2)) +; (filter-mosfet-column '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 1 2 "g")) 2) => '(#("pmos" "B" "Y" "VDD" "VDD" 1 1 2 "g")) ; Definition: (define filter-mosfet-column @@ -2389,7 +2465,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (filter-mosfet-column (cell-netlist NAND2-cell) 2) '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1))) + (if (equal? (filter-mosfet-column (cell-netlist NAND2-cell) 2) '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " filter-mosfet-column" (current-error-port)) @@ -2406,7 +2482,7 @@ ; return all transistors in one row ; Example: -; (filter-mosfet-row '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1)) 1) => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1) #("pmos" "B" "Y" "VDD" "VDD" 1 2 1)) +; (filter-mosfet-row '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g")) 1) => '(#("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") #("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g")) ; Definition: (define filter-mosfet-row @@ -2428,7 +2504,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (filter-mosfet-row (cell-netlist NAND2-cell) 1) '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1))) + (if (equal? (filter-mosfet-row (cell-netlist NAND2-cell) 1) '(#("pmos" "B" "Y" "VDD" "VDD" 1 2 1 "g") #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " filter-mosfet-row" (current-error-port)) @@ -2489,8 +2565,8 @@ ; crawl netlist for nodes and replace them by another name ; Example: -; (replace-nodes (cell-netlist INV-cell) "Y" "Z") => '(#("pmos" "A" "Z" "VDD" "VDD" 1 1 1) -; #("nmos" "A" "Z" "GND" "GND" 1 1 -1)) +; (replace-nodes (cell-netlist INV-cell) "Y" "Z") => '(#("pmos" "A" "Z" "VDD" "VDD" 1 1 1 "g") +; #("nmos" "A" "Z" "GND" "GND" 1 1 -1 "1")) ; Definition: (define replace-nodes @@ -2516,6 +2592,7 @@ (mosfet-stacked! mosfet (mosfet-stacked original)) (mosfet-xaxis! mosfet (mosfet-xaxis original)) (mosfet-yaxis! mosfet (mosfet-yaxis original)) + (mosfet-size! mosfet (mosfet-size original)) (cons mosfet (replace-nodes (cdr netlist) old-node new-node)) ) ) @@ -2526,8 +2603,8 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (replace-nodes (cell-netlist INV-cell) "Y" "Z") '(#("pmos" "A" "Z" "VDD" "VDD" 1 1 1) - #("nmos" "A" "Z" "GND" "GND" 1 1 -1))) + (if (equal? (replace-nodes (cell-netlist INV-cell) "Y" "Z") '(#("pmos" "A" "Z" "VDD" "VDD" 1 1 1 "g") + #("nmos" "A" "Z" "GND" "GND" 1 1 -1 "1"))) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " replace-nodes test" (current-error-port)) @@ -2995,10 +3072,10 @@ ; mosfet-by-position : netlist -> mosfet ; Purpose: -; crwal netlist and find mosfet by positon coordinates +; crawl netlist and find mosfet by positon coordinates ; Example: -; (mosfet-by-position (cell-netlist INV-cell) 1 1) => #("pmos" "A" "Y" "VDD" "VDD" 1 1 1) +; (mosfet-by-position (cell-netlist INV-cell) 1 1) => #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g") ; Definition: (define mosfet-by-position @@ -3019,7 +3096,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (mosfet-by-position (cell-netlist INV-cell) 1 1) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1)) + (if (equal? (mosfet-by-position (cell-netlist INV-cell) 1 1) #("pmos" "A" "Y" "VDD" "VDD" 1 1 1 "g")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " mosfet-by-position test" (current-error-port)) @@ -3110,7 +3187,7 @@ ; convert list of strings into mosfet vector ; Example: -; (stringlist->mosfet ("nmos" "A" "Y" "GND" "GND" "1" "1" "-1")) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1) +; (stringlist->mosfet ("nmos" "A" "Y" "GND" "GND" "1" "1" "-1" "0" "0")) => '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 0 0) ; Definition: (define stringlist->mosfet @@ -3124,6 +3201,7 @@ (mosfet-stacked! mosfet (string->number (list-ref stringlist |stacked#|))) (mosfet-xaxis! mosfet (string->number (list-ref stringlist |xaxis-point#|))) (mosfet-yaxis! mosfet (string->number (list-ref stringlist |yaxis-point#|))) + (mosfet-size! mosfet (string-downcase (list-ref stringlist |size#|))) ; !! use strings mosfet ) ) @@ -3132,7 +3210,7 @@ ; Test: !! replace code by a portable SRFI test environemt (if build-in-self-test? (begin - (if (equal? (stringlist->mosfet '("nmos" "A" "Y" "GND" "GND" "1" "1" "-1")) '#("nmos" "A" "Y" "GND" "GND" 1 1 -1)) + (if (equal? (stringlist->mosfet '("nmos" "A" "Y" "GND" "GND" "1" "1" "-1" "0")) '#("nmos" "A" "Y" "GND" "GND" 1 1 -1 "0")) (display "++ passed" (current-error-port)) (display "-- failed" (current-error-port))) (display " stringlist->mosfet test" (current-error-port)) From 9ea8e239c059db7b336ecff211f4b7788d280fc3 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 14 Sep 2019 14:05:36 +0200 Subject: [PATCH 188/673] [DOC] Resolve Name Conflict, remove AO211 --- Documents/LaTeX/AO211_circuit.tex | 55 ---------------------------- Documents/LaTeX/AO211_manpage.tex | 59 ------------------------------- 2 files changed, 114 deletions(-) delete mode 100644 Documents/LaTeX/AO211_circuit.tex delete mode 100644 Documents/LaTeX/AO211_manpage.tex diff --git a/Documents/LaTeX/AO211_circuit.tex b/Documents/LaTeX/AO211_circuit.tex deleted file mode 100644 index 4fed6d06..00000000 --- a/Documents/LaTeX/AO211_circuit.tex +++ /dev/null @@ -1,55 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/AO211_circuit.tex -%% -%% Purpose: Circuit File for AO211 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2019 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\begin{center} - Circuit - \begin{figure}[h] - \begin{center} - \begin{circuitdiagram}{24}{10} - \usgate - \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND - \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR - \gate{not}{19}{3}{R}{}{} % NOT - \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{9}{L}{C1} % pin C1 - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{9}{7}{9}{5} % wire between AND and NOR - \pin{23}{3}{R}{Z} % pin Z - \end{circuitdiagram} - \end{center} - \end{figure} -\end{center} diff --git a/Documents/LaTeX/AO211_manpage.tex b/Documents/LaTeX/AO211_manpage.tex deleted file mode 100644 index 79864fd8..00000000 --- a/Documents/LaTeX/AO211_manpage.tex +++ /dev/null @@ -1,59 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/AO211_manpage.tex -%% -%% Purpose: Manual Page File for AO211 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2019 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\label{AO211} -\paragraph{Cell} -\begin{quote} - \textbf{AO211} - a 2-1-1-input AND-OR gate -\end{quote} - -\paragraph{Synopsys} -\begin{quote} - AO211(Z, C1, C, B, A) -\end{quote} - -\paragraph{Description} -\input{AO211_circuit.tex} -%\input{AOI211_schematic.tex} - -\paragraph{Truth Table} -%\input{AO211_truthtable.tex} - -\paragraph{Usage} - -\paragraph{Fan-in / Fan-out} - -\paragraph{Layout} - -\paragraph{Files} From d661e53420992a732104f24e212c7150d35997bc Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 14 Sep 2019 14:07:58 +0200 Subject: [PATCH 189/673] [DOC] Resolve Name Conflict, remove AO2111 --- Documents/LaTeX/AO2111_circuit.tex | 56 ----------------------- Documents/LaTeX/AO2111_manpage.tex | 64 --------------------------- Documents/LaTeX/AO2111_truthtable.tex | 54 ---------------------- 3 files changed, 174 deletions(-) delete mode 100644 Documents/LaTeX/AO2111_circuit.tex delete mode 100644 Documents/LaTeX/AO2111_manpage.tex delete mode 100644 Documents/LaTeX/AO2111_truthtable.tex diff --git a/Documents/LaTeX/AO2111_circuit.tex b/Documents/LaTeX/AO2111_circuit.tex deleted file mode 100644 index 1383adc8..00000000 --- a/Documents/LaTeX/AO2111_circuit.tex +++ /dev/null @@ -1,56 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/circuit_AO2111.tex -%% -%% Purpose: Circuit File for AO2111 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\begin{center} - Circuit - \begin{figure}[h] %\caption{Circuit} - \begin{center} - \begin{circuitdiagram}{24}{12} - \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D0} % pin D0 - \pin{1}{11}{L}{D1} % pin D1 - \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND gate -> right - \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR gate -> right - \gate{not}{19}{4}{R}{}{} % NOT gate -> right - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C - \wire{9}{9}{9}{7} % wire between AND and NOR - \pin{23}{4}{R}{Z} % pin Z - \end{circuitdiagram} - \end{center} - \end{figure} -\end{center} diff --git a/Documents/LaTeX/AO2111_manpage.tex b/Documents/LaTeX/AO2111_manpage.tex deleted file mode 100644 index cd2ff38c..00000000 --- a/Documents/LaTeX/AO2111_manpage.tex +++ /dev/null @@ -1,64 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/manpage_AO2111.tex -%% -%% Purpose: Manual Page File for AO2111 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// -\label{AO2111} -\paragraph{Cell} -\begin{quote} - \textbf{AO2111} - a 2-1-1-1-input AND-OR gate -\end{quote} - -\paragraph{Synopsys} -\begin{quote} - AO2111(Z, D1, D0, C, B, A) -\end{quote} - -\paragraph{Description} -\input{AO2111_circuit.tex} -%\input{AO2111_schematic_AO2111.tex} - -\paragraph{Truth Table} -\input{AO2111_truthtable.tex} - -\paragraph{Usage} - -\paragraph{Fan-in / Fan-out} - -\paragraph{Layout} - -\paragraph{Files} - -\paragraph{See also} -\begin{quote} - AO3111 - a 3-1-1-1-input AND-OR gate -\end{quote} diff --git a/Documents/LaTeX/AO2111_truthtable.tex b/Documents/LaTeX/AO2111_truthtable.tex deleted file mode 100644 index fa4eb6dd..00000000 --- a/Documents/LaTeX/AO2111_truthtable.tex +++ /dev/null @@ -1,54 +0,0 @@ -%% ************ LibreSilicon's StdCellLibrary ******************* -%% -%% Organisation: Chipforge -%% Germany / European Union -%% -%% Profile: Chipforge focus on fine System-on-Chip Cores in -%% Verilog HDL Code which are easy understandable and -%% adjustable. For further information see -%% www.chipforge.org -%% there are projects from small cores up to PCBs, too. -%% -%% File: StdCellLib/Documents/LaTeX/truthtable_AO2111.tex -%% -%% Purpose: Truth Table File for AO2111 -%% -%% ************ LaTeX with circdia.sty package *************** -%% -%% /////////////////////////////////////////////////////////////////// -%% -%% Copyright (c) 2018 by chipforge -%% All rights reserved. -%% -%% This Standard Cell Library is licensed under the Libre Silicon -%% public license; you can redistribute it and/or modify it under -%% the terms of the Libre Silicon public license as published by -%% the Libre Silicon alliance, either version 1 of the License, or -%% (at your option) any later version. -%% -%% This design is distributed in the hope that it will be useful, -%% but WITHOUT ANY WARRANTY; without even the implied warranty of -%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -%% See the Libre Silicon Public License for more details. -%% -%% /////////////////////////////////////////////////////////////////// - -\begin{center} - {\(Z = (D1 \land D0) \lor C \lor B \lor A \)} - \begin{table}[h] %\caption{\(Z = (D1 \land D0) \lor C \lor B \lor A \)} - \begin{center} - \begin{tabular}{|c|c|c|c|c||c|} \hline - D1 & D0 & C & B & A & Z \\ \hline\hline - 0 & X & 0 & 0 & 0 & 0 \\ \hline - 0 & X & 1 & X & X & 1 \\ \hline - 0 & X & X & 1 & X & 1 \\ \hline - 0 & X & X & X & 1 & 1 \\ \hline - X & 0 & 0 & 0 & 0 & 0 \\ \hline - X & 0 & 1 & X & X & 1 \\ \hline - X & 0 & X & 1 & X & 1 \\ \hline - X & 0 & X & X & 1 & 1 \\ \hline - 1 & 1 & X & X & X & 1 \\ \hline - \end{tabular} - \end{center} - \end{table} -\end{center} From 8ed6e89e99601d2cce189f199ad7ed0301cfe3f1 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 14 Sep 2019 18:41:46 +0200 Subject: [PATCH 190/673] [TOOLS] Rectify popcorn for cell name and description, add Description to makefile --- Catalog/stacked2_cells.mk | 15 +++- Catalog/stacked3_cells.mk | 131 ++++++++++++++++++++++----------- Catalog/stacked4_cells.mk | 69 ++++++++++++----- Tools/popcorn.1 | 8 ++ Tools/popcorn/popcorn | 2 +- Tools/popcorn/popcorn-cell.scm | 4 - Tools/popcorn/popcorn.scm | 26 ++++++- 7 files changed, 184 insertions(+), 71 deletions(-) diff --git a/Catalog/stacked2_cells.mk b/Catalog/stacked2_cells.mk index 0f8bd516..6524ccb9 100644 --- a/Catalog/stacked2_cells.mk +++ b/Catalog/stacked2_cells.mk @@ -55,23 +55,29 @@ CELLS += AAO22 \ OOA22 \ OR2 +AAO22: DESCR = "2-2-input AND-AND-OR gate" AAO22: LEVEL = 2 AAO22: AO21 $(POPCORN) -m nand -c $@ $< > $@ +AND2: DESCR = "2-input AND gate" AND2: INV $(POPCORN) -m nand -c $@ $< > $@ +AO21: DESCR = "2-1-input AND-OR gate" AO21: OR2 $(POPCORN) -m aoi -c $@ $< > $@ +OA21: DESCR = "2-1-input OR-AND gate" OA21: AND2 $(POPCORN) -m oai -c $@ $< > $@ +OOA22: DESCR = "2-2-input OR-OR-AND gate" OOA22: LEVEL = 2 OOA22: OA21 $(POPCORN) -m nor -c $@ $< > $@ +OR2: DESCR = "2-input OR gate" OR2: INV $(POPCORN) -m nor -c $@ $< > $@ @@ -88,22 +94,29 @@ CELLS += AAOI22 \ OAI21 \ OOAI22 +AAOI22: DESCR = "2-2-input AND-AND-OR-Invert gate" AAOI22: LEVEL = 2 AAOI22: AOI21 - $(POPCORN) -m nand -c $@ $< > $@ + $(POPCORN) -m aoi -c $@ $< > $@ +AOI21: DESCR = "2-1-input AND-OR-Invert gate" AOI21: NOR2 $(POPCORN) -m aoi -c $@ $< > $@ +NAND2: DESCR = "2-input Not-AND (or NAND) gate" NAND2: INV $(POPCORN) -m nand -c $@ $< > $@ +NOR2: DESCR = "2-input Not-OR (or NOR) gate" +NOR2: LEVEL = 2 NOR2: INV $(POPCORN) -m nor -c $@ $< > $@ +OAI21: DESCR = "2-1-input OR-AND-Invert gate" OAI21: NAND2 $(POPCORN) -m oai -c $@ $< > $@ +OOAI22: DESCR = "2-2-input OR-OR-AND-Invert gate" OOAI22: LEVEL = 2 OOAI22: OAI21 $(POPCORN) -m nor -c $@ $< > $@ diff --git a/Catalog/stacked3_cells.mk b/Catalog/stacked3_cells.mk index c8a24b9d..4b3f71af 100644 --- a/Catalog/stacked3_cells.mk +++ b/Catalog/stacked3_cells.mk @@ -52,10 +52,9 @@ ifdef BUFFERED CELLS += AAAO332 \ AAAO333 \ - AAO321 \ AAO331 \ AND3 \ - AO211 \ + AO22 \ AO31 \ AO311 \ AAO32 \ @@ -81,102 +80,118 @@ CELLS += AAAO332 \ OOOA332 \ OOOA333 +AAAO332: DESCR = "3-3-2-input AND-AND-AND-OR gate" AAAO332: LEVEL = 3 AAAO332: AAAO331 $(POPCORN) -m aoi -c $@ $< > $@ +AAAO333: DESCR = "3-3-3-input AND-AND-AND-OR gate" AAAO333: LEVEL = 3 AAAO333: AAAO332 $(POPCORN) -m aoi -c $@ $< > $@ +AAO331: DESCR = "3-3-1-input AND-AND-OR gate" AAO331: LEVEL = 3 AAO331: AAO321 $(POPCORN) -m aoi -c $@ $< > $@ +AND3: DESCR = "3-input AND gate" AND3: AND2 $(POPCORN) -m nand -c $(*F) -c $@ $< > $@ -AO211: OR3 +AO22: DESCR = "2-2-input AND-OR gate" +AO22: OR3 $(POPCORN) -m aoi -c $@ $< > $@ +AO31: DESCR = "3-1-input AND-OR gate" AO31: AO21 $(POPCORN) -m aoi -c $@ $< > $@ -AO311: AO211 - $(POPCORN) -m aoi -c $@ $< > $@ - +AAO32: DESCR = "3-2-input AND-AND-OR gate" AAO32: AAO22 $(POPCORN) -m aoi -c $@ $< > $@ +AO321: DESCR = "3-2-1-input AND-OR gate" AO321: AO221 $(POPCORN) -m aoi -c $@ $< > $@ +AAO33: DESCR = "3-3-input AND-AND-OR gate" AAO33: LEVEL = 3 AAO33: AAO32 $(POPCORN) -m aoi -c $@ $< > $@ -AO331: LEVEL = 3 -AO331: AO321 - $(POPCORN) -m aoi -c $@ $< > $@ - -AO332: LEVEL = 3 -AO332: AO331 - $(POPCORN) -m aoi -c $@ $< > $@ - +AOA211: DESCR = "2-1-1-input AND-OR-AND gate" AOA211: OA21 $(POPCORN) -m nand -c $@ $< > $@ +AOA221: DESCR = "2-2-1-input AND-OR-AND gate" AOA221: OA31 $(POPCORN) -m nand -c $@ $< > $@ +AOAO2111: DESCR = "2-1-1-1-input AND-OR-AND-OR gate" AOAO2111: OAO211 $(POPCORN) -m nand -c $@ $< > $@ +AOOA212: DESCR = "2-1-2-input AND-OR-OR-AND gate" AOOA212: OOA22 $(POPCORN) -m nand -c $@ $< > $@ +OA22: DESCR = "2-2-input OR-AND gate" OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ +OA31: DESCR = "3-1-input OR-AND gate" OA31: OA21 $(POPCORN) -m oai -c $@ $< > $@ +OA32: DESCR = "3-2-input OR-AND gate" OA32: OA22 $(POPCORN) -m oai -c $@ $< > $@ +OOA32: DESCR = "3-2-input OR-OR-AND gate" OOA32: OOA22 $(POPCORN) -m oai -c $@ $< > $@ +OAO211: DESCR = "2-1-1-input OR-AND-OR gate" OAO211: AO21 $(POPCORN) -m nor -c $@ $< > $@ +OAO212: DESCR = "2-1-2-input OR-AND-OR gate" OAO212: AO22 $(POPCORN) -m nor -c $@ $< > $@ +OAO221: DESCR = "2-2-1-input OR-AND-OR gate" OAO221: AO31 $(POPCORN) -m nor -c $@ $< > $@ +OAOA2111: DESCR = "2-1-1-1-input OR-AND-OR-AND gate" OAOA2111: AOA211 $(POPCORN) -m nor -c $@ $< > $@ +OR3: DESCR = "3-input OR gate" OR3: OR2 $(POPCORN) -m nor -c $@ $< > $@ +OOA321: DESCR = "3-2-1-input OR-OR-AND gate" OOA321: OOA221 $(POPCORN) -m oai -c $@ $< > $@ +OOA33: DESCR = "3-3-input OR-OR-AND gate" OOA33: LEVEL = 3 OOA33: OOA32 $(POPCORN) -m oai -c $@ $< > $@ +OOA331: DESCR = "3-3-1-input OR-OR-AND gate" OOA331: LEVEL = 3 OOA331: OOA321 $(POPCORN) -m oai -c $@ $< > $@ +OOOA332: DESCR = "3-3-2-input OR-OR-OR-AND gate" OOOA332: LEVEL = 3 OOOA332: OOA331 $(POPCORN) -m oai -c $@ $< > $@ +OOOA333: DESCR = "3-3-3-input OR-OR-OR-AND gate" OOOA333: LEVEL = 3 OOOA333: OOOA332 $(POPCORN) -m oai -c $@ $< > $@ @@ -188,10 +203,8 @@ ifeq ($(BUFFER),3) CELLS += AAAO332 \ AAAO333 \ - AAO321 \ AAO331 \ AND3 \ - AO211 \ AO31 \ AO311 \ AAO32 \ @@ -217,102 +230,118 @@ CELLS += AAAO332 \ OOOA332 \ OOOA333 +AAAO332: DESCR = "3-3-2-input AND-AND-AND-OR gate" AAAO332: LEVEL = 3 AAAO332: AAAO331 $(POPCORN) -m aoi -c $@ $< > $@ +AAAO333: DESCR = "3-3-3-input AND-AND-AND-OR gate" AAAO333: LEVEL = 3 AAAO333: AAAO332 $(POPCORN) -m aoi -c $@ $< > $@ +AAO331: DESCR = "3-3-1-input AND-AND-OR gate" AAO331: LEVEL = 3 AAO331: AAO321 $(POPCORN) -m aoi -c $@ $< > $@ +AND3: DESCR = "3-input AND gate" AND3: NAND2 $(POPCORN) -m nand -c $@ $< > $@ -AO211: OR3 +AO22: DESCR = "2-2-input AND-OR gate" +AO22: OR3 $(POPCORN) -m aoi -c $@ $< > $@ +AO31: DESCR = "3-1-input AND-OR gate" AO31: AOI21 $(POPCORN) -m aoi -c $@ $< > $@ -AO311: AO211 - $(POPCORN) -m aoi -c $@ $< > $@ - +AAO32: DESCR = "3-2-input AND-AND-OR gate" AAO32: AAOI22 $(POPCORN) -m aoi -c $@ $< > $@ +AO321: DESCR = "3-2-1-input AND-OR gate" AO321: AO221 $(POPCORN) -m aoi -c $@ $< > $@ +AAO33: DESCR = "3-3-input AND-AND-OR gate" AAO33: LEVEL = 3 AAO33: AAO32 $(POPCORN) -m aoi -c $@ $< > $@ -AO331: LEVEL = 3 -AO331: AO321 - $(POPCORN) -m aoi -c $@ $< > $@ - -AO332: LEVEL = 3 -AO332: AO331 - $(POPCORN) -m aoi -c $@ $< > $@ - +AOA211: DESCR = "2-1-1-input AND-OR-AND gate" AOA211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ +AOA221: DESCR = "2-2-1-input AND-OR-AND gate" AOA221: OA31 $(POPCORN) -m nand -c $@ $< > $@ +AOAO2111: DESCR = "2-1-1-1-input AND-OR-AND-OR gate" AOAO2111: OAO211 $(POPCORN) -m nand -c $@ $< > $@ +AOOA212: DESCR = "2-1-2-input AND-OR-OR-AND gate" AOOA212: OOAI22 $(POPCORN) -m nand -c $@ $< > $@ +OA22: DESCR = "2-2-input OR-AND gate" OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ +OA31: DESCR = "3-1-input OR-AND gate" OA31: OAI21 $(POPCORN) -m oai -c $@ $< > $@ +OA32: DESCR = "3-2-input OR-AND gate" OA32: OA22 $(POPCORN) -m oai -c $@ $< > $@ +OOA32: DESCR = "3-2-input OR-OR-AND gate" OOA32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ +OAO211: DESCR = "2-1-1-input OR-AND-OR gate" OAO211: AOI21 $(POPCORN) -m nor -c $@ $< > $@ +OAO212: DESCR = "2-1-2-input OR-AND-OR gate" OAO212: AOI22 $(POPCORN) -m nor -c $@ $< > $@ +OAO221: DESCR = "2-2-1-input OR-AND-OR gate" OAO221: AO31 $(POPCORN) -m nor -c $@ $< > $@ +OAOA2111: DESCR = "2-1-1-1-input OR-AND-OR-AND gate" OAOA2111: AOA211 $(POPCORN) -m nor -c $@ $< > $@ +OR3: DESCR = "3-input OR gate" OR3: NOR2 $(POPCORN) -m nor -c $@ $< > $@ +OOA321: DESCR = "3-2-1-input OR-OR-AND gate" OOA321: OOA221 $(POPCORN) -m oai -c $@ $< > $@ +OOA33: DESCR = "3-3-input OR-OR-AND gate" OOA33: LEVEL = 3 OOA33: OOA32 $(POPCORN) -m oai -c $@ $< > $@ -OA331: LEVEL = 3 -OA331: OOA321 +OOA331: DESCR = "3-3-1-input OR-OR-AND gate" +OOA331: LEVEL = 3 +OOA331: OOA321 $(POPCORN) -m oai -c $@ $< > $@ +OOOA332: DESCR = "3-3-2-input OR-OR-OR-AND gate" OOOA332: LEVEL = 3 OOOA332: OOA331 $(POPCORN) -m oai -c $@ $< > $@ +OOOA333: DESCR = "3-3-3-input OR-OR-OR-AND gate" OOOA333: LEVEL = 3 OOOA333: OOOA332 $(POPCORN) -m oai -c $@ $< > $@ @@ -334,7 +363,6 @@ CELLS += AAAOI332 \ AOI31 \ AAOI32 \ AAOI33 \ - AOI331 \ AOOAI212 \ NAND3 \ NOR3 \ @@ -352,99 +380,118 @@ CELLS += AAAOI332 \ OOOAI332 \ OOOOAI333 +AAAOI332: DESCR = "3-3-2-input AND-AND-AND-OR-Invert gate" AAAOI332: LEVEL = 3 -AAAOI332: AOI331 +AAAOI332: AAOI331 $(POPCORN) -m aoi -c $@ $< > $@ +AAAOI333: DESCR = "3-3-3-input AND-AND-AND-OR-Invert gate" AAAOI333: LEVEL = 3 AAAOI333: AAAOI332 $(POPCORN) -m aoi -c $@ $< > $@ +AAOI331: DESCR = "3-3-1-input AND-AND-OR-Invert gate" AAOI331: LEVEL = 3 AAOI331: AAOI321 $(POPCORN) -m aoi -c $@ $< > $@ -AAOI321: LEVEL = 3 -AAOI321: AAOI221 - $(POPCORN) -m aoi -c $@ $< > $@ - +AOAI211: DESCR = "2-1-1-input AND-OR-AND-Invert gate" AOAI211: OAI21 $(POPCORN) -m nand -c $@ $< > $@ +AOAI221: DESCR = "2-2-1-input AND-OR-AND-Invert gate" AOAI221: OAI31 $(POPCORN) -m nand -c $@ $< > $@ +AOAOI2111: DESCR = "2-1-1-1-input AND-OR-AND-OR-Invert gate" AOAOI2111: OAOI211 $(POPCORN) -m nand -c $@ $< > $@ -AOI211: NOR3 +AOI22: DESCR = "2-2-input AND-OR-Invert gate" +AOI22: NOR3 $(POPCORN) -m aoi -c $@ $< > $@ +AOI31: DESCR = "3-1-input AND-OR-Invert gate" AOI31: AOI21 $(POPCORN) -m aoi -c $@ $< > $@ +AAOI32: DESCR = "3-2-input AND-AND-OR-Invert gate" AAOI32: AAOI22 $(POPCORN) -m aoi -c $@ $< > $@ -AOI321: AOI221 +AAOI321: DESCR = "3-2-1-input AND-AND-OR-Invert gate" +AAOI321: AAOI221 $(POPCORN) -m aoi -c $@ $< > $@ +AAOI33: DESCR = "3-3-input AND-AND-OR-Invert gate" AAOI33: LEVEL = 3 AAOI33: AAOI32 $(POPCORN) -m aoi -c $@ $< > $@ -AOI331: LEVEL = 3 -AOI331: AOI321 - $(POPCORN) -m aoi -c $@ $< > $@ - +AOOAI212: DESCR = "2-1-2-input AND-OR-OR-AND-Invert gate" AOOAI212: OOAI22 $(POPCORN) -m nand -c $@ $< > $@ +NAND3: DESCR = "3-input Not-AND (or NAND) gate" NAND3: NAND2 $(POPCORN) -m nand -c $@ $< > $@ +NOR3: DESCR = "3-input Not-OR (or NOR) gate" NOR3: NOR2 $(POPCORN) -m nor -c $@ $< > $@ +OAI22: DESCR = "2-2-input OR-AND-Invert gate" OAI22: NAND3 $(POPCORN) -m oai -c $@ $< > $@ +OAI31: DESCR = "3-1-input OR-AND-Invert gate" OAI31: OAI21 $(POPCORN) -m oai -c $@ $< > $@ +OAI32: DESCR = "3-2-input OR-AND-Invert gate" OAI32: OAI22 $(POPCORN) -m oai -c $@ $< > $@ +OOAI32: DESCR = "3-2-input OR-OR-AND-Invert gate" OOAI32: OOAI22 $(POPCORN) -m oai -c $@ $< > $@ +OAOAI2111: DESCR = "2-1-1-1-input OR-AND-OR-AND-Invert gate" OAOAI2111: AOAI211 $(POPCORN) -m nor -c $@ $< > $@ +OAOI211: DESCR = "2-1-1-input OR-AND-OR-Invert gate" OAOI211: AOI21 $(POPCORN) -m nor -c $@ $< > $@ +OAOI212: DESCR = "2-1-2-input OR-AND-OR-Invert gate" OAOI212: AOI22 $(POPCORN) -m nor -c $@ $< > $@ +OAOI221: DESCR = "2-2-1-input OR-AND-OR-Invert gate" OAOI221: AOI31 $(POPCORN) -m nor -c $@ $< > $@ +OOAI321: DESCR = "3-2-1-input OR-OR-AND-Invert gate" OOAI321: OOAI221 $(POPCORN) -m oai -c $@ $< > $@ +OOAI33: DESCR = "3-3-input OR-OR-AND-Invert gate" OOAI33: LEVEL = 3 OOAI33: OOAI32 $(POPCORN) -m oai -c $@ $< > $@ +OOAI331: DESCR = "3-3-1-input OR-OR-AND-Invert gate" OOAI331: LEVEL = 3 OOAI331: OOAI321 $(POPCORN) -m oai -c $@ $< > $@ +OOOAI332: DESCR = "3-3-2-input OR-OR-OR-AND-Invert gate" OOOAI332: LEVEL = 3 OOOAI332: OOAI331 $(POPCORN) -m oai -c $@ $< > $@ +OOOAI333: DESCR = "3-3-3-input OR-OR-OR-AND-Invert gate" OOOAI333: LEVEL = 3 OOOAI333: OOOAI332 $(POPCORN) -m oai -c $@ $< > $@ diff --git a/Catalog/stacked4_cells.mk b/Catalog/stacked4_cells.mk index 743d825d..4cf6b22e 100644 --- a/Catalog/stacked4_cells.mk +++ b/Catalog/stacked4_cells.mk @@ -51,7 +51,7 @@ include stacked3_cells.mk ifdef BUFFERED CELLS += AND4 \ - AO2111 \ + AO23 \ AO41 \ AOA212 \ AOA311 \ @@ -66,48 +66,59 @@ CELLS += AND4 \ OAOA3211 \ OR4 +AND4: DESCR = "4-input AND gate" AND4: AND3 $(POPCORN) -m nand -c $@ $< > $@ -AO2111: OR4 +AO23: DESCR = "2-3-input AND-OR gate" +AO23: OR4 $(POPCORN) -m aoi -c $@ $< > $@ +AO41: DESCR = "4-1-input AND-OR gate" AO41: AO31 $(POPCORN) -m aoi -c $@ $< > $@ +AOA212: DESCR = "2-1-2-input AND-OR-AND gate" AOA212: OA22 $(POPCORN) -m nand -c $@ $< > $@ +AOA311: DESCR = "3-1-1-input AND-OR-AND gate" AOA311: AOA211 $(POPCORN) -m aoi -c $@ $< > $@ +OA22: DESCR = "2-2-input OR-AND gate" OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ +OA23: DESCR = "2-3-input OR-AND gate" OA23: AND4 $(POPCORN) -m oai -c $@ $< > $@ +OA33: DESCR = "3-3-input OR-AND gate" OA33: OA23 $(POPCORN) -m oai -c $@ $< > $@ +OA41: DESCR = "4-1-input OR-AND gate" OA41: OA31 $(POPCORN) -m oai -c $@ $< > $@ -OA4111: OA3111 +OA43: DESCR = "4-3-input OR-AND gate" +OA43: OA33 $(POPCORN) -m oai -c $@ $< > $@ -OAO2111: AO211 - $(POPCORN) -m nor -c $@ $< > $@ - +OAO311: DESCR = "3-1-1-input OR-AND-OR gate" OAO311: OAO211 $(POPCORN) -m oai -c $@ $< > $@ +OAOA2211: DESCR = "2-2-1-1-input OR-AND-OR-AND gate" OAOA2211: AOA311 $(POPCORN) -m nor -c $@ $< > $@ +OAOA3211: DESCR = "3-2-1-1-input OR-AND-OR-AND gate" OAOA3211: OAOA2211 $(POPCORN) -m oai -c $@ $< > $@ +OR4: DESCR = "4-input OR gate" OR4: OR3 $(POPCORN) -m nor -c $@ $< > $@ @@ -117,7 +128,7 @@ else ifeq ($(BUFFER),4) CELLS += AND4 \ - AO2111 \ + AO23 \ AO41 \ AOA212 \ AOA311 \ @@ -132,48 +143,59 @@ CELLS += AND4 \ OAOA3211 \ OR4 +AND4: DESCR = "4-input AND gate" AND4: NAND3 $(POPCORN) -m nand -c $@ $< > $@ -AO2111: OR4 +AO23: DESCR = "2-3-input AND-OR gate" +AO23: OR4 $(POPCORN) -m aoi -c $@ $< > $@ +AO41: DESCR = "4-1-input AND-OR gate" AO41: AOI31 $(POPCORN) -m aoi -c $@ $< > $@ +AOA212: DESCR = "2-1-2-input AND-OR-AND gate" AOA212: OAI22 $(POPCORN) -m nand -c $@ $< > $@ +AOA311: DESCR = "3-1-1-input AND-OR-AND gate" AOA311: AOAI211 $(POPCORN) -m aoi -c $@ $< > $@ +OA22: DESCR = "2-2-input OR-AND gate" OA22: AND3 $(POPCORN) -m oai -c $@ $< > $@ +OA23: DESCR = "2-3-input OR-AND gate" OA23: AND4 $(POPCORN) -m oai -c $@ $< > $@ +OA33: DESCR = "3-3-input OR-AND gate" OA33: OA23 $(POPCORN) -m oai -c $@ $< > $@ +OA41: DESCR = "4-1-input OR-AND gate" OA41: OAI31 $(POPCORN) -m oai -c $@ $< > $@ -OA4111: OA3111 +OA43: DESCR = "4-3-input OR-AND gate" +OA43: OA33 $(POPCORN) -m oai -c $@ $< > $@ -OAO2111: AOI211 - $(POPCORN) -m nor -c $@ $< > $@ - +OAO311: DESCR = "3-1-1-input OR-AND-OR gate" OAO311: OAOI211 $(POPCORN) -m oai -c $@ $< > $@ +OAOA2211: DESCR = "2-2-1-1-input OR-AND-OR-AND gate" OAOA2211: AOA311 $(POPCORN) -m nor -c $@ $< > $@ +OAOA3211: DESCR = "3-2-1-1-input OR-AND-OR-AND gate" OAOA3211: OAOA2211 $(POPCORN) -m oai -c $@ $< > $@ +OR4: DESCR = "4-input OR gate" OR4: NOR3 $(POPCORN) -m nor -c $@ $< > $@ @@ -185,7 +207,7 @@ else CELLS += AOAI212 \ AOAI311 \ - AOI2111 \ + AOI23 \ AOI41 \ NAND4 \ NOR4 \ @@ -195,48 +217,57 @@ CELLS += AOAI212 \ OAI4111 \ OAOAI2211 \ OAOAI3211 \ - OAOI2111 \ OAOI311 +AOAI212: DESCR = "2-1-2-input AND-OR-AND-Invert gate" AOAI212: OAI22 $(POPCORN) -m nand -c $@ $< > $@ +AOAI311: DESCR = "3-1-1-input AND-OR-AND-Invert gate" AOAI311: AOAI211 $(POPCORN) -m aoi -c $@ $< > $@ -AOI2111: NOR4 +AOI23: DESCR = "2-3-input AND-OR-Invert gate" +AOI23: NOR4 $(POPCORN) -m aoi -c $@ $< > $@ +AOI41: DESCR = "4-1-input AND-OR-Invert gate" AOI41: AOI31 $(POPCORN) -m aoi -c $@ $< > $@ +NAND4: DESCR = "4-input Not-AND (or NAND) gate" NAND4: NAND3 $(POPCORN) -m nand -c $@ $< > $@ +NOR4: DESCR = "4-input Not-OR (or NOR) gate" NOR4: NOR3 $(POPCORN) -m nor -c $@ $< > $@ +OAI23: DESCR = "2-3-input OR-AND-Invert gate" OAI23: NAND4 $(POPCORN) -m oai -c $@ $< > $@ +OAI41: DESCR = "4-1-input OR-AND-Invert gate" OAI41: OAI31 $(POPCORN) -m oai -c $@ $< > $@ +OAI33: DESCR = "3-1-input OR-AND-Invert gate" OAI33: OAI23 $(POPCORN) -m oai -c $@ $< > $@ -OAI4111: OAI3111 +OAI43: DESCR = "4-3-input OR-AND-Invert gate" +OAI43: OAI33 $(POPCORN) -m oai -c $@ $< > $@ +OAOAI2211: DESCR = "2-2-1-1-input OR-AND-OR-AND-Invert gate" OAOAI2211: AOAI311 $(POPCORN) -m nor -c $@ $< > $@ +OAOAI3211: DESCR = "3-2-1-1-input OR-AND-OR-AND-Invert gate" OAOAI3211: OAOAI2211 $(POPCORN) -m oai -c $@ $< > $@ -OAOI2111: AOI211 - $(POPCORN) -m nor -c $@ $< > $@ - +OAOI311: DESCR = "3-1-1-input OR-AND-OR-Invert gate" OAOI311: OAOI211 $(POPCORN) -m oai -c $@ $< > $@ diff --git a/Tools/popcorn.1 b/Tools/popcorn.1 index cf3ee6cf..8e78d1db 100644 --- a/Tools/popcorn.1 +++ b/Tools/popcorn.1 @@ -54,6 +54,8 @@ .Op Fl b Ar number .Op Fl l Ar number .Op Fl m Ar method +.Op Fl c Ar name +.Op Fl D Ar string .Ar cell-file .Nm .Op Fl v @@ -75,6 +77,7 @@ utility expands a given combinatorial cell by different methods ( .Em oai ) and writes out the new derived cell information on .Dv STDOUT . +The new cell name and a cell description for the new cell can be specified. Second, the .Nm utility can be used to derive Schematics, Layouts and Documentation in @@ -129,6 +132,11 @@ which has at least of stacked transistors between output and any supply line. This will sharpen the maximum LOW and minimum HIGH output levels of the cell output. +.Ss \-c cellname +Specify the cell-name for the new generated cell. +.Ss \-D string +Specify the new cell functionality in a quoted string, e.g. "Not (or +Inverter) gate" which than occurs in generated cell documentation. .Ss \-e format Export cell description in one of the following file .Em formats : diff --git a/Tools/popcorn/popcorn b/Tools/popcorn/popcorn index 2db42c44..52777abb 100755 --- a/Tools/popcorn/popcorn +++ b/Tools/popcorn/popcorn @@ -3,4 +3,4 @@ POPCORNDIR=`dirname $0` # wrap Gauche/gosh exec call -gosh -I $POPCORNDIR -r 7 -m r7rs.user popcorn.scm $* +gosh -I $POPCORNDIR -r 7 -m r7rs.user popcorn.scm "$@" diff --git a/Tools/popcorn/popcorn-cell.scm b/Tools/popcorn/popcorn-cell.scm index 36d7a9de..25c3cc91 100644 --- a/Tools/popcorn/popcorn-cell.scm +++ b/Tools/popcorn/popcorn-cell.scm @@ -1220,10 +1220,6 @@ (let ((new-netlist (expand-netlist-parallel (expand-netlist-serial netlist 1st-node new-gate anchor) new-gate complementary))) (let ((2nd-node (next-node-number (intermediate-nodes new-netlist)))) (begin -(display cell-name (current-error-port)) (read-line) -(display anchor (current-error-port)) (read-line) -(display complementary (current-error-port)) (read-line) -(display new-gate (current-error-port)) (read-line) ; netlist (if (and (null? (buffer-network new-netlist)) (>= (metric-highest-stacked new-netlist) buffer-limit)) ; netlist is yet still not buffered but already on level diff --git a/Tools/popcorn/popcorn.scm b/Tools/popcorn/popcorn.scm index 91e8227c..f671b4ea 100644 --- a/Tools/popcorn/popcorn.scm +++ b/Tools/popcorn/popcorn.scm @@ -125,6 +125,9 @@ Copyright (c) 2019 by chipforge - " ; -c cellname (define cell-name "CELL") +; -d string + (define cell-descr ".AUTOGENERATED by \'Popcorn\' R7RS Scheme tool") + ; -e format (define export-format 'cell) @@ -182,6 +185,15 @@ Copyright (c) 2019 by chipforge - " ) ] + ; -D string + [(equal? (car arguments) "-D") + (let ([value (car (cdr arguments))] + [tail (cddr arguments)]) + (set! cell-descr value) + (set-parameters-with-args! eigen-name tail) + ) + ] + ; -e format [(equal? (car arguments) "-e") (let ([value (car (cdr arguments))] @@ -283,6 +295,12 @@ Copyright (c) 2019 by chipforge - " cell-name) (newline (at-port)) + ; -D string + (format (at-port) +"Cell Description: ~a" + cell-descr) + (newline (at-port)) + ; -e format (format (at-port) "Export Format: ~a" @@ -365,28 +383,28 @@ Copyright (c) 2019 by chipforge - " ; nand-wise [(equal? expansion-method 'nand) (begin - (cell:write-file (cell:expand-nand (cell:read-file cell-file) stacked-limit buffer-limit cell-name)) + (cell:write-file (cell:expand-nand (cell:read-file cell-file) stacked-limit buffer-limit cell-name cell-descr)) 0 ; exit value ) ] ; nor-wise [(equal? expansion-method 'nor) (begin - (cell:write-file (cell:expand-nor (cell:read-file cell-file) stacked-limit buffer-limit cell-name)) + (cell:write-file (cell:expand-nor (cell:read-file cell-file) stacked-limit buffer-limit cell-name cell-descr)) 0 ; exit value ) ] ; aoi-wise [(equal? expansion-method 'aoi) (begin - (cell:write-file (cell:expand-aoi (cell:read-file cell-file) stacked-limit buffer-limit cell-name)) + (cell:write-file (cell:expand-aoi (cell:read-file cell-file) stacked-limit buffer-limit cell-name cell-descr)) 0 ; exit value ) ] ; oai-wise [(equal? expansion-method 'oai) (begin - (cell:write-file (cell:expand-oai (cell:read-file cell-file) stacked-limit buffer-limit cell-name)) + (cell:write-file (cell:expand-oai (cell:read-file cell-file) stacked-limit buffer-limit cell-name cell-descr)) 0 ; exit value ) ] From 59a953b70185420d8b32199f56493ce6fcb9b932 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 14 Sep 2019 18:42:10 +0200 Subject: [PATCH 191/673] [TOOLS] Rectify popcorn for cell name and description, add Description to makefile --- Catalog/GNUmakefile | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 66296cfa..da5310da 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -61,8 +61,9 @@ CELLS = LIMIT ?= 5 BUFFER ?= $(LIMIT) # recommended, but free to reduce LEVEL ?= $(LIMIT) # hack for fencing expand algorithmen +DESCR ?= '?' -POPCORN ?= ../Tools/popcorn/popcorn -l $(LEVEL) -b $(BUFFER) +POPCORN ?= ../Tools/popcorn/popcorn -l $(LEVEL) -b $(BUFFER) -D $(DESCR) # ---------------------------------------------------------------- # DEFAULT TARGETS From 00636e2704561eb9763cbd2104548902c0697321 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 13:20:45 +0200 Subject: [PATCH 192/673] [DOC] Add LaTeX circuit for OOAAOI222 and OOAAO222 --- Documents/LaTeX/OOAAO222_circuit.tex | 63 +++++++++++++++++++++++++++ Documents/LaTeX/OOAAO222_manpage.tex | 61 ++++++++++++++++++++++++++ Documents/LaTeX/OOAAOI222_circuit.tex | 62 ++++++++++++++++++++++++++ Documents/LaTeX/OOAAOI222_manpage.tex | 61 ++++++++++++++++++++++++++ 4 files changed, 247 insertions(+) create mode 100644 Documents/LaTeX/OOAAO222_circuit.tex create mode 100644 Documents/LaTeX/OOAAO222_manpage.tex create mode 100644 Documents/LaTeX/OOAAOI222_circuit.tex create mode 100644 Documents/LaTeX/OOAAOI222_manpage.tex diff --git a/Documents/LaTeX/OOAAO222_circuit.tex b/Documents/LaTeX/OOAAO222_circuit.tex new file mode 100644 index 00000000..f77ac023 --- /dev/null +++ b/Documents/LaTeX/OOAAO222_circuit.tex @@ -0,0 +1,63 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAAO222_circuit.tex +%% +%% Purpose: Circuit File for OOAAO222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{12}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{8}{R}{}{} % NOR + \gate{not}{26}{8}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{9}{14}{9}{15} % wire between OR and AND + \wire{9}{9}{9}{10} % wire between OR and AND + \wire{16}{3}{16}{6} % wire between AND and NOR + \wire{16}{10}{16}{12} % wire between AND and NOR + \pin{30}{8}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAAO222_manpage.tex b/Documents/LaTeX/OOAAO222_manpage.tex new file mode 100644 index 00000000..ff3e4f9f --- /dev/null +++ b/Documents/LaTeX/OOAAO222_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAAO222_manpage.tex +%% +%% Purpose: Manual Page File for OOAAO222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAAO222} +\paragraph{Cell} +\begin{quote} + \textbf{OOAAO222} - a 2-2-2-input OR-OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAAO222(Z, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOAAO222_circuit.tex} +%\input{OOAAO222_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAAO222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OOAAOI222_circuit.tex b/Documents/LaTeX/OOAAOI222_circuit.tex new file mode 100644 index 00000000..8e075587 --- /dev/null +++ b/Documents/LaTeX/OOAAOI222_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAAOI222_circuit.tex +%% +%% Purpose: Circuit File for OOAAOI222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{12}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{8}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{9}{14}{9}{15} % wire between OR and AND + \wire{9}{9}{9}{10} % wire between OR and AND + \wire{16}{3}{16}{6} % wire between AND and NOR + \wire{16}{10}{16}{12} % wire between AND and NOR + \pin{24}{8}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAAOI222_manpage.tex b/Documents/LaTeX/OOAAOI222_manpage.tex new file mode 100644 index 00000000..e6ab4d6e --- /dev/null +++ b/Documents/LaTeX/OOAAOI222_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAAOI222_manpage.tex +%% +%% Purpose: Manual Page File for OOAAOI222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAAOI222} +\paragraph{Cell} +\begin{quote} + \textbf{OOAAOI222} - a 2-2-2-input OR-OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAAOI222(Y, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOAAOI222_circuit.tex} +%\input{OOAAOI222_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAAOI222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 70a5f9976033f193c18b01fe005b12bd44a9215b Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 13:39:25 +0200 Subject: [PATCH 193/673] [DOC] Add LaTeX circuit for AAOOA222 and AAOOAI222 --- Documents/LaTeX/AAOOA222_circuit.tex | 63 +++++++++++++++++++++++++++ Documents/LaTeX/AAOOA222_manpage.tex | 61 ++++++++++++++++++++++++++ Documents/LaTeX/AAOOAI222_circuit.tex | 62 ++++++++++++++++++++++++++ Documents/LaTeX/AAOOAI222_manpage.tex | 61 ++++++++++++++++++++++++++ 4 files changed, 247 insertions(+) create mode 100644 Documents/LaTeX/AAOOA222_circuit.tex create mode 100644 Documents/LaTeX/AAOOA222_manpage.tex create mode 100644 Documents/LaTeX/AAOOAI222_circuit.tex create mode 100644 Documents/LaTeX/AAOOAI222_manpage.tex diff --git a/Documents/LaTeX/AAOOA222_circuit.tex b/Documents/LaTeX/AAOOA222_circuit.tex new file mode 100644 index 00000000..ee489f84 --- /dev/null +++ b/Documents/LaTeX/AAOOA222_circuit.tex @@ -0,0 +1,63 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOOA222_circuit.tex +%% +%% Purpose: Circuit File for AAOOA222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{18} + \usgate + \gate[\inputs{2}]{and}{5}{15}{R}{}{} % OR + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{12}{12}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nand}{19}{8}{R}{}{} % NOR + \gate{not}{26}{8}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{9}{14}{9}{15} % wire between AND and OR + \wire{9}{9}{9}{10} % wire between AND and OR + \wire{16}{3}{16}{6} % wire between OR and NAND + \wire{16}{10}{16}{12} % wire between OR and NAND + \pin{30}{8}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOOA222_manpage.tex b/Documents/LaTeX/AAOOA222_manpage.tex new file mode 100644 index 00000000..06c14cd3 --- /dev/null +++ b/Documents/LaTeX/AAOOA222_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOOA222_manpage.tex +%% +%% Purpose: Manual Page File for AAOOA222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOOA222} +\paragraph{Cell} +\begin{quote} + \textbf{AAOOA222} - a 2-2-2-input AND-AND-OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOOA222(Z, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAOOA222_circuit.tex} +%\input{AAOOA222_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOOA222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AAOOAI222_circuit.tex b/Documents/LaTeX/AAOOAI222_circuit.tex new file mode 100644 index 00000000..d73e2e99 --- /dev/null +++ b/Documents/LaTeX/AAOOAI222_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOOAI222_circuit.tex +%% +%% Purpose: Circuit File for AAOOAI222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{18} + \usgate + \gate[\inputs{2}]{and}{5}{15}{R}{}{} % OR + \gate[\inputs{2}]{and}{5}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{12}{12}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nand}{19}{8}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{9}{14}{9}{15} % wire between AND and OR + \wire{9}{9}{9}{10} % wire between AND and OR + \wire{16}{3}{16}{6} % wire between OR and NAND + \wire{16}{10}{16}{12} % wire between OR and NAND + \pin{24}{8}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOOAI222_manpage.tex b/Documents/LaTeX/AAOOAI222_manpage.tex new file mode 100644 index 00000000..031fcebb --- /dev/null +++ b/Documents/LaTeX/AAOOAI222_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOOAI222_manpage.tex +%% +%% Purpose: Manual Page File for AAOOAI222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOOAI222} +\paragraph{Cell} +\begin{quote} + \textbf{AAOOAI222} - a 2-2-2-input AND-AND-OR-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOOAI222(Y, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAOOAI222_circuit.tex} +%\input{AAOOAI222_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOOAI222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 3c70fee7fa3cb2d6c9f4bd48c6a39d1e0372aa7d Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 13:51:08 +0200 Subject: [PATCH 194/673] [DOC] Add LaTeX circuit for OAAO312 and OAAOI312 --- Documents/LaTeX/OAAO312_circuit.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/OAAO312_manpage.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/OAAOI312_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/OAAOI312_manpage.tex | 61 ++++++++++++++++++++++++++++ 4 files changed, 243 insertions(+) create mode 100644 Documents/LaTeX/OAAO312_circuit.tex create mode 100644 Documents/LaTeX/OAAO312_manpage.tex create mode 100644 Documents/LaTeX/OAAOI312_circuit.tex create mode 100644 Documents/LaTeX/OAAOI312_manpage.tex diff --git a/Documents/LaTeX/OAAO312_circuit.tex b/Documents/LaTeX/OAAO312_circuit.tex new file mode 100644 index 00000000..a5884348 --- /dev/null +++ b/Documents/LaTeX/OAAO312_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO312_circuit.tex +%% +%% Purpose: Circuit File for OAAO312 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{6}{R}{}{} % NOR + \gate{not}{26}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{2}{7}{9}{7} % wire pin B + \wire{16}{3}{16}{4} % wire between AND and NOR + \wire{16}{9}{16}{8} % wire between AND and NOR + \pin{30}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO312_manpage.tex b/Documents/LaTeX/OAAO312_manpage.tex new file mode 100644 index 00000000..fe04e379 --- /dev/null +++ b/Documents/LaTeX/OAAO312_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO312_manpage.tex +%% +%% Purpose: Manual Page File for OAAO312 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO312} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO312} - a 3-1-2-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO312(Z, C2, C1, C, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAO312_circuit.tex} +%\input{OAAO312_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO312_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI312_circuit.tex b/Documents/LaTeX/OAAOI312_circuit.tex new file mode 100644 index 00000000..8f58dcb4 --- /dev/null +++ b/Documents/LaTeX/OAAOI312_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI312_circuit.tex +%% +%% Purpose: Circuit File for OAAOI312 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{2}{7}{9}{7} % wire pin B + \wire{16}{3}{16}{4} % wire between AND and NOR + \wire{16}{9}{16}{8} % wire between AND and NOR + \pin{24}{6}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI312_manpage.tex b/Documents/LaTeX/OAAOI312_manpage.tex new file mode 100644 index 00000000..0fda8c79 --- /dev/null +++ b/Documents/LaTeX/OAAOI312_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI312_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI312 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI312} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI312} - a 3-1-2-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI312(Z, C2, C1, C, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI312_circuit.tex} +%\input{OAAOI312_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI312_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 50ac921cf153f5398272a35732eb19ff2bc328fe Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 14:01:50 +0200 Subject: [PATCH 195/673] [DOC] Add LaTeX circuit for AOOAI312 and AOOA312 --- Documents/LaTeX/AOOA312_circuit.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/AOOA312_manpage.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/AOOAI312_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/AOOAI312_manpage.tex | 61 ++++++++++++++++++++++++++++ 4 files changed, 243 insertions(+) create mode 100644 Documents/LaTeX/AOOA312_circuit.tex create mode 100644 Documents/LaTeX/AOOA312_manpage.tex create mode 100644 Documents/LaTeX/AOOAI312_circuit.tex create mode 100644 Documents/LaTeX/AOOAI312_manpage.tex diff --git a/Documents/LaTeX/AOOA312_circuit.tex b/Documents/LaTeX/AOOA312_circuit.tex new file mode 100644 index 00000000..aed2c77b --- /dev/null +++ b/Documents/LaTeX/AOOA312_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOOA312_circuit.tex +%% +%% Purpose: Circuit File for AOOA312 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{12}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{6}{R}{}{} % NAND + \gate{not}{26}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{2}{7}{9}{7} % wire pin B + \wire{16}{3}{16}{4} % wire between OR and NAND + \wire{16}{9}{16}{8} % wire between OR and NAND + \pin{30}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOOA312_manpage.tex b/Documents/LaTeX/AOOA312_manpage.tex new file mode 100644 index 00000000..439d7217 --- /dev/null +++ b/Documents/LaTeX/AOOA312_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOOA312_manpage.tex +%% +%% Purpose: Manual Page File for AOOA312 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOOA312} +\paragraph{Cell} +\begin{quote} + \textbf{AOOA312} - a 3-1-2-input AND-OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOOA312(Z, C2, C1, C, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AOOA312_circuit.tex} +%\input{AOOA312_schematic.tex} + +\paragraph{Truth Table} +%\input{AOOA312_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AOOAI312_circuit.tex b/Documents/LaTeX/AOOAI312_circuit.tex new file mode 100644 index 00000000..0cdec2b5 --- /dev/null +++ b/Documents/LaTeX/AOOAI312_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOOAI312_circuit.tex +%% +%% Purpose: Circuit File for AOOAI312 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{9}{R}{}{} % OR + \gate[\inputs{2}]{or}{12}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{6}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{9}{1} % wire pin A + \wire{2}{5}{9}{5} % wire pin A1 + \wire{2}{7}{9}{7} % wire pin B + \wire{16}{3}{16}{4} % wire between OR and NAND + \wire{16}{9}{16}{8} % wire between OR and NAND + \pin{24}{6}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOOAI312_manpage.tex b/Documents/LaTeX/AOOAI312_manpage.tex new file mode 100644 index 00000000..dc1dab17 --- /dev/null +++ b/Documents/LaTeX/AOOAI312_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOOAI312_manpage.tex +%% +%% Purpose: Manual Page File for AOOAI312 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOOAI312} +\paragraph{Cell} +\begin{quote} + \textbf{AOOAI312} - a 3-1-2-input AND-OR-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOOAI312(Z, C2, C1, C, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AOOAI312_circuit.tex} +%\input{AOOAI312_schematic.tex} + +\paragraph{Truth Table} +%\input{AOOAI312_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 2505d7c5f9cb2023e4dcc38ae9a05f33685ef152 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 16:30:39 +0200 Subject: [PATCH 196/673] [DOC] Add LaTeX circuit for OOAOI221 and OOAO221 --- Documents/LaTeX/OOAO221_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/OOAO221_manpage.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/OOAOI221_circuit.tex | 59 +++++++++++++++++++++++++++ Documents/LaTeX/OOAOI221_manpage.tex | 61 ++++++++++++++++++++++++++++ 4 files changed, 241 insertions(+) create mode 100644 Documents/LaTeX/OOAO221_circuit.tex create mode 100644 Documents/LaTeX/OOAO221_manpage.tex create mode 100644 Documents/LaTeX/OOAOI221_circuit.tex create mode 100644 Documents/LaTeX/OOAOI221_manpage.tex diff --git a/Documents/LaTeX/OOAO221_circuit.tex b/Documents/LaTeX/OOAO221_circuit.tex new file mode 100644 index 00000000..168256c3 --- /dev/null +++ b/Documents/LaTeX/OOAO221_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAO221_circuit.tex +%% +%% Purpose: Circuit File for OOAO221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{8}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{4}{R}{}{} % NOR + \gate{not}{26}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between OR and AND + \wire{9}{10}{9}{11} % wire between OR and AND + \wire{16}{1}{16}{2} % wire between AND and NOR + \wire{16}{6}{16}{8} % wire between AND and NOR + \pin{30}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAO221_manpage.tex b/Documents/LaTeX/OOAO221_manpage.tex new file mode 100644 index 00000000..fdad275e --- /dev/null +++ b/Documents/LaTeX/OOAO221_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAO221_manpage.tex +%% +%% Purpose: Manual Page File for OOAO221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAO221} +\paragraph{Cell} +\begin{quote} + \textbf{OOAO221} - a 2-2-1-input OR-OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAO221(Z, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOAO221_circuit.tex} +%\input{OOAO221_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAO221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OOAOI221_circuit.tex b/Documents/LaTeX/OOAOI221_circuit.tex new file mode 100644 index 00000000..359974b3 --- /dev/null +++ b/Documents/LaTeX/OOAOI221_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAOI221_circuit.tex +%% +%% Purpose: Circuit File for OOAOI221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{8}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{4}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between OR and AND + \wire{9}{10}{9}{11} % wire between OR and AND + \wire{16}{1}{16}{2} % wire between AND and NOR + \wire{16}{6}{16}{8} % wire between AND and NOR + \pin{24}{4}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAOI221_manpage.tex b/Documents/LaTeX/OOAOI221_manpage.tex new file mode 100644 index 00000000..e3dc9483 --- /dev/null +++ b/Documents/LaTeX/OOAOI221_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAOI221_manpage.tex +%% +%% Purpose: Manual Page File for OOAOI221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAOI221} +\paragraph{Cell} +\begin{quote} + \textbf{OOAOI221} - a 2-2-1-input OR-OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAOI221(Y, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOAOI221_circuit.tex} +%\input{OOAOI221_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAOI221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From a3a85159f91bf283320b89e49a355cbefef84382 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 16:54:47 +0200 Subject: [PATCH 197/673] [DOC] Add LaTeX circuit for AAOAI221 and AAOA221 --- Documents/LaTeX/AAOA221_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/AAOA221_manpage.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/AAOAI221_circuit.tex | 59 +++++++++++++++++++++++++++ Documents/LaTeX/AAOAI221_manpage.tex | 61 ++++++++++++++++++++++++++++ 4 files changed, 241 insertions(+) create mode 100644 Documents/LaTeX/AAOA221_circuit.tex create mode 100644 Documents/LaTeX/AAOA221_manpage.tex create mode 100644 Documents/LaTeX/AAOAI221_circuit.tex create mode 100644 Documents/LaTeX/AAOAI221_manpage.tex diff --git a/Documents/LaTeX/AAOA221_circuit.tex b/Documents/LaTeX/AAOA221_circuit.tex new file mode 100644 index 00000000..80528ad3 --- /dev/null +++ b/Documents/LaTeX/AAOA221_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOA221_circuit.tex +%% +%% Purpose: Circuit File for AAOA221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{8}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{4}{R}{}{} % NAND + \gate{not}{26}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between AND and OR + \wire{9}{10}{9}{11} % wire between AND and OR + \wire{16}{1}{16}{2} % wire between OR and NAND + \wire{16}{6}{16}{8} % wire between OR and NAND + \pin{30}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOA221_manpage.tex b/Documents/LaTeX/AAOA221_manpage.tex new file mode 100644 index 00000000..847fe9d2 --- /dev/null +++ b/Documents/LaTeX/AAOA221_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOA221_manpage.tex +%% +%% Purpose: Manual Page File for AAOA221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOA221} +\paragraph{Cell} +\begin{quote} + \textbf{AAOA221} - a 2-2-1-input AND-AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOA221(Z, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAOA221_circuit.tex} +%\input{AAOA221_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOA221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AAOAI221_circuit.tex b/Documents/LaTeX/AAOAI221_circuit.tex new file mode 100644 index 00000000..296b1798 --- /dev/null +++ b/Documents/LaTeX/AAOAI221_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOAI221_circuit.tex +%% +%% Purpose: Circuit File for AAOAI221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{2}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{8}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{4}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between AND and OR + \wire{9}{10}{9}{11} % wire between AND and OR + \wire{16}{1}{16}{2} % wire between OR and NAND + \wire{16}{6}{16}{8} % wire between OR and NAND + \pin{24}{4}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOAI221_manpage.tex b/Documents/LaTeX/AAOAI221_manpage.tex new file mode 100644 index 00000000..b145338d --- /dev/null +++ b/Documents/LaTeX/AAOAI221_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOAI221_manpage.tex +%% +%% Purpose: Manual Page File for AAOAI221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOAI221} +\paragraph{Cell} +\begin{quote} + \textbf{AAOAI221} - a 2-2-1-input AND-AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOAI221(Y, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAOAI221_circuit.tex} +%\input{AAOAI221_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOAI221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 30c2318603fed5293c3476429ac0a2d1fb9c8a05 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 17:28:30 +0200 Subject: [PATCH 198/673] [DOC] Add LaTeX circuit for OOAI42 and OOA42 --- Documents/LaTeX/OOA42_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/OOA42_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/OOAI42_circuit.tex | 56 ++++++++++++++++++++++++++++ Documents/LaTeX/OOAI42_manpage.tex | 59 ++++++++++++++++++++++++++++++ 4 files changed, 231 insertions(+) create mode 100644 Documents/LaTeX/OOA42_circuit.tex create mode 100644 Documents/LaTeX/OOA42_manpage.tex create mode 100644 Documents/LaTeX/OOAI42_circuit.tex create mode 100644 Documents/LaTeX/OOAI42_manpage.tex diff --git a/Documents/LaTeX/OOA42_circuit.tex b/Documents/LaTeX/OOA42_circuit.tex new file mode 100644 index 00000000..caaeb211 --- /dev/null +++ b/Documents/LaTeX/OOA42_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA42_circuit.tex +%% +%% Purpose: Circuit File for OOA42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{4}]{or}{5}{10}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{3}{9}{4} % wire between OR and NAND + \wire{9}{10}{9}{8} % wire between OR and NAND + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOA42_manpage.tex b/Documents/LaTeX/OOA42_manpage.tex new file mode 100644 index 00000000..22e6a7ae --- /dev/null +++ b/Documents/LaTeX/OOA42_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA42_manpage.tex +%% +%% Purpose: Manual Page File for OOA42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOA42} +\paragraph{Cell} +\begin{quote} + \textbf{OOA42} - a 4-2-input OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOA42(Z, B3, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOA42_circuit.tex} +%\input{OOA42_schematic.tex} + +\paragraph{Truth Table} +%\input{OOA42_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OOAI42_circuit.tex b/Documents/LaTeX/OOAI42_circuit.tex new file mode 100644 index 00000000..ffe0f054 --- /dev/null +++ b/Documents/LaTeX/OOAI42_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAI42_circuit.tex +%% +%% Purpose: Circuit File for OOAI42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{4}]{or}{5}{10}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{3}{9}{4} % wire between OR and NAND + \wire{9}{10}{9}{8} % wire between OR and NAND + \pin{17}{6}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAI42_manpage.tex b/Documents/LaTeX/OOAI42_manpage.tex new file mode 100644 index 00000000..ea4bb0f1 --- /dev/null +++ b/Documents/LaTeX/OOAI42_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAI42_manpage.tex +%% +%% Purpose: Manual Page File for OOAI42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAI42} +\paragraph{Cell} +\begin{quote} + \textbf{OOAI42} - a 4-2-input OR-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAI42(Y, B3, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOAI42_circuit.tex} +%\input{OOAI42_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAI42_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 6577ccb13bfe211d2534c7e7a82f7f46ebf5ec3c Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 17:41:19 +0200 Subject: [PATCH 199/673] [DOC] Add LaTeX circuit for OOA43 and OOAI43 --- Documents/LaTeX/OOA43_circuit.tex | 58 +++++++++++++++++++++++++++++ Documents/LaTeX/OOA43_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/OOAI43_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/OOAI43_manpage.tex | 59 ++++++++++++++++++++++++++++++ 4 files changed, 233 insertions(+) create mode 100644 Documents/LaTeX/OOA43_circuit.tex create mode 100644 Documents/LaTeX/OOA43_manpage.tex create mode 100644 Documents/LaTeX/OOAI43_circuit.tex create mode 100644 Documents/LaTeX/OOAI43_manpage.tex diff --git a/Documents/LaTeX/OOA43_circuit.tex b/Documents/LaTeX/OOA43_circuit.tex new file mode 100644 index 00000000..e372d9b9 --- /dev/null +++ b/Documents/LaTeX/OOA43_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA43_circuit.tex +%% +%% Purpose: Circuit File for OOA43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{4}]{or}{5}{10}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{3}{9}{4} % wire between OR and NAND + \wire{9}{10}{9}{8} % wire between OR and NAND + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOA43_manpage.tex b/Documents/LaTeX/OOA43_manpage.tex new file mode 100644 index 00000000..706d12b3 --- /dev/null +++ b/Documents/LaTeX/OOA43_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA43_manpage.tex +%% +%% Purpose: Manual Page File for OOA43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOA43} +\paragraph{Cell} +\begin{quote} + \textbf{OOA43} - a 4-3-input OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOA43(Z, B3, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOA43_circuit.tex} +%\input{OOA43_schematic.tex} + +\paragraph{Truth Table} +%\input{OOA43_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OOAI43_circuit.tex b/Documents/LaTeX/OOAI43_circuit.tex new file mode 100644 index 00000000..a6d0dc1d --- /dev/null +++ b/Documents/LaTeX/OOAI43_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAI43_circuit.tex +%% +%% Purpose: Circuit File for OOAI43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{4}]{or}{5}{10}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{3}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{6}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{3}{9}{4} % wire between OR and NAND + \wire{9}{10}{9}{8} % wire between OR and NAND + \pin{17}{6}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAI43_manpage.tex b/Documents/LaTeX/OOAI43_manpage.tex new file mode 100644 index 00000000..63529184 --- /dev/null +++ b/Documents/LaTeX/OOAI43_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAI43_manpage.tex +%% +%% Purpose: Manual Page File for OOAI43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAI43} +\paragraph{Cell} +\begin{quote} + \textbf{OOAI43} - a 4-3-input OR-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAI43(Y, B3, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOAI43_circuit.tex} +%\input{OOAI43_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAI43_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 908a9eb1d44098cd265da80d27aa375c1c8b3902 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 18:00:41 +0200 Subject: [PATCH 200/673] [DOC] Add LaTeX circuit for OOAI44 and OOA44 --- Documents/LaTeX/OOA44_circuit.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/OOA44_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/OOAI44_circuit.tex | 58 +++++++++++++++++++++++++++++ Documents/LaTeX/OOAI44_manpage.tex | 59 ++++++++++++++++++++++++++++++ 4 files changed, 235 insertions(+) create mode 100644 Documents/LaTeX/OOA44_circuit.tex create mode 100644 Documents/LaTeX/OOA44_manpage.tex create mode 100644 Documents/LaTeX/OOAI44_circuit.tex create mode 100644 Documents/LaTeX/OOAI44_manpage.tex diff --git a/Documents/LaTeX/OOA44_circuit.tex b/Documents/LaTeX/OOA44_circuit.tex new file mode 100644 index 00000000..fb6fc8e9 --- /dev/null +++ b/Documents/LaTeX/OOA44_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA44_circuit.tex +%% +%% Purpose: Circuit File for OOA44 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{16} + \usgate + \gate[\inputs{4}]{or}{5}{12}{R}{}{} % OR + \gate[\inputs{4}]{or}{5}{4}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{8}{R}{}{} % NAND + \gate{not}{19}{8}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{A3} % pin A3 + \pin{1}{9}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{B2} % pin B2 + \pin{1}{15}{L}{B3} % pin B3 + \wire{9}{4}{9}{6} % wire between OR and NAND + \wire{9}{12}{9}{10} % wire between OR and NAND + \pin{23}{8}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOA44_manpage.tex b/Documents/LaTeX/OOA44_manpage.tex new file mode 100644 index 00000000..3888fc13 --- /dev/null +++ b/Documents/LaTeX/OOA44_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOA44_manpage.tex +%% +%% Purpose: Manual Page File for OOA44 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOA44} +\paragraph{Cell} +\begin{quote} + \textbf{OOA44} - a 4-4-input OR-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOA44(Z, B3, B2, B1, B, A3, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOA44_circuit.tex} +%\input{OOA44_schematic.tex} + +\paragraph{Truth Table} +%\input{OOA44_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OOAI44_circuit.tex b/Documents/LaTeX/OOAI44_circuit.tex new file mode 100644 index 00000000..a7c8fece --- /dev/null +++ b/Documents/LaTeX/OOAI44_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAI44_circuit.tex +%% +%% Purpose: Circuit File for OOAI44 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{16} + \usgate + \gate[\inputs{4}]{or}{5}{12}{R}{}{} % OR + \gate[\inputs{4}]{or}{5}{4}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{8}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{A3} % pin A3 + \pin{1}{9}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{B2} % pin B2 + \pin{1}{15}{L}{B3} % pin B3 + \wire{9}{4}{9}{6} % wire between OR and NAND + \wire{9}{12}{9}{10} % wire between OR and NAND + \pin{17}{8}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAI44_manpage.tex b/Documents/LaTeX/OOAI44_manpage.tex new file mode 100644 index 00000000..34d3314a --- /dev/null +++ b/Documents/LaTeX/OOAI44_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAI44_manpage.tex +%% +%% Purpose: Manual Page File for OOAI44 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAI44} +\paragraph{Cell} +\begin{quote} + \textbf{OOAI44} - a 4-4-input OR-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAI44(Y, B3, B2, B1, B, A3, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OOAI44_circuit.tex} +%\input{OOAI44_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAI44_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 23d08ea02a3dee45f59e37141196be4d9bb27d32 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 18:11:14 +0200 Subject: [PATCH 201/673] [DOC] Add LaTeX circuit for AAOI42 and AAO42 --- Documents/LaTeX/AAO42_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AAO42_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/AAOI42_circuit.tex | 56 ++++++++++++++++++++++++++++ Documents/LaTeX/AAOI42_manpage.tex | 59 ++++++++++++++++++++++++++++++ 4 files changed, 231 insertions(+) create mode 100644 Documents/LaTeX/AAO42_circuit.tex create mode 100644 Documents/LaTeX/AAO42_manpage.tex create mode 100644 Documents/LaTeX/AAOI42_circuit.tex create mode 100644 Documents/LaTeX/AAOI42_manpage.tex diff --git a/Documents/LaTeX/AAO42_circuit.tex b/Documents/LaTeX/AAO42_circuit.tex new file mode 100644 index 00000000..63e140e3 --- /dev/null +++ b/Documents/LaTeX/AAO42_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO42_circuit.tex +%% +%% Purpose: Circuit File for AAO42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{4}]{and}{5}{10}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{10}{9}{8} % wire between AND and NOR + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO42_manpage.tex b/Documents/LaTeX/AAO42_manpage.tex new file mode 100644 index 00000000..db4637cf --- /dev/null +++ b/Documents/LaTeX/AAO42_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO42_manpage.tex +%% +%% Purpose: Manual Page File for AAO42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO42} +\paragraph{Cell} +\begin{quote} + \textbf{AAO42} - a 4-2-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO42(Z, B3, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAO42_circuit.tex} +%\input{AAO42_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO42_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AAOI42_circuit.tex b/Documents/LaTeX/AAOI42_circuit.tex new file mode 100644 index 00000000..a2ed2d3a --- /dev/null +++ b/Documents/LaTeX/AAOI42_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI42_circuit.tex +%% +%% Purpose: Circuit File for AAOI42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{4}]{and}{5}{10}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{10}{9}{8} % wire between AND and NOR + \pin{17}{6}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOI42_manpage.tex b/Documents/LaTeX/AAOI42_manpage.tex new file mode 100644 index 00000000..c1e3de38 --- /dev/null +++ b/Documents/LaTeX/AAOI42_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI42_manpage.tex +%% +%% Purpose: Manual Page File for AAOI42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOI42} +\paragraph{Cell} +\begin{quote} + \textbf{AAOI42} - a 4-2-input AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOI42(Y, B3, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAOI42_circuit.tex} +%\input{AAOI42_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOI42_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 08cd58497d550ae1c9f4b3ed91014ea3ab52ac07 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 18:29:16 +0200 Subject: [PATCH 202/673] [DOC] Add LaTeX circuit for AAOI43 and AAO43 --- Documents/LaTeX/AAO43_circuit.tex | 58 +++++++++++++++++++++++++++++ Documents/LaTeX/AAO43_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/AAOI43_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AAOI43_manpage.tex | 59 ++++++++++++++++++++++++++++++ 4 files changed, 233 insertions(+) create mode 100644 Documents/LaTeX/AAO43_circuit.tex create mode 100644 Documents/LaTeX/AAO43_manpage.tex create mode 100644 Documents/LaTeX/AAOI43_circuit.tex create mode 100644 Documents/LaTeX/AAOI43_manpage.tex diff --git a/Documents/LaTeX/AAO43_circuit.tex b/Documents/LaTeX/AAO43_circuit.tex new file mode 100644 index 00000000..7c558f9a --- /dev/null +++ b/Documents/LaTeX/AAO43_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO43_circuit.tex +%% +%% Purpose: Circuit File for AAO43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{14} + \usgate + \gate[\inputs{4}]{and}{5}{10}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \gate{not}{19}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{10}{9}{8} % wire between AND and NOR + \pin{23}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO43_manpage.tex b/Documents/LaTeX/AAO43_manpage.tex new file mode 100644 index 00000000..a786dc8f --- /dev/null +++ b/Documents/LaTeX/AAO43_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO43_manpage.tex +%% +%% Purpose: Manual Page File for AAO43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO43} +\paragraph{Cell} +\begin{quote} + \textbf{AAO43} - a 4-3-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO43(Z, B3, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAO43_circuit.tex} +%\input{AAO43_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO43_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AAOI43_circuit.tex b/Documents/LaTeX/AAOI43_circuit.tex new file mode 100644 index 00000000..301e83eb --- /dev/null +++ b/Documents/LaTeX/AAOI43_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI43_circuit.tex +%% +%% Purpose: Circuit File for AAOI43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{14} + \usgate + \gate[\inputs{4}]{and}{5}{10}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{3}{9}{4} % wire between AND and NOR + \wire{9}{10}{9}{8} % wire between AND and NOR + \pin{17}{6}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOI43_manpage.tex b/Documents/LaTeX/AAOI43_manpage.tex new file mode 100644 index 00000000..d5f76202 --- /dev/null +++ b/Documents/LaTeX/AAOI43_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI43_manpage.tex +%% +%% Purpose: Manual Page File for AAOI43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOI43} +\paragraph{Cell} +\begin{quote} + \textbf{AAOI43} - a 4-3-input AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOI43(Y, B3, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAOI43_circuit.tex} +%\input{AAOI43_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOI43_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 543b89affcb2b646d5d12d75f6b3478cc2756aed Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 18:37:16 +0200 Subject: [PATCH 203/673] [DOC] Add LaTeX circuit for AAO44 and AAOI44 --- Documents/LaTeX/AAO44_circuit.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/AAO44_manpage.tex | 59 ++++++++++++++++++++++++++++++ Documents/LaTeX/AAOI44_circuit.tex | 58 +++++++++++++++++++++++++++++ Documents/LaTeX/AAOI44_manpage.tex | 59 ++++++++++++++++++++++++++++++ 4 files changed, 235 insertions(+) create mode 100644 Documents/LaTeX/AAO44_circuit.tex create mode 100644 Documents/LaTeX/AAO44_manpage.tex create mode 100644 Documents/LaTeX/AAOI44_circuit.tex create mode 100644 Documents/LaTeX/AAOI44_manpage.tex diff --git a/Documents/LaTeX/AAO44_circuit.tex b/Documents/LaTeX/AAO44_circuit.tex new file mode 100644 index 00000000..ae50ecb7 --- /dev/null +++ b/Documents/LaTeX/AAO44_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO44_circuit.tex +%% +%% Purpose: Circuit File for AAO44 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{16} + \usgate + \gate[\inputs{4}]{and}{5}{12}{R}{}{} % AND + \gate[\inputs{4}]{and}{5}{4}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{8}{R}{}{} % NOR + \gate{not}{19}{8}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{A3} % pin A3 + \pin{1}{9}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{B2} % pin B2 + \pin{1}{15}{L}{B3} % pin B3 + \wire{9}{4}{9}{6} % wire between AND and NOR + \wire{9}{12}{9}{10} % wire between AND and NOR + \pin{23}{8}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO44_manpage.tex b/Documents/LaTeX/AAO44_manpage.tex new file mode 100644 index 00000000..e48f885c --- /dev/null +++ b/Documents/LaTeX/AAO44_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO44_manpage.tex +%% +%% Purpose: Manual Page File for AAO44 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO44} +\paragraph{Cell} +\begin{quote} + \textbf{AAO44} - a 4-4-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO44(Z, B3, B2, B1, B, A3, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAO44_circuit.tex} +%\input{AAO44_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO44_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AAOI44_circuit.tex b/Documents/LaTeX/AAOI44_circuit.tex new file mode 100644 index 00000000..619cdb9c --- /dev/null +++ b/Documents/LaTeX/AAOI44_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI44_circuit.tex +%% +%% Purpose: Circuit File for AAOI44 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{16} + \usgate + \gate[\inputs{4}]{and}{5}{12}{R}{}{} % AND + \gate[\inputs{4}]{and}{5}{4}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{8}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{A3} % pin A3 + \pin{1}{9}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 + \pin{1}{13}{L}{B2} % pin B2 + \pin{1}{15}{L}{B3} % pin B3 + \wire{9}{4}{9}{6} % wire between AND and NOR + \wire{9}{12}{9}{10} % wire between AND and NOR + \pin{17}{8}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOI44_manpage.tex b/Documents/LaTeX/AAOI44_manpage.tex new file mode 100644 index 00000000..110a72b4 --- /dev/null +++ b/Documents/LaTeX/AAOI44_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI44_manpage.tex +%% +%% Purpose: Manual Page File for AAOI44 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOI44} +\paragraph{Cell} +\begin{quote} + \textbf{AAOI44} - a 4-4-input AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOI44(Y, B3, B2, B1, B, A3, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAOI44_circuit.tex} +%\input{AAOI44_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOI44_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From a63c9d5934b2bf26f1526d1f85fbfc9514987051 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 19:04:13 +0200 Subject: [PATCH 204/673] [DOC] Add LaTeX circuit for AAOAI331 and AAOA311 --- Documents/LaTeX/AAOA331_circuit.tex | 62 ++++++++++++++++++++++++++++ Documents/LaTeX/AAOA331_manpage.tex | 61 +++++++++++++++++++++++++++ Documents/LaTeX/AAOAI331_circuit.tex | 61 +++++++++++++++++++++++++++ Documents/LaTeX/AAOAI331_manpage.tex | 61 +++++++++++++++++++++++++++ 4 files changed, 245 insertions(+) create mode 100644 Documents/LaTeX/AAOA331_circuit.tex create mode 100644 Documents/LaTeX/AAOA331_manpage.tex create mode 100644 Documents/LaTeX/AAOAI331_circuit.tex create mode 100644 Documents/LaTeX/AAOAI331_manpage.tex diff --git a/Documents/LaTeX/AAOA331_circuit.tex b/Documents/LaTeX/AAOA331_circuit.tex new file mode 100644 index 00000000..d22987a9 --- /dev/null +++ b/Documents/LaTeX/AAOA331_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOA331_circuit.tex +%% +%% Purpose: Circuit File for AAOA331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{8}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{4}{R}{}{} % NAND + \gate{not}{26}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between AND and OR + \wire{9}{10}{9}{11} % wire between AND and OR + \wire{16}{1}{16}{2} % wire between OR and NAND + \wire{16}{6}{16}{8} % wire between OR and NAND + \pin{30}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOA331_manpage.tex b/Documents/LaTeX/AAOA331_manpage.tex new file mode 100644 index 00000000..99aee843 --- /dev/null +++ b/Documents/LaTeX/AAOA331_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOA331_manpage.tex +%% +%% Purpose: Manual Page File for AAOA331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOA331} +\paragraph{Cell} +\begin{quote} + \textbf{AAOA331} - a 3-3-1-input AND-AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOA331(Z, C2, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAOA331_circuit.tex} +%\input{AAOA331_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOA331_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AAOAI331_circuit.tex b/Documents/LaTeX/AAOAI331_circuit.tex new file mode 100644 index 00000000..f103484e --- /dev/null +++ b/Documents/LaTeX/AAOAI331_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOAI331_circuit.tex +%% +%% Purpose: Circuit File for AAOAI331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{8}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{4}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between AND and OR + \wire{9}{10}{9}{11} % wire between AND and OR + \wire{16}{1}{16}{2} % wire between OR and NAND + \wire{16}{6}{16}{8} % wire between OR and NAND + \pin{24}{4}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOAI331_manpage.tex b/Documents/LaTeX/AAOAI331_manpage.tex new file mode 100644 index 00000000..82ef7269 --- /dev/null +++ b/Documents/LaTeX/AAOAI331_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOAI331_manpage.tex +%% +%% Purpose: Manual Page File for AAOAI331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOAI331} +\paragraph{Cell} +\begin{quote} + \textbf{AAOAI331} - a 3-3-1-input AND-AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOAI331(Y, C2, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAOAI331_circuit.tex} +%\input{AAOAI331_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOAI331_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 32fc79ec51d0a1d443b4d5396380e9c9d1973791 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 19:36:24 +0200 Subject: [PATCH 205/673] [DOC] Add LaTeX circuit for AAOA321 and AAOAI321 --- Documents/LaTeX/AAOA321_circuit.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/AAOA321_manpage.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/AAOAI321_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/AAOAI321_manpage.tex | 61 ++++++++++++++++++++++++++++ 4 files changed, 243 insertions(+) create mode 100644 Documents/LaTeX/AAOA321_circuit.tex create mode 100644 Documents/LaTeX/AAOA321_manpage.tex create mode 100644 Documents/LaTeX/AAOAI321_circuit.tex create mode 100644 Documents/LaTeX/AAOAI321_manpage.tex diff --git a/Documents/LaTeX/AAOA321_circuit.tex b/Documents/LaTeX/AAOA321_circuit.tex new file mode 100644 index 00000000..4590243e --- /dev/null +++ b/Documents/LaTeX/AAOA321_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOA321_circuit.tex +%% +%% Purpose: Circuit File for AAOA321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{8}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{4}{R}{}{} % NAND + \gate{not}{26}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between AND and OR + \wire{9}{10}{9}{11} % wire between AND and OR + \wire{16}{1}{16}{2} % wire between OR and NAND + \wire{16}{6}{16}{8} % wire between OR and NAND + \pin{30}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOA321_manpage.tex b/Documents/LaTeX/AAOA321_manpage.tex new file mode 100644 index 00000000..02857027 --- /dev/null +++ b/Documents/LaTeX/AAOA321_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOA321_manpage.tex +%% +%% Purpose: Manual Page File for AAOA321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOA321} +\paragraph{Cell} +\begin{quote} + \textbf{AAOA321} - a 3-2-1-input AND-AND-OR-AND gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOA321(Z, C2, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAOA321_circuit.tex} +%\input{AAOA321_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOA321_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AAOAI321_circuit.tex b/Documents/LaTeX/AAOAI321_circuit.tex new file mode 100644 index 00000000..1349bc94 --- /dev/null +++ b/Documents/LaTeX/AAOAI321_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOAI321_circuit.tex +%% +%% Purpose: Circuit File for AAOAI321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{3}]{and}{5}{11}{R}{}{} % AND + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{8}{R}{}{} % OR + \gate[\inputs{2}]{nand}{19}{4}{R}{}{} % NAND + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between AND and OR + \wire{9}{10}{9}{11} % wire between AND and OR + \wire{16}{1}{16}{2} % wire between OR and NAND + \wire{16}{6}{16}{8} % wire between OR and NAND + \pin{24}{4}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOAI321_manpage.tex b/Documents/LaTeX/AAOAI321_manpage.tex new file mode 100644 index 00000000..906a2230 --- /dev/null +++ b/Documents/LaTeX/AAOAI321_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOAI321_manpage.tex +%% +%% Purpose: Manual Page File for AAOAI321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOAI321} +\paragraph{Cell} +\begin{quote} + \textbf{AAOAI321} - a 3-2-1-input AND-AND-OR-AND-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOAI321(Y, C2, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAOAI321_circuit.tex} +%\input{AAOAI321_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOAI321_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From f4d5e494046bd43410337167cb6d3e7b8aa88514 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 19:44:48 +0200 Subject: [PATCH 206/673] [DOC] Add LaTeX circuit for OOAO321 and OOAOI321 --- Documents/LaTeX/OOAO321_circuit.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/OOAO321_manpage.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/OOAOI321_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/OOAOI321_manpage.tex | 61 ++++++++++++++++++++++++++++ 4 files changed, 243 insertions(+) create mode 100644 Documents/LaTeX/OOAO321_circuit.tex create mode 100644 Documents/LaTeX/OOAO321_manpage.tex create mode 100644 Documents/LaTeX/OOAOI321_circuit.tex create mode 100644 Documents/LaTeX/OOAOI321_manpage.tex diff --git a/Documents/LaTeX/OOAO321_circuit.tex b/Documents/LaTeX/OOAO321_circuit.tex new file mode 100644 index 00000000..6d81f550 --- /dev/null +++ b/Documents/LaTeX/OOAO321_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAO321_circuit.tex +%% +%% Purpose: Circuit File for OOAO321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{8}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{4}{R}{}{} % NOR + \gate{not}{26}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between OR and AND + \wire{9}{10}{9}{11} % wire between OR and AND + \wire{16}{1}{16}{2} % wire between AND and NOR + \wire{16}{6}{16}{8} % wire between AND and NOR + \pin{30}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAO321_manpage.tex b/Documents/LaTeX/OOAO321_manpage.tex new file mode 100644 index 00000000..43534366 --- /dev/null +++ b/Documents/LaTeX/OOAO321_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAO321_manpage.tex +%% +%% Purpose: Manual Page File for OOAO321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAO321} +\paragraph{Cell} +\begin{quote} + \textbf{OOAO321} - a 3-2-1-input OR-OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAO321(Z, C2, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOAO321_circuit.tex} +%\input{OOAO321_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAO321_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OOAOI321_circuit.tex b/Documents/LaTeX/OOAOI321_circuit.tex new file mode 100644 index 00000000..bbe9658f --- /dev/null +++ b/Documents/LaTeX/OOAOI321_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAOI321_circuit.tex +%% +%% Purpose: Circuit File for OOAOI321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{8}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{4}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between OR and AND + \wire{9}{10}{9}{11} % wire between OR and AND + \wire{16}{1}{16}{2} % wire between AND and NOR + \wire{16}{6}{16}{8} % wire between AND and NOR + \pin{24}{4}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAOI321_manpage.tex b/Documents/LaTeX/OOAOI321_manpage.tex new file mode 100644 index 00000000..3c9ae4e5 --- /dev/null +++ b/Documents/LaTeX/OOAOI321_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAOI321_manpage.tex +%% +%% Purpose: Manual Page File for OOAOI321 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAOI321} +\paragraph{Cell} +\begin{quote} + \textbf{OOAOI321} - a 3-2-1-input OR-OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAOI321(Y, C2, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOAOI321_circuit.tex} +%\input{OOAOI321_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAOI321_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From f8e30eef3c8be033b62c9dc8f9823f9385d43e14 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 15 Sep 2019 19:49:28 +0200 Subject: [PATCH 207/673] [DOC] Add LaTeX circuit for OOAO331 and OOAOI331 --- Documents/LaTeX/OOAO331_circuit.tex | 62 ++++++++++++++++++++++++++++ Documents/LaTeX/OOAO331_manpage.tex | 61 +++++++++++++++++++++++++++ Documents/LaTeX/OOAOI331_circuit.tex | 61 +++++++++++++++++++++++++++ Documents/LaTeX/OOAOI331_manpage.tex | 61 +++++++++++++++++++++++++++ 4 files changed, 245 insertions(+) create mode 100644 Documents/LaTeX/OOAO331_circuit.tex create mode 100644 Documents/LaTeX/OOAO331_manpage.tex create mode 100644 Documents/LaTeX/OOAOI331_circuit.tex create mode 100644 Documents/LaTeX/OOAOI331_manpage.tex diff --git a/Documents/LaTeX/OOAO331_circuit.tex b/Documents/LaTeX/OOAO331_circuit.tex new file mode 100644 index 00000000..0d741eab --- /dev/null +++ b/Documents/LaTeX/OOAO331_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAO331_circuit.tex +%% +%% Purpose: Circuit File for OOAO331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{14} + \usgate + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{8}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{4}{R}{}{} % NOR + \gate{not}{26}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between OR and AND + \wire{9}{10}{9}{11} % wire between OR and AND + \wire{16}{1}{16}{2} % wire between AND and NOR + \wire{16}{6}{16}{8} % wire between AND and NOR + \pin{30}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAO331_manpage.tex b/Documents/LaTeX/OOAO331_manpage.tex new file mode 100644 index 00000000..f5473a40 --- /dev/null +++ b/Documents/LaTeX/OOAO331_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAO331_manpage.tex +%% +%% Purpose: Manual Page File for OOAO331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAO331} +\paragraph{Cell} +\begin{quote} + \textbf{OOAO331} - a 3-3-1-input OR-OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAO331(Z, C2, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOAO331_circuit.tex} +%\input{OOAO331_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAO331_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OOAOI331_circuit.tex b/Documents/LaTeX/OOAOI331_circuit.tex new file mode 100644 index 00000000..342ff4b1 --- /dev/null +++ b/Documents/LaTeX/OOAOI331_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAOI331_circuit.tex +%% +%% Purpose: Circuit File for OOAOI331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{14} + \usgate + \gate[\inputs{3}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{8}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{4}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \wire{2}{1}{16}{1} % wire pin A + \wire{9}{5}{9}{6} % wire between OR and AND + \wire{9}{10}{9}{11} % wire between OR and AND + \wire{16}{1}{16}{2} % wire between AND and NOR + \wire{16}{6}{16}{8} % wire between AND and NOR + \pin{24}{4}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OOAOI331_manpage.tex b/Documents/LaTeX/OOAOI331_manpage.tex new file mode 100644 index 00000000..11e05d93 --- /dev/null +++ b/Documents/LaTeX/OOAOI331_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OOAOI331_manpage.tex +%% +%% Purpose: Manual Page File for OOAOI331 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OOAOI331} +\paragraph{Cell} +\begin{quote} + \textbf{OOAOI331} - a 3-3-1-input OR-OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OOAOI331(Y, C2, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OOAOI331_circuit.tex} +%\input{OOAOI331_schematic.tex} + +\paragraph{Truth Table} +%\input{OOAOI331_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 9125966fb346b41d98719823955d81b112534220 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 17 Sep 2019 14:29:04 +0000 Subject: [PATCH 208/673] Fixed GDS2 units to nanometers --- Tech/librecell_tech.py | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index 3e462aff..43c8ec30 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -1,11 +1,12 @@ from lclayout.layout.layers import * # Physical size of one data base unit in meters. -# Libresilicon: 100nm was chosen, so 1 lambda is 5 units of 1e-7, so every lambda value has to be multiplied by 5 -db_unit = 1e-7 +# Libresilicon: We wanted to choose 100nm, so 1 lambda is 5 units of 1e-7, so every lambda value has to be multiplied by 5 +# BUT GDS2 requires the database units to be in nanometers, and lclayout cannot convert to nanometers automatically yet +db_unit = 1e-9 # Lambda - how many db_units is 1 lambda? -l = 5 +l = 500 # Scale transistor width. transistor_channel_width_sizing = 1 @@ -66,7 +67,7 @@ (l_pwell, l_pwell): 10*l, # 3 -> 10l #(l_poly, l_nwell): 10, # No rule? (l_poly, l_active): 1*l, # 2.4.6 -> 1l - (l_poly, l_poly): 1*l, # 3 POLY -> 2l XXX: TODO: THIS NEEDS TO BE INCREASED TO 10 (2l) BUT AT THE MOMENT IT WOULD BREAK THE ROUTING + (l_poly, l_poly): 1*l, # 3 POLY -> 2l XXX: TODO: THIS NEEDS TO BE INCREASED TO 2l BUT AT THE MOMENT IT WOULD BREAK THE ROUTING (l_poly, l_diff_contact): 2*l, # The maximum "minimum spacing" from poly to anything else is 2l (l_diff_contact, l_diff_contact): 2*l, # 3 -> 2l (l_metal1, l_metal1): 4*l, # 3 METAL1 -> 4l # !!!! WARNING: Spacing to BigMetal (>=10um) needs to be 6l ! From 9fb5c3f5b5d5cc178ee68b5683abf4dbe8486684 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 17 Sep 2019 14:29:42 +0000 Subject: [PATCH 209/673] Added KLayout DRC rules file for Libresilicon --- Tech/drc.lydrc | 103 +++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 103 insertions(+) create mode 100644 Tech/drc.lydrc diff --git a/Tech/drc.lydrc b/Tech/drc.lydrc new file mode 100644 index 00000000..fdb6f87b --- /dev/null +++ b/Tech/drc.lydrc @@ -0,0 +1,103 @@ + + + + + drc + + + + false + false + + true + drc_scripts + tools_menu.drc.end + dsl + drc-dsl-xml + # Read about DRC scripts in the User Manual under "Design Rule Check (DRC)" +# These are the design rules for the Libresilicon.com 1um Process node: + +report("Libresilicon DRC Rules") + +active = input(1) +nwell = input(2) +pwell = input(2,7) +poly = input(3) +polycontact = input(4) +diffcontact = input(5) +contact = polycontact | diffcontact +metal1 = input(6) +via1 = input(7) +metal2 = input(8) +via2 = input(89) # TODO: CORRECT THE LAYER NUMBER WHEN THE LAYER EXISTS +metal3 = input(99) # TODO: CORRECT THE LAYER NUMBER WHEN THE LAYER EXISTS +abutment = input(200) +gate = poly & active +polyoveractive = poly & active +polyoverother = poly - active + +l=0.5.micron + +# Chapter 3: +pwell.width(10*l).output("pwell_min_width", "PWELL width violations") +nwell.width(10*l).output("nwell_min_width", "NWELL width violations") +# THE DOCUMENTATION SAYS ACTIVE.WIDTH >= 3*l but I think 2*l is better for now. +active.width(2*l).output("active_min_width", "ACTIVE width violations") +poly.width(2*l).output("poly_min_width", "POLY width violations") +polycontact.width(2*l).output("polycontact_min_width", "POLY CONTACT width violations") +diffcontact.width(2*l).output("diffcontact_min_width", "DIFF CONTACT width violations") +metal1.width(4*l).output("metal1_min_width", "METAL1 width violations") +via1.width(2*l).output("via1_min_width", "VIA1 width violations") +metal2.width(4*l).output("metal2_min_width", "METAL2 width violations") +via2.width(2*l).output("via2_min_width", "VIA2 width violations") +metal3.width(6*l).output("metal3_min_width", "METAL3 width violations") + +pwell.space(10*l).output("pwell_min_space", "PWELL space violations") +nwell.space(10*l).output("nwell_min_space", "NWELL space violations") +active.space(3*l).output("active_min_space", "ACTIVE space violations") +poly.space(2*l).output("poly_min_space", "POLY space violations") +polycontact.space(2*l).output("polycontact_min_space", "POLY CONTACT space violations") +diffcontact.space(2*l).output("diffcontact_min_space", "DIFF CONTACT space violations") +metal1.space(4*l).output("metal1_min_space", "METAL1 space violations") +via1.space(3*l).output("via1_min_space", "VIA1 space violations") +metal2.space(4*l).output("metal2_min_space", "METAL2 space violations") +via2.space(3*l).output("via2_min_space", "VIA2 space violations") +metal3.space(4*l).output("metal3_min_space", "METAL3 space violations") + +# Chapter 4.1 PWELL rules +# Can we detect the potential and define DRC rules based on same or different potential? + +pwell.separation(nwell,12*l).output("pwell_nwell_separation", "PWELL<->NWELL separation violations") + +# Chapter 4.5 ACTIVE rules +# TODO: The PDF says 6*l, but 2*l is more reasonable. +pwell.enclosing(active,2*l).output("pwell_active_enclosing", "Minimum ACTIVE surround by PWELL") +nwell.enclosing(active,2*l).output("nwell_active_enclosing", "Minimum ACTIVE surround by NWELL") + +# Chapter 4.6 POLY rules +polyoveractive.space(2*l).output("poly_active_separation","Minimum Spacing to POLY over ACTIVE") +poly.overlap(active,2*l).output("poly_active_overlap","Minimum Gate extension beyond ACTIVE") +active.overlap(poly,3*l).output("active_poly_overlap","Minimum ACTIVE extension beyond POLY") +poly.separation(active,1*l).output("poly_active_separation","Minimum Spacing of POLY to ACTIVE") +nwell.enclosing(poly,1*l).output("nwell_poly_enclosing","Minimum POLY surround by NWELL") +pwell.enclosing(poly,1*l).output("pwell_poly_enclosing","Minimum POLY surround by PWELL") + +# Chapter 4.8 CONTACT Rules +contact.overlap(poly|active,1*l).output("contact_polyactive_overlap","Minimum Overlap by POLY or ACTIVE") +contact.separation(gate,2*l).output("contact_gate_separation","Minimum Spacing to Gate") +polycontact.separation(poly,4*l).output("polycontact_poly_separation","Minimum Spacing of POLY CONTACT other POLY") +(active&contact).separation((poly&contact),4*l).output("activecontact_polycontact_separation","Minimum Spacing of POLY CONTACT to other POLY") + +# Chapter 4.9 METAL1 Rules +metal1.overlap(contact|via1,1*l).output("metal1_contact_overlap") +# I dont have an idea how put 2.7.4 into a Klayout design rule + +# Chapter 4.10 +via1.separation(contact,2*l).output("via1_contact_separation","Minimum Spacing to CONTACT") +via1.separation(poly|active,2*l).output("via1_polyactive_separation","Minimum Spacing to POLY or ACTIVE") + +# Chapter 4.11 +metal2.overlap(via1,1*l).output("metal2_via1_overlap","Minimum Overlap to VIA1") + + + From 71c8318be20180ab359bd575d940d80d9ff3bd4e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 17 Sep 2019 16:09:47 +0000 Subject: [PATCH 210/673] Improvements --- Tools/perl/cell2sch.pl | 23 +++++++++++++++-------- 1 file changed, 15 insertions(+), 8 deletions(-) diff --git a/Tools/perl/cell2sch.pl b/Tools/perl/cell2sch.pl index 5ae7fb77..ebf533e8 100644 --- a/Tools/perl/cell2sch.pl +++ b/Tools/perl/cell2sch.pl @@ -22,13 +22,13 @@ sub mymax # Loading the .cell file: -open IN,"<$cellfn"; +open IN,"<$cellfn" or die "Could not open file: $!\n"; # Using graphviz dot to do the placement of the transistors and resistors on the schematic open OUT,"|dot >$cellfn.g"; # Graphviz header print OUT < $dev\n" unless($g=~m/(vdd|gnd)/); # edge + print OUT "$g [shape=\"point\"]\n" if($g=~m/^\d+$/); + print OUT "$g [fillcolor=\"yellow\", style=\"filled\"]\n" if($g=~m/^\w+$/); + print OUT "$dev -> $s\n" unless($s=~m/(vdd|gnd)/); # edge + print OUT "$s [shape=\"point\"]\n" if($s=~m/^\d+$/); + print OUT "$s [fillcolor=\"yellow\", style=\"filled\"]\n" if($s=~m/^\w+$/); + print OUT "$d -> $dev\n" unless($d=~m/(vdd|gnd)/); # edge + print OUT "$d [shape=\"point\"]\n" if($d=~m/^\d+$/); + print OUT "$d [fillcolor=\"yellow\", style=\"filled\"]\n" if($d=~m/^\w+$/); + $count++; } elsif(m/^res (\w+) (\w+) (\d+)/) @@ -64,8 +71,8 @@ sub mymax my ($n1,$n2)=($1,$2); my $dev="res".$count; print OUT "$dev [shape=\"rectangle\"]\n"; - print OUT "$n1 -- $dev\n" unless($n1=~m/(vdd|gnd)/); - print OUT "$dev -- $n2\n" unless($n2=~m/(vdd|gnd)/); + print OUT "$n1 -> $dev\n" unless($n1=~m/(vdd|gnd)/); + print OUT "$dev -> $n2\n" unless($n2=~m/(vdd|gnd)/); $count++; } } @@ -85,7 +92,7 @@ sub mymax undef $/; my $g=; my %stat=(); -while($g=~s/(pmos|nmos|res)(\d+)\s*\[height=\d+\.?\d*,\s*pos="(\d+),(\d+)"//sm) +while($g=~s/(pmos|nmos|res)(\d+)\s*\[height=\d+\.?\d*,\s*pos="(\d+\.?\d*),(\d+\.?\d*)"//sm) { $coordx{$2}=$3; $stat{'minx'}=mymin($stat{'minx'},$3); From 029ca06fb158bfb45a0b28e35554f88d1aaeb477 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 13:04:03 +0200 Subject: [PATCH 211/673] [DOC] Fix LaTeX circuit files for NAND2, NAND3, NAND4, NOR2, NOR3, NOR4 --- Documents/LaTeX/NAND2_circuit.tex | 11 ++++++----- Documents/LaTeX/NAND3_circuit.tex | 2 +- Documents/LaTeX/NAND4_circuit.tex | 2 +- Documents/LaTeX/NOR2_circuit.tex | 9 +++++---- Documents/LaTeX/NOR3_circuit.tex | 11 ++++++----- Documents/LaTeX/NOR4_circuit.tex | 2 +- 6 files changed, 20 insertions(+), 17 deletions(-) diff --git a/Documents/LaTeX/NAND2_circuit.tex b/Documents/LaTeX/NAND2_circuit.tex index cbbcb9ab..44fded95 100644 --- a/Documents/LaTeX/NAND2_circuit.tex +++ b/Documents/LaTeX/NAND2_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_NAND2.tex +%% File: StdCellLib/Documents/LaTeX/NAND2_circuit.tex %% %% Purpose: Circuit File for NAND2 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,13 +34,14 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{11}{6} + \usgate + \gate[\inputs{2}]{nand}{5}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{B} % pin B - \gate[\inputs{2}]{nand}{5}{3}{R}{}{} % NAND gate -> right - \pin{10}{3}{R}{Z} % pin Z + \pin{10}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/NAND3_circuit.tex b/Documents/LaTeX/NAND3_circuit.tex index 076a4fda..3c15c21d 100644 --- a/Documents/LaTeX/NAND3_circuit.tex +++ b/Documents/LaTeX/NAND3_circuit.tex @@ -42,7 +42,7 @@ \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C - \pin{10}{3}{R}{Z} % pin Z + \pin{10}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/NAND4_circuit.tex b/Documents/LaTeX/NAND4_circuit.tex index 4c67b65b..7e5c3006 100644 --- a/Documents/LaTeX/NAND4_circuit.tex +++ b/Documents/LaTeX/NAND4_circuit.tex @@ -43,7 +43,7 @@ \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C \pin{1}{7}{L}{D} % pin D - \pin{10}{4}{R}{Z} % pin Z + \pin{10}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/NOR2_circuit.tex b/Documents/LaTeX/NOR2_circuit.tex index e785fb74..4f7627e7 100644 --- a/Documents/LaTeX/NOR2_circuit.tex +++ b/Documents/LaTeX/NOR2_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_NOR2.tex +%% File: StdCellLib/Documents/LaTeX/NOR2_circuit.tex %% %% Purpose: Circuit File for NOR2 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -37,10 +37,11 @@ \begin{figure}[h] %\caption{Circuit} \begin{center} \begin{circuitdiagram}{11}{6} + \usgate + \gate[\inputs{2}]{nor}{5}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A \pin{1}{5}{L}{B} % pin B - \gate[\inputs{2}]{nor}{5}{3}{R}{}{} % NOR gate -> right - \pin{10}{3}{R}{Z} % pin Z + \pin{10}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/NOR3_circuit.tex b/Documents/LaTeX/NOR3_circuit.tex index 532ac88f..d0be5e63 100644 --- a/Documents/LaTeX/NOR3_circuit.tex +++ b/Documents/LaTeX/NOR3_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_NOR3.tex +%% File: StdCellLib/Documents/LaTeX/NOR3_circuit.tex %% %% Purpose: Circuit File for NOR3 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,14 +34,15 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{11}{6} + \usgate + \gate[\inputs{3}]{nor}{5}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C - \gate[\inputs{3}]{nor}{5}{3}{R}{}{} % NOR gate -> right - \pin{10}{3}{R}{Z} % pin Z + \pin{10}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/NOR4_circuit.tex b/Documents/LaTeX/NOR4_circuit.tex index 1f2c270a..9b0c0555 100644 --- a/Documents/LaTeX/NOR4_circuit.tex +++ b/Documents/LaTeX/NOR4_circuit.tex @@ -43,7 +43,7 @@ \pin{1}{3}{L}{B} % pin B \pin{1}{5}{L}{C} % pin C \pin{1}{7}{L}{D} % pin D - \pin{10}{4}{R}{Z} % pin Z + \pin{10}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} \end{figure} From d7b9e03131ff4ad542cedfdc2a67227dfed0a88e Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 13:05:35 +0200 Subject: [PATCH 212/673] [DOC] Fix LaTeX circuit files for AOI21 and OAI21 --- Documents/LaTeX/AOI21_circuit.tex | 13 +++++++------ Documents/LaTeX/OAI21_circuit.tex | 15 ++++++++------- 2 files changed, 15 insertions(+), 13 deletions(-) diff --git a/Documents/LaTeX/AOI21_circuit.tex b/Documents/LaTeX/AOI21_circuit.tex index 43eef4e1..df9446f0 100644 --- a/Documents/LaTeX/AOI21_circuit.tex +++ b/Documents/LaTeX/AOI21_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_AOI21.tex +%% File: StdCellLib/Documents/LaTeX/AOI21_circuit.tex %% %% Purpose: Circuit File for AOI21 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -37,12 +37,13 @@ \begin{figure}[h] %\caption{Circuit} \begin{center} \begin{circuitdiagram}{18}{8} + \usgate + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{12}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{7}{L}{B1} % pin B1 - \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND gate -> right - \gate[\inputs{2}]{nor}{12}{3}{R}{}{} % NOR gate -> right - \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{1}{9}{1} % wire from pin A \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OAI21_circuit.tex b/Documents/LaTeX/OAI21_circuit.tex index 3903eab1..4abaf5d2 100644 --- a/Documents/LaTeX/OAI21_circuit.tex +++ b/Documents/LaTeX/OAI21_circuit.tex @@ -9,7 +9,7 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/circuit_OAI21.tex +%% File: StdCellLib/Documents/LaTeX/OAI21_circuit.tex %% %% Purpose: Circuit File for OAI21 %% @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -34,15 +34,16 @@ %% /////////////////////////////////////////////////////////////////// \begin{center} Circuit - \begin{figure}[h] %\caption{Circuit} + \begin{figure}[h] \begin{center} \begin{circuitdiagram}{18}{8} + \usgate + \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR + \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B0} % pin B0 + \pin{1}{3}{L}{B} % pin B \pin{1}{7}{L}{B1} % pin B1 - \gate[\inputs{2}]{or}{5}{5}{R}{}{} % OR gate -> right - \gate[\inputs{2}]{nand}{12}{3}{R}{}{} % NAND gate -> right - \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{1}{9}{1} % wire from pin A \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} From 1d9d09331bf631ca0fa1692edc890c6f3f516948 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 14:26:48 +0200 Subject: [PATCH 213/673] [DOC] Add LaTeX circuit file for AAAOI432 and AAAO432 --- Documents/LaTeX/AAAO432_circuit.tex | 61 ++++++++++++++++++++++++++++ Documents/LaTeX/AAAO432_manpage.tex | 59 +++++++++++++++++++++++++++ Documents/LaTeX/AAAOI432_circuit.tex | 60 +++++++++++++++++++++++++++ Documents/LaTeX/AAAOI432_manpage.tex | 59 +++++++++++++++++++++++++++ 4 files changed, 239 insertions(+) create mode 100644 Documents/LaTeX/AAAO432_circuit.tex create mode 100644 Documents/LaTeX/AAAO432_manpage.tex create mode 100644 Documents/LaTeX/AAAOI432_circuit.tex create mode 100644 Documents/LaTeX/AAAOI432_manpage.tex diff --git a/Documents/LaTeX/AAAO432_circuit.tex b/Documents/LaTeX/AAAO432_circuit.tex new file mode 100644 index 00000000..d3af138e --- /dev/null +++ b/Documents/LaTeX/AAAO432_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO432_circuit.tex +%% +%% Purpose: Circuit File for AAAO432 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{18} + \usgate + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{4}]{and}{5}{16}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \gate{not}{19}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \pin{1}{19}{L}{C3} % pin C3 + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{16} % wire between AND and NOR + \pin{23}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAAO432_manpage.tex b/Documents/LaTeX/AAAO432_manpage.tex new file mode 100644 index 00000000..c6951b42 --- /dev/null +++ b/Documents/LaTeX/AAAO432_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAO432_manpage.tex +%% +%% Purpose: Manual Page File for AAAO432 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAAO432} +\paragraph{Cell} +\begin{quote} + \textbf{AAAO432} - a 4-3-2-input AND-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAAO432(Z, C3, C2, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAAO432_circuit.tex} +%\input{AAAO432_schematic.tex} + +\paragraph{Truth Table} +%\input{AAAO432_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AAAOI432_circuit.tex b/Documents/LaTeX/AAAOI432_circuit.tex new file mode 100644 index 00000000..746b7f8c --- /dev/null +++ b/Documents/LaTeX/AAAOI432_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAOI432_circuit.tex +%% +%% Purpose: Circuit File for AAAOI432 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{18} + \usgate + \gate[\inputs{2}]{and}{5}{3}{R}{}{} % AND + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{4}]{and}{5}{16}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{9}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{5}{L}{A1} % pin A1 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \pin{1}{17}{L}{C2} % pin C2 + \pin{1}{19}{L}{C3} % pin C3 + \wire{9}{3}{9}{7} % wire between AND and NOR + \wire{9}{11}{9}{16} % wire between AND and NOR + \pin{17}{9}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAAOI432_manpage.tex b/Documents/LaTeX/AAAOI432_manpage.tex new file mode 100644 index 00000000..8923b7f3 --- /dev/null +++ b/Documents/LaTeX/AAAOI432_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAAOI432_manpage.tex +%% +%% Purpose: Manual Page File for AAAOI432 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAAOI432} +\paragraph{Cell} +\begin{quote} + \textbf{AAAOI432} - a 4-3-2-input AND-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAAOI432(Y, C3, C2, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{AAAOI432_circuit.tex} +%\input{AAAOI432_schematic.tex} + +\paragraph{Truth Table} +%\input{AAAOI432_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From db026d3e6f62071b8175f61d56a87d1255d3bf84 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 15:49:33 +0200 Subject: [PATCH 214/673] [DOC] Add LaTeX circuit file for OAAAOI2232 and OAAAO2232 --- Documents/LaTeX/OAAAO2232_circuit.tex | 70 ++++++++++++++++++++++++++ Documents/LaTeX/OAAAO2232_manpage.tex | 59 ++++++++++++++++++++++ Documents/LaTeX/OAAAOI2232_circuit.tex | 69 +++++++++++++++++++++++++ Documents/LaTeX/OAAAOI2232_manpage.tex | 59 ++++++++++++++++++++++ 4 files changed, 257 insertions(+) create mode 100644 Documents/LaTeX/OAAAO2232_circuit.tex create mode 100644 Documents/LaTeX/OAAAO2232_manpage.tex create mode 100644 Documents/LaTeX/OAAAOI2232_circuit.tex create mode 100644 Documents/LaTeX/OAAAOI2232_manpage.tex diff --git a/Documents/LaTeX/OAAAO2232_circuit.tex b/Documents/LaTeX/OAAAO2232_circuit.tex new file mode 100644 index 00000000..d1ab625a --- /dev/null +++ b/Documents/LaTeX/OAAAO2232_circuit.tex @@ -0,0 +1,70 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAAO2232_circuit.tex +%% +%% Purpose: Circuit File for OAAAO2232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{22} + \usgate + \gate[\inputs{2}]{or}{5}{19}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{3}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{12}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{9}{R}{}{} % NOR + \gate{not}{26}{9}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire A + \pin{1}{5}{L}{A1} % pin A1 + \wire{2}{5}{9}{5} % wire A1 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{2}{11}{9}{11} % wire B2 + \pin{1}{13}{L}{C} % pin C + \wire{2}{13}{9}{13} % wire C + \pin{1}{15}{L}{C1} % pin C1 + \wire{2}{15}{9}{15} % wire C1 + \pin{1}{17}{L}{D} % pin D + \pin{1}{21}{L}{D1} % pin D1 + \wire{9}{17}{9}{19} % wire between OR and AND + \wire{16}{3}{16}{7} % wire between AND and NOR + \wire{16}{11}{16}{15}% wire between AND and NOR + \pin{30}{9}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAAO2232_manpage.tex b/Documents/LaTeX/OAAAO2232_manpage.tex new file mode 100644 index 00000000..efdeb4ad --- /dev/null +++ b/Documents/LaTeX/OAAAO2232_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAAO2232_manpage.tex +%% +%% Purpose: Manual Page File for OAAAO2232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAAO2232} +\paragraph{Cell} +\begin{quote} + \textbf{OAAAO2232} - a 2-2-3-2-input OR-AND-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAAO2232(Z, D1, D, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAAO2232_circuit.tex} +%\input{OAAAO2232_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAAO2232_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAAAOI2232_circuit.tex b/Documents/LaTeX/OAAAOI2232_circuit.tex new file mode 100644 index 00000000..b2c8a4bf --- /dev/null +++ b/Documents/LaTeX/OAAAOI2232_circuit.tex @@ -0,0 +1,69 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAAOI2232_circuit.tex +%% +%% Purpose: Circuit File for OAAAOI2232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{22} + \usgate + \gate[\inputs{2}]{or}{5}{19}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{3}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{12}{15}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{9}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire A + \pin{1}{5}{L}{A1} % pin A1 + \wire{2}{5}{9}{5} % wire A1 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{2}{11}{9}{11} % wire B2 + \pin{1}{13}{L}{C} % pin C + \wire{2}{13}{9}{13} % wire C + \pin{1}{15}{L}{C1} % pin C1 + \wire{2}{15}{9}{15} % wire C1 + \pin{1}{17}{L}{D} % pin D + \pin{1}{21}{L}{D1} % pin D1 + \wire{9}{17}{9}{19} % wire between OR and AND + \wire{16}{3}{16}{7} % wire between AND and NOR + \wire{16}{11}{16}{15}% wire between AND and NOR + \pin{24}{9}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAAOI2232_manpage.tex b/Documents/LaTeX/OAAAOI2232_manpage.tex new file mode 100644 index 00000000..148497c4 --- /dev/null +++ b/Documents/LaTeX/OAAAOI2232_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAAOI2232_manpage.tex +%% +%% Purpose: Manual Page File for OAAAOI2232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAAOI2232} +\paragraph{Cell} +\begin{quote} + \textbf{OAAAOI2232} - a 2-2-3-2-input OR-AND-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAAOI2232(Y, D1, D, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAAOI2232_circuit.tex} +%\input{OAAAOI2232_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAAOI2232_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 00d7e1969183f75a4f0ab954f4ab4bb9f61e3b7a Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 15:54:38 +0200 Subject: [PATCH 215/673] [DOC] Fix LaTeX manpage for AAAOI333 --- Documents/LaTeX/AAAOI333_manpage.tex | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Documents/LaTeX/AAAOI333_manpage.tex b/Documents/LaTeX/AAAOI333_manpage.tex index e04ab24d..6a1e966e 100644 --- a/Documents/LaTeX/AAAOI333_manpage.tex +++ b/Documents/LaTeX/AAAOI333_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AAAOI333(Z, C2, C1, C, B2, B1, B, A2, A1, A) + AAAOI333(Y, C2, C1, C, B2, B1, B, A2, A1, A) \end{quote} \paragraph{Description} From 1192f9e5d32ba7ab31b5c2f17aba1956f19aee99 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 16:21:49 +0200 Subject: [PATCH 216/673] [DOC] Add LaTeX circuit file for OAAOI222 und OAAO222 --- Documents/LaTeX/OAAO222_circuit.tex | 63 ++++++++++++++++++++++++++++ Documents/LaTeX/OAAO222_manpage.tex | 61 +++++++++++++++++++++++++++ Documents/LaTeX/OAAOI222_circuit.tex | 62 +++++++++++++++++++++++++++ Documents/LaTeX/OAAOI222_manpage.tex | 61 +++++++++++++++++++++++++++ 4 files changed, 247 insertions(+) create mode 100644 Documents/LaTeX/OAAO222_circuit.tex create mode 100644 Documents/LaTeX/OAAO222_manpage.tex create mode 100644 Documents/LaTeX/OAAOI222_circuit.tex create mode 100644 Documents/LaTeX/OAAOI222_manpage.tex diff --git a/Documents/LaTeX/OAAO222_circuit.tex b/Documents/LaTeX/OAAO222_circuit.tex new file mode 100644 index 00000000..46f26d85 --- /dev/null +++ b/Documents/LaTeX/OAAO222_circuit.tex @@ -0,0 +1,63 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO222_circuit.tex +%% +%% Purpose: Circuit File for OAAO222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{16} + \usgate + \gate[\inputs{2}]{or}{5}{13}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{6}{R}{}{} % NOR + \gate{not}{26}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{5}{L}{A1} % pin A1 + \wire{2}{5}{9}{5} % wire pin A1 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire pin B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire pin B + \pin{1}{11}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \wire{9}{11}{9}{13} % wire between OR and AND + \wire{16}{3}{16}{4} % wire between AND and NOR + \wire{16}{9}{16}{8} % wire between AND and NOR + \pin{30}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO222_manpage.tex b/Documents/LaTeX/OAAO222_manpage.tex new file mode 100644 index 00000000..bdb35709 --- /dev/null +++ b/Documents/LaTeX/OAAO222_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO222_manpage.tex +%% +%% Purpose: Manual Page File for OAAO222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO222} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO222} - a 2-2-2-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO222(Z, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAO222_circuit.tex} +%\input{OAAO222_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI222_circuit.tex b/Documents/LaTeX/OAAOI222_circuit.tex new file mode 100644 index 00000000..532bf800 --- /dev/null +++ b/Documents/LaTeX/OAAOI222_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI222_circuit.tex +%% +%% Purpose: Circuit File for OAAOI222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{16} + \usgate + \gate[\inputs{2}]{or}{5}{13}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{5}{L}{A1} % pin A1 + \wire{2}{5}{9}{5} % wire pin A1 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire pin B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire pin B + \pin{1}{11}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \wire{9}{11}{9}{13} % wire between OR and AND + \wire{16}{3}{16}{4} % wire between AND and NOR + \wire{16}{9}{16}{8} % wire between AND and NOR + \pin{24}{6}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI222_manpage.tex b/Documents/LaTeX/OAAOI222_manpage.tex new file mode 100644 index 00000000..ab1ea0f4 --- /dev/null +++ b/Documents/LaTeX/OAAOI222_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI222_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI222} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI222} - a 2-2-2-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI222(Y, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI222_circuit.tex} +%\input{OAAOI222_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 9190354dbc670e09524c079078b045ebee30f2c2 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 16:42:05 +0200 Subject: [PATCH 217/673] [DOC] Fix LaTeX circuit file for AAOI43 and AAO43 --- Documents/LaTeX/AAO43_circuit.tex | 2 +- Documents/LaTeX/AAOI43_circuit.tex | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/Documents/LaTeX/AAO43_circuit.tex b/Documents/LaTeX/AAO43_circuit.tex index 7c558f9a..15ce7eff 100644 --- a/Documents/LaTeX/AAO43_circuit.tex +++ b/Documents/LaTeX/AAO43_circuit.tex @@ -36,7 +36,7 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{24}{14} + \begin{circuitdiagram}{24}{12} \usgate \gate[\inputs{4}]{and}{5}{10}{R}{}{} % AND \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND diff --git a/Documents/LaTeX/AAOI43_circuit.tex b/Documents/LaTeX/AAOI43_circuit.tex index 301e83eb..f37f31e9 100644 --- a/Documents/LaTeX/AAOI43_circuit.tex +++ b/Documents/LaTeX/AAOI43_circuit.tex @@ -36,7 +36,7 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{18}{14} + \begin{circuitdiagram}{18}{12} \usgate \gate[\inputs{4}]{and}{5}{10}{R}{}{} % AND \gate[\inputs{3}]{and}{5}{3}{R}{}{} % AND From 750b9f7d546924e3f05ff1bd4028a0fe75015591 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 17:04:46 +0200 Subject: [PATCH 218/673] [DOC] Add LaTeX circuit file for OAAOI223 and OAAO223 --- Documents/LaTeX/OAAO223_circuit.tex | 65 ++++++++++++++++++++++++++++ Documents/LaTeX/OAAO223_manpage.tex | 61 ++++++++++++++++++++++++++ Documents/LaTeX/OAAOI223_circuit.tex | 64 +++++++++++++++++++++++++++ Documents/LaTeX/OAAOI223_manpage.tex | 61 ++++++++++++++++++++++++++ 4 files changed, 251 insertions(+) create mode 100644 Documents/LaTeX/OAAO223_circuit.tex create mode 100644 Documents/LaTeX/OAAO223_manpage.tex create mode 100644 Documents/LaTeX/OAAOI223_circuit.tex create mode 100644 Documents/LaTeX/OAAOI223_manpage.tex diff --git a/Documents/LaTeX/OAAO223_circuit.tex b/Documents/LaTeX/OAAO223_circuit.tex new file mode 100644 index 00000000..e5b72730 --- /dev/null +++ b/Documents/LaTeX/OAAO223_circuit.tex @@ -0,0 +1,65 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO223_circuit.tex +%% +%% Purpose: Circuit File for OAAO223 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{16} + \usgate + \gate[\inputs{2}]{or}{5}{13}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{6}{R}{}{} % NOR + \gate{not}{26}{6}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire pin B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire pin B + \pin{1}{11}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \wire{9}{11}{9}{13} % wire between OR and AND + \wire{16}{3}{16}{4} % wire between AND and NOR + \wire{16}{9}{16}{8} % wire between AND and NOR + \pin{30}{6}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO223_manpage.tex b/Documents/LaTeX/OAAO223_manpage.tex new file mode 100644 index 00000000..5bdb549b --- /dev/null +++ b/Documents/LaTeX/OAAO223_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO223_manpage.tex +%% +%% Purpose: Manual Page File for OAAO223 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO223} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO223} - a 2-2-3-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO223(Z, C1, C, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAO223_circuit.tex} +%\input{OAAO223_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO223_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI223_circuit.tex b/Documents/LaTeX/OAAOI223_circuit.tex new file mode 100644 index 00000000..5b21c4d1 --- /dev/null +++ b/Documents/LaTeX/OAAOI223_circuit.tex @@ -0,0 +1,64 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI223_circuit.tex +%% +%% Purpose: Circuit File for OAAOI223 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{16} + \usgate + \gate[\inputs{2}]{or}{5}{13}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{9}{R}{}{} % AND + \gate[\inputs{3}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{6}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire pin B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire pin B + \pin{1}{11}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \wire{9}{11}{9}{13} % wire between OR and AND + \wire{16}{3}{16}{4} % wire between AND and NOR + \wire{16}{9}{16}{8} % wire between AND and NOR + \pin{24}{6}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI223_manpage.tex b/Documents/LaTeX/OAAOI223_manpage.tex new file mode 100644 index 00000000..e24f0656 --- /dev/null +++ b/Documents/LaTeX/OAAOI223_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI223_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI223 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI223} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI223} - a 2-2-3-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI223(Y, C1, C, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI223_circuit.tex} +%\input{OAAOI223_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI223_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 2ae438d051a69fe98284aef230c5d04fd72ee1d4 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 17:24:19 +0200 Subject: [PATCH 219/673] [DOC] Add LaTeX circuit file for OAAOI232 OAAO232 --- Documents/LaTeX/OAAO232_circuit.tex | 65 ++++++++++++++++++++++++++++ Documents/LaTeX/OAAO232_manpage.tex | 61 ++++++++++++++++++++++++++ Documents/LaTeX/OAAOI232_circuit.tex | 64 +++++++++++++++++++++++++++ Documents/LaTeX/OAAOI232_manpage.tex | 61 ++++++++++++++++++++++++++ 4 files changed, 251 insertions(+) create mode 100644 Documents/LaTeX/OAAO232_circuit.tex create mode 100644 Documents/LaTeX/OAAO232_manpage.tex create mode 100644 Documents/LaTeX/OAAOI232_circuit.tex create mode 100644 Documents/LaTeX/OAAOI232_manpage.tex diff --git a/Documents/LaTeX/OAAO232_circuit.tex b/Documents/LaTeX/OAAO232_circuit.tex new file mode 100644 index 00000000..e1a79c54 --- /dev/null +++ b/Documents/LaTeX/OAAO232_circuit.tex @@ -0,0 +1,65 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO232_circuit.tex +%% +%% Purpose: Circuit File for OAAO232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{4}]{and}{12}{10}{R}{}{}% AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{7}{R}{}{} % NOR + \gate{not}{26}{7}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{5}{L}{A1} % pin A1 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire pin B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{2}{11}{9}{11} % wire pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{9}{13}{9}{15} % wire between OR and AND + \wire{16}{3}{16}{5} % wire between AND and NOR + \wire{16}{9}{16}{10} % wire between AND and NOR + \pin{30}{7}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO232_manpage.tex b/Documents/LaTeX/OAAO232_manpage.tex new file mode 100644 index 00000000..805c61cb --- /dev/null +++ b/Documents/LaTeX/OAAO232_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO232_manpage.tex +%% +%% Purpose: Manual Page File for OAAO232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO232} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO232} - a 2-3-2-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO232(Z, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAO232_circuit.tex} +%\input{OAAO232_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO232_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI232_circuit.tex b/Documents/LaTeX/OAAOI232_circuit.tex new file mode 100644 index 00000000..a9ead6b7 --- /dev/null +++ b/Documents/LaTeX/OAAOI232_circuit.tex @@ -0,0 +1,64 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI232_circuit.tex +%% +%% Purpose: Circuit File for OAAOI232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{4}]{and}{12}{10}{R}{}{}% AND + \gate[\inputs{2}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{7}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{5}{L}{A1} % pin A1 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire pin B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{2}{11}{9}{11} % wire pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{9}{13}{9}{15} % wire between OR and AND + \wire{16}{3}{16}{5} % wire between AND and NOR + \wire{16}{9}{16}{10} % wire between AND and NOR + \pin{24}{7}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI232_manpage.tex b/Documents/LaTeX/OAAOI232_manpage.tex new file mode 100644 index 00000000..77e0cf4c --- /dev/null +++ b/Documents/LaTeX/OAAOI232_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI232_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI232} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI232} - a 2-3-2-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI232(Y, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI232_circuit.tex} +%\input{OAAOI232_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI232_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From ce215162bdf043f4f76d59ac29aa9419cdfe1cd2 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 17:59:25 +0200 Subject: [PATCH 220/673] [DOC] Add LaTeX circuit file for OAAOI233 and OAAO233 --- Documents/LaTeX/OAAO233_circuit.tex | 67 ++++++++++++++++++++++++++++ Documents/LaTeX/OAAO233_manpage.tex | 61 +++++++++++++++++++++++++ Documents/LaTeX/OAAOI233_circuit.tex | 66 +++++++++++++++++++++++++++ Documents/LaTeX/OAAOI233_manpage.tex | 61 +++++++++++++++++++++++++ 4 files changed, 255 insertions(+) create mode 100644 Documents/LaTeX/OAAO233_circuit.tex create mode 100644 Documents/LaTeX/OAAO233_manpage.tex create mode 100644 Documents/LaTeX/OAAOI233_circuit.tex create mode 100644 Documents/LaTeX/OAAOI233_manpage.tex diff --git a/Documents/LaTeX/OAAO233_circuit.tex b/Documents/LaTeX/OAAO233_circuit.tex new file mode 100644 index 00000000..e7d2126a --- /dev/null +++ b/Documents/LaTeX/OAAO233_circuit.tex @@ -0,0 +1,67 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO233_circuit.tex +%% +%% Purpose: Circuit File for OAAO233 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{4}]{and}{12}{10}{R}{}{}% AND + \gate[\inputs{3}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{7}{R}{}{} % NOR + \gate{not}{26}{7}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire pin B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{2}{11}{9}{11} % wire pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{9}{13}{9}{15} % wire between OR and AND + \wire{16}{3}{16}{5} % wire between AND and NOR + \wire{16}{9}{16}{10} % wire between AND and NOR + \pin{30}{7}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO233_manpage.tex b/Documents/LaTeX/OAAO233_manpage.tex new file mode 100644 index 00000000..e61a9d89 --- /dev/null +++ b/Documents/LaTeX/OAAO233_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO233_manpage.tex +%% +%% Purpose: Manual Page File for OAAO233 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO233} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO233} - a 2-3-3-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO233(Z, C1, C, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAO233_circuit.tex} +%\input{OAAO233_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO233_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI233_circuit.tex b/Documents/LaTeX/OAAOI233_circuit.tex new file mode 100644 index 00000000..564f884d --- /dev/null +++ b/Documents/LaTeX/OAAOI233_circuit.tex @@ -0,0 +1,66 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI233_circuit.tex +%% +%% Purpose: Circuit File for OAAOI233 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{4}]{and}{12}{10}{R}{}{}% AND + \gate[\inputs{3}]{and}{12}{3}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{7}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A2 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin B + \wire{2}{7}{9}{7} % wire pin B + \pin{1}{9}{L}{B1} % pin B1 + \wire{2}{9}{9}{9} % wire pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \wire{2}{11}{9}{11} % wire pin B2 + \pin{1}{13}{L}{C} % pin C + \pin{1}{17}{L}{C1} % pin C1 + \wire{9}{13}{9}{15} % wire between OR and AND + \wire{16}{3}{16}{5} % wire between AND and NOR + \wire{16}{9}{16}{10} % wire between AND and NOR + \pin{24}{7}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI233_manpage.tex b/Documents/LaTeX/OAAOI233_manpage.tex new file mode 100644 index 00000000..2e07ec1c --- /dev/null +++ b/Documents/LaTeX/OAAOI233_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI233_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI233 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI233} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI233} - a 2-3-3-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI233(Y, C1, C, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI233_circuit.tex} +%\input{OAAOI233_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI233_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 0ce4f79f1dcae00e3214dc0d7c59f1ac3b0a9f4f Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 22:24:33 +0200 Subject: [PATCH 221/673] [DOC] Add LaTeX circuit file for OAAOI234 and OAAO234 --- Documents/LaTeX/OAAO234_circuit.tex | 69 ++++++++++++++++++++++++++++ Documents/LaTeX/OAAO234_manpage.tex | 61 ++++++++++++++++++++++++ Documents/LaTeX/OAAOI234_circuit.tex | 68 +++++++++++++++++++++++++++ Documents/LaTeX/OAAOI234_manpage.tex | 61 ++++++++++++++++++++++++ 4 files changed, 259 insertions(+) create mode 100644 Documents/LaTeX/OAAO234_circuit.tex create mode 100644 Documents/LaTeX/OAAO234_manpage.tex create mode 100644 Documents/LaTeX/OAAOI234_circuit.tex create mode 100644 Documents/LaTeX/OAAOI234_manpage.tex diff --git a/Documents/LaTeX/OAAO234_circuit.tex b/Documents/LaTeX/OAAO234_circuit.tex new file mode 100644 index 00000000..a020e74d --- /dev/null +++ b/Documents/LaTeX/OAAO234_circuit.tex @@ -0,0 +1,69 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO233_circuit.tex +%% +%% Purpose: Circuit File for OAAO233 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{20} + \usgate + \gate[\inputs{2}]{or}{5}{17}{R}{}{} % OR + \gate[\inputs{4}]{and}{12}{12}{R}{}{}% AND + \gate[\inputs{4}]{and}{12}{4}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{8}{R}{}{} % NOR + \gate{not}{26}{8}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A2 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{A3} % pin A3 + \wire{2}{7}{9}{7} % wire pin A3 + \pin{1}{9}{L}{B} % pin B + \wire{2}{9}{9}{9} % wire pin B + \pin{1}{11}{L}{B1} % pin B1 + \wire{2}{11}{9}{11} % wire pin B1 + \pin{1}{13}{L}{B2} % pin B2 + \wire{2}{13}{9}{13} % wire pin B2 + \pin{1}{15}{L}{C} % pin C + \pin{1}{19}{L}{C1} % pin C1 + \wire{9}{15}{9}{17} % wire between OR and AND + \wire{16}{4}{16}{6} % wire between AND and NOR + \wire{16}{10}{16}{12}% wire between AND and NOR + \pin{30}{8}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO234_manpage.tex b/Documents/LaTeX/OAAO234_manpage.tex new file mode 100644 index 00000000..e509c2d6 --- /dev/null +++ b/Documents/LaTeX/OAAO234_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO234_manpage.tex +%% +%% Purpose: Manual Page File for OAAO234 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO234} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO234} - a 2-3-4-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO234(Z, C1, C, B2, B1, B, A3, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAO234_circuit.tex} +%\input{OAAO234_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO234_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI234_circuit.tex b/Documents/LaTeX/OAAOI234_circuit.tex new file mode 100644 index 00000000..5c2a8dcb --- /dev/null +++ b/Documents/LaTeX/OAAOI234_circuit.tex @@ -0,0 +1,68 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI233_circuit.tex +%% +%% Purpose: Circuit File for OAAOI233 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{20} + \usgate + \gate[\inputs{2}]{or}{5}{17}{R}{}{} % OR + \gate[\inputs{4}]{and}{12}{12}{R}{}{}% AND + \gate[\inputs{4}]{and}{12}{4}{R}{}{} % AND + \gate[\inputs{2}]{nor}{19}{8}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A2 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{A3} % pin A3 + \wire{2}{7}{9}{7} % wire pin A3 + \pin{1}{9}{L}{B} % pin B + \wire{2}{9}{9}{9} % wire pin B + \pin{1}{11}{L}{B1} % pin B1 + \wire{2}{11}{9}{11} % wire pin B1 + \pin{1}{13}{L}{B2} % pin B2 + \wire{2}{13}{9}{13} % wire pin B2 + \pin{1}{15}{L}{C} % pin C + \pin{1}{19}{L}{C1} % pin C1 + \wire{9}{15}{9}{17} % wire between OR and AND + \wire{16}{4}{16}{6} % wire between AND and NOR + \wire{16}{10}{16}{12}% wire between AND and NOR + \pin{24}{8}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI234_manpage.tex b/Documents/LaTeX/OAAOI234_manpage.tex new file mode 100644 index 00000000..c3c6a384 --- /dev/null +++ b/Documents/LaTeX/OAAOI234_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI234_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI234 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI234} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI234} - a 2-3-4-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI234(Y, C1, C, B2, B1, B, A3, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI234_circuit.tex} +%\input{OAAOI234_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI234_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 42532254b9a7558fd8a0fe70c8aa71c2584348fa Mon Sep 17 00:00:00 2001 From: chipforge Date: Sat, 28 Sep 2019 22:51:24 +0200 Subject: [PATCH 222/673] [DOC] Add LaTeX circuit file for OAAOI2121 and OAAO2121 --- Documents/LaTeX/OAAO2121_circuit.tex | 62 +++++++++++++++++++++++++++ Documents/LaTeX/OAAO2121_manpage.tex | 61 ++++++++++++++++++++++++++ Documents/LaTeX/OAAOI2121_circuit.tex | 61 ++++++++++++++++++++++++++ Documents/LaTeX/OAAOI2121_manpage.tex | 61 ++++++++++++++++++++++++++ 4 files changed, 245 insertions(+) create mode 100644 Documents/LaTeX/OAAO2121_circuit.tex create mode 100644 Documents/LaTeX/OAAO2121_manpage.tex create mode 100644 Documents/LaTeX/OAAOI2121_circuit.tex create mode 100644 Documents/LaTeX/OAAOI2121_manpage.tex diff --git a/Documents/LaTeX/OAAO2121_circuit.tex b/Documents/LaTeX/OAAO2121_circuit.tex new file mode 100644 index 00000000..352e689e --- /dev/null +++ b/Documents/LaTeX/OAAO2121_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO2121_circuit.tex +%% +%% Purpose: Circuit File for OAAO2121 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{16} + \usgate + \gate[\inputs{2}]{or}{5}{13}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{11}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{5}{R}{}{} % NOR + \gate{not}{26}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{16}{1} % wire pin A + \pin{1}{3}{L}{B} % pin B + \wire{2}{3}{9}{3} % wire pin B + \pin{1}{7}{L}{B1} % pin B1 + \wire{2}{7}{9}{7} % wire pin B1 + \pin{1}{9}{L}{C} % pin C + \wire{2}{9}{9}{9} % wire pin C + \pin{1}{11}{L}{D} % pin D + \pin{1}{15}{L}{D1} % pin D1 + \wire{16}{1}{16}{3} % wire between AND and NOR + \wire{16}{7}{16}{11} % wire between AND and NOR + \pin{30}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO2121_manpage.tex b/Documents/LaTeX/OAAO2121_manpage.tex new file mode 100644 index 00000000..7c1002a4 --- /dev/null +++ b/Documents/LaTeX/OAAO2121_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO2121_manpage.tex +%% +%% Purpose: Manual Page File for OAAO2121 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO2121} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO2121} - a 2-1-2-1-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO2121(Z, D1, D1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OAAO2121_circuit.tex} +%\input{OAAO2121_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO2121_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI2121_circuit.tex b/Documents/LaTeX/OAAOI2121_circuit.tex new file mode 100644 index 00000000..ffafc2ab --- /dev/null +++ b/Documents/LaTeX/OAAOI2121_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI2121_circuit.tex +%% +%% Purpose: Circuit File for OAAOI2121 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{16} + \usgate + \gate[\inputs{2}]{or}{5}{13}{R}{}{} % OR + \gate[\inputs{2}]{and}{12}{11}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{5}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{16}{1} % wire pin A + \pin{1}{3}{L}{B} % pin B + \wire{2}{3}{9}{3} % wire pin B + \pin{1}{7}{L}{B1} % pin B1 + \wire{2}{7}{9}{7} % wire pin B1 + \pin{1}{9}{L}{C} % pin C + \wire{2}{9}{9}{9} % wire pin C + \pin{1}{11}{L}{D} % pin D + \pin{1}{15}{L}{D1} % pin D1 + \wire{16}{1}{16}{3} % wire between AND and NOR + \wire{16}{7}{16}{11} % wire between AND and NOR + \pin{24}{5}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI2121_manpage.tex b/Documents/LaTeX/OAAOI2121_manpage.tex new file mode 100644 index 00000000..51338ad9 --- /dev/null +++ b/Documents/LaTeX/OAAOI2121_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI2121_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI2121 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI2121} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI2121} - a 2-1-2-1-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI2121(Y, D1, D1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI2121_circuit.tex} +%\input{OAAOI2121_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI2121_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 0a24e6a9ba59f422f69b94e1da53e1dc22b40981 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 10:51:33 +0200 Subject: [PATCH 223/673] [DOC] Add LaTeX circuit file for AAOI421 and AAO421 --- Documents/LaTeX/AAO421_circuit.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/AAO421_manpage.tex | 59 +++++++++++++++++++++++++++++ Documents/LaTeX/AAOI421_circuit.tex | 58 ++++++++++++++++++++++++++++ Documents/LaTeX/AAOI421_manpage.tex | 59 +++++++++++++++++++++++++++++ 4 files changed, 235 insertions(+) create mode 100644 Documents/LaTeX/AAO421_circuit.tex create mode 100644 Documents/LaTeX/AAO421_manpage.tex create mode 100644 Documents/LaTeX/AAOI421_circuit.tex create mode 100644 Documents/LaTeX/AAOI421_manpage.tex diff --git a/Documents/LaTeX/AAO421_circuit.tex b/Documents/LaTeX/AAO421_circuit.tex new file mode 100644 index 00000000..441d80c0 --- /dev/null +++ b/Documents/LaTeX/AAO421_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO421_circuit.tex +%% +%% Purpose: Circuit File for AAO421 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{16} + \usgate + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{4}]{and}{5}{12}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR + \gate{not}{19}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \pin{1}{15}{L}{C3} % pin C3 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{12}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire before NOR + \pin{23}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO421_manpage.tex b/Documents/LaTeX/AAO421_manpage.tex new file mode 100644 index 00000000..0086d988 --- /dev/null +++ b/Documents/LaTeX/AAO421_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO421_manpage.tex +%% +%% Purpose: Manual Page File for AAO421 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO421} +\paragraph{Cell} +\begin{quote} + \textbf{AAO421} - a 4-2-1-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO421(Z, C3, C2, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAO421_circuit.tex} +%\input{AAO421_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO421_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AAOI421_circuit.tex b/Documents/LaTeX/AAOI421_circuit.tex new file mode 100644 index 00000000..e760a007 --- /dev/null +++ b/Documents/LaTeX/AAOI421_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI421_circuit.tex +%% +%% Purpose: Circuit File for AAOI421 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{16} + \usgate + \gate[\inputs{2}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{4}]{and}{5}{12}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \pin{1}{15}{L}{C3} % pin C3 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{12}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire before NOR + \pin{17}{5}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOI421_manpage.tex b/Documents/LaTeX/AAOI421_manpage.tex new file mode 100644 index 00000000..f8d78805 --- /dev/null +++ b/Documents/LaTeX/AAOI421_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI421_manpage.tex +%% +%% Purpose: Manual Page File for AAOI421 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOI421} +\paragraph{Cell} +\begin{quote} + \textbf{AAOI421} - a 4-2-1-input AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOI421(Y, C3, C2, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAOI421_circuit.tex} +%\input{AAOI421_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOI421_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 5142c9c0b231cc3275eb778285af449abfc9fd54 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 12:41:56 +0200 Subject: [PATCH 224/673] [DOC] Add LaTeX circuit file for OAAOI2221 and OAAO2221 --- Documents/LaTeX/OAAO2221_circuit.tex | 65 +++++++++++++++++++++++++++ Documents/LaTeX/OAAO2221_manpage.tex | 61 +++++++++++++++++++++++++ Documents/LaTeX/OAAOI2221_circuit.tex | 64 ++++++++++++++++++++++++++ Documents/LaTeX/OAAOI2221_manpage.tex | 61 +++++++++++++++++++++++++ 4 files changed, 251 insertions(+) create mode 100644 Documents/LaTeX/OAAO2221_circuit.tex create mode 100644 Documents/LaTeX/OAAO2221_manpage.tex create mode 100644 Documents/LaTeX/OAAOI2221_circuit.tex create mode 100644 Documents/LaTeX/OAAOI2221_manpage.tex diff --git a/Documents/LaTeX/OAAO2221_circuit.tex b/Documents/LaTeX/OAAO2221_circuit.tex new file mode 100644 index 00000000..93f39ab1 --- /dev/null +++ b/Documents/LaTeX/OAAO2221_circuit.tex @@ -0,0 +1,65 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO2221_circuit.tex +%% +%% Purpose: Circuit File for OAAO2221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{27}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{11}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{5}{R}{}{} % NOR + \gate{not}{26}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{16}{1} % wire pin A + \pin{1}{3}{L}{B} % pin B + \wire{2}{3}{9}{3} % wire pin B + \pin{1}{7}{L}{B1} % pin B1 + \wire{2}{7}{9}{7} % wire pin B1 + \pin{1}{9}{L}{C} % pin C + \wire{2}{9}{9}{9} % wire pin C + \pin{1}{11}{L}{C1} % pin C1 + \wire{2}{11}{9}{11} % wire pin C1 + \pin{1}{13}{L}{D} % pin D + \pin{1}{17}{L}{D1} % pin D1 + \wire{9}{13}{9}{15} % wire between OR and AND + \wire{16}{1}{16}{3} % wire between AND and NOR + \wire{16}{7}{16}{11} % wire between AND and NOR + \pin{30}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO2221_manpage.tex b/Documents/LaTeX/OAAO2221_manpage.tex new file mode 100644 index 00000000..f732f75e --- /dev/null +++ b/Documents/LaTeX/OAAO2221_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO2221_manpage.tex +%% +%% Purpose: Manual Page File for OAAO2221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO2221} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO2221} - a 2-2-2-1-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO2221(Z, D1, D1, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OAAO2221_circuit.tex} +%\input{OAAO2221_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO2221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI2221_circuit.tex b/Documents/LaTeX/OAAOI2221_circuit.tex new file mode 100644 index 00000000..315a2465 --- /dev/null +++ b/Documents/LaTeX/OAAOI2221_circuit.tex @@ -0,0 +1,64 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI2221_circuit.tex +%% +%% Purpose: Circuit File for OAAOI2221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{11}{R}{}{} % AND + \gate[\inputs{2}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{5}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{16}{1} % wire pin A + \pin{1}{3}{L}{B} % pin B + \wire{2}{3}{9}{3} % wire pin B + \pin{1}{7}{L}{B1} % pin B1 + \wire{2}{7}{9}{7} % wire pin B1 + \pin{1}{9}{L}{C} % pin C + \wire{2}{9}{9}{9} % wire pin C + \pin{1}{11}{L}{C1} % pin C1 + \wire{2}{11}{9}{11} % wire pin C1 + \pin{1}{13}{L}{D} % pin D + \pin{1}{17}{L}{D1} % pin D1 + \wire{9}{13}{9}{15} % wire between OR and AND + \wire{16}{1}{16}{3} % wire between AND and NOR + \wire{16}{7}{16}{11} % wire between AND and NOR + \pin{24}{5}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI2221_manpage.tex b/Documents/LaTeX/OAAOI2221_manpage.tex new file mode 100644 index 00000000..cc3fcb30 --- /dev/null +++ b/Documents/LaTeX/OAAOI2221_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI2221_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI2221 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI2221} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI2221} - a 2-2-2-1-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI2221(Y, D1, D1, C1, C, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI2221_circuit.tex} +%\input{OAAOI2221_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI2221_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From f4b1955e59a945e55e9276c2560e81d49794f8fc Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 13:54:00 +0200 Subject: [PATCH 225/673] [DOC] Add LaTeX circuit file for OAAOI431 and OAAO431 --- Documents/LaTeX/AAO431_circuit.tex | 60 +++++++++++++++++++++++++++++ Documents/LaTeX/AAO431_manpage.tex | 59 ++++++++++++++++++++++++++++ Documents/LaTeX/AAOI431_circuit.tex | 59 ++++++++++++++++++++++++++++ Documents/LaTeX/AAOI431_manpage.tex | 59 ++++++++++++++++++++++++++++ 4 files changed, 237 insertions(+) create mode 100644 Documents/LaTeX/AAO431_circuit.tex create mode 100644 Documents/LaTeX/AAO431_manpage.tex create mode 100644 Documents/LaTeX/AAOI431_circuit.tex create mode 100644 Documents/LaTeX/AAOI431_manpage.tex diff --git a/Documents/LaTeX/AAO431_circuit.tex b/Documents/LaTeX/AAO431_circuit.tex new file mode 100644 index 00000000..ac01d7cf --- /dev/null +++ b/Documents/LaTeX/AAO431_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO431_circuit.tex +%% +%% Purpose: Circuit File for AAO431 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{16} + \usgate + \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{4}]{and}{5}{12}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR + \gate{not}{19}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \pin{1}{15}{L}{C3} % pin C3 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{12}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire before NOR + \pin{23}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAO431_manpage.tex b/Documents/LaTeX/AAO431_manpage.tex new file mode 100644 index 00000000..73a29fe5 --- /dev/null +++ b/Documents/LaTeX/AAO431_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAO431_manpage.tex +%% +%% Purpose: Manual Page File for AAO431 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAO431} +\paragraph{Cell} +\begin{quote} + \textbf{AAO431} - a 4-3-1-input AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAO431(Z, C3, C2, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAO431_circuit.tex} +%\input{AAO431_schematic.tex} + +\paragraph{Truth Table} +%\input{AAO431_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AAOI431_circuit.tex b/Documents/LaTeX/AAOI431_circuit.tex new file mode 100644 index 00000000..f0837cc4 --- /dev/null +++ b/Documents/LaTeX/AAOI431_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI431_circuit.tex +%% +%% Purpose: Circuit File for AAOI431 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{16} + \usgate + \gate[\inputs{3}]{and}{5}{5}{R}{}{} % AND + \gate[\inputs{4}]{and}{5}{12}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{5}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{B1} % pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \pin{1}{9}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 + \pin{1}{13}{L}{C2} % pin C2 + \pin{1}{15}{L}{C3} % pin C3 + \wire{9}{1}{9}{3} % wire between AND and NOR + \wire{9}{12}{9}{7} % wire between AND and NOR + \wire{2}{1}{9}{1} % wire before NOR + \pin{17}{5}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AAOI431_manpage.tex b/Documents/LaTeX/AAOI431_manpage.tex new file mode 100644 index 00000000..8c3119e4 --- /dev/null +++ b/Documents/LaTeX/AAOI431_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AAOI431_manpage.tex +%% +%% Purpose: Manual Page File for AAOI431 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AAOI431} +\paragraph{Cell} +\begin{quote} + \textbf{AAOI431} - a 4-3-1-input AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AAOI431(Y, C3, C2, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{AAOI431_circuit.tex} +%\input{AAOI431_schematic.tex} + +\paragraph{Truth Table} +%\input{AAOI431_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From ab4ecde498cf5cd7daee026424c9f22c7ed66413 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 14:44:44 +0200 Subject: [PATCH 226/673] [DOC] Add LaTeX circuit file for OAAOI2231 and OAAO2231 --- Documents/LaTeX/OAAO2231_circuit.tex | 67 +++++++++++++++++++++++++++ Documents/LaTeX/OAAO2231_manpage.tex | 61 ++++++++++++++++++++++++ Documents/LaTeX/OAAOI2231_circuit.tex | 66 ++++++++++++++++++++++++++ Documents/LaTeX/OAAOI2231_manpage.tex | 61 ++++++++++++++++++++++++ 4 files changed, 255 insertions(+) create mode 100644 Documents/LaTeX/OAAO2231_circuit.tex create mode 100644 Documents/LaTeX/OAAO2231_manpage.tex create mode 100644 Documents/LaTeX/OAAOI2231_circuit.tex create mode 100644 Documents/LaTeX/OAAOI2231_manpage.tex diff --git a/Documents/LaTeX/OAAO2231_circuit.tex b/Documents/LaTeX/OAAO2231_circuit.tex new file mode 100644 index 00000000..3c08a56c --- /dev/null +++ b/Documents/LaTeX/OAAO2231_circuit.tex @@ -0,0 +1,67 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO2231_circuit.tex +%% +%% Purpose: Circuit File for OAAO2231 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{11}{R}{}{} % AND + \gate[\inputs{3}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{5}{R}{}{} % NOR + \gate{not}{26}{5}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{16}{1} % wire pin A + \pin{1}{3}{L}{B} % pin B + \wire{2}{3}{9}{3} % wire pin B + \pin{1}{5}{L}{B1} % pin B1 + \wire{2}{5}{9}{5} % wire pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \wire{2}{7}{9}{7} % wire pin B2 + \pin{1}{9}{L}{C} % pin C + \wire{2}{9}{9}{9} % wire pin C + \pin{1}{11}{L}{C1} % pin C1 + \wire{2}{11}{9}{11} % wire pin C1 + \pin{1}{13}{L}{D} % pin D + \pin{1}{17}{L}{D1} % pin D1 + \wire{9}{13}{9}{15} % wire between OR and AND + \wire{16}{1}{16}{3} % wire between AND and NOR + \wire{16}{7}{16}{11} % wire between AND and NOR + \pin{30}{5}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAO2231_manpage.tex b/Documents/LaTeX/OAAO2231_manpage.tex new file mode 100644 index 00000000..f5b04e13 --- /dev/null +++ b/Documents/LaTeX/OAAO2231_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAO2231_manpage.tex +%% +%% Purpose: Manual Page File for OAAO2231 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAO2231} +\paragraph{Cell} +\begin{quote} + \textbf{OAAO2231} - a 2-2-3-1-input OR-AND-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAO2231(Z, D1, D1, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OAAO2231_circuit.tex} +%\input{OAAO2231_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAO2231_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/OAAOI2231_circuit.tex b/Documents/LaTeX/OAAOI2231_circuit.tex new file mode 100644 index 00000000..138defdd --- /dev/null +++ b/Documents/LaTeX/OAAOI2231_circuit.tex @@ -0,0 +1,66 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI2231_circuit.tex +%% +%% Purpose: Circuit File for OAAOI2231 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{18} + \usgate + \gate[\inputs{2}]{or}{5}{15}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{11}{R}{}{} % AND + \gate[\inputs{3}]{and}{12}{5}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{5}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{16}{1} % wire pin A + \pin{1}{3}{L}{B} % pin B + \wire{2}{3}{9}{3} % wire pin B + \pin{1}{5}{L}{B1} % pin B1 + \wire{2}{5}{9}{5} % wire pin B1 + \pin{1}{7}{L}{B2} % pin B2 + \wire{2}{7}{9}{7} % wire pin B2 + \pin{1}{9}{L}{C} % pin C + \wire{2}{9}{9}{9} % wire pin C + \pin{1}{11}{L}{C1} % pin C1 + \wire{2}{11}{9}{11} % wire pin C1 + \pin{1}{13}{L}{D} % pin D + \pin{1}{17}{L}{D1} % pin D1 + \wire{9}{13}{9}{15} % wire between OR and AND + \wire{16}{1}{16}{3} % wire between AND and NOR + \wire{16}{7}{16}{11} % wire between AND and NOR + \pin{24}{5}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAAOI2231_manpage.tex b/Documents/LaTeX/OAAOI2231_manpage.tex new file mode 100644 index 00000000..021d8e8c --- /dev/null +++ b/Documents/LaTeX/OAAOI2231_manpage.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAAOI2231_manpage.tex +%% +%% Purpose: Manual Page File for OAAOI2231 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAAOI2231} +\paragraph{Cell} +\begin{quote} + \textbf{OAAOI2231} - a 2-2-3-1-input OR-AND-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAAOI2231(Y, D1, D1, C1, C, B2, B1, B, A) +\end{quote} + +\paragraph{Description} +\input{OAAOI2231_circuit.tex} +%\input{OAAOI2231_schematic.tex} + +\paragraph{Truth Table} +%\input{OAAOI2231_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 5dba67415fe4e62cfb1b2cd0e9d91ad31e4460e4 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 16:15:18 +0200 Subject: [PATCH 227/673] [DOC] Fix LaTeX circuit file for AO33 --- Documents/LaTeX/AO33_circuit.tex | 12 ++++++------ Documents/LaTeX/AO33_manpage.tex | 16 +++++++--------- 2 files changed, 13 insertions(+), 15 deletions(-) diff --git a/Documents/LaTeX/AO33_circuit.tex b/Documents/LaTeX/AO33_circuit.tex index 4302d12f..ee18d2ae 100644 --- a/Documents/LaTeX/AO33_circuit.tex +++ b/Documents/LaTeX/AO33_circuit.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -40,17 +40,17 @@ \usgate \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR - \gate{not}{19}{4}{R}{}{} % NOT + \gate{not}{19}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A \pin{1}{3}{L}{B} % pin B + \wire{2}{3}{9}{3} % wire pin B \pin{1}{5}{L}{C} % pin C + \wire{2}{5}{9}{5} % wire pin C \pin{1}{7}{L}{D} % pin D \pin{1}{9}{L}{D1} % pin D1 \pin{1}{11}{L}{D2} % pin D2 - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C - \wire{9}{9}{9}{7} % wire between AND and NOR + \wire{9}{7}{9}{9} % wire between OR and NAND \pin{23}{4}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AO33_manpage.tex b/Documents/LaTeX/AO33_manpage.tex index 0764c5c5..0976312d 100644 --- a/Documents/LaTeX/AO33_manpage.tex +++ b/Documents/LaTeX/AO33_manpage.tex @@ -11,13 +11,13 @@ %% %% File: StdCellLib/Documents/LaTeX/AO33_manpage.tex %% -%% Purpose: Manual Page File for AO33 +%% Purpose: Auto-generated Manual Page for AO33 %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -32,15 +32,11 @@ %% See the Libre Silicon Public License for more details. %% %% /////////////////////////////////////////////////////////////////// -\label{AO33} -\paragraph{Cell} -\begin{quote} - \textbf{AO33} - a 3-3-input AND-OR gate -\end{quote} +\subsection{AO33 - a 3-3-input AND-OR-Invert gate} \label{logical:AO33} \paragraph{Synopsys} \begin{quote} - AO33(Z, D2, D1, D, C, B, A) + AO33 (Z D2 D1 D C B A) \end{quote} \paragraph{Description} @@ -48,7 +44,7 @@ %\input{AO33_schematic.tex} \paragraph{Truth Table} -\input{AO33_truthtable.tex} +%\input{AO33_truthtable.tex} \paragraph{Usage} @@ -57,3 +53,5 @@ \paragraph{Layout} \paragraph{Files} + +\clearpage From a4e0fa0f29ea0e5a3a03554819043fe7b4fa382f Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 16:55:45 +0200 Subject: [PATCH 228/673] [DOC] Add LaTeX circuit file for AOI33, AOI42 and AO42 --- Documents/LaTeX/AO42_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AO42_manpage.tex | 59 +++++++++++++++++++++++++++++++ Documents/LaTeX/AOI33_circuit.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AOI33_manpage.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AOI42_circuit.tex | 56 +++++++++++++++++++++++++++++ Documents/LaTeX/AOI42_manpage.tex | 59 +++++++++++++++++++++++++++++++ 6 files changed, 345 insertions(+) create mode 100644 Documents/LaTeX/AO42_circuit.tex create mode 100644 Documents/LaTeX/AO42_manpage.tex create mode 100644 Documents/LaTeX/AOI33_circuit.tex create mode 100644 Documents/LaTeX/AOI33_manpage.tex create mode 100644 Documents/LaTeX/AOI42_circuit.tex create mode 100644 Documents/LaTeX/AOI42_manpage.tex diff --git a/Documents/LaTeX/AO42_circuit.tex b/Documents/LaTeX/AO42_circuit.tex new file mode 100644 index 00000000..26cf8c30 --- /dev/null +++ b/Documents/LaTeX/AO42_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO42_circuit.tex +%% +%% Purpose: Circuit File for AO42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{10} + \usgate + \gate[\inputs{4}]{and}{5}{8}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR + \gate{not}{19}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \pin{1}{11}{L}{C3} % pin C3 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{9}{8}{9}{5} % wire between AND and NOR + \pin{23}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO42_manpage.tex b/Documents/LaTeX/AO42_manpage.tex new file mode 100644 index 00000000..a3f86918 --- /dev/null +++ b/Documents/LaTeX/AO42_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO42_manpage.tex +%% +%% Purpose: Manual Page File for AO42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AO42} +\paragraph{Cell} +\begin{quote} + \textbf{AO42} - a 4-2-input AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AO42(Z, C3, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AO42_circuit.tex} +%\input{AO42_schematic.tex} + +\paragraph{Truth Table} +%\input{AO42_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOI33_circuit.tex b/Documents/LaTeX/AOI33_circuit.tex new file mode 100644 index 00000000..47645d76 --- /dev/null +++ b/Documents/LaTeX/AOI33_circuit.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI33_circuit.tex +%% +%% Purpose: Circuit File for AOI33 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{12} + \usgate + \gate[\inputs{3}]{and}{5}{9}{R}{}{} % AND + \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{B} % pin B + \wire{2}{3}{9}{3} % wire pin B + \pin{1}{5}{L}{C} % pin C + \wire{2}{5}{9}{5} % wire pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{9}{L}{D1} % pin D1 + \pin{1}{11}{L}{D2} % pin D2 + \wire{9}{7}{9}{9} % wire between OR and NAND + \pin{17}{4}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOI33_manpage.tex b/Documents/LaTeX/AOI33_manpage.tex new file mode 100644 index 00000000..e8d3fa58 --- /dev/null +++ b/Documents/LaTeX/AOI33_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI33_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AOI33 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AOI33 - a 3-3-input AND-OR-Invert gate} \label{logical:AOI33} + +\paragraph{Synopsys} +\begin{quote} + AOI33 (Y D2 D1 D C B A) +\end{quote} + +\paragraph{Description} +\input{AOI33_circuit.tex} +%\input{AOI33_schematic.tex} + +\paragraph{Truth Table} +%\input{AOI33_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AOI42_circuit.tex b/Documents/LaTeX/AOI42_circuit.tex new file mode 100644 index 00000000..7ff5e769 --- /dev/null +++ b/Documents/LaTeX/AOI42_circuit.tex @@ -0,0 +1,56 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI42_circuit.tex +%% +%% Purpose: Circuit File for AOI42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{10} + \usgate + \gate[\inputs{4}]{and}{5}{8}{R}{}{} % AND + \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{C1} % pin C1 + \pin{1}{9}{L}{C2} % pin C2 + \pin{1}{11}{L}{C3} % pin C3 + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin B + \wire{9}{8}{9}{5} % wire between AND and NOR + \pin{17}{3}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOI42_manpage.tex b/Documents/LaTeX/AOI42_manpage.tex new file mode 100644 index 00000000..2e3c545e --- /dev/null +++ b/Documents/LaTeX/AOI42_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI42_manpage.tex +%% +%% Purpose: Manual Page File for AOI42 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOI42} +\paragraph{Cell} +\begin{quote} + \textbf{AOI42} - a 4-2-input AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOI42(Y, C3, C2, C1, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOI42_circuit.tex} +%\input{AOI42_schematic.tex} + +\paragraph{Truth Table} +%\input{AOI42_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 766cfd1c47a2c68bd1432ac98439b4fcc3cc6558 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 17:28:22 +0200 Subject: [PATCH 229/673] [DOC] Add LaTeX circuit file for OAOI222 and OAO222 --- Documents/LaTeX/OAO222_circuit.tex | 61 +++++++++++++++++++++++++++++ Documents/LaTeX/OAO222_manpage.tex | 59 ++++++++++++++++++++++++++++ Documents/LaTeX/OAOI222_circuit.tex | 60 ++++++++++++++++++++++++++++ Documents/LaTeX/OAOI222_manpage.tex | 59 ++++++++++++++++++++++++++++ 4 files changed, 239 insertions(+) create mode 100644 Documents/LaTeX/OAO222_circuit.tex create mode 100644 Documents/LaTeX/OAO222_manpage.tex create mode 100644 Documents/LaTeX/OAOI222_circuit.tex create mode 100644 Documents/LaTeX/OAOI222_manpage.tex diff --git a/Documents/LaTeX/OAO222_circuit.tex b/Documents/LaTeX/OAO222_circuit.tex new file mode 100644 index 00000000..cd4489c2 --- /dev/null +++ b/Documents/LaTeX/OAO222_circuit.tex @@ -0,0 +1,61 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO222_circuit.tex +%% +%% Purpose: Circuit File for OAO222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{12} + \usgate + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{3}{R}{}{} % NOR + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{9}{9}{9}{11} % wire between OR and AND + \wire{16}{5}{16}{7} % wire between AND and NOR + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin B + \wire{2}{7}{9}{7} % wire from pin B1 + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAO222_manpage.tex b/Documents/LaTeX/OAO222_manpage.tex new file mode 100644 index 00000000..e4247ab7 --- /dev/null +++ b/Documents/LaTeX/OAO222_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO222_manpage.tex +%% +%% Purpose: Manual Page File for OAO222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAO222} +\paragraph{Cell} +\begin{quote} + \textbf{OAO222} - a 2-2-2-input OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAO222(Z, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAO222_circuit.tex} +%\input{OAO222_schematic.tex} + +\paragraph{Truth Table} +%\input{OAO222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOI222_circuit.tex b/Documents/LaTeX/OAOI222_circuit.tex new file mode 100644 index 00000000..7f12034b --- /dev/null +++ b/Documents/LaTeX/OAOI222_circuit.tex @@ -0,0 +1,60 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI222_circuit.tex +%% +%% Purpose: Circuit File for OAOI222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{12} + \usgate + \gate[\inputs{2}]{or}{5}{11}{R}{}{} % OR + \gate[\inputs{3}]{and}{12}{7}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{C} % pin C + \pin{1}{13}{L}{C1} % pin C1 + \wire{9}{9}{9}{11} % wire between OR and AND + \wire{16}{5}{16}{7} % wire between AND and NOR + \wire{2}{1}{16}{1} % wire from pin A + \wire{2}{3}{16}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin B + \wire{2}{7}{9}{7} % wire from pin B1 + \pin{24}{3}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOI222_manpage.tex b/Documents/LaTeX/OAOI222_manpage.tex new file mode 100644 index 00000000..ebdba62b --- /dev/null +++ b/Documents/LaTeX/OAOI222_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI222_manpage.tex +%% +%% Purpose: Manual Page File for OAOI222 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOI222} +\paragraph{Cell} +\begin{quote} + \textbf{OAOI222} - a 2-2-2-input OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOI222(Y, C1, C, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAOI222_circuit.tex} +%\input{OAOI222_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOI222_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From 2b4a6c5d91fd98fd92c99cefe97b7409213a2539 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 18:31:19 +0200 Subject: [PATCH 230/673] [DOC] Add LaTeX circuit file for AOI43 and AO43 --- Documents/LaTeX/AO43_circuit.tex | 59 +++++++++++++++++++++++++++++++ Documents/LaTeX/AO43_manpage.tex | 57 +++++++++++++++++++++++++++++ Documents/LaTeX/AOI43_circuit.tex | 58 ++++++++++++++++++++++++++++++ Documents/LaTeX/AOI43_manpage.tex | 57 +++++++++++++++++++++++++++++ 4 files changed, 231 insertions(+) create mode 100644 Documents/LaTeX/AO43_circuit.tex create mode 100644 Documents/LaTeX/AO43_manpage.tex create mode 100644 Documents/LaTeX/AOI43_circuit.tex create mode 100644 Documents/LaTeX/AOI43_manpage.tex diff --git a/Documents/LaTeX/AO43_circuit.tex b/Documents/LaTeX/AO43_circuit.tex new file mode 100644 index 00000000..50373b32 --- /dev/null +++ b/Documents/LaTeX/AO43_circuit.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO43_circuit.tex +%% +%% Purpose: Circuit File for AO43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{24}{12} + \usgate + \gate[\inputs{4}]{and}{5}{10}{R}{}{} % AND + \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR + \gate{not}{19}{4}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin 42 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{7}{9}{10} % wire between OR and NAND + \pin{23}{4}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AO43_manpage.tex b/Documents/LaTeX/AO43_manpage.tex new file mode 100644 index 00000000..bc4a16b6 --- /dev/null +++ b/Documents/LaTeX/AO43_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AO43_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AO43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AO43 - a 4-3-input AND-OR-Invert gate} \label{logical:AO43} + +\paragraph{Synopsys} +\begin{quote} + AO43 (Z, B3, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{AO43_circuit.tex} +%\input{AO43_schematic.tex} + +\paragraph{Truth Table} +%\input{AO43_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage diff --git a/Documents/LaTeX/AOI43_circuit.tex b/Documents/LaTeX/AOI43_circuit.tex new file mode 100644 index 00000000..d4b21e80 --- /dev/null +++ b/Documents/LaTeX/AOI43_circuit.tex @@ -0,0 +1,58 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI43_circuit.tex +%% +%% Purpose: Circuit File for AOI43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{18}{12} + \usgate + \gate[\inputs{4}]{and}{5}{10}{R}{}{} % AND + \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin 42 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 + \wire{9}{7}{9}{10} % wire between OR and NAND + \pin{17}{4}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOI43_manpage.tex b/Documents/LaTeX/AOI43_manpage.tex new file mode 100644 index 00000000..5071272a --- /dev/null +++ b/Documents/LaTeX/AOI43_manpage.tex @@ -0,0 +1,57 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOI43_manpage.tex +%% +%% Purpose: Auto-generated Manual Page for AOI43 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\subsection{AOI43 - a 4-3-input AND-OR-Invert gate} \label{logical:AOI43} + +\paragraph{Synopsys} +\begin{quote} + AOI43 (Y, B3, B2, B1, B, A2, A1, A) +\end{quote} + +\paragraph{Description} +\input{AOI43_circuit.tex} +%\input{AOI43_schematic.tex} + +\paragraph{Truth Table} +%\input{AOI43_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} + +\clearpage From 7de82295a714d8b464fb2f519ab115c72d08c9ef Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 20:05:30 +0200 Subject: [PATCH 231/673] [DOC] Add LaTeX circuit file for OAOI232 and OAO232 --- Documents/LaTeX/OAO232_circuit.tex | 63 +++++++++++++++++++++++++++++ Documents/LaTeX/OAO232_manpage.tex | 59 +++++++++++++++++++++++++++ Documents/LaTeX/OAOI232_circuit.tex | 62 ++++++++++++++++++++++++++++ Documents/LaTeX/OAOI232_manpage.tex | 59 +++++++++++++++++++++++++++ 4 files changed, 243 insertions(+) create mode 100644 Documents/LaTeX/OAO232_circuit.tex create mode 100644 Documents/LaTeX/OAO232_manpage.tex create mode 100644 Documents/LaTeX/OAOI232_circuit.tex create mode 100644 Documents/LaTeX/OAOI232_manpage.tex diff --git a/Documents/LaTeX/OAO232_circuit.tex b/Documents/LaTeX/OAO232_circuit.tex new file mode 100644 index 00000000..53b06e3c --- /dev/null +++ b/Documents/LaTeX/OAO232_circuit.tex @@ -0,0 +1,63 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO232_circuit.tex +%% +%% Purpose: Circuit File for OAO232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{31}{16} + \usgate + \gate[\inputs{2}]{or}{5}{13}{R}{}{} % OR + \gate[\inputs{4}]{and}{12}{8}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{3}{R}{}{} % NOR + \gate{not}{26}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{16}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{16}{3} % wire pin A1 + \pin{1}{5}{L}{B} % pin B + \wire{2}{5}{9}{5} % wire pin B + \pin{1}{7}{L}{B1} % pin B1 + \wire{2}{7}{9}{7} % wire pin B1 + \pin{1}{9}{L}{B2} % pin B2 + \wire{2}{9}{9}{9} % wire pin B2 + \pin{1}{11}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \wire{9}{11}{9}{13} % wire between OR and AND + \wire{16}{5}{16}{8} % wire between AND and NOR + \pin{30}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAO232_manpage.tex b/Documents/LaTeX/OAO232_manpage.tex new file mode 100644 index 00000000..83b498ba --- /dev/null +++ b/Documents/LaTeX/OAO232_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAO232_manpage.tex +%% +%% Purpose: Manual Page File for OAO232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAO232} +\paragraph{Cell} +\begin{quote} + \textbf{OAO232} - a 2-3-2-input OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAO232(Z, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAO232_circuit.tex} +%\input{OAO232_schematic.tex} + +\paragraph{Truth Table} +%\input{OAO232_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/OAOI232_circuit.tex b/Documents/LaTeX/OAOI232_circuit.tex new file mode 100644 index 00000000..28e5b6f7 --- /dev/null +++ b/Documents/LaTeX/OAOI232_circuit.tex @@ -0,0 +1,62 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI232_circuit.tex +%% +%% Purpose: Circuit File for OAOI232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{25}{16} + \usgate + \gate[\inputs{2}]{or}{5}{13}{R}{}{} % OR + \gate[\inputs{4}]{and}{12}{8}{R}{}{} % AND + \gate[\inputs{3}]{nor}{19}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \wire{2}{1}{16}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{16}{3} % wire pin A1 + \pin{1}{5}{L}{B} % pin B + \wire{2}{5}{9}{5} % wire pin B + \pin{1}{7}{L}{B1} % pin B1 + \wire{2}{7}{9}{7} % wire pin B1 + \pin{1}{9}{L}{B2} % pin B2 + \wire{2}{9}{9}{9} % wire pin B2 + \pin{1}{11}{L}{C} % pin C + \pin{1}{15}{L}{C1} % pin C1 + \wire{9}{11}{9}{13} % wire between OR and AND + \wire{16}{5}{16}{8} % wire between AND and NOR + \pin{24}{3}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/OAOI232_manpage.tex b/Documents/LaTeX/OAOI232_manpage.tex new file mode 100644 index 00000000..80aa508e --- /dev/null +++ b/Documents/LaTeX/OAOI232_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/OAOI232_manpage.tex +%% +%% Purpose: Manual Page File for OAOI232 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{OAOI232} +\paragraph{Cell} +\begin{quote} + \textbf{OAOI232} - a 2-3-2-input OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + OAOI232(Y, C1, C, B2, B1, B, A1, A) +\end{quote} + +\paragraph{Description} +\input{OAOI232_circuit.tex} +%\input{OAOI232_schematic.tex} + +\paragraph{Truth Table} +%\input{OAOI232_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From f4ff241280456e6deb40e7d2b4b79535ad0d8665 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 20:35:13 +0200 Subject: [PATCH 232/673] [DOC] Fix LaTeX circuit file for AOAI212 and AOA212 --- Documents/LaTeX/AOA212_circuit.tex | 12 ++++++------ Documents/LaTeX/AOA212_manpage.tex | 2 +- Documents/LaTeX/AOAI212_circuit.tex | 12 ++++++------ Documents/LaTeX/AOAI212_manpage.tex | 2 +- 4 files changed, 14 insertions(+), 14 deletions(-) diff --git a/Documents/LaTeX/AOA212_circuit.tex b/Documents/LaTeX/AOA212_circuit.tex index 33385f1e..1e21745d 100644 --- a/Documents/LaTeX/AOA212_circuit.tex +++ b/Documents/LaTeX/AOA212_circuit.tex @@ -43,14 +43,14 @@ \gate[\inputs{3}]{nand}{19}{3}{R}{}{} % NAND \gate{not}{26}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 \wire{16}{5}{16}{7} % wire between AND and OR \wire{2}{1}{16}{1} % wire from pin A - \wire{2}{3}{16}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{16}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin B \pin{30}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOA212_manpage.tex b/Documents/LaTeX/AOA212_manpage.tex index aaca6886..abc6220b 100644 --- a/Documents/LaTeX/AOA212_manpage.tex +++ b/Documents/LaTeX/AOA212_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AOA212(Z, D1, D, C, B, A) + AOA212(Z, C1, C, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AOAI212_circuit.tex b/Documents/LaTeX/AOAI212_circuit.tex index 0648c95b..baf5a044 100644 --- a/Documents/LaTeX/AOAI212_circuit.tex +++ b/Documents/LaTeX/AOAI212_circuit.tex @@ -42,14 +42,14 @@ \gate[\inputs{2}]{or}{12}{7}{R}{}{} % OR \gate[\inputs{3}]{nand}{19}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{C} % pin C + \pin{1}{11}{L}{C1} % pin C1 \wire{16}{5}{16}{7} % wire between AND and OR \wire{2}{1}{16}{1} % wire from pin A - \wire{2}{3}{16}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{16}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin B \pin{24}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOAI212_manpage.tex b/Documents/LaTeX/AOAI212_manpage.tex index 60c2992d..8aa80bbd 100644 --- a/Documents/LaTeX/AOAI212_manpage.tex +++ b/Documents/LaTeX/AOAI212_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AOAI212(Z, D1, D, C, B, A) + AOAI212(Y, C1, C, B1, B, A) \end{quote} \paragraph{Description} From 4cab210736f2a244bbd8b07c50581af5736e8d27 Mon Sep 17 00:00:00 2001 From: chipforge Date: Sun, 29 Sep 2019 21:36:57 +0200 Subject: [PATCH 233/673] [TOOLS] Clearify expand methods in popcorn(1) --- Tools/popcorn.1 | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) diff --git a/Tools/popcorn.1 b/Tools/popcorn.1 index 8e78d1db..89102d03 100644 --- a/Tools/popcorn.1 +++ b/Tools/popcorn.1 @@ -42,7 +42,7 @@ .\" .\" GNU General Public License v3.0 - http://www.gnu.org/licenses/gpl-3.0.html .\" /////////////////////////////////////////////////////////////////// -.Dd June 10, 2019 +.Dd October 3, 2019 .Dt POPCORN 1 "Standard Cell Library" .Os LibreSilicon .Sh NAME @@ -94,15 +94,15 @@ In both networks all inputs are used to determine the output functionality. .Bd -literal ^ Vdd | - | +-' + | +-- A --o| | pMOS - | +-. + | +-- | *---- Y | - | +-' + | +-- A ---| | nMOS - | +-. + | +-- | _|_ Gnd .Ed @@ -177,16 +177,16 @@ Expand cell with one of following methods: .Bl -ohang .It Em nand Add nMOS transistor in serial into highest free pull-down path. -Use new character for enumeration scheme. +Use same character and next number for new mosfet gate name. .It Em nor Add pMOS transistor in serial into highest free pull-up path. -Use new character for enumeration scheme. +Use same character and next number for new mosfet gate name. .It Em aoi Add nMOS transistor in serial into highest free pull-down path. -Use next index for enumeration. +Use new character for last mosfet gate name, enumerate this character for new mosfet gate name. .It Em oai Add pMOS transistor in serial into highest free pull-up path. -Use next index for enumeration. +Use new character for last mosfet gate name, enumerate this character for new mosfet gate name. .El .Pp An addition in one network implies an addition - a transisor in parallel - in the complementary network also. From f5ca1fa051b17ac0d0d4f334f8122fbb20f785ae Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 1 Oct 2019 16:33:17 +0000 Subject: [PATCH 234/673] Improved the BSIM model parameters --- Tech/libresilicon.m | 162 +------------------------------------------- 1 file changed, 2 insertions(+), 160 deletions(-) mode change 100755 => 100644 Tech/libresilicon.m diff --git a/Tech/libresilicon.m b/Tech/libresilicon.m old mode 100755 new mode 100644 index 7acae4da..9f3fb7ed --- a/Tech/libresilicon.m +++ b/Tech/libresilicon.m @@ -1,164 +1,6 @@ -# This is a template file for characterization, it needs to be adapted to our real values +* This is a template NMOS model that should be further improved .model NMOS_VTL nmos level = 54 - -+version = 4.0 binunit = 1 paramchk= 1 mobmod = 0 -+capmod = 2 igcmod = 1 igbmod = 1 geomod = 1 -+diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1 -+permod = 1 acnqsmod= 0 trnqsmod= 0 - -* parameters related to the technology node -+tnom = 27 epsrox = 3.9 -+eta0 = 0.0049 nfactor = 2.1 wint = 5e-09 -+cgso = 1.1e-10 cgdo = 1.1e-10 xl = -2e-08 - -* parameters customized by the user -+toxe = 1.75e-09 toxp = 1.1e-09 toxm = 1.75e-09 toxref = 1.75e-09 -+dtox = 6.5e-10 lint = 3.75e-09 -+vth0 = 0.471 k1 = 0.53 u0 = 0.04359 vsat = 147390 -+rdsw = 155 ndep = 3.3e+18 xj = 1.4e-08 - -* secondary parameters -+ll = 0 wl = 0 lln = 1 wln = 1 -+lw = 0 ww = 0 lwn = 1 wwn = 1 -+lwl = 0 wwl = 0 xpart = 0 -+k2 = 0.01 k3 = 0 -+k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2 -+dvt2 = -0.032 dvt0w = 0 dvt1w = 0 dvt2w = 0 -+dsub = 0.1 minv = 0.05 voffl = 0 dvtp0 = 1.0e-009 -+dvtp1 = 0.1 lpe0 = 0 lpeb = 0 -+ngate = 2e+020 nsd = 2e+020 phin = 0 -+cdsc = 0.000 cdscb = 0 cdscd = 0 cit = 0 -+voff = -0.13 etab = 0 -+vfb = -0.55 ua = 6e-010 ub = 1.2e-018 -+uc = 0 a0 = 1.0 ags = 1e-020 -+a1 = 0 a2 = 1.0 b0 = 0 b1 = 0 -+keta = 0.04 dwg = 0 dwb = 0 pclm = 0.04 -+pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = -0.005 drout = 0.5 -+pvag = 1e-020 delta = 0.01 pscbe1 = 8.14e+008 pscbe2 = 1e-007 -+fprout = 0.2 pdits = 0.08 pditsd = 0.23 pditsl = 2.3e+006 -+rsh = 5 rsw = 85 rdw = 85 -+rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 0 -+prwb = 6.8e-011 wr = 1 alpha0 = 0.074 alpha1 = 0.005 -+beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002 -+egidl = 0.8 - -+aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002 -+nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004 -+eigbinv = 1.1 nigbinv = 3 aigc = 0.012 bigc = 0.0028 -+cigc = 0.002 aigsd = 0.012 bigsd = 0.0028 cigsd = 0.002 -+nigc = 1 poxedge = 1 pigcd = 1 ntox = 1 - -+xrcrg1 = 12 xrcrg2 = 5 -+cgbo = 2.56e-011 cgdl = 2.653e-10 -+cgsl = 2.653e-10 ckappas = 0.03 ckappad = 0.03 acde = 1 -+moin = 15 noff = 0.9 voffcv = 0.02 - -+kt1 = -0.11 kt1l = 0 kt2 = 0.022 ute = -1.5 -+ua1 = 4.31e-009 ub1 = 7.61e-018 uc1 = -5.6e-011 prt = 0 -+at = 33000 - -+fnoimod = 1 tnoimod = 0 - -+jss = 0.0001 jsws = 1e-011 jswgs = 1e-010 njs = 1 -+ijthsfwd= 0.01 ijthsrev= 0.001 bvs = 10 xjbvs = 1 -+jsd = 0.0001 jswd = 1e-011 jswgd = 1e-010 njd = 1 -+ijthdfwd= 0.01 ijthdrev= 0.001 bvd = 10 xjbvd = 1 -+pbs = 1 cjs = 0.0005 mjs = 0.5 pbsws = 1 -+cjsws = 5e-010 mjsws = 0.33 pbswgs = 1 cjswgs = 3e-010 -+mjswgs = 0.33 pbd = 1 cjd = 0.0005 mjd = 0.5 -+pbswd = 1 cjswd = 5e-010 mjswd = 0.33 pbswgd = 1 -+cjswgd = 5e-010 mjswgd = 0.33 tpb = 0.005 tcj = 0.001 -+tpbsw = 0.005 tcjsw = 0.001 tpbswg = 0.005 tcjswg = 0.001 -+xtis = 3 xtid = 3 - -+dmcg = 0e-006 dmci = 0e-006 dmdg = 0e-006 dmcgt = 0e-007 -+dwj = 0.0e-008 xgw = 0e-007 xgl = 0e-008 - -+rshg = 0.4 gbmin = 1e-010 rbpb = 5 rbpd = 15 -+rbps = 15 rbdb = 15 rbsb = 15 ngcon = 1 - - - - - + .model PMOS_VTL pmos level = 54 -+version = 4.0 binunit = 1 paramchk= 1 mobmod = 0 -+capmod = 2 igcmod = 1 igbmod = 1 geomod = 1 -+diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1 -+permod = 1 acnqsmod= 0 trnqsmod= 0 - -* parameters related to the technology node -+tnom = 27 epsrox = 3.9 -+eta0 = 0.0049 nfactor = 2.1 wint = 5e-09 -+cgso = 1.1e-10 cgdo = 1.1e-10 xl = -2e-08 - -* parameters customized by the user -+toxe = 1.85e-09 toxp = 1.1e-09 toxm = 1.85e-09 toxref = 1.85e-09 -+dtox = 7.5e-10 lint = 3.75e-09 -+vth0 = -0.423 k1 = 0.491 u0 = 0.00432 vsat = 70000 -+rdsw = 155 ndep = 2.54e+18 xj = 1.4e-08 - -*secondary parameters -+ll = 0 wl = 0 lln = 1 wln = 1 -+lw = 0 ww = 0 lwn = 1 wwn = 1 -+lwl = 0 wwl = 0 xpart = 0 -+k2 = -0.01 k3 = 0 -+k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2 -+dvt2 = -0.032 dvt0w = 0 dvt1w = 0 dvt2w = 0 -+dsub = 0.1 minv = 0.05 voffl = 0 dvtp0 = 1e-009 -+dvtp1 = 0.05 lpe0 = 0 lpeb = 0 -+ngate = 2e+020 nsd = 2e+020 phin = 0 -+cdsc = 0.000 cdscb = 0 cdscd = 0 cit = 0 -+voff = -0.126 etab = 0 -+vfb = 0.55 ua = 2.0e-009 ub = 0.5e-018 -+uc = 0 a0 = 1.0 ags = 1e-020 -+a1 = 0 a2 = 1 b0 = -1e-020 b1 = 0 -+keta = -0.047 dwg = 0 dwb = 0 pclm = 0.12 -+pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = 3.4e-008 drout = 0.56 -+pvag = 1e-020 delta = 0.01 pscbe1 = 8.14e+008 pscbe2 = 9.58e-007 -+fprout = 0.2 pdits = 0.08 pditsd = 0.23 pditsl = 2.3e+006 -+rsh = 5 rsw = 85 rdw = 85 -+rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 3.22e-008 -+prwb = 6.8e-011 wr = 1 alpha0 = 0.074 alpha1 = 0.005 -+beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002 -+egidl = 0.8 - -+aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002 -+nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004 -+eigbinv = 1.1 nigbinv = 3 aigc = 0.69 bigc = 0.0012 -+cigc = 0.0008 aigsd = 0.0087 bigsd = 0.0012 cigsd = 0.0008 -+nigc = 1 poxedge = 1 pigcd = 1 ntox = 1 - -+xrcrg1 = 12 xrcrg2 = 5 -+cgbo = 2.56e-011 cgdl = 2.653e-10 -+cgsl = 2.653e-10 ckappas = 0.03 ckappad = 0.03 acde = 1 -+moin = 15 noff = 0.9 voffcv = 0.02 - -+kt1 = -0.11 kt1l = 0 kt2 = 0.022 ute = -1.5 -+ua1 = 4.31e-009 ub1 = 7.61e-018 uc1 = -5.6e-011 prt = 0 -+at = 33000 - -+fnoimod = 1 tnoimod = 0 - -+jss = 0.0001 jsws = 1e-011 jswgs = 1e-010 njs = 1 -+ijthsfwd= 0.01 ijthsrev= 0.001 bvs = 10 xjbvs = 1 -+jsd = 0.0001 jswd = 1e-011 jswgd = 1e-010 njd = 1 -+ijthdfwd= 0.01 ijthdrev= 0.001 bvd = 10 xjbvd = 1 -+pbs = 1 cjs = 0.0005 mjs = 0.5 pbsws = 1 -+cjsws = 5e-010 mjsws = 0.33 pbswgs = 1 cjswgs = 3e-010 -+mjswgs = 0.33 pbd = 1 cjd = 0.0005 mjd = 0.5 -+pbswd = 1 cjswd = 5e-010 mjswd = 0.33 pbswgd = 1 -+cjswgd = 5e-010 mjswgd = 0.33 tpb = 0.005 tcj = 0.001 -+tpbsw = 0.005 tcjsw = 0.001 tpbswg = 0.005 tcjswg = 0.001 -+xtis = 3 xtid = 3 - -+dmcg = 0e-006 dmci = 0e-006 dmdg = 0e-006 dmcgt = 0e-007 -+dwj = 0.0e-008 xgw = 0e-007 xgl = 0e-008 - -+rshg = 0.4 gbmin = 1e-010 rbpb = 5 rbpd = 15 -+rbps = 15 rbdb = 15 rbsb = 15 ngcon = 1 - - - From 6c89c47d2df6f79bff2c120594706801910fd907 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 1 Oct 2019 16:42:37 +0000 Subject: [PATCH 235/673] Fixed the visualisation commands --- Catalog/GNUmakefile | 7 ++++++- 1 file changed, 6 insertions(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 59a03d22..57acc2c8 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -157,6 +157,7 @@ test: --spice INV.sp \ --cell INV \ --output INV.lib + libertyviz -l INV.lib --cell INV --pin Y --related-pin A --table cell_rise test2: /usr/local/bin/lctime --debug --liberty ~/FreePDK45/osu_soc/lib/files/gscl45nm.lib \ @@ -164,7 +165,11 @@ test2: --spice ~/FreePDK45/osu_soc/lib/source/netlists/AND2X1.pex.netlist \ --cell AND2X1 \ --output /tmp/and2x1.lib - libertyviz -l libresilicon.lib --cell INV --pin Y --related-pin A --table cell_rise + libertyviz -l /tmp/and2x1.lib --cell AND2X1 --pin Y --related-pin A --table cell_rise & + libertyviz -l /tmp/and2x1.lib --cell AND2X1 --pin Y --related-pin B --table rise_transition & + libertyviz -l /tmp/and2x1.lib --cell AND2X1 --pin Y --related-pin A --table cell_fall & + libertyviz -l /tmp/and2x1.lib --cell AND2X1 --pin Y --related-pin B --table fall_transition & + %.svg: perl ../Tools/perl/mag2svg.pl $@ From 98d4f6f3fc5de88ab0da8956254f956af11c6c41 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 4 Oct 2019 16:44:59 +0000 Subject: [PATCH 236/673] writing the function for each cell into liberty --- Tools/perl/libgen.pl | 32 ++++++++++++++++++++---------- Tools/perl/truthtable.pl | 43 +++++++++++++++++++++------------------- 2 files changed, 44 insertions(+), 31 deletions(-) diff --git a/Tools/perl/libgen.pl b/Tools/perl/libgen.pl index c37dac4b..2a32863b 100755 --- a/Tools/perl/libgen.pl +++ b/Tools/perl/libgen.pl @@ -180,7 +180,7 @@ ($$) my @outputs=(); if(open(IN,"<$cellname.cell")) { - print STDERR "Reading $cellname.cell\n"; + #print STDERR "Reading $cellname.cell\n"; while() { @inputs=split " ",$1 if(m/^\.inputs (.*)/); @@ -415,16 +415,26 @@ ($$) EOF ; } - foreach my $pin(@outputs) - { - $output.=< \$debug, "v" => \$debug, - "format=s" => \$format); + "format=s" => \$format); # text,html,latex,liberty # Convert a value to the gray code value: sub bin2gray @@ -160,7 +160,7 @@ sub truth # Open each file if(open(IN,"<$file")) { - print STDERR "Analyzing $file\n"; + print STDERR "Analyzing $file\n" if($debug); my @lines=; # Read all lines into an array close IN; @@ -248,15 +248,16 @@ sub truth EOF ; -print " {\(Z = \\lnot ((B1 \\land B0) \\lor A) \\)}\n"; -print " \\begin{table}[h] %\\caption{\\(Z = \\lnot ((B1 \\land B0) \\lor A) \\)}\n"; -print " \\begin{center}\n"; -print " \\begin{tabular}{"; -print "|c" foreach(@ins); -print "|"; -print "|c" foreach(@outs); -print "|} \\hline\n"; -print " "; print join(" & ",@ins)." & ".join(" & ",@outs)." \\\\ \\hline\\hline\n"; + print " {\(Z = \\lnot ((B1 \\land B0) \\lor A) \\)}\n"; + print " \\begin{table}[h] %\\caption{\\(Z = \\lnot ((B1 \\land B0) \\lor A) \\)}\n"; + print " \\begin{center}\n"; + print " \\begin{tabular}{"; + print "|c" foreach(@ins); + print "|"; + print "|c" foreach(@outs); + print "|} \\hline\n"; + print " "; + print join(" & ",@ins)." & ".join(" & ",@outs)." \\\\ \\hline\\hline\n"; } elsif($format eq "html") { @@ -275,7 +276,7 @@ sub truth foreach(0 .. $ninputs-1) { print "& " if($format eq "latex" && $_>0); - print "".($gray&(1<<$_))?"1 ":"0 "; + print "".($gray&(1<<$_))?"1 ":"0 " unless($format eq "liberty"); $values{$ins[$_]}=($gray&(1<<$_))?1:0; } # Here we are using the truth function to calculate all network states for the given inputs: @@ -292,7 +293,7 @@ sub truth { push @a,$res{$_}?"$_":"!$_"; # Here we are collecting all values for a AO representation, e.g. (A && !B && C) || (!A && B && C)) } - push @{$results{$out}{$res{$out}}},join(" && ",@a); # Here the single values are put together: (A && !B && C) + push @{$results{$out}{$res{$out}}},join($format eq "liberty"?"&":" && ",@a); # Here the single values are put together: (A && !B && C) } if($format eq "text") @@ -302,13 +303,13 @@ sub truth elsif($format eq "latex") { print "& $res{$_} " foreach(@outs); + print "\\\\ \\hline"; } elsif($format eq "html") { print "$res{$_}" foreach(@outs); } - print "\\\\ \\hline" if($format eq "latex"); - print "\n"; + print "\n" unless($format eq "liberty"); } foreach my $out (@outs) # We might have more than one output of a cell @@ -316,18 +317,20 @@ sub truth my $not=($sum{$out}{0}||0)>($sum{$out}{1}||0)?1:0; # If we have more 0 than 1 results, then the negated inverse is shorted: # TODO: When there are HIGH-Z outputs we should split the HIGH-Z outputs from the others and give a function for output-enable and HIGH-Z + print $format eq "liberty" ? " pin($out) {\n direction: output;\n function:\"":"function: $out = "; if($not) { - print "FUNCTION: $out = (".join(" || ",@{$results{$out}{$not}}).") "; + print "(".join($format eq "liberty"?"|":" || ",@{$results{$out}{$not}}).")"; } else { - print "FUNCTION: $out = ! (".join(" || ",@{$results{$out}{$not}}).") "; + print "!(".join($format eq "liberty"?"|":" || ",@{$results{$out}{$not}}).")"; } + print $format eq "liberty" ? "\";\n }":" "; # TODO: We should try more functional representations like AOI, OAI, OR, NOR and see which one is the shortest representation } - print "\n" if($format eq "text"); + print "\n" if($format eq "text" || $format eq "liberty"); if($format eq "latex") { print < Date: Mon, 7 Oct 2019 21:16:26 +0200 Subject: [PATCH 237/673] [DOC] Simplify port name scheme for AND2, AND3, AND4, NAND2, NAND3, NAND4, NOR2, NOR3, NOR3, OR2, OR3 and OR4 --- Documents/LaTeX/AND2_circuit.tex | 2 +- Documents/LaTeX/AND2_manpage.tex | 2 +- Documents/LaTeX/AND3_circuit.tex | 4 ++-- Documents/LaTeX/AND3_manpage.tex | 4 ++-- Documents/LaTeX/AND4_circuit.tex | 6 +++--- Documents/LaTeX/AND4_manpage.tex | 4 ++-- Documents/LaTeX/NAND2_circuit.tex | 2 +- Documents/LaTeX/NAND2_manpage.tex | 9 ++------- Documents/LaTeX/NAND3_circuit.tex | 4 ++-- Documents/LaTeX/NAND3_manpage.tex | 9 ++------- Documents/LaTeX/NAND4_circuit.tex | 6 +++--- Documents/LaTeX/NAND4_manpage.tex | 2 +- Documents/LaTeX/NOR2_circuit.tex | 2 +- Documents/LaTeX/NOR2_manpage.tex | 9 ++------- Documents/LaTeX/NOR3_circuit.tex | 4 ++-- Documents/LaTeX/NOR3_manpage.tex | 9 ++------- Documents/LaTeX/NOR4_circuit.tex | 6 +++--- Documents/LaTeX/NOR4_manpage.tex | 2 +- Documents/LaTeX/OR2_circuit.tex | 2 +- Documents/LaTeX/OR2_manpage.tex | 2 +- Documents/LaTeX/OR3_circuit.tex | 4 ++-- Documents/LaTeX/OR3_manpage.tex | 4 ++-- Documents/LaTeX/OR4_circuit.tex | 6 +++--- Documents/LaTeX/OR4_manpage.tex | 2 +- 24 files changed, 43 insertions(+), 63 deletions(-) diff --git a/Documents/LaTeX/AND2_circuit.tex b/Documents/LaTeX/AND2_circuit.tex index 401b3c7e..d2f24df4 100644 --- a/Documents/LaTeX/AND2_circuit.tex +++ b/Documents/LaTeX/AND2_circuit.tex @@ -41,7 +41,7 @@ \gate[\inputs{2}]{nand}{5}{3}{R}{}{} % NAND \gate{not}{12}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{5}{L}{B} % pin B + \pin{1}{5}{L}{A1} % pin A1 \pin{16}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AND2_manpage.tex b/Documents/LaTeX/AND2_manpage.tex index a12971f5..c61c1954 100644 --- a/Documents/LaTeX/AND2_manpage.tex +++ b/Documents/LaTeX/AND2_manpage.tex @@ -36,7 +36,7 @@ \subsection{AND2 - a 2-input AND gate} \label{logical:AND2} \paragraph{Synopsys} \begin{quote} - AND2 (Z B A) + AND2 (Z A1 A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AND3_circuit.tex b/Documents/LaTeX/AND3_circuit.tex index cae9194f..f7fc65a2 100644 --- a/Documents/LaTeX/AND3_circuit.tex +++ b/Documents/LaTeX/AND3_circuit.tex @@ -41,8 +41,8 @@ \gate[\inputs{3}]{nand}{5}{3}{R}{}{} % NAND \gate{not}{12}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 \pin{16}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AND3_manpage.tex b/Documents/LaTeX/AND3_manpage.tex index 26a77515..a648c04e 100644 --- a/Documents/LaTeX/AND3_manpage.tex +++ b/Documents/LaTeX/AND3_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -36,7 +36,7 @@ \subsection{AND3 - a 3-input AND gate} \label{logical:AND3} \paragraph{Synopsys} \begin{quote} - AND3 (Z C B A) + AND3 (Z A2 A1 A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AND4_circuit.tex b/Documents/LaTeX/AND4_circuit.tex index 1e8f8f74..f3375778 100644 --- a/Documents/LaTeX/AND4_circuit.tex +++ b/Documents/LaTeX/AND4_circuit.tex @@ -41,9 +41,9 @@ \gate[\inputs{4}]{nand}{5}{4}{R}{}{} % NAND \gate{not}{12}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{A3} % pin A3 \pin{16}{4}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AND4_manpage.tex b/Documents/LaTeX/AND4_manpage.tex index 7bfccf5c..5d2359f0 100644 --- a/Documents/LaTeX/AND4_manpage.tex +++ b/Documents/LaTeX/AND4_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AND4(Z, D, C, B, A) + AND4(Z, A3, A2, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/NAND2_circuit.tex b/Documents/LaTeX/NAND2_circuit.tex index 44fded95..faf31a41 100644 --- a/Documents/LaTeX/NAND2_circuit.tex +++ b/Documents/LaTeX/NAND2_circuit.tex @@ -40,7 +40,7 @@ \usgate \gate[\inputs{2}]{nand}{5}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{5}{L}{B} % pin B + \pin{1}{5}{L}{A1} % pin A1 \pin{10}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/NAND2_manpage.tex b/Documents/LaTeX/NAND2_manpage.tex index 9b18f42a..916f8572 100644 --- a/Documents/LaTeX/NAND2_manpage.tex +++ b/Documents/LaTeX/NAND2_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -36,7 +36,7 @@ \subsection{NAND2 - a 2-input Not-AND (or NAND) gate} \label{logical:NAND2} \paragraph{Synopsys} \begin{quote} - NAND2 (Z B A) + NAND2 (Z A1 A) \end{quote} \paragraph{Description} @@ -54,9 +54,4 @@ \subsection{NAND2 - a 2-input Not-AND (or NAND) gate} \label{logical:NAND2} \paragraph{Files} -\paragraph{See also} -\begin{quote} - NAND3 - a 3-input Not-AND (or NAND) gate -\end{quote} - \clearpage diff --git a/Documents/LaTeX/NAND3_circuit.tex b/Documents/LaTeX/NAND3_circuit.tex index 3c15c21d..22b17a2f 100644 --- a/Documents/LaTeX/NAND3_circuit.tex +++ b/Documents/LaTeX/NAND3_circuit.tex @@ -40,8 +40,8 @@ \usgate \gate[\inputs{3}]{nand}{5}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 \pin{10}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/NAND3_manpage.tex b/Documents/LaTeX/NAND3_manpage.tex index 56f8805c..521cbe13 100644 --- a/Documents/LaTeX/NAND3_manpage.tex +++ b/Documents/LaTeX/NAND3_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -36,7 +36,7 @@ \subsection{NAND3 - a 3-input Not-AND (or NAND) gate} \label{logical:NAND3} \paragraph{Synopsys} \begin{quote} - NAND3 (Z C B A) + NAND3 (Z A2 A1 A) \end{quote} \paragraph{Description} @@ -54,9 +54,4 @@ \subsection{NAND3 - a 3-input Not-AND (or NAND) gate} \label{logical:NAND3} \paragraph{Files} -\paragraph{See also} -\begin{quote} - NAND2 - a 2-input Not-AND (or NAND) gate -\end{quote} - \clearpage diff --git a/Documents/LaTeX/NAND4_circuit.tex b/Documents/LaTeX/NAND4_circuit.tex index 7e5c3006..afdc4a92 100644 --- a/Documents/LaTeX/NAND4_circuit.tex +++ b/Documents/LaTeX/NAND4_circuit.tex @@ -40,9 +40,9 @@ \usgate \gate[\inputs{4}]{nand}{5}{4}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{A3} % pin A3 \pin{10}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/NAND4_manpage.tex b/Documents/LaTeX/NAND4_manpage.tex index a2e2bfbc..28bcc36c 100644 --- a/Documents/LaTeX/NAND4_manpage.tex +++ b/Documents/LaTeX/NAND4_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - NAND4(Z, D, C, B, A) + NAND4(Z, A3, A2, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/NOR2_circuit.tex b/Documents/LaTeX/NOR2_circuit.tex index 4f7627e7..8e895127 100644 --- a/Documents/LaTeX/NOR2_circuit.tex +++ b/Documents/LaTeX/NOR2_circuit.tex @@ -40,7 +40,7 @@ \usgate \gate[\inputs{2}]{nor}{5}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{5}{L}{B} % pin B + \pin{1}{5}{L}{A1} % pin A1 \pin{10}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/NOR2_manpage.tex b/Documents/LaTeX/NOR2_manpage.tex index 0123ab56..17b93bfd 100644 --- a/Documents/LaTeX/NOR2_manpage.tex +++ b/Documents/LaTeX/NOR2_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -36,7 +36,7 @@ \subsection{NOR2 - a 2-input Not-OR (or NOR) gate} \label{logical:NOR2} \paragraph{Synopsys} \begin{quote} - NOR2 (Z B A) + NOR2 (Z A1 A) \end{quote} \paragraph{Description} @@ -54,9 +54,4 @@ \subsection{NOR2 - a 2-input Not-OR (or NOR) gate} \label{logical:NOR2} \paragraph{Files} -\paragraph{See also} -\begin{quote} - NOR3 - a 3-input Not-OR (or NOR) gate -\end{quote} - \clearpage diff --git a/Documents/LaTeX/NOR3_circuit.tex b/Documents/LaTeX/NOR3_circuit.tex index d0be5e63..594e7731 100644 --- a/Documents/LaTeX/NOR3_circuit.tex +++ b/Documents/LaTeX/NOR3_circuit.tex @@ -40,8 +40,8 @@ \usgate \gate[\inputs{3}]{nor}{5}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 \pin{10}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/NOR3_manpage.tex b/Documents/LaTeX/NOR3_manpage.tex index 703e703f..10f113a3 100644 --- a/Documents/LaTeX/NOR3_manpage.tex +++ b/Documents/LaTeX/NOR3_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -36,7 +36,7 @@ \subsection{NOR3 - a 3-input Not-OR (or NOR) gate} \label{logical:NOR3} \paragraph{Synopsys} \begin{quote} - NOR3 (Z C B A) + NOR3 (Z A2 A1 A) \end{quote} \paragraph{Description} @@ -54,9 +54,4 @@ \subsection{NOR3 - a 3-input Not-OR (or NOR) gate} \label{logical:NOR3} \paragraph{Files} -\paragraph{See also} -\begin{quote} - NOR2 - a 2-input Not-OR (or NOR) gate -\end{quote} - \clearpage diff --git a/Documents/LaTeX/NOR4_circuit.tex b/Documents/LaTeX/NOR4_circuit.tex index 9b0c0555..44bc35bd 100644 --- a/Documents/LaTeX/NOR4_circuit.tex +++ b/Documents/LaTeX/NOR4_circuit.tex @@ -40,9 +40,9 @@ \usgate \gate[\inputs{4}]{nor}{5}{4}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{A3} % pin A3 \pin{10}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/NOR4_manpage.tex b/Documents/LaTeX/NOR4_manpage.tex index 6a685c71..62c17d72 100644 --- a/Documents/LaTeX/NOR4_manpage.tex +++ b/Documents/LaTeX/NOR4_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - NOR4(Z, D, C, B, A) + NOR4(Z, A3, A2, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OR2_circuit.tex b/Documents/LaTeX/OR2_circuit.tex index 222845d9..9c7b10a3 100644 --- a/Documents/LaTeX/OR2_circuit.tex +++ b/Documents/LaTeX/OR2_circuit.tex @@ -41,7 +41,7 @@ \gate[\inputs{2}]{nor}{5}{3}{R}{}{} % NOR \gate{not}{12}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{5}{L}{B} % pin B + \pin{1}{5}{L}{A1} % pin A1 \pin{16}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OR2_manpage.tex b/Documents/LaTeX/OR2_manpage.tex index 42f417b0..ee175aff 100644 --- a/Documents/LaTeX/OR2_manpage.tex +++ b/Documents/LaTeX/OR2_manpage.tex @@ -36,7 +36,7 @@ \subsection{OR2 - a 2-input OR gate} \label{logical:OR2} \paragraph{Synopsys} \begin{quote} - OR2 (Z B A) + OR2 (Z A1 A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OR3_circuit.tex b/Documents/LaTeX/OR3_circuit.tex index 3359b19b..f67578a7 100644 --- a/Documents/LaTeX/OR3_circuit.tex +++ b/Documents/LaTeX/OR3_circuit.tex @@ -41,8 +41,8 @@ \gate[\inputs{3}]{nor}{5}{3}{R}{}{} % NOR \gate{not}{12}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 \pin{16}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OR3_manpage.tex b/Documents/LaTeX/OR3_manpage.tex index 084b9467..c519cba3 100644 --- a/Documents/LaTeX/OR3_manpage.tex +++ b/Documents/LaTeX/OR3_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -36,7 +36,7 @@ \subsection{OR3 - a 3-input OR gate} \label{logical:OR3} \paragraph{Synopsys} \begin{quote} - OR3 (Z C B A) + OR3 (Z A2 A1 A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OR4_circuit.tex b/Documents/LaTeX/OR4_circuit.tex index 19fcf866..0ef855ae 100644 --- a/Documents/LaTeX/OR4_circuit.tex +++ b/Documents/LaTeX/OR4_circuit.tex @@ -41,9 +41,9 @@ \gate[\inputs{4}]{nor}{5}{4}{R}{}{} % NOR \gate{not}{12}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{A3} % pin A3 \pin{16}{4}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OR4_manpage.tex b/Documents/LaTeX/OR4_manpage.tex index 8f88b065..59ef1c79 100644 --- a/Documents/LaTeX/OR4_manpage.tex +++ b/Documents/LaTeX/OR4_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OR4(Z, D, C, B, A) + OR4(Z, A3, A2, A1, A) \end{quote} \paragraph{Description} From 3370c06b6a7c6b991888c8155af228e9b75f6f5b Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 8 Oct 2019 06:00:36 +0200 Subject: [PATCH 238/673] [DOC] Simplify port number scheme for AO22, AO23, AO32, AO33, AO42, AOI22, AOI23, AOI32, AOI33, AOI42, OA22, OA23, OA31, OA32, OA33, OA41, OAI21, OAI22, OAI23, OAI31, OAI32, OAI33 and OAI43 --- Documents/LaTeX/AO22_circuit.tex | 10 +++++----- Documents/LaTeX/AO22_manpage.tex | 2 +- Documents/LaTeX/AO23_circuit.tex | 12 ++++++------ Documents/LaTeX/AO23_manpage.tex | 2 +- Documents/LaTeX/AO32_circuit.tex | 10 +++++----- Documents/LaTeX/AO32_manpage.tex | 2 +- Documents/LaTeX/AO33_circuit.tex | 14 +++++++------- Documents/LaTeX/AO33_manpage.tex | 2 +- Documents/LaTeX/AO42_circuit.tex | 12 ++++++------ Documents/LaTeX/AO42_manpage.tex | 2 +- Documents/LaTeX/AOI22_circuit.tex | 10 +++++----- Documents/LaTeX/AOI22_manpage.tex | 2 +- Documents/LaTeX/AOI23_circuit.tex | 14 +++++++------- Documents/LaTeX/AOI23_manpage.tex | 2 +- Documents/LaTeX/AOI32_circuit.tex | 12 ++++++------ Documents/LaTeX/AOI32_manpage.tex | 2 +- Documents/LaTeX/AOI33_circuit.tex | 14 +++++++------- Documents/LaTeX/AOI33_manpage.tex | 2 +- Documents/LaTeX/AOI42_circuit.tex | 14 +++++++------- Documents/LaTeX/AOI42_manpage.tex | 2 +- Documents/LaTeX/OA22_circuit.tex | 10 +++++----- Documents/LaTeX/OA22_manpage.tex | 2 +- Documents/LaTeX/OA23_circuit.tex | 12 ++++++------ Documents/LaTeX/OA23_manpage.tex | 4 ++-- Documents/LaTeX/OA31_manpage.tex | 2 +- Documents/LaTeX/OA32_circuit.tex | 12 ++++++------ Documents/LaTeX/OA32_manpage.tex | 2 +- Documents/LaTeX/OA33_circuit.tex | 14 +++++++------- Documents/LaTeX/OA33_manpage.tex | 2 +- Documents/LaTeX/OA41_manpage.tex | 2 +- Documents/LaTeX/OA43_circuit.tex | 20 ++++++++++---------- Documents/LaTeX/OA43_manpage.tex | 2 +- Documents/LaTeX/OAI21_manpage.tex | 7 +------ Documents/LaTeX/OAI22_circuit.tex | 10 +++++----- Documents/LaTeX/OAI22_manpage.tex | 2 +- Documents/LaTeX/OAI23_circuit.tex | 12 ++++++------ Documents/LaTeX/OAI23_manpage.tex | 2 +- Documents/LaTeX/OAI31_manpage.tex | 2 +- Documents/LaTeX/OAI32_circuit.tex | 12 ++++++------ Documents/LaTeX/OAI32_manpage.tex | 2 +- Documents/LaTeX/OAI33_circuit.tex | 14 +++++++------- Documents/LaTeX/OAI33_manpage.tex | 2 +- Documents/LaTeX/OAI43_circuit.tex | 16 ++++++++-------- 43 files changed, 151 insertions(+), 156 deletions(-) diff --git a/Documents/LaTeX/AO22_circuit.tex b/Documents/LaTeX/AO22_circuit.tex index c9bc76db..37fa6382 100644 --- a/Documents/LaTeX/AO22_circuit.tex +++ b/Documents/LaTeX/AO22_circuit.tex @@ -42,12 +42,12 @@ \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR \gate{not}{19}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{9}{L}{C1} % pin C2 + \pin{1}{3}{L}{A1} % pin A! + \pin{1}{5}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 \wire{9}{5}{9}{7} % wire between AND and NOR - \wire{2}{1}{9}{1} % wire between pin and NOR - \wire{2}{3}{9}{3} % wire between pin and NOR + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin A1 \pin{23}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AO22_manpage.tex b/Documents/LaTeX/AO22_manpage.tex index a1135f3e..f6a13e15 100644 --- a/Documents/LaTeX/AO22_manpage.tex +++ b/Documents/LaTeX/AO22_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AO22(Z, C1, C, B, A) + AO22(Z, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AO23_circuit.tex b/Documents/LaTeX/AO23_circuit.tex index ddaf8993..2a13a733 100644 --- a/Documents/LaTeX/AO23_circuit.tex +++ b/Documents/LaTeX/AO23_circuit.tex @@ -42,14 +42,14 @@ \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR \gate{not}{19}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 \wire{9}{7}{9}{9} % wire between OR and NAND \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{9}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin A2 \pin{23}{4}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AO23_manpage.tex b/Documents/LaTeX/AO23_manpage.tex index ed0bf624..aee79bac 100644 --- a/Documents/LaTeX/AO23_manpage.tex +++ b/Documents/LaTeX/AO23_manpage.tex @@ -36,7 +36,7 @@ \subsection{AO23 - a 2-3-input AND-OR gate} \label{logical:AO23} \paragraph{Synopsys} \begin{quote} - AO23 (Z D1 D C B A) + AO23 (Z B1 B A2 A1 A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AO32_circuit.tex b/Documents/LaTeX/AO32_circuit.tex index f48b2d74..bd474b55 100644 --- a/Documents/LaTeX/AO32_circuit.tex +++ b/Documents/LaTeX/AO32_circuit.tex @@ -42,12 +42,12 @@ \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR \gate{not}{19}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{C1} % pin C1 - \pin{1}{9}{L}{C2} % pin C2 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{B2} % pin B2 \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{3}{9}{3} % wire from pin A1 \wire{9}{7}{9}{5} % wire between AND and NOR \pin{23}{3}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/AO32_manpage.tex b/Documents/LaTeX/AO32_manpage.tex index a7b51a9b..009d9281 100644 --- a/Documents/LaTeX/AO32_manpage.tex +++ b/Documents/LaTeX/AO32_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AO32(Z, C2, C1, C, B, A) + AO32(Z, B2, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AO33_circuit.tex b/Documents/LaTeX/AO33_circuit.tex index ee18d2ae..2b3fa381 100644 --- a/Documents/LaTeX/AO33_circuit.tex +++ b/Documents/LaTeX/AO33_circuit.tex @@ -43,13 +43,13 @@ \gate{not}{19}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A \wire{2}{1}{9}{1} % wire pin A - \pin{1}{3}{L}{B} % pin B - \wire{2}{3}{9}{3} % wire pin B - \pin{1}{5}{L}{C} % pin C - \wire{2}{5}{9}{5} % wire pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{9}{L}{D1} % pin D1 - \pin{1}{11}{L}{D2} % pin D2 + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 \wire{9}{7}{9}{9} % wire between OR and NAND \pin{23}{4}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/AO33_manpage.tex b/Documents/LaTeX/AO33_manpage.tex index 0976312d..badb8da4 100644 --- a/Documents/LaTeX/AO33_manpage.tex +++ b/Documents/LaTeX/AO33_manpage.tex @@ -36,7 +36,7 @@ \subsection{AO33 - a 3-3-input AND-OR-Invert gate} \label{logical:AO33} \paragraph{Synopsys} \begin{quote} - AO33 (Z D2 D1 D C B A) + AO33 (Z B2 B1 B A2 A1 A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AO42_circuit.tex b/Documents/LaTeX/AO42_circuit.tex index 26cf8c30..e537a768 100644 --- a/Documents/LaTeX/AO42_circuit.tex +++ b/Documents/LaTeX/AO42_circuit.tex @@ -42,13 +42,13 @@ \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR \gate{not}{19}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{C1} % pin C1 - \pin{1}{9}{L}{C2} % pin C2 - \pin{1}{11}{L}{C3} % pin C3 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{B2} % pin B2 + \pin{1}{11}{L}{B3} % pin B3 \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{3}{9}{3} % wire from pin A1 \wire{9}{8}{9}{5} % wire between AND and NOR \pin{23}{3}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/AO42_manpage.tex b/Documents/LaTeX/AO42_manpage.tex index a3f86918..92200f42 100644 --- a/Documents/LaTeX/AO42_manpage.tex +++ b/Documents/LaTeX/AO42_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AO42(Z, C3, C2, C1, C, B, A) + AO42(Z, B3, B2, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AOI22_circuit.tex b/Documents/LaTeX/AOI22_circuit.tex index 66178f50..1972c559 100644 --- a/Documents/LaTeX/AOI22_circuit.tex +++ b/Documents/LaTeX/AOI22_circuit.tex @@ -41,12 +41,12 @@ \gate[\inputs{2}]{and}{5}{7}{R}{}{} % AND \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{9}{L}{C1} % pin C1 + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 \wire{9}{5}{9}{7} % wire between OR and NAND - \wire{2}{1}{9}{1} % wire between pin and NAND - \wire{2}{3}{9}{3} % wire between pin and NAND \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOI22_manpage.tex b/Documents/LaTeX/AOI22_manpage.tex index faa6ff66..d551ad30 100644 --- a/Documents/LaTeX/AOI22_manpage.tex +++ b/Documents/LaTeX/AOI22_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AOI22(Z, C1, C, B, A) + AOI22(Z, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AOI23_circuit.tex b/Documents/LaTeX/AOI23_circuit.tex index ed7e26c2..11b8dc51 100644 --- a/Documents/LaTeX/AOI23_circuit.tex +++ b/Documents/LaTeX/AOI23_circuit.tex @@ -41,14 +41,14 @@ \gate[\inputs{2}]{and}{5}{9}{R}{}{} % AND \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{11}{L}{D1} % pin D1 + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 \wire{9}{7}{9}{9} % wire between OR and NAND - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/AOI23_manpage.tex b/Documents/LaTeX/AOI23_manpage.tex index a24d6031..18cce3d8 100644 --- a/Documents/LaTeX/AOI23_manpage.tex +++ b/Documents/LaTeX/AOI23_manpage.tex @@ -36,7 +36,7 @@ \subsection{AOI23 - a 2-3-input AND-OR-Invert gate} \label{logical:AOI23} \paragraph{Synopsys} \begin{quote} - AOI23 (Z D1 D C B A) + AOI23 (Z B1 B A2 A1 A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AOI32_circuit.tex b/Documents/LaTeX/AOI32_circuit.tex index 3367cfa9..3c69e4a6 100644 --- a/Documents/LaTeX/AOI32_circuit.tex +++ b/Documents/LaTeX/AOI32_circuit.tex @@ -41,12 +41,12 @@ \gate[\inputs{3}]{and}{5}{7}{R}{}{} % AND \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{C1} % pin C1 - \pin{1}{9}{L}{C2} % pin C2 - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{B2} % pin B2 \wire{9}{7}{9}{5} % wire between AND and NOR \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} diff --git a/Documents/LaTeX/AOI32_manpage.tex b/Documents/LaTeX/AOI32_manpage.tex index 43b62e5d..d0df745a 100644 --- a/Documents/LaTeX/AOI32_manpage.tex +++ b/Documents/LaTeX/AOI32_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AOI32(Z, C2, C1, C, B, A) + AOI32(Z, B2, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AOI33_circuit.tex b/Documents/LaTeX/AOI33_circuit.tex index 47645d76..2e0e8357 100644 --- a/Documents/LaTeX/AOI33_circuit.tex +++ b/Documents/LaTeX/AOI33_circuit.tex @@ -42,13 +42,13 @@ \gate[\inputs{4}]{nor}{12}{4}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A \wire{2}{1}{9}{1} % wire pin A - \pin{1}{3}{L}{B} % pin B - \wire{2}{3}{9}{3} % wire pin B - \pin{1}{5}{L}{C} % pin C - \wire{2}{5}{9}{5} % wire pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{9}{L}{D1} % pin D1 - \pin{1}{11}{L}{D2} % pin D2 + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \wire{2}{5}{9}{5} % wire pin A2 + \pin{1}{7}{L}{B} % pin D + \pin{1}{9}{L}{B1} % pin D1 + \pin{1}{11}{L}{B2} % pin D2 \wire{9}{7}{9}{9} % wire between OR and NAND \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} diff --git a/Documents/LaTeX/AOI33_manpage.tex b/Documents/LaTeX/AOI33_manpage.tex index e8d3fa58..1bc84284 100644 --- a/Documents/LaTeX/AOI33_manpage.tex +++ b/Documents/LaTeX/AOI33_manpage.tex @@ -36,7 +36,7 @@ \subsection{AOI33 - a 3-3-input AND-OR-Invert gate} \label{logical:AOI33} \paragraph{Synopsys} \begin{quote} - AOI33 (Y D2 D1 D C B A) + AOI33 (Y B2 B1 B A2 A1 A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/AOI42_circuit.tex b/Documents/LaTeX/AOI42_circuit.tex index 7ff5e769..36abd477 100644 --- a/Documents/LaTeX/AOI42_circuit.tex +++ b/Documents/LaTeX/AOI42_circuit.tex @@ -41,13 +41,13 @@ \gate[\inputs{4}]{and}{5}{8}{R}{}{} % AND \gate[\inputs{3}]{nor}{12}{3}{R}{}{} % NOR \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{C1} % pin C1 - \pin{1}{9}{L}{C2} % pin C2 - \pin{1}{11}{L}{C3} % pin C3 - \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B + \wire{2}{1}{9}{1} % wire pin A + \pin{1}{3}{L}{A1} % pin A1 + \wire{2}{3}{9}{3} % wire pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{B2} % pin B2 + \pin{1}{11}{L}{B3} % pin B3 \wire{9}{8}{9}{5} % wire between AND and NOR \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} diff --git a/Documents/LaTeX/AOI42_manpage.tex b/Documents/LaTeX/AOI42_manpage.tex index 2e3c545e..72f341cd 100644 --- a/Documents/LaTeX/AOI42_manpage.tex +++ b/Documents/LaTeX/AOI42_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - AOI42(Y, C3, C2, C1, C, B, A) + AOI42(Y, B3, B2, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OA22_circuit.tex b/Documents/LaTeX/OA22_circuit.tex index 8ca72465..150e8159 100644 --- a/Documents/LaTeX/OA22_circuit.tex +++ b/Documents/LaTeX/OA22_circuit.tex @@ -42,12 +42,12 @@ \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND \gate{not}{19}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{9}{L}{C1} % pin C2 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 \wire{9}{5}{9}{7} % wire between OR and NAND - \wire{2}{1}{9}{1} % wire between pin and NAND - \wire{2}{3}{9}{3} % wire between pin and NAND + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin A1 \pin{23}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OA22_manpage.tex b/Documents/LaTeX/OA22_manpage.tex index ffef4aa8..e189127c 100644 --- a/Documents/LaTeX/OA22_manpage.tex +++ b/Documents/LaTeX/OA22_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OA22(Z, C1, C0, B, A) + OA22(Z, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OA23_circuit.tex b/Documents/LaTeX/OA23_circuit.tex index 998c93ff..66cb03e8 100644 --- a/Documents/LaTeX/OA23_circuit.tex +++ b/Documents/LaTeX/OA23_circuit.tex @@ -42,13 +42,13 @@ \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND \gate{not}{19}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{9}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin A2 \wire{9}{9}{9}{7} % wire between OR and NAND \pin{23}{4}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/OA23_manpage.tex b/Documents/LaTeX/OA23_manpage.tex index 6fd95aa8..3d0b8e17 100644 --- a/Documents/LaTeX/OA23_manpage.tex +++ b/Documents/LaTeX/OA23_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OA23 (Z, D1, D, C, B, A) + OA23 (Z, B1, B, A2, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OA31_manpage.tex b/Documents/LaTeX/OA31_manpage.tex index 0f093447..64257861 100644 --- a/Documents/LaTeX/OA31_manpage.tex +++ b/Documents/LaTeX/OA31_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OA32_circuit.tex b/Documents/LaTeX/OA32_circuit.tex index b9e12793..29158b64 100644 --- a/Documents/LaTeX/OA32_circuit.tex +++ b/Documents/LaTeX/OA32_circuit.tex @@ -42,13 +42,13 @@ \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND \gate{not}{19}{3}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{C1} % pin C1 - \pin{1}{9}{L}{C2} % pin C2 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{B2} % pin B2 \wire{9}{5}{9}{7} % wire between OR and NAND - \wire{2}{1}{9}{1} % wire between pin and NAND - \wire{2}{3}{9}{3} % wire between pin and NAND + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin A1 \pin{23}{3}{R}{Z} % pin Z \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OA32_manpage.tex b/Documents/LaTeX/OA32_manpage.tex index 0e8ace06..7e89b692 100644 --- a/Documents/LaTeX/OA32_manpage.tex +++ b/Documents/LaTeX/OA32_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OA32(Z, C2, C1, C, B, A) + OA32(Z, B2, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OA33_circuit.tex b/Documents/LaTeX/OA33_circuit.tex index 0b7b9f98..04f5cfca 100644 --- a/Documents/LaTeX/OA33_circuit.tex +++ b/Documents/LaTeX/OA33_circuit.tex @@ -42,14 +42,14 @@ \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND \gate{not}{19}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{9}{L}{D1} % pin D1 - \pin{1}{11}{L}{D2} % pin D2 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{9}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin A2 \wire{9}{9}{9}{7} % wire between OR and NAND \pin{23}{4}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/OA33_manpage.tex b/Documents/LaTeX/OA33_manpage.tex index 21e0880a..3165ba39 100644 --- a/Documents/LaTeX/OA33_manpage.tex +++ b/Documents/LaTeX/OA33_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OA33 (Z, D2, D1, D, C, B, A) + OA33 (Z, B2, B1, B, A2, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OA41_manpage.tex b/Documents/LaTeX/OA41_manpage.tex index e18ef3a3..a58b418e 100644 --- a/Documents/LaTeX/OA41_manpage.tex +++ b/Documents/LaTeX/OA41_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OA43_circuit.tex b/Documents/LaTeX/OA43_circuit.tex index 97354af6..f06362dd 100644 --- a/Documents/LaTeX/OA43_circuit.tex +++ b/Documents/LaTeX/OA43_circuit.tex @@ -9,9 +9,9 @@ %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/OAI23_circuit.tex +%% File: StdCellLib/Documents/LaTeX/OA43_circuit.tex %% -%% Purpose: Circuit File for OAI23 +%% Purpose: Circuit File for OA43 %% %% ************ LaTeX with circdia.sty package *************** %% @@ -42,15 +42,15 @@ \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND \gate{not}{19}{4}{R}{}{} % NOT \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{9}{L}{D1} % pin D1 - \pin{1}{11}{L}{D2} % pin D2 - \pin{1}{13}{L}{D3} % pin D3 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{9}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin A2 \wire{9}{7}{9}{10} % wire between OR and NAND \pin{23}{4}{R}{Z} % pin Z \end{circuitdiagram} diff --git a/Documents/LaTeX/OA43_manpage.tex b/Documents/LaTeX/OA43_manpage.tex index 69b9cdba..b521cc7e 100644 --- a/Documents/LaTeX/OA43_manpage.tex +++ b/Documents/LaTeX/OA43_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OA43 (Z, D3, D2, D1, D, C, B, A) + OA43 (Z, B3, B2, B1, B, A2, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OAI21_manpage.tex b/Documents/LaTeX/OAI21_manpage.tex index c7aa8580..ca361eae 100644 --- a/Documents/LaTeX/OAI21_manpage.tex +++ b/Documents/LaTeX/OAI21_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -54,9 +54,4 @@ \subsection{OAI21 - a 2-1-input OR-AND-Invert gate} \label{logical:OAI21} \paragraph{Files} -\paragraph{See also} -\begin{quote} - OAI31 - a 3-1-input OR-AND-Invert gate -\end{quote} - \clearpage diff --git a/Documents/LaTeX/OAI22_circuit.tex b/Documents/LaTeX/OAI22_circuit.tex index 99bfbd74..c85f7614 100644 --- a/Documents/LaTeX/OAI22_circuit.tex +++ b/Documents/LaTeX/OAI22_circuit.tex @@ -41,12 +41,12 @@ \gate[\inputs{2}]{or}{5}{7}{R}{}{} % OR \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{9}{L}{C1} % pin C1 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 \wire{9}{5}{9}{7} % wire between OR and NAND - \wire{2}{1}{9}{1} % wire between pin and NAND - \wire{2}{3}{9}{3} % wire between pin and NAND + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin A1 \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OAI22_manpage.tex b/Documents/LaTeX/OAI22_manpage.tex index 54e53c2c..6421f502 100644 --- a/Documents/LaTeX/OAI22_manpage.tex +++ b/Documents/LaTeX/OAI22_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OAI22(Z, C1, C0, B, A) + OAI22(Z, B1, B0, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OAI23_circuit.tex b/Documents/LaTeX/OAI23_circuit.tex index 02643bc4..621f3927 100644 --- a/Documents/LaTeX/OAI23_circuit.tex +++ b/Documents/LaTeX/OAI23_circuit.tex @@ -41,13 +41,13 @@ \gate[\inputs{2}]{or}{5}{9}{R}{}{} % OR \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{11}{L}{D1} % pin D1 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{11}{L}{B1} % pin B1 \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{9}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin A2 \wire{9}{9}{9}{7} % wire between OR and NAND \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} diff --git a/Documents/LaTeX/OAI23_manpage.tex b/Documents/LaTeX/OAI23_manpage.tex index 07df2f01..3e37cd29 100644 --- a/Documents/LaTeX/OAI23_manpage.tex +++ b/Documents/LaTeX/OAI23_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OAI23 (Z, D1, D, C, B, A) + OAI23 (Z, B1, B, A2, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OAI31_manpage.tex b/Documents/LaTeX/OAI31_manpage.tex index fb9b7172..9ebe7ee8 100644 --- a/Documents/LaTeX/OAI31_manpage.tex +++ b/Documents/LaTeX/OAI31_manpage.tex @@ -17,7 +17,7 @@ %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon diff --git a/Documents/LaTeX/OAI32_circuit.tex b/Documents/LaTeX/OAI32_circuit.tex index 39d9a7ee..30743bf7 100644 --- a/Documents/LaTeX/OAI32_circuit.tex +++ b/Documents/LaTeX/OAI32_circuit.tex @@ -41,13 +41,13 @@ \gate[\inputs{3}]{or}{5}{7}{R}{}{} % OR \gate[\inputs{3}]{nand}{12}{3}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{C1} % pin C1 - \pin{1}{9}{L}{C2} % pin C2 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{B} % pin B + \pin{1}{7}{L}{B1} % pin B1 + \pin{1}{9}{L}{B2} % pin B2 \wire{9}{5}{9}{7} % wire between OR and NAND - \wire{2}{1}{9}{1} % wire between pin and NAND - \wire{2}{3}{9}{3} % wire between pin and NAND + \wire{2}{1}{9}{1} % wire from pin A + \wire{2}{3}{9}{3} % wire from pin A1 \pin{17}{3}{R}{Y} % pin Y \end{circuitdiagram} \end{center} diff --git a/Documents/LaTeX/OAI32_manpage.tex b/Documents/LaTeX/OAI32_manpage.tex index 5fa894e1..63b4db8b 100644 --- a/Documents/LaTeX/OAI32_manpage.tex +++ b/Documents/LaTeX/OAI32_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OAI32(Z, C2, C1, C, B, A) + OAI32(Z, B2, B1, B, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OAI33_circuit.tex b/Documents/LaTeX/OAI33_circuit.tex index 771fdde1..c48ec4be 100644 --- a/Documents/LaTeX/OAI33_circuit.tex +++ b/Documents/LaTeX/OAI33_circuit.tex @@ -41,14 +41,14 @@ \gate[\inputs{3}]{or}{5}{9}{R}{}{} % OR \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{9}{L}{D1} % pin D1 - \pin{1}{11}{L}{D2} % pin D2 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{9}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin A2 \wire{9}{9}{9}{7} % wire between OR and NAND \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} diff --git a/Documents/LaTeX/OAI33_manpage.tex b/Documents/LaTeX/OAI33_manpage.tex index 5d1382f8..7adc22e7 100644 --- a/Documents/LaTeX/OAI33_manpage.tex +++ b/Documents/LaTeX/OAI33_manpage.tex @@ -40,7 +40,7 @@ \paragraph{Synopsys} \begin{quote} - OAI33 (Z, D2, D1, D, C, B, A) + OAI33 (Z, B2, B1, B, A2, A1, A) \end{quote} \paragraph{Description} diff --git a/Documents/LaTeX/OAI43_circuit.tex b/Documents/LaTeX/OAI43_circuit.tex index 245e0334..9c5328c0 100644 --- a/Documents/LaTeX/OAI43_circuit.tex +++ b/Documents/LaTeX/OAI43_circuit.tex @@ -41,15 +41,15 @@ \gate[\inputs{4}]{or}{5}{10}{R}{}{} % OR \gate[\inputs{4}]{nand}{12}{4}{R}{}{} % NAND \pin{1}{1}{L}{A} % pin A - \pin{1}{3}{L}{B} % pin B - \pin{1}{5}{L}{C} % pin C - \pin{1}{7}{L}{D} % pin D - \pin{1}{9}{L}{D1} % pin D1 - \pin{1}{11}{L}{D2} % pin D2 - \pin{1}{13}{L}{D3} % pin D3 + \pin{1}{3}{L}{A1} % pin A1 + \pin{1}{5}{L}{A2} % pin A2 + \pin{1}{7}{L}{B} % pin B + \pin{1}{9}{L}{B1} % pin B1 + \pin{1}{11}{L}{B2} % pin B2 + \pin{1}{13}{L}{B3} % pin B3 \wire{2}{1}{9}{1} % wire from pin A - \wire{2}{3}{9}{3} % wire from pin B - \wire{2}{5}{9}{5} % wire from pin C + \wire{2}{3}{9}{3} % wire from pin A1 + \wire{2}{5}{9}{5} % wire from pin A2 \wire{9}{7}{9}{10} % wire between OR and NAND \pin{17}{4}{R}{Y} % pin Y \end{circuitdiagram} From 473f822816a1a7fc95e841beba8caa4da3a0f2df Mon Sep 17 00:00:00 2001 From: chipforge Date: Tue, 8 Oct 2019 06:26:37 +0200 Subject: [PATCH 239/673] [DOC] Rectify LaTeX circuit for CGP2 and CGN2 --- Documents/LaTeX/CGN2_circuit.tex | 20 +++++++++++--------- Documents/LaTeX/CGP2_circuit.tex | 21 +++++++++++---------- 2 files changed, 22 insertions(+), 19 deletions(-) diff --git a/Documents/LaTeX/CGN2_circuit.tex b/Documents/LaTeX/CGN2_circuit.tex index da3feab7..8fb59d32 100644 --- a/Documents/LaTeX/CGN2_circuit.tex +++ b/Documents/LaTeX/CGN2_circuit.tex @@ -36,18 +36,20 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{25}{9} + \begin{circuitdiagram}{26}{9} \usgate - \flipflop[\clockin{p}]{d}{6}{5}{R}{}{} - \pin{1}{5}{L}{XI} % pin XI + \flipflop[\clockin{p}]{d}{7}{5}{R}{}{} + \pin{1}{1}{L}{XI} % pin XI \pin{1}{7}{L}{EN} % pin EN - \junct{2}{5} % clock line + \wire{2}{7}{3}{7} + \wire{2}{5}{3}{5} \wire{2}{1}{2}{5} - \wire{2}{1}{10}{1} - \wire{10}{1}{10}{3} - \gate{nor}{13}{5}{R}{}{} % NOR - \gate{not}{20}{5}{Rc}{}{2x} % buffer - \pin{24}{5}{R}{XO} % pin XO + \junct{2}{1} % clock line + \wire{2}{1}{11}{1} + \wire{11}{5}{11}{7} + \gate{nor}{14}{3}{R}{}{} % NOR + \gate{not}{21}{3}{Rc}{}{2x} % buffer + \pin{25}{3}{R}{XO} % pin XO \end{circuitdiagram} \end{center} \end{figure} diff --git a/Documents/LaTeX/CGP2_circuit.tex b/Documents/LaTeX/CGP2_circuit.tex index 8b19a17b..b4ca8bf7 100644 --- a/Documents/LaTeX/CGP2_circuit.tex +++ b/Documents/LaTeX/CGP2_circuit.tex @@ -36,19 +36,20 @@ Circuit \begin{figure}[h] \begin{center} - \begin{circuitdiagram}{25}{9} + \begin{circuitdiagram}{26}{9} \usgate - % \flipflop[\clockin{n}]{d}{6}{6}{R}{}{} - \flipflop[\clockin{p}]{d}{6}{5}{R}{}{} % !! clock polarity is wrong - \pin{1}{5}{L}{XI} % pin XI + \flipflop[\clockin{n}]{d}{7}{5}{R}{}{} + \pin{1}{1}{L}{XI} % pin XI \pin{1}{7}{L}{E} % pin E - \junct{2}{5} % clock line + \wire{2}{7}{3}{7} + \wire{2}{5}{3}{5} \wire{2}{1}{2}{5} - \wire{2}{1}{10}{1} - \wire{10}{1}{10}{3} - \gate{nand}{13}{5}{R}{}{} % NAND - \gate{not}{20}{5}{Rc}{}{2x} % buffer - \pin{24}{5}{R}{XO} % pin XO + \junct{2}{1} % clock line + \wire{2}{1}{11}{1} + \wire{11}{5}{11}{7} + \gate{nand}{14}{3}{R}{}{} % NAND + \gate{not}{21}{3}{Rc}{}{2x} % buffer + \pin{25}{3}{R}{XO} % pin XO \end{circuitdiagram} \end{center} \end{figure} From dff46bb759fa17be3e8069297f80016e73236c69 Mon Sep 17 00:00:00 2001 From: chipforge Date: Wed, 9 Oct 2019 08:09:39 +0200 Subject: [PATCH 240/673] [DOC] Add LaTeX circuit for AOAOAOI211111 and AOAOAO211111 --- Documents/LaTeX/AOAOAO211111_circuit.tex | 64 +++++++++++++++++++++++ Documents/LaTeX/AOAOAO211111_manpage.tex | 59 +++++++++++++++++++++ Documents/LaTeX/AOAOAOI211111_circuit.tex | 63 ++++++++++++++++++++++ Documents/LaTeX/AOAOAOI211111_manpage.tex | 59 +++++++++++++++++++++ 4 files changed, 245 insertions(+) create mode 100644 Documents/LaTeX/AOAOAO211111_circuit.tex create mode 100644 Documents/LaTeX/AOAOAO211111_manpage.tex create mode 100644 Documents/LaTeX/AOAOAOI211111_circuit.tex create mode 100644 Documents/LaTeX/AOAOAOI211111_manpage.tex diff --git a/Documents/LaTeX/AOAOAO211111_circuit.tex b/Documents/LaTeX/AOAOAO211111_circuit.tex new file mode 100644 index 00000000..0cb31fcf --- /dev/null +++ b/Documents/LaTeX/AOAOAO211111_circuit.tex @@ -0,0 +1,64 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOAO211111_circuit.tex +%% +%% Purpose: Circuit File for AOAOAO211111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{52}{16} + \usgate + \gate[\inputs{2}]{and}{5}{13}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{11}{R}{}{} % OR + \gate[\inputs{2}]{and}{19}{9}{R}{}{} % AND + \gate[\inputs{2}]{or}{26}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{33}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{40}{3}{R}{}{} % NOR + \gate{not}{47}{3}{R}{}{} % NOT + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{9}{L}{E} % pin E + \pin{1}{11}{L}{F} % pin F + \pin{1}{15}{L}{F1} % pin F1 + \wire{2}{1}{37}{1} % wire from pin A + \wire{2}{3}{30}{3} % wire from pin B + \wire{2}{5}{23}{5} % wire from pin C + \wire{2}{7}{16}{7} % wire from pin D + \wire{2}{9}{10}{9} % wire from pin E + \pin{51}{3}{R}{Z} % pin Z + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAOAO211111_manpage.tex b/Documents/LaTeX/AOAOAO211111_manpage.tex new file mode 100644 index 00000000..00e2970c --- /dev/null +++ b/Documents/LaTeX/AOAOAO211111_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOAO211111_manpage.tex +%% +%% Purpose: Manual Page File for AOAOAO211111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAOAO211111} +\paragraph{Cell} +\begin{quote} + \textbf{AOAOAO211111} - a 2-1-1-1-1-1-input AND-OR-AND-OR-AND-OR gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAOAO211111(Z, F1, F, E, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAOAO211111_circuit.tex} +%\input{AOAOAO211111_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAOAO211111_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} diff --git a/Documents/LaTeX/AOAOAOI211111_circuit.tex b/Documents/LaTeX/AOAOAOI211111_circuit.tex new file mode 100644 index 00000000..a3cef8c7 --- /dev/null +++ b/Documents/LaTeX/AOAOAOI211111_circuit.tex @@ -0,0 +1,63 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOAOI211111_circuit.tex +%% +%% Purpose: Circuit File for AOAOAOI211111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\begin{center} + Circuit + \begin{figure}[h] + \begin{center} + \begin{circuitdiagram}{46}{16} + \usgate + \gate[\inputs{2}]{and}{5}{13}{R}{}{} % AND + \gate[\inputs{2}]{or}{12}{11}{R}{}{} % OR + \gate[\inputs{2}]{and}{19}{9}{R}{}{} % AND + \gate[\inputs{2}]{or}{26}{7}{R}{}{} % OR + \gate[\inputs{2}]{and}{33}{5}{R}{}{} % AND + \gate[\inputs{2}]{nor}{40}{3}{R}{}{} % NOR + \pin{1}{1}{L}{A} % pin A + \pin{1}{3}{L}{B} % pin B + \pin{1}{5}{L}{C} % pin C + \pin{1}{7}{L}{D} % pin D + \pin{1}{9}{L}{E} % pin E + \pin{1}{11}{L}{F} % pin F + \pin{1}{15}{L}{F1} % pin F1 + \wire{2}{1}{37}{1} % wire from pin A + \wire{2}{3}{30}{3} % wire from pin B + \wire{2}{5}{23}{5} % wire from pin C + \wire{2}{7}{16}{7} % wire from pin D + \wire{2}{9}{10}{9} % wire from pin E + \pin{45}{3}{R}{Y} % pin Y + \end{circuitdiagram} + \end{center} + \end{figure} +\end{center} diff --git a/Documents/LaTeX/AOAOAOI211111_manpage.tex b/Documents/LaTeX/AOAOAOI211111_manpage.tex new file mode 100644 index 00000000..dcca1d91 --- /dev/null +++ b/Documents/LaTeX/AOAOAOI211111_manpage.tex @@ -0,0 +1,59 @@ +%% ************ LibreSilicon's StdCellLibrary ******************* +%% +%% Organisation: Chipforge +%% Germany / European Union +%% +%% Profile: Chipforge focus on fine System-on-Chip Cores in +%% Verilog HDL Code which are easy understandable and +%% adjustable. For further information see +%% www.chipforge.org +%% there are projects from small cores up to PCBs, too. +%% +%% File: StdCellLib/Documents/LaTeX/AOAOAOI211111_manpage.tex +%% +%% Purpose: Manual Page File for AOAOAOI211111 +%% +%% ************ LaTeX with circdia.sty package *************** +%% +%% /////////////////////////////////////////////////////////////////// +%% +%% Copyright (c) 2019 by chipforge +%% All rights reserved. +%% +%% This Standard Cell Library is licensed under the Libre Silicon +%% public license; you can redistribute it and/or modify it under +%% the terms of the Libre Silicon public license as published by +%% the Libre Silicon alliance, either version 1 of the License, or +%% (at your option) any later version. +%% +%% This design is distributed in the hope that it will be useful, +%% but WITHOUT ANY WARRANTY; without even the implied warranty of +%% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. +%% See the Libre Silicon Public License for more details. +%% +%% /////////////////////////////////////////////////////////////////// +\label{AOAOAOI211111} +\paragraph{Cell} +\begin{quote} + \textbf{AOAOAOI211111} - a 2-1-1-1-1-1-input AND-OR-AND-OR-AND-OR-Invert gate +\end{quote} + +\paragraph{Synopsys} +\begin{quote} + AOAOAOI211111(Y, F1, F, E, D, C, B, A) +\end{quote} + +\paragraph{Description} +\input{AOAOAOI211111_circuit.tex} +%\input{AOAOAOI211111_schematic.tex} + +\paragraph{Truth Table} +%\input{AOAOAOI211111_truthtable.tex} + +\paragraph{Usage} + +\paragraph{Fan-in / Fan-out} + +\paragraph{Layout} + +\paragraph{Files} From af9820dedef270855f4660363a6fa1fcaf5b30b4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 9 Oct 2019 13:04:11 +0000 Subject: [PATCH 241/673] New Tech File for Magic from Leviathanch --- Tech/libresilicon.tech | 303 +++++++++++++++++++++++++---------------- 1 file changed, 184 insertions(+), 119 deletions(-) diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech index 4cccc289..85e02e15 100644 --- a/Tech/libresilicon.tech +++ b/Tech/libresilicon.tech @@ -1,4 +1,3 @@ -# This is the tech-file for magic # 1 "scmos.tech.out" # 1 "" # 1 "" @@ -70,6 +69,9 @@ types active doubleptransistor,pfloating-gate,pfloatg,pfg,pffet active highvoltntransistor,hvnfet,hnfet active highvoltptransistor,hvpfet,hpfet + active rntransistor,rnfet + active rptransistor,rpfet + @@ -224,14 +226,20 @@ styles pdop 4 pdop 38 + rnfet 6 + rpfet 6 snfet 6 spfet 6 nbsfet 6 pbsfet 6 nfet 6 nfet 7 + rnfet 6 + rnfet 7 pfet 8 pfet 9 + rpfet 8 + rpfet 9 enfet 6 enfet 30 @@ -380,8 +388,13 @@ styles error_s 42 error_ps 42 res poly_resist poly_resist_stripes - rnd ndiffusion poly_resist_stripes - rpd pdiffusion poly_resist_stripes + + rnd ntransistor_stripes + rpd ptransistor_stripes + + rnfet polysilicon poly_resist_stripes + rpfet polysilicon ptransistor_stripes + plpdiff polysilicon ptransistor_stripes plndiff polysilicon ntransistor_stripes pbpoly polysilicon ptransistor_stripes @@ -532,7 +545,7 @@ compose paint ndiff hnwell hpdiff paint psd hnwell hnsd paint psc hnwell hnsc -# 905 "scmos.tech.out" +# 919 "scmos.tech.out" paint nfet cwell wcap paint poly wcap wcap paint ndiff wcap wcap @@ -629,7 +642,7 @@ connect pad m3,m3c/m3 end -# 1010 "scmos.tech.out" +# 1024 "scmos.tech.out" cifoutput @@ -643,27 +656,45 @@ cifoutput style lambda=0.5(gen)(libresilicon) scalefactor 50 5 - templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,rpdiffusion,rndiffusion,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet - templayer silicide_stop rpoly,rpdiffusion,rndiffusion - templayer nimplant ndiff,nfet,ndc,nnd,nnc,pbnc,pbnd,nbnc,nbnd,snfet,pbsfet,hnfet,hndc,hndiff + templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet,rnfet,rpfet + + templayer silicide_stop rpoly,rnfet,rpfet,rpdiffusion,rndiffusion + grow 100 + + templayer nimplant ndiff,nfet,ndc,nnd,nnc,pbnc,pbnd,nbnc,nbnd,snfet,pbsfet,hnfet,hndc,hndiff,rndiff,rpdiff,rpfet,rnfet + templayer nimplant_only rnd,plndiff,ndpc grow 100 + templayer pimplant pdiff,pfet,pdc,ppd,ppc,pbpc,pbpd,nbpc,nbpd,spfet,nbsfet,hpfet,hpdc,hpdiff + templayer pimplant_only rpd,plpdiff,pdpc grow 100 + templayer contacts pc,pdc,ndc,ppc,nnc,pbc,ndpc,pdpc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,pc,pdc,ndc,ppc,nnc,pbc,hpdc,hndc + templayer first_metal m1,contacts,m2c + templayer first_via m2c shrink 50 + templayer second_metal m2,m2c,m3c + templayer second_via m3c shrink 50 + templayer third_metal m3,m3c,pad,silk + templayer pbase_parts pb,pbnc,pbpc,pbnd,pbpd,pbpoly,pbsfet,nwpnbase,nwpbase,pnbase,pbase + templayer nbase_parts nb,nbnc,nbpc,nbnd,nbpd,nbpoly,nbsfet,nwpnbase,pnbase,nbase + templayer sonos_parts snfet,spfet,nbsfet,pbsfet + templayer nwell_parts nwell,pnbase,nwpnbase,nwpbase + templayer pwell_parts pwell + templayer implant_stop_parts rpoly grow 100 @@ -716,7 +747,6 @@ style lambda=0.5(gen)(libresilicon) calma 12 0 layer CRG silicide_stop - grow 100 calma 13 0 layer CCA contacts @@ -740,8 +770,8 @@ style lambda=0.5(gen)(libresilicon) layer COG glass calma 20 0 -# 1018 "scmos.tech.out" 2 -# 1036 "scmos.tech.out" +# 1032 "scmos.tech.out" 2 +# 1050 "scmos.tech.out" style plot scalefactor 100 50 layer CM2 m2,m2c/m2,pad/m2 @@ -774,84 +804,9 @@ style plot end -# 1079 "scmos.tech.out" +# 1093 "scmos.tech.out" cifinput -# The following section is defined to be able to import GDS2 cells generated by librecell -# 1097 "scmos.tech.out" -style generic - scalefactor 0.1 - - templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,rpdiffusion,rndiffusion,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet - templayer silicide_stop rpoly,rpdiffusion,rndiffusion - templayer nimplant ndiff,nfet,ndc,nnd,nnc,pbnc,pbnd,nbnc,nbnd,snfet,pbsfet,hnfet,hndc,hndiff - templayer nimplant_only rnd,plndiff,ndpc - grow 100 - templayer pimplant pdiff,pfet,pdc,ppd,ppc,pbpc,pbpd,nbpc,nbpd,spfet,nbsfet,hpfet,hpdc,hpdiff - templayer pimplant_only rpd,plpdiff,pdpc - grow 100 - templayer contacts pc,pdc,ndc,ppc,nnc,pbc,ndpc,pdpc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,pc,pdc,ndc,ppc,nnc,pbc,hpdc,hndc - templayer first_metal m1,contacts,m2c - templayer first_via m2c - shrink 50 - templayer second_metal m2,m2c,m3c - templayer second_via m3c - shrink 50 - templayer third_metal m3,m3c,pad,silk - templayer pbase_parts pb,pbnc,pbpc,pbnd,pbpd,pbpoly,pbsfet,nwpnbase,nwpbase,pnbase,pbase - templayer nbase_parts nb,nbnc,nbpc,nbnd,nbpd,nbpoly,nbsfet,nwpnbase,pnbase,nbase - templayer sonos_parts snfet,spfet,nbsfet,pbsfet - templayer nwell_parts nwell,pnbase,nwpnbase,nwpbase - templayer pwell_parts pwell - templayer implant_stop_parts rpoly - grow 100 - templayer fox_selects nimplant,pimplant - templayer fox_nimplant nimplant - templayer fox_pimplant pimplant - templayer sti_wells nwell_parts,pwell_parts - templayer sti_contacts psc,nsc - - - layer pdiffusion pdiffusion - calma pdiffusion 1 0 - - layer nwell nwell_parts - calma nwell 2 0 - - layer pwell pwell_parts - calma pwell 2 7 - - layer poly poly - calma poly 3 0 - - layer polycontact polycontact - calma polycontact 4 0 - - layer ndcontact ndcontact - calma ndcontact 5 0 - - layer metal1 metal1 - calma metal1 6 0 - calma metal1 6 1 - labels metal1 - - - layer m2contact m2contact - calma m2contact 7 0 - labels metal2 - - layer metal2 metal2 - calma metal2 8 0 - calma metal2 8 1 - labels metal2 - - layer pdcontact pdcontact - calma pdcontact 4 0 - calma pdcontact 5 0 - - templayer abutment - calma abutment 200 0 - boundary - +# 1111 "scmos.tech.out" end mzrouter @@ -871,24 +826,24 @@ end drc -# 1129 "scmos.tech.out" +# 1143 "scmos.tech.out" edge4way (~nwell)/w nwell 10 nwell nwell 10\ "N-Well width must be at least 10 (MOSIS rule #1.1)" edge4way (~pwell)/w pwell 10 pwell pwell 10\ "P-Well width must be at least 10 (MOSIS rule #1.1)" -# 1149 "scmos.tech.out" +# 1163 "scmos.tech.out" edge4way nwell (~nwell)/w 9 (~nwell)/w (~nwell)/w 9\ "N-Well spacing must be at least 9 (MOSIS rule #1.2)" edge4way pwell (~pwell)/w 9 (~pwell)/w (~pwell)/w 9\ "P-Well spacing must be at least 9 (MOSIS rule #1.2)" -# 1188 "scmos.tech.out" +# 1202 "scmos.tech.out" width ndiff,ndc/a,nfet,enfet,nffet,wcap 2 \ "N-type Diffusion width must be at least 2" width pdiff,pdc/a,pfet,epfet,pffet 2 \ "P-type Diffusion width must be at least 2" width nsd,nsc/a,psd,psc/a 2 \ "Ohmic diffusion width must be at least 2" -# 1204 "scmos.tech.out" +# 1218 "scmos.tech.out" spacing ndiff,ndc/a,nfet,enfet,nffet,wcap ndiff,ndc/a,nfet,enfet,nffet,wcap 3 touching_ok \ "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" spacing pdiff,pdc/a,pfet,epfet,pffet pdiff,pdc/a,pfet,epfet,pffet 3 touching_ok \ @@ -905,7 +860,7 @@ drc spacing ndiff,ndc/a pdiff,pdc/a 10 touching_illegal \ "P-type diffusion must be 10 away from N-type diffusion (MOSIS rule #2.3a)" -# 1229 "scmos.tech.out" +# 1243 "scmos.tech.out" spacing ndiff,ndc/a nsd,nsc/a 8 touching_illegal \ "N-type diffusion must be 8 away from N-substrate contact (MOSIS rule #2.3a,4a)" spacing pdiff,pdc/a psd,psc/a 8 touching_illegal \ @@ -915,7 +870,7 @@ drc spacing nsd,nsc/a psd,psc/a 6 touching_illegal \ "Opposite well contacts must be separated by 6 (MOSIS rule #2.4)" -# 1246 "scmos.tech.out" +# 1260 "scmos.tech.out" spacing ndiff,ndc/a,nfet,enfet,nffet,wcap nwell 5 touching_illegal \ "N-diffusion and N-well must be separated by 5 (MOSIS rule #2.3a)" spacing pdiff,pdc/a,pfet,epfet,pffet pwell 5 touching_illegal \ @@ -982,7 +937,7 @@ drc "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" edge nsd,nsc/a,psd,psc/a,ndiff,ndc/a,pdiff,pdc/a space/a 1 space/a space/a 1 \ "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" -# 1335 "scmos.tech.out" +# 1349 "scmos.tech.out" spacing pfet,epfet,pffet nsd,nsc/a 3 touching_illegal \ "Transistors must be separated from substrate contacts by 3 (MOSIS rule #4.1.a)" spacing nfet,enfet,nffet psd,psc/a 3 touching_illegal \ @@ -1006,7 +961,7 @@ drc "Backedge of diffusion must be 4 from substrate diff (MOSIS rule #4.2.a)" edge4way ~(ndiff,ndc/a,nfet,enfet,nffet,wcap)/act ndiff,ndc,nfet 4 ~(psd,psc/a)/act ndiff,ndc/a,nfet,enfet,nffet,wcap 2 \ "Backedge of diffusion must be 4 from substrate diff (MOSIS rule #4.2.b)" -# 1369 "scmos.tech.out" +# 1383 "scmos.tech.out" width pc 4 \ "Poly contact width must be at least 4 (MOSIS rule #5B.1,2,3)" @@ -1025,12 +980,12 @@ drc spacing pc ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a 1 touching_illegal \ "Poly contact must be 1 unit from diffusion (MOSIS rule #5B.6)" -# 1395 "scmos.tech.out" +# 1409 "scmos.tech.out" width ndc,pdc 4 \ "Diffusion contact width must be at least 4 (MOSIS rule #6B.1,2,3)" width nsc,psc 4 \ "Substrate contact width must be at least 4 (MOSIS rule #6B.1,2,3)" -# 1419 "scmos.tech.out" +# 1433 "scmos.tech.out" edge4way ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a ~(ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a)/act 4 ~(ndc,pdc,nsc,psc)/act \ ~(ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a)/act 4 \ "Diffusion contacts must be 4 from other diffusions (MOSIS rule #6B.4,5)" @@ -1048,7 +1003,7 @@ drc spacing pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc pc/act 2 touching_illegal \ "Poly contacts must be 2 away from diffusion contacts (MOSIS rule #6B.9)" -# 1444 "scmos.tech.out" +# 1458 "scmos.tech.out" edge4way m3c/m3 ~m3c/m3 1 ~m3c/m3 (~m3c,m3c)/m3 1 \ "Metal3 contacts must be rectangular (Magic rules)" edge4way m2c/m2 ~m2c/m2 1 ~m2c/m2 (~m2c,m2c)/m2 1 \ @@ -1091,16 +1046,16 @@ drc spacing pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 3 touching_ok \ "First-level metal spacing must be at least 3 (MOSIS rule #7.2)" -# 1497 "scmos.tech.out" +# 1511 "scmos.tech.out" width m2c 4 \ "Contact width must be at least 4 (MOSIS rule #8.1,2,3)" -# 1526 "scmos.tech.out" +# 1540 "scmos.tech.out" width m2,m2c/m2,m3c/m2,pad 3 \ "Second-level metal width must be at least 3 (MOSIS rule #9.1)" -# 1538 "scmos.tech.out" +# 1552 "scmos.tech.out" spacing m2,m2c/m2,m3c/m2,pad m2,m2c/m2,m3c/m2,pad 4 touching_ok \ "Second-level metal spacing must be at least 4 (MOSIS rule #9.2a)" -# 1596 "scmos.tech.out" +# 1610 "scmos.tech.out" width cap,capc/a 2 \ "Electrode capacitor width must be at least 3 (MOSIS rule #11.1)" @@ -1123,7 +1078,7 @@ drc "Cap must be on a flat surface (MOSIS rule #11.4)" active edge4way cap ~(cap)/a 2 nfet,enfet,nffet,pfet,epfet,pffet,poly,poly2,space/a,cc/a \ ndiff,ndc/a,pdiff,pdc/a,poly 2 "Cap must be on a flat surface (MOSIS rule #11.4)" active -# 1627 "scmos.tech.out" +# 1641 "scmos.tech.out" width poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet 2 \ "Electrode width must be at least 2 (MOSIS rule #12.1)" @@ -1149,7 +1104,7 @@ drc spacing poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet pc,ndc,pdc 2 touching_illegal \ "Poly2 spacing to poly or diffusion contact must be at least 3 (MOSIS rule #12.6)" -# 1668 "scmos.tech.out" +# 1682 "scmos.tech.out" width ec,capc 4 \ "Electrode contact width must be at least 4 (MOSIS rule #13.1)" @@ -1174,10 +1129,10 @@ drc width m3c 4 \ "Third-level metal contact width must be at least 4 (MOSIS rule #14.1,2,3)" -# 1703 "scmos.tech.out" +# 1717 "scmos.tech.out" width m3,m3c/m3 4 \ "Third-level metal width must be at least 4" -# 1720 "scmos.tech.out" +# 1734 "scmos.tech.out" spacing m3,m3c/m3 m3,m3c/m3 4 touching_ok \ "Third-level metal spacing must be at least 4 from other third-level metal (MOSIS rule #15.2a)" @@ -1185,10 +1140,10 @@ drc edge4way m3c/m3 ~m3c/m3 1 m3 m3 1 \ "Mimimum metal3 overlap of via must be at least 1 (MOSIS rule #15.3)" -# 1735 "scmos.tech.out" +# 1749 "scmos.tech.out" width clc,pbc,emc 4 \ "Transistor contact width must be at least 4 (MOSIS rule #16.1)" -# 1746 "scmos.tech.out" +# 1760 "scmos.tech.out" edge4way emc/a,emit pbase 4 pbase pbase 4 \ "Pbase overlap of emitter must be at least 4 (MOSIS rule #16.3)" @@ -1203,7 +1158,7 @@ drc edge4way pbc (~pbc)/a 3 pb,pbc/a pb,pbc/a 3 \ "Pbase overlap of base contact must be at least 3 (MOSIS rule #16.5)" -# 1768 "scmos.tech.out" +# 1782 "scmos.tech.out" width col,clc/a 6 \ "Collector width must be at least 6 (MOSIS rule #16.6)" @@ -1248,7 +1203,7 @@ drc spacing pbase,pbc/a pbase,pbc/a 2 surround_ok \ "Pbase spacing must be at least 2 (MOSIS extension rule)" -# 1821 "scmos.tech.out" +# 1835 "scmos.tech.out" width cwell 10 \ "Cap-well width must be at least 10 (MOSIS rule #17.1)" @@ -1270,7 +1225,7 @@ drc edge4way space cwell 3 (space,poly,pc)/a 0 0 \ "Cap-well overlap of diffusion must be at least 3 (MOSIS rule #17.4)" active -# 1851 "scmos.tech.out" +# 1865 "scmos.tech.out" width wcap 3 \ "Well-capacitor must be at least 3 (MOSIS rule #18.1)" @@ -1306,7 +1261,7 @@ drc "CCD channel width must be at least 4 (MOSIS rule #19.1)" width nbdc 4 \ "CCD contact width must be at least 4 (MOSIS rule #19.1)" -# 1896 "scmos.tech.out" +# 1910 "scmos.tech.out" edge4way nbd,nbdc ~(bd,nbd,nbdc)/a 4 (bd,space)/i 0 0 \ "CCD channel spacing must be at least 4 (MOSIS rule #19.2)" implant edge4way nbd,nbdc ~(poly,nbd,nbdc)/a 4 ~(poly,nbd,nbdc)/a ~(poly,nbd,nbdc)/a 4 \ @@ -1327,7 +1282,7 @@ drc "CCD-diffusion contact spacing to poly must be at least 1 (MOSIS CCD rule)" edge4way nbd poly,el 1 bd 0 0 \ "Missing Buried CCD Difussion layer (MOSIS CCD rule)" implant -# 1928 "scmos.tech.out" +# 1942 "scmos.tech.out" edge (~hnwell)/w hnwell 10 hnwell hnwell 10\ "High-Voltage N-Well width must be at least 10 (MOSIS rule #1.1)" edge (~hpwell)/w hpwell 10 hpwell hpwell 10\ @@ -1374,7 +1329,7 @@ drc "N-substrate diffusion and HVP-well must be separated by 3 (MOSIS rule #2.4+20.3)" spacing psd,psc/a hnwell 3 touching_illegal \ "P-substrate diffusion and HVN-well must be separated by 3 (MOSIS rule #2.4+20.3)" -# 1982 "scmos.tech.out" +# 1996 "scmos.tech.out" edge (~hndc)/a hndc/a 6 hndc/a hndc/a 6\ "High-Voltage Diffusion contact width must be at least 6 (MOSIS rule #20.5)" edge (~hpdc)/a hpdc/a 6 hpdc/a hpdc/a 6\ @@ -1407,7 +1362,117 @@ extract # 1 "./extract_template/scmosExt.tech.in" 1 -# 97 "./extract_template/scmosExt.tech.in" +# 98 "./extract_template/scmosExt.tech.in" +# 1 "./extract_template/LibreSiliconExt1um.tech.in" 1 + + + + + +style lambda=lambda_v(libresilicon) + + + + + step 100 + sidehalo 6 + + + + + + + areacap nwell 35 + perimc nwell ~(nwell) 47 + + + areacap ndiff,nsd,ndc/a,nsc/a 0 + areacap pdiff,psd,pdc/a,psc/a 0 + + perimc ndiff,nsd,ndc/a,nsc/a space,pwell 0 + perimc pdiff,psd,pdc/a,psc/a space,nwell 0 +# 39 "./extract_template/LibreSiliconExt1um.tech.in" + areacap (poly,pc)/a 28 + overlap (poly,pc)/a nwell,pwell 28 + + perimc (poly,pc)/a ~(poly,pc)/a 38 + sideoverlap (poly,pc)/a ~(poly,pc)/a nwell,pwell 38 + sideoverlap (poly,pc)/a ~(poly,pc)/a (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 34 + sideoverlap (poly,pc)/a ~(poly,pc)/a (m2,m2c,m3c,pad)/m2 27 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 + + sidewall (poly,pc)/a ~(poly,pc)/a ~(poly,pc)/a (poly,pc)/a 15 + + + + areacap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 18 + overlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 nwell,pwell 18 (poly,pc)/a,(ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a + overlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a 46 + overlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (poly,pc)/a 46 + + perimc (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 33 + sideoverlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 nwell,pwell 33 ((poly,pc)/a,(ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a) + sideoverlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a 33 + sideoverlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (poly,pc)/a 33 + sideoverlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (m2,m2c,m3c,pad)/m2 28 + + sidewall (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 27 + + + + + areacap (m2,m2c,m3c,pad)/m2 9 + overlap (m2,m2c,m3c,pad)/m2 nwell,pwell 9 (poly,pc)/a,(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1,(ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a + overlap (m2,m2c,m3c,pad)/m2 (ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a 12 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 + overlap (m2,m2c,m3c,pad)/m2 (poly,pc)/a 14 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 + overlap (m2,m2c,m3c,pad)/m2 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 30 + + perimc (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 22 + sideoverlap (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 nwell,pwell 22 ((m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1,(poly,pc)/a,(ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a) + sideoverlap (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 (ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a 20 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 + sideoverlap (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 (poly,pc)/a 22 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 + sideoverlap (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 28 + + sidewall (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 (m2,m2c,m3c,pad)/m2 33 + + + + + + fet pfet pdiff,pdc 2 pfet Vdd! nwell 270 623 + fet nfet ndiff,ndc 2 nfet Gnd! pwell 90 623 + fet wcap ndiff,ndc 1 wcap Gnd! pwell 300 0 + + + fetresis nfet linear 9700 + fetresis pfet linear 35700 + + + + contact pc 4 16210 + contact ndc,nsc, 4 77000 + contact pdc,psc, 4 44260 + contact m2c 4 150 + + + resist ndiff,nsd,ndc/a,nsc/a 99630 + resist pdiff,psd,pdc/a,psc/a 120000 + resist (poly,pc/act,pfet,nfet) 25000 + resist (metal1,m2c/metal1) 60 + resist (metal2,via/m2,pad) 40 + resist nwell 1500000 + + + + planeorder implant 0 + planeorder well 1 + planeorder active 2 + planeorder metal1 3 + planeorder metal2 4 + planeorder metal3 5 + planeorder oxide 6 +# 99 "./extract_template/scmosExt.tech.in" 2 + + # 1 "./extract_template/scmosExtDiag.tech.in" 1 @@ -1461,9 +1526,9 @@ style check_nsubstr areacap nsd,nsc 1000 noplaneordering -# 97 "./extract_template/scmosExt.tech.in" 2 -# 2014 "scmos.tech.out" 2 -# 2819 "scmos.tech.out" +# 101 "./extract_template/scmosExt.tech.in" 2 +# 2028 "scmos.tech.out" 2 +# 2833 "scmos.tech.out" end From ffc9754e69b13f3510f99dfe860661746faee31d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 9 Oct 2019 13:04:57 +0000 Subject: [PATCH 242/673] New tool for parasitic extraction with magic --- Tools/perl/parasitics.pl | 21 +++++++++++++++++++++ 1 file changed, 21 insertions(+) create mode 100755 Tools/perl/parasitics.pl diff --git a/Tools/perl/parasitics.pl b/Tools/perl/parasitics.pl new file mode 100755 index 00000000..ba263c14 --- /dev/null +++ b/Tools/perl/parasitics.pl @@ -0,0 +1,21 @@ +#!/usr/bin/perl -w + +my $mag=$ARGV[0]; $mag=~s/\.mag$//i; +my $sp=$mag; $sp.=".par.sp"; +my $par=$ARGV[1] || $sp; + +print "parasitics.pl - Extract parasitics from a .mag file for characterization into a .par.sp (PARasitics-SPice) file\n"; +print "Usage: parasitics.pl input.mag output.par.sp\n"; + +if(-f "$mag.mag") +{ + open MAGIC,"|magic -d XR -noconsole -nowindow -T ../Tech/libresilicon.tech $mag"; + print MAGIC "extract all\next2spice cthresh 0 rthresh 0 $par\next2spice\nquit\n"; + close MAGIC; + #print "$svg written.\n" if(-f $svg); + #print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\nThe cairo library development files need to be installed and magic needs to be configured with --with-cairo.\nMake sure that magic configure says 'Cairo: yes' in the summary.\n" if(! -f $svg); +} +else +{ + print STDERR "Error: Could not load magic file $mag.mag\n"; +} From da38f446633125bbf5b26bc210f6e16b0fedc471 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 9 Oct 2019 15:49:01 +0000 Subject: [PATCH 243/673] Added the cifinput section again --- Tech/libresilicon.tech | 77 ++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 77 insertions(+) diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech index 85e02e15..d7f7cb66 100644 --- a/Tech/libresilicon.tech +++ b/Tech/libresilicon.tech @@ -806,6 +806,83 @@ style plot end # 1093 "scmos.tech.out" cifinput +# The following section is defined to be able to import GDS2 cells generated by librecell +# 1097 "scmos.tech.out" +style generic + scalefactor 0.1 + + templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,rpdiffusion,rndiffusion,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet + templayer silicide_stop rpoly,rpdiffusion,rndiffusion + templayer nimplant ndiff,nfet,ndc,nnd,nnc,pbnc,pbnd,nbnc,nbnd,snfet,pbsfet,hnfet,hndc,hndiff + templayer nimplant_only rnd,plndiff,ndpc + grow 100 + templayer pimplant pdiff,pfet,pdc,ppd,ppc,pbpc,pbpd,nbpc,nbpd,spfet,nbsfet,hpfet,hpdc,hpdiff + templayer pimplant_only rpd,plpdiff,pdpc + grow 100 + templayer contacts pc,pdc,ndc,ppc,nnc,pbc,ndpc,pdpc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,pc,pdc,ndc,ppc,nnc,pbc,hpdc,hndc + templayer first_metal m1,contacts,m2c + templayer first_via m2c + shrink 50 + templayer second_metal m2,m2c,m3c + templayer second_via m3c + shrink 50 + templayer third_metal m3,m3c,pad,silk + templayer pbase_parts pb,pbnc,pbpc,pbnd,pbpd,pbpoly,pbsfet,nwpnbase,nwpbase,pnbase,pbase + templayer nbase_parts nb,nbnc,nbpc,nbnd,nbpd,nbpoly,nbsfet,nwpnbase,pnbase,nbase + templayer sonos_parts snfet,spfet,nbsfet,pbsfet + templayer nwell_parts nwell,pnbase,nwpnbase,nwpbase + templayer pwell_parts pwell + templayer implant_stop_parts rpoly + grow 100 + templayer fox_selects nimplant,pimplant + templayer fox_nimplant nimplant + templayer fox_pimplant pimplant + templayer sti_wells nwell_parts,pwell_parts + templayer sti_contacts psc,nsc + + + layer pdiffusion pdiffusion + calma pdiffusion 1 0 + + layer nwell nwell_parts + calma nwell 2 0 + + layer pwell pwell_parts + calma pwell 2 7 + + layer poly poly + calma poly 3 0 + + layer polycontact polycontact + calma polycontact 4 0 + + layer ndcontact ndcontact + calma ndcontact 5 0 + + layer metal1 metal1 + calma metal1 6 0 + calma metal1 6 1 + labels metal1 + + + layer m2contact m2contact + calma m2contact 7 0 + labels metal2 + + layer metal2 metal2 + calma metal2 8 0 + calma metal2 8 1 + labels metal2 + + layer pdcontact pdcontact + calma pdcontact 4 0 + calma pdcontact 5 0 + + templayer abutment + calma abutment 200 0 + boundary + + # 1111 "scmos.tech.out" end From 1e8cebb9eeabb4349250d201715391724d92b0bd Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 14 Oct 2019 14:20:45 +0000 Subject: [PATCH 244/673] Flexibe file handling (either a single cell or a whole library) --- Tools/perl/cell2spice.pl | 21 +++++++++++++++++---- 1 file changed, 17 insertions(+), 4 deletions(-) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index a1a34786..28a5bac3 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -11,14 +11,26 @@ print "Tech specs: $tech\n"; -open OUT,">libresilicon.sp"; +my @cells=<*.cell>; +my $cell=undef; -foreach my $fn (<*.cell>) +if(scalar(@ARGV)) +{ + $cell=$ARGV[0]; + $cell=~s/\.cell$//; + @cells=$cell.".cell"; +} + +open OUT,">".($cell?"$cell.sp":"libresilicon.sp"); + +print "Params: ".scalar(@ARGV)." ".scalar(@cells)."\n"; + +foreach my $fn (@cells) { my $short=$fn; $short=~s/\.cell//; my $transistors=""; - - open IN,"<$fn"; + print "Opening $fn\n"; + open IN,"<$fn" || die "Error opening cell file: $!\n"; my $M=0; my $ios=""; while() @@ -51,6 +63,7 @@ print OUT ".subckt $short vdd gnd $ios\n"; print OUT $transistors; print OUT ".ends $short\n\n"; + close IN; } close OUT; From 334c97193e69bb2e1d2983fb4e8807e9ed7bb40d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 14 Oct 2019 14:24:19 +0000 Subject: [PATCH 245/673] Added parasitics extraction and characterization --- Tools/perl/librecells.pl | 49 +++++++++++++++++++++++++++++++++++++++- 1 file changed, 48 insertions(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 41a32afc..31cb50bf 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -6,6 +6,8 @@ my $sp=$ARGV[0]||"libresilicon.sp"; +$ENV{'PySpiceLogLevel'}="DEBUG" if($debug); + open IN,"<$sp"; while() { @@ -22,18 +24,63 @@ print "$cmd\n"; system $cmd; - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon ".($debug?"":">/dev/null 2>/dev/null"); + # For this processing step, the refrenced libresilicon.tech file needs to contain the cifinput section to import from GDS and the extract section to do the parasitic extraction: + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech ".($debug?"":">/dev/null 2>/dev/null"); print OUT </dev/null 2>/dev/null"); + print OUT < Date: Tue, 22 Oct 2019 15:25:39 +0000 Subject: [PATCH 246/673] Added additional nmos/pmos definitions --- Tech/libresilicon.m | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/Tech/libresilicon.m b/Tech/libresilicon.m index 9f3fb7ed..ca0bfc91 100644 --- a/Tech/libresilicon.m +++ b/Tech/libresilicon.m @@ -4,3 +4,7 @@ .model PMOS_VTL pmos level = 54 +.model nfet nmos level = 54 + +.model pfet pmos level = 54 + From 50c88210ef94609c0c6a24ef28d737e8a8c828e2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 22 Oct 2019 15:26:09 +0000 Subject: [PATCH 247/673] Added stackable to make the vias stackable again Disabled some rules that caused warnings --- Tech/libresilicon.tech | 50 ++++++++++++++++++++++-------------------- 1 file changed, 26 insertions(+), 24 deletions(-) diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech index d7f7cb66..1b3f3521 100644 --- a/Tech/libresilicon.tech +++ b/Tech/libresilicon.tech @@ -6,7 +6,7 @@ # 1 "scmos.tech.out" # 351 "scmos.tech.out" tech - format 28 + format 33 scmos end @@ -192,8 +192,8 @@ contact - - + # MAGIC: stackable! + stackable end styles @@ -653,7 +653,7 @@ cifoutput # 1 "cif_template/objs/LSACIFout" 1 -style lambda=0.5(gen)(libresilicon) + style lambda=0.5(gen)(libresilicon) scalefactor 50 5 templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet,rnfet,rpfet @@ -772,7 +772,7 @@ style lambda=0.5(gen)(libresilicon) calma 20 0 # 1032 "scmos.tech.out" 2 # 1050 "scmos.tech.out" -style plot + style plot scalefactor 100 50 layer CM2 m2,m2c/m2,pad/m2 labels m2 @@ -808,7 +808,7 @@ end cifinput # The following section is defined to be able to import GDS2 cells generated by librecell # 1097 "scmos.tech.out" -style generic + style generic scalefactor 0.1 templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,rpdiffusion,rndiffusion,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet @@ -1221,8 +1221,8 @@ drc width clc,pbc,emc 4 \ "Transistor contact width must be at least 4 (MOSIS rule #16.1)" # 1760 "scmos.tech.out" - edge4way emc/a,emit pbase 4 pbase pbase 4 \ - "Pbase overlap of emitter must be at least 4 (MOSIS rule #16.3)" +# edge4way emc/a,emit pbase 4 pbase pbase 4 \ +# "Pbase overlap of emitter must be at least 4 (MOSIS rule #16.3)" @@ -1233,20 +1233,20 @@ drc - edge4way pbc (~pbc)/a 3 pb,pbc/a pb,pbc/a 3 \ - "Pbase overlap of base contact must be at least 3 (MOSIS rule #16.5)" + # edge4way pbc (~pbc)/a 3 pb,pbc/a pb,pbc/a 3 \ +# "Pbase overlap of base contact must be at least 3 (MOSIS rule #16.5)" # 1782 "scmos.tech.out" width col,clc/a 6 \ "Collector width must be at least 6 (MOSIS rule #16.6)" - edge4way pbase space/a 6 nwell space/a 6 \ - "Nwell overlap of Pbase must be at least 6 (MOSIS rule #16.7)" well +# edge4way pbase space/a 6 nwell space/a 6 \ +# "Nwell overlap of Pbase must be at least 6 (MOSIS rule #16.7)" well - edge4way pbase (~pbase)/a 4 ~(col,clc)/a ~(col,clc)/a 4 \ - "Pbase must be at least 4 away from collector (MOSIS rule #16.8)" +# edge4way pbase (~pbase)/a 4 ~(col,clc)/a ~(col,clc)/a 4 \ +# "Pbase must be at least 4 away from collector (MOSIS rule #16.8)" edge4way clc (~clc)/a 1 col col 1 \ @@ -1275,11 +1275,11 @@ drc - width pbase,pbc/a 2 \ - "Pbase width must be at least 2 (MOSIS extension rule)" +# width pbase,pbc/a 2 \ +# "Pbase width must be at least 2 (MOSIS extension rule)" - spacing pbase,pbc/a pbase,pbc/a 2 surround_ok \ - "Pbase spacing must be at least 2 (MOSIS extension rule)" +# spacing pbase,pbc/a pbase,pbc/a 2 surround_ok \ +# "Pbase spacing must be at least 2 (MOSIS extension rule)" # 1835 "scmos.tech.out" width cwell 10 \ "Cap-well width must be at least 10 (MOSIS rule #17.1)" @@ -1446,7 +1446,7 @@ extract -style lambda=lambda_v(libresilicon) + style lambda=lambda_v(libresilicon) @@ -1546,7 +1546,9 @@ style lambda=lambda_v(libresilicon) planeorder metal1 3 planeorder metal2 4 planeorder metal3 5 - planeorder oxide 6 + planeorder metal4 6 + planeorder oxide 7 + # 99 "./extract_template/scmosExt.tech.in" 2 @@ -1557,7 +1559,7 @@ style lambda=lambda_v(libresilicon) -style check_nwell + style check_nwell lambda 100 step 100 @@ -1568,7 +1570,7 @@ style check_nwell noplaneordering -style check_pwell + style check_pwell lambda 100 step 100 @@ -1578,7 +1580,7 @@ style check_pwell noplaneordering -style check_psubstr + style check_psubstr @@ -1591,7 +1593,7 @@ style check_psubstr noplaneordering -style check_nsubstr + style check_nsubstr From 11101e9b324c333d2f2f7db4b4bb2b36a9c3732e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Oct 2019 18:06:50 +0000 Subject: [PATCH 248/673] Fixed scaling for GDS import --- Tech/libresilicon.tech | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech index 1b3f3521..585e5d85 100644 --- a/Tech/libresilicon.tech +++ b/Tech/libresilicon.tech @@ -809,7 +809,7 @@ cifinput # The following section is defined to be able to import GDS2 cells generated by librecell # 1097 "scmos.tech.out" style generic - scalefactor 0.1 + scalefactor 100 templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,rpdiffusion,rndiffusion,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet templayer silicide_stop rpoly,rpdiffusion,rndiffusion From 5a6cf97d7f9d1f825cf8743725c5755c3ff76339 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Oct 2019 19:31:36 +0000 Subject: [PATCH 249/673] Fixed wrong layer for pdcontact --- Tech/libresilicon.tech | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech index 585e5d85..05452c7a 100644 --- a/Tech/libresilicon.tech +++ b/Tech/libresilicon.tech @@ -872,10 +872,10 @@ cifinput layer metal2 metal2 calma metal2 8 0 calma metal2 8 1 + calma metal2 8 2 labels metal2 layer pdcontact pdcontact - calma pdcontact 4 0 calma pdcontact 5 0 templayer abutment From adbf77a58dad80f257608ecc8ff9a784675d277c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Oct 2019 19:32:29 +0000 Subject: [PATCH 250/673] Changed sizing according to Hagens spec --- Tech/transistor.sp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech/transistor.sp b/Tech/transistor.sp index 9e0758e0..253b6680 100644 --- a/Tech/transistor.sp +++ b/Tech/transistor.sp @@ -1 +1 @@ -w=1.0u l=1.0u +w=1.5u l=1.0u From 955bf478f6a3efe5f2fbd5ce66853c65bae4e950 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Oct 2019 19:34:00 +0000 Subject: [PATCH 251/673] Fixed filenames and improved warnings --- Tools/perl/truthtable.pl | 18 ++++++++++++------ 1 file changed, 12 insertions(+), 6 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index f26012be..17b22f51 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -157,6 +157,8 @@ sub truth # Take all the given filenames from the commandline foreach my $file(@ARGV) { + my $cellname=$file; $cellname=~s/\.cell$//; + # Open each file if(open(IN,"<$file")) { @@ -220,15 +222,15 @@ sub truth %% www.chipforge.org %% there are projects from small cores up to PCBs, too. %% -%% File: StdCellLib/Documents/LaTeX/truthtable_AOI21.tex +%% File: StdCellLib/Documents/LaTeX/truthtable_$cellname.tex %% -%% Purpose: Truth Table File for AOI21 +%% Purpose: Truth Table File for $cellname %% %% ************ LaTeX with circdia.sty package *************** %% %% /////////////////////////////////////////////////////////////////// %% -%% Copyright (c) 2018 by chipforge +%% Copyright (c) 2019 by chipforge %% All rights reserved. %% %% This Standard Cell Library is licensed under the Libre Silicon @@ -318,13 +320,17 @@ sub truth # If we have more 0 than 1 results, then the negated inverse is shorted: # TODO: When there are HIGH-Z outputs we should split the HIGH-Z outputs from the others and give a function for output-enable and HIGH-Z print $format eq "liberty" ? " pin($out) {\n direction: output;\n function:\"":"function: $out = "; - if($not) + my @list=defined($results{$out}{$not})?@{$results{$out}{$not}}:(); + if(!scalar(@list)) + { + } + elsif($not) { - print "(".join($format eq "liberty"?"|":" || ",@{$results{$out}{$not}}).")"; + print "(".join($format eq "liberty"?"|":" || ",@list).")"; } else { - print "!(".join($format eq "liberty"?"|":" || ",@{$results{$out}{$not}}).")"; + print "!(".join($format eq "liberty"?"|":" || ",@list).")"; } print $format eq "liberty" ? "\";\n }":" "; # TODO: We should try more functional representations like AOI, OAI, OR, NOR and see which one is the shortest representation From 5691a36a3815286e63236091bf8eecdf6bc74966 Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 19 Dec 2019 17:23:10 +0100 Subject: [PATCH 252/673] New Tech file for new librecell version --- Tech/librecell_tech.py | 56 ++++++++++++++++++++++++++++++++++++------ 1 file changed, 49 insertions(+), 7 deletions(-) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index 43c8ec30..4ae1e7c5 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -1,4 +1,7 @@ from lclayout.layout.layers import * +from lclayout.writer.magic_writer import MagWriter +from lclayout.writer.lef_writer import LefWriter +from lclayout.writer.gds_writer import GdsWriter # Physical size of one data base unit in meters. # Libresilicon: We wanted to choose 100nm, so 1 lambda is 5 units of 1e-7, so every lambda value has to be multiplied by 5 @@ -7,6 +10,7 @@ # Lambda - how many db_units is 1 lambda? l = 500 +um = 1000 # Scale transistor width. transistor_channel_width_sizing = 1 @@ -48,12 +52,42 @@ l_abutment_box: my_abutment_box } +# Define a list of output writers. +output_writers = [ + MagWriter( + tech_name='scmos', + scale_factor=0.001, # Scale all coordinates by this factor (rounded down to next integer). + output_map={ + l_via1: 'm2contact', + l_poly: 'polysilicon', + l_abutment_box: ['fence'], + l_metal1: 'metal1', + l_metal1_label: 'metal1', + l_metal2_label: 'metal2', + l_active: 'ndiffusion', + l_metal2_pin: 'metal2', + l_poly_contact: 'polycontact', + l_diff_contact: 'pdcontact' + } + ), + + LefWriter( + db_unit=db_unit, + output_map=output_map + ), + + GdsWriter( + db_unit=db_unit, + output_map=output_map + ) +] + # Define how layers can be used for routing. # Example for a layer that can be used for horizontal and vertical tracks: {'MyLayer1' : 'hv'} # Example for a layer that can be contacted but not used for routing: {'MyLayer2' : ''} routing_layers = { - l_active: '', - l_poly: 'hv', + l_active: '', # Allow adding shapes on active layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. + l_poly: '', l_metal1: 'hv', l_metal2: 'hv', } @@ -94,17 +128,21 @@ # Minimum length a polysilicon gate must overlap the silicon. gate_extension = 2*l # 2.4.4 -> 2l -# Routing pitch -routing_grid_pitch_x = 4*l # unit_cell_width // 8 -routing_grid_pitch_y = 4*l # unit_cell_height // 30 +# Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. +transistor_offset_y = 12*l # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. # `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. -unit_cell_width = routing_grid_pitch_x * 1 * 2 -unit_cell_height = max(routing_grid_pitch_y * 1 * 10,160) # minimum 16um due to pwell width + nwell-pwell spacing +unit_cell_width = 16 * l +unit_cell_height = 64 * l # minimum 16um due to pwell width + nwell-pwell spacing +assert unit_cell_height >= 16*um, "minimum 16um due to pwell width + nwell-pwell spacing" # due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 +# Routing pitch +routing_grid_pitch_x = unit_cell_width // 2 // 1 +routing_grid_pitch_y = 2*l # unit_cell_height // 8 // 2 + # Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) grid_offset_x = routing_grid_pitch_x grid_offset_y = (routing_grid_pitch_y // 2 ) -0 @@ -125,6 +163,7 @@ # Width of routing wires. wire_width = { + l_active: 2*l, l_poly: 2*l, # 2.4.1 -> 2l l_metal1: 4*l, # 2.7.1 -> 4l l_metal2: 4*l, # 2.9.1 -> 4l @@ -132,6 +171,7 @@ # Width of horizontal routing wires (overwrites `wire_width`). wire_width_horizontal = { + l_active: 2*l, l_poly: 2*l, # 2.4.1 -> 2l l_metal1: 4*l, # 2.7.1 -> 4l l_metal2: 4*l, # 2.9.1 -> 4l @@ -195,11 +235,13 @@ # Routing edge weights per data base unit. weights_horizontal = { + l_active: 10000, l_poly: 10, l_metal1: 1, l_metal2: 2, } weights_vertical = { + l_active: 10000, l_poly: 10, l_metal1: 1, l_metal2: 2, From d1f95715ce9d0f2d00edd00ad45a00b02f3ec981 Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 19 Dec 2019 17:25:23 +0100 Subject: [PATCH 253/673] Seperating make catalog and make layout --- Catalog/GNUmakefile | 60 +++++++++++++++++++++++++-------------------- 1 file changed, 33 insertions(+), 27 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 088c5895..81bc0c45 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -96,7 +96,7 @@ clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) $(CELLS) $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.mag *.svg + $(RM) *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump # ---------------------------------------------------------------- # CELL TARGETS @@ -121,7 +121,11 @@ endif endif .PHONY: catalog -catalog: $(CELLS) libresilicon.sp libresilicon.lef libresilicon.lib qflow demoboard.mag doc/StdCellLib.pdf +catalog: $(CELLS) + +.PHONY: layout +layout: libresilicon.sp libresilicon.lef libresilicon.lib qflow demoboard.mag doc/StdCellLib.pdf + libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/transistor.sp ../Tools/perl/cell2spice.pl @@ -142,32 +146,32 @@ qflow: libresilicon.lef libresilicon.lib cp libresilicon.lef /usr/local/share/qflow/tech/ls050/ls050_stdcells.lef cp libresilicon.lib /usr/local/share/qflow/tech/ls050/ls05_stdcells.lib -AND4.cell: NAND3.cell - $(POPCORN) -l $(LIMIT) -n nand -c $@ -b $(LIMIT) $< - -NAND2.cell: INV.cell - $(POPCORN) -l $(LIMIT) -n nand -c $@ $< - -NAND3.cell: NAND2.cell - $(POPCORN) -l $(LIMIT) -n nand -c $@ $< - -AOI21.cell: INV.cell - $(POPCORN) -l $(LIMIT) -n aoi -c $@ $< - -OAI21.cell: INV.cell - $(POPCORN) -l $(LIMIT) -n oai -c $@ $< - -NOR2.cell: INV.cell - $(POPCORN) -l $(LIMIT) -n nor -c $@ $< - -NOR3.cell: NOR2.cell - $(POPCORN) -l $(LIMIT) -n nor -c $@ $< - -OR4.cell: NOR3.cell - $(POPCORN) -l $(LIMIT) -n nor -c $@ -b $(LIMIT) $< +#AND4.cell: NAND3.cell +# $(POPCORN) -l $(LIMIT) -n nand -c $@ -b $(LIMIT) $< +# +#NAND2.cell: INV.cell +# $(POPCORN) -l $(LIMIT) -n nand -c $@ $< +# +#NAND3.cell: NAND2.cell +# $(POPCORN) -l $(LIMIT) -n nand -c $@ $< +# +#AOI21.cell: INV.cell +# $(POPCORN) -l $(LIMIT) -n aoi -c $@ $< +# +#OAI21.cell: INV.cell +# $(POPCORN) -l $(LIMIT) -n oai -c $@ $< +# +#NOR2.cell: INV.cell +# $(POPCORN) -l $(LIMIT) -n nor -c $@ $< +# +#NOR3.cell: NOR2.cell +# $(POPCORN) -l $(LIMIT) -n nor -c $@ $< +# +#OR4.cell: NOR3.cell +# $(POPCORN) -l $(LIMIT) -n nor -c $@ -b $(LIMIT) $< doc/StdCellLib.pdf: *.cell libresilicon.sp - doc/docu.sh +# doc/docu.sh .PHONY: importQflow importQflow: @@ -186,12 +190,14 @@ svgs=$(mags:.mag=.svg) svg: $(svgs) test: + echo For debugging run "export PySpiceLogLevel=DEBUG" before make lctime --debug --liberty libresilicon.lib \ --include ../Tech/libresilicon.m \ - --spice INV.sp \ + --spice INV.spice \ --cell INV \ --output INV.lib libertyviz -l INV.lib --cell INV --pin Y --related-pin A --table cell_rise + libertymerge -b libresilicon.lib -o output_liberty.lib -u INV.lib AND2X1.lib test2: /usr/local/bin/lctime --debug --liberty ~/FreePDK45/osu_soc/lib/files/gscl45nm.lib \ From 71ac9270bdee11acb5569ee4874797726b1f2aa9 Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 19 Dec 2019 17:26:21 +0100 Subject: [PATCH 254/673] Added CELL environment variable support to select the cell to work on --- Tools/perl/libgen.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/libgen.pl b/Tools/perl/libgen.pl index 2a32863b..50a6cdcb 100755 --- a/Tools/perl/libgen.pl +++ b/Tools/perl/libgen.pl @@ -156,6 +156,7 @@ ($$) foreach my $mag(<*.mag>) { my $cellname=$mag; $cellname=~s/\.mag$//; + next if(defined($ENV{'CELL'}) && $ENV{'CELL'} ne $cellname); my @mins=(); my @maxs=(); From 2b25418cd302f669c4a88e4c01ab87de9505362c Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 19 Dec 2019 17:28:18 +0100 Subject: [PATCH 255/673] Various improvements --- Tools/perl/librecells.pl | 65 +++++++++++++++++++++++++++++++++++----- 1 file changed, 57 insertions(+), 8 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 31cb50bf..f2285315 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -2,11 +2,12 @@ mkdir "outputlib"; -my $debug=0; +my $debug=1; my $sp=$ARGV[0]||"libresilicon.sp"; $ENV{'PySpiceLogLevel'}="DEBUG" if($debug); +undef($ENV{'PySpiceLogLevel'}) unless($debug); open IN,"<$sp"; while() @@ -14,24 +15,36 @@ if(m/^\.subckt (\w+)/) { my $cellname=$1; + next if(defined($ENV{'CELL'}) && $cellname ne $ENV{'CELL'}); + my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); if($cellname eq "CLKBUF3") { print STDERR "TODO: CLKBUF3 currently takes too much time to generate so we have to skip it, librecell needs to be improved\n"; next; } + unlink "outputlib/$cellname.mag"; + system "../Tools/perl/cell2spice.pl $cellname"; my $cmd="lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer"; print "$cmd\n"; system $cmd; - # For this processing step, the refrenced libresilicon.tech file needs to contain the cifinput section to import from GDS and the extract section to do the parasitic extraction: - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech ".($debug?"":">/dev/null 2>/dev/null"); - print OUT </dev/null 2>/dev/null"); + print OUT </dev/null 2>/dev/null"); print OUT <>$cellname.ext"; + system "cat $cellname.ext"; + print "Second magic call:\n"; + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag ".($debug?"":">/dev/null 2>/dev/null"); + print OUT <$cellname.spice2"; + open SIN,"<$cellname.spice"; + open SOUT,">$cellname.spice2"; + while() + { + s/\.option scale=1u//; + s/w=1000/w=1u/; + s/l=1000/l=1u/; + print SOUT $_; + } + close SIN; + close SOUT; + + system "mv $cellname.spice2 $cellname.spice"; + + system "../Tools/perl/libgen.pl >$cellname.libtemplate"; + system "lctime --debug --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib"; + system "lctime --debug --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib"; - system "lctime --debug --liberty libresilicon.lib --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib"; #system "libertyviz -l INV.lib --cell INV --pin Y --related-pin A --table cell_rise"; From 0920ca4bd59697ffd5b0c06b3490548cf117eecf Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 19 Dec 2019 17:28:53 +0100 Subject: [PATCH 256/673] Fixed the sizing of the transistors --- Tech/transistor.sp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech/transistor.sp b/Tech/transistor.sp index 253b6680..9e0758e0 100644 --- a/Tech/transistor.sp +++ b/Tech/transistor.sp @@ -1 +1 @@ -w=1.5u l=1.0u +w=1.0u l=1.0u From fb9a8b1e8081a926aee6771ead3f6eccd4e8e18c Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 19 Dec 2019 17:45:03 +0100 Subject: [PATCH 257/673] Fixed scaling to match the libresilicon.tech --- Tech/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index 4ae1e7c5..cc65c4e4 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -56,7 +56,7 @@ output_writers = [ MagWriter( tech_name='scmos', - scale_factor=0.001, # Scale all coordinates by this factor (rounded down to next integer). + scale_factor=0.002, # Scale all coordinates by this factor (rounded down to next integer). output_map={ l_via1: 'm2contact', l_poly: 'polysilicon', From 83e2e89cb56941a156626ef66f8e6b43c6a58770 Mon Sep 17 00:00:00 2001 From: Philipp Date: Mon, 13 Jan 2020 09:22:59 +0100 Subject: [PATCH 258/673] Remove non-existing Library Release directory --- GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/GNUmakefile b/GNUmakefile index bf6b0b86..3a3d7639 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -39,7 +39,7 @@ include include.mk DISTRIBUTION = $(CATALOGDIR)/ \ $(DOCUMENTSDIR)/*.pdf \ - $(RELEASEDIR) \ +# $(RELEASEDIR) \ # $(SIMULATIONDIR) \ $(SOURCESDIR) \ $(SYNTHESISDIR) \ From 2b0042a21fae0ada87e8d01804e40e955bc64d9c Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 7 Feb 2020 13:54:15 +0100 Subject: [PATCH 259/673] Added missing Metal2 --- Tech/librecell_tech.py | 1 + 1 file changed, 1 insertion(+) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index cc65c4e4..e5952010 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -62,6 +62,7 @@ l_poly: 'polysilicon', l_abutment_box: ['fence'], l_metal1: 'metal1', + l_metal2: 'metal2', l_metal1_label: 'metal1', l_metal2_label: 'metal2', l_active: 'ndiffusion', From 01c298f03abbaa65e718d6beb78526a949ea2636 Mon Sep 17 00:00:00 2001 From: Philipp Date: Wed, 12 Feb 2020 19:02:38 +0100 Subject: [PATCH 260/673] Added sudo for deployment --- Catalog/GNUmakefile | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 81bc0c45..dfc66b05 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -142,9 +142,9 @@ libresilicon.lib: *.cell libresilicon.sp .PHONY: qflow qflow: libresilicon.lef libresilicon.lib - mkdir -p /usr/local/share/qflow/tech/ls050 - cp libresilicon.lef /usr/local/share/qflow/tech/ls050/ls050_stdcells.lef - cp libresilicon.lib /usr/local/share/qflow/tech/ls050/ls05_stdcells.lib + sudo mkdir -p /usr/local/share/qflow/tech/ls050 + sudo cp libresilicon.lef /usr/local/share/qflow/tech/ls050/ls050_stdcells.lef + sudo cp libresilicon.lib /usr/local/share/qflow/tech/ls050/ls05_stdcells.lib #AND4.cell: NAND3.cell # $(POPCORN) -l $(LIMIT) -n nand -c $@ -b $(LIMIT) $< From 2fc629c15b4aebc69bc097954a860ec55588b64a Mon Sep 17 00:00:00 2001 From: Philipp Date: Wed, 12 Feb 2020 19:03:57 +0100 Subject: [PATCH 261/673] Made the tech file more compatible --- Tech/libresilicon.m | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/Tech/libresilicon.m b/Tech/libresilicon.m index ca0bfc91..473e6e0a 100644 --- a/Tech/libresilicon.m +++ b/Tech/libresilicon.m @@ -4,6 +4,10 @@ .model PMOS_VTL pmos level = 54 +.model nmos nmos level = 54 + +.model pmos pmos level = 54 + .model nfet nmos level = 54 .model pfet pmos level = 54 From 3fcab981bcfd6ec1ae215f31fa2aaa09b4fb63a6 Mon Sep 17 00:00:00 2001 From: Philipp Date: Wed, 12 Feb 2020 19:04:43 +0100 Subject: [PATCH 262/673] Added the first line comment to the SPICE netlist format --- Tools/perl/cell2spice.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index 28a5bac3..aefaba8d 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -22,6 +22,7 @@ } open OUT,">".($cell?"$cell.sp":"libresilicon.sp"); +print OUT "*** Spice netlist generated by cell2spice.pl".($cell?" from cell file $cell.cell":"")." ***\n\n"; print "Params: ".scalar(@ARGV)." ".scalar(@cells)."\n"; From 7ee7424b668c4d8deb874ce1dc962bfc45984016 Mon Sep 17 00:00:00 2001 From: Philipp Date: Wed, 12 Feb 2020 19:05:42 +0100 Subject: [PATCH 263/673] Rotate every second line to match VDD and GND --- Tools/perl/demoboard.pl | 18 ++++++++++++++++-- 1 file changed, 16 insertions(+), 2 deletions(-) diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl index ddefae8c..7c8c1e3a 100755 --- a/Tools/perl/demoboard.pl +++ b/Tools/perl/demoboard.pl @@ -42,6 +42,8 @@ ($$) our $labels=""; +our $row=0; + foreach(@cells) { my @mins=(); @@ -72,21 +74,33 @@ ($$) my $height=$maxs[0]-$mins[0]; my $width=$maxs[1]-$mins[1]; + my $dir=($row%2)?"-1":"1"; + + my $bx=$x; + my $by=$y-$mins[0]; + + if($row%2) + { + $bx=$x+$width; + $by=$y+$height+$mins[0]; + } print "use $name $name"."_0\n"; print "timestamp $timestamp\n"; - print "transform 1 0 $x 0 1 $y\n"; + print "transform $dir 0 $bx 0 $dir $by\n"; print "box 0 0 $width $height\n"; my $lx=$x+$width/2; my $ly=$y+$height/2; - $labels.="flabel space $lx $ly $lx $ly 0 FreeSans 1600 0 0 0 $name\n"; + + $labels.="flabel space $lx $ly $lx $ly 0 FreeSans 80 0 0 0 $name\n"; $x+=$width; if(int($counter/$squared)>int(($counter-1)/$squared)) { $x=0; $y+=$height; + $row++; } $counter++; From 49e9753917f225938560c352d0e44ec09ef9ba2c Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 21 Feb 2020 19:25:23 +0100 Subject: [PATCH 264/673] I forgot what I did --- Tools/perl/librecells.pl | 37 ++++++++++++++++--------------------- 1 file changed, 16 insertions(+), 21 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index f2285315..201febe1 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -9,7 +9,7 @@ $ENV{'PySpiceLogLevel'}="DEBUG" if($debug); undef($ENV{'PySpiceLogLevel'}) unless($debug); -open IN,"<$sp"; +open IN,"<$sp" || die "Could not open file $sp: $!\n"; while() { if(m/^\.subckt (\w+)/) @@ -29,12 +29,14 @@ print "$cmd\n"; system $cmd; - if(-f "outputlib/$cellname.mag") + if(-f "outputlib/$cellname.mag") # Has lclayout exported magic directly? { + # Then we dont have to convert it system "cp outputlib/$cellname.mag $cellname.mag"; } else { + # otherwise convert GDS2 to magic: # For this processing step, the refrenced libresilicon.tech file needs to contain the cifinput section to import from GDS and the extract section to do the parasitic extraction: open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech ".($debug?"":">/dev/null 2>/dev/null"); print OUT <$cellname.spice2"; - open SIN,"<$cellname.spice"; - open SOUT,">$cellname.spice2"; - while() - { - s/\.option scale=1u//; - s/w=1000/w=1u/; - s/l=1000/l=1u/; - print SOUT $_; - } - close SIN; - close SOUT; - - system "mv $cellname.spice2 $cellname.spice"; + print "Generating Liberty Template:\n"; system "../Tools/perl/libgen.pl >$cellname.libtemplate"; - system "lctime --debug --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib"; - system "lctime --debug --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib"; + $cmd="lctime --debug --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib"; # This is for fully extracted parasitics + #print "$cmd\n"; system($cmd); + + $cmd="lctime --debug --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib"; # This is for pure spice files without parasitics + print "$cmd\n"; system($cmd); - #system "libertyviz -l INV.lib --cell INV --pin Y --related-pin A --table cell_rise"; + print "Visualisation: libertyviz -l $cellname.lib --cell $cellname --pin Y --related-pin A --table cell_rise\n"; From f657adcd12b2202fec533ef74e62e45b9e0e270b Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 21 Feb 2020 21:24:02 +0100 Subject: [PATCH 265/673] Cleaned up debugging --- Tools/perl/librecells.pl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 201febe1..2f051465 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -2,7 +2,7 @@ mkdir "outputlib"; -my $debug=1; +my $debug=0; my $sp=$ARGV[0]||"libresilicon.sp"; @@ -115,10 +115,10 @@ print "Generating Liberty Template:\n"; system "../Tools/perl/libgen.pl >$cellname.libtemplate"; - $cmd="lctime --debug --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib"; # This is for fully extracted parasitics + $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib"; # This is for fully extracted parasitics #print "$cmd\n"; system($cmd); - $cmd="lctime --debug --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib"; # This is for pure spice files without parasitics + $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib"; # This is for pure spice files without parasitics print "$cmd\n"; system($cmd); print "Visualisation: libertyviz -l $cellname.lib --cell $cellname --pin Y --related-pin A --table cell_rise\n"; From 0440203c26c21642cf6918d4775949d66fc6f699 Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 26 Mar 2020 17:10:06 +0100 Subject: [PATCH 266/673] Changed liberty template filenames --- Catalog/GNUmakefile | 7 ++++++- 1 file changed, 6 insertions(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index dfc66b05..f29b17a4 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -130,6 +130,8 @@ layout: libresilicon.sp libresilicon.lef libresilicon.lib qflow demoboard.mag do libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/transistor.sp ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl + rm -f libresilicon.lib + libertymerge -b libresilicon.libtemplate -o libresilicon.lib -u *.lib demoboard.mag: *.cell libresilicon.sp perl ../Tools/perl/demoboard.pl >demoboard.mag @@ -138,7 +140,10 @@ libresilicon.lef: *.cell libresilicon.sp ../Tools/perl/lefgen.pl outputlib/*.lef >libresilicon.lef libresilicon.lib: *.cell libresilicon.sp - ../Tools/perl/libgen.pl >libresilicon.lib + ../Tools/perl/libgen.pl >libresilicon.libtemplate + rm -f libresilicon.lib + libertymerge -b libresilicon.libtemplate -o libresilicon.lib -u *.lib + .PHONY: qflow qflow: libresilicon.lef libresilicon.lib From 2773845b01671cf08f88fab827aa3017699cdc79 Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 10 Apr 2020 15:13:09 +0200 Subject: [PATCH 267/673] Saving output for analysis --- Tools/perl/librecells.pl | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 2f051465..5685cab9 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -25,7 +25,7 @@ } unlink "outputlib/$cellname.mag"; system "../Tools/perl/cell2spice.pl $cellname"; - my $cmd="lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer"; + my $cmd="lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer >$cellname.log 2>$cellname.err"; print "$cmd\n"; system $cmd; @@ -38,7 +38,7 @@ { # otherwise convert GDS2 to magic: # For this processing step, the refrenced libresilicon.tech file needs to contain the cifinput section to import from GDS and the extract section to do the parasitic extraction: - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech ".($debug?"":">/dev/null 2>/dev/null"); + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech >>$cellname.log 2>>$cellname.err"; print OUT </dev/null 2>/dev/null"); + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; print OUT <>$cellname.ext"; system "cat $cellname.ext"; print "Second magic call:\n"; - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag ".($debug?"":">/dev/null 2>/dev/null"); + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; print OUT <$cellname.libtemplate"; - $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib"; # This is for fully extracted parasitics + system "../Tools/perl/libgen.pl >$cellname.libtemplate 2>>$cellname.err"; + $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics #print "$cmd\n"; system($cmd); - $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib"; # This is for pure spice files without parasitics + $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics print "$cmd\n"; system($cmd); print "Visualisation: libertyviz -l $cellname.lib --cell $cellname --pin Y --related-pin A --table cell_rise\n"; From 54e79067c517c1e5e8c34e1f781f8f11b08ec683 Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 17 Apr 2020 14:45:52 +0200 Subject: [PATCH 268/673] Adding the reporter script --- Tools/reporter.sh | 8 ++++++++ 1 file changed, 8 insertions(+) create mode 100755 Tools/reporter.sh diff --git a/Tools/reporter.sh b/Tools/reporter.sh new file mode 100755 index 00000000..6e067eb6 --- /dev/null +++ b/Tools/reporter.sh @@ -0,0 +1,8 @@ +#!/bin/bash +echo This script generates a build report every 10 seconds, so that you can monitor the progress of the standarc cell generation. +while true +do +perl ../Tools/perl/buildreport.pl +sleep 10 +done + From eddf73a82675fca754d088280ae0ecabe226d1f8 Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 17 Apr 2020 17:15:53 +0200 Subject: [PATCH 269/673] Adding buildreport tool --- Tools/perl/buildreport.pl | 106 ++++++++++++++++++++++++++++++++++++++ 1 file changed, 106 insertions(+) create mode 100644 Tools/perl/buildreport.pl diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl new file mode 100644 index 00000000..336f7c86 --- /dev/null +++ b/Tools/perl/buildreport.pl @@ -0,0 +1,106 @@ +#!/usr/bin/perl -w + +# This tool generates a HTML build report + +my $report="buildreport.html"; + +open OUT,">$report"; +print OUT "Build Report Libresilicon"; +print OUT "

Build Report

"; +print OUT "Generated: ".localtime()."
\n"; + +print OUT "

Input files: PDK, DRC, layer definitions, parameters:

"; +my %inputs=("drc.lydrc"=>"DRC for KLayout","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transitor BSIM parameters","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","transistor.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for LEF Files"); +print OUT ""; +foreach(sort keys %inputs) +{ + # print OUT ""; + print OUT ""; + +} +print OUT "
FilenameDescription
$_$inputs{$_}
".(-f "../Tech/$_"? "$_":"X")."$inputs{$_}
"; + +print OUT "

Standard Cells:

\n"; + +print OUT ""; +print OUT ""; +foreach my $file (<*.cell>) +{ + my $errfile=$file; $errfile=~s/\.cell$/.err/; + my $logfile=$file; $logfile=~s/\.cell$/.log/; + my $magfile=$file; $magfile=~s/\.cell$/.mag/; + my $libfile=$file; $libfile=~s/\.cell$/.lib/; + my $svgfile=$file; $svgfile=~s/\.cell$/.svg/; + my $leffile="outputlib/".$file; $leffile=~s/\.cell$/.lef/; + my $gdsfile="outputlib/".$file; $gdsfile=~s/\.cell$/.gds/; + my $spicefile=$file; $spicefile=~s/\.cell$/.spice/; + + my $area=""; + my $lvs=""; + my $euler=""; + my $layouttime=""; + my $nets=""; + my $errors=""; + my $routing=""; + my %ports=(); + + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + + if(open LIB,"<$libfile") + { + while() + { + $area=$1 if m/area:\s*(\d+)\.?\d*\s*/; + } + close LIB; + } + if(open LIB,"<$errfile") + { + while() + { + $lvs=$1 if m/LVS result: (\w+)/; + $euler=$1 if m/Number of deduplicated eulertours: (\d+)/; + $layouttime=$1 if(m/INFO:\s*Done\s*\(Total duration: ([\d:.]+)\s*\)/); + $nets=$1 if(m/Nets output: (\d+)/); + $routing=$1 if(m/Routing iteration (\d+)/); + $ports{$1}=1 if(m/Port: name = (\w+) exists/); + $errors.=$1." " if(m/AssertionError: (.*)/); + $errors.=$1." " if(m/Exception: (.*)/); + $errors.=$1." " if(m/ERROR: (.*)/); + } + close LIB; + } + $lvs=~s/FAILED/FAILED<\/font>/; + + print OUT ""; + + print OUT ""; + + +} +print OUT "
CellcellMagSVGLogErrLibertyLEFGDSParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
$file".(-f $file ? "":"X")."".(-f $magfile ? "":"X")."".(-f $svgfile ? "":"X")."".(-f $logfile ? "":"X")."".(-f $errfile ? "":"X")."".(-f $libfile ? "":"X")."".(-f $leffile ? "":"X")."".(-f $gdsfile ? "":"X")."".(-f $spicefile?"":"X")."$area$lvs$euler$layouttime$nets".scalar(keys %ports)."$routing$errors

"; + + +print OUT "

Output files:

"; +my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells","libresilicon.lef"=>"LEF File with all cells","library.gds"=>"GDS-II file with masks for all cells","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library"); +print OUT ""; +foreach(sort keys %outputs) +{ + print OUT ""; +} +print OUT "
FilenameDescription
".(-f $_? "$_":"X$_")."$outputs{$_}
"; + + +print OUT ""; +print "firefox $report\n"; +close OUT; + From 5b582cf52a8c57780f0ad07749a83596c4c00319 Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 17 Apr 2020 17:16:48 +0200 Subject: [PATCH 270/673] Improved Safety --- Tools/perl/cell2spice.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index aefaba8d..cb0cd10d 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -1,4 +1,5 @@ #!/usr/bin/perl -w +use strict; my $tech="w=1.0u l=1.0u"; From 27faed7d539f651ac65771615c8e60a6862e7574 Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 17 Apr 2020 17:17:43 +0200 Subject: [PATCH 271/673] Netlist visualisation try with a circular pattern --- Tools/perl/cell2circle.pl | 141 ++++++++++++++++++++++++++++++++++++++ 1 file changed, 141 insertions(+) create mode 100644 Tools/perl/cell2circle.pl diff --git a/Tools/perl/cell2circle.pl b/Tools/perl/cell2circle.pl new file mode 100644 index 00000000..714f76b1 --- /dev/null +++ b/Tools/perl/cell2circle.pl @@ -0,0 +1,141 @@ +#!/usr/bin/perl -w +use strict; + +print "Generating a Schematic file for KiCad's EESchema from a cell netlist\n"; + +open IN,"<$ARGV[0]"; +open OUT,">$ARGV[0].sch"; + +my $pi=3.1415926; + +print OUT <) +{ + push @devs,$_ if(m/^(pmos|nmos|res)/); + $inputs=$1 if(m/^\.inputs (.*)/); + $outputs=$1 if(m/^\.outputs (.*)/); +} + +sub Wire($$$$) +{ +print OUT < Date: Fri, 17 Apr 2020 17:18:35 +0200 Subject: [PATCH 272/673] Avoid unnecessary error messages, improve explanation of error messages --- Tools/perl/libgen.pl | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Tools/perl/libgen.pl b/Tools/perl/libgen.pl index 50a6cdcb..2e799c2c 100755 --- a/Tools/perl/libgen.pl +++ b/Tools/perl/libgen.pl @@ -157,6 +157,7 @@ ($$) { my $cellname=$mag; $cellname=~s/\.mag$//; next if(defined($ENV{'CELL'}) && $ENV{'CELL'} ne $cellname); + next unless(-f "$cellname.cell"); # We only want generated cells, no demoboards or other stuff my @mins=(); my @maxs=(); @@ -191,7 +192,7 @@ ($$) } else { - print STDERR "Could not read: $!\n"; + print STDERR "Could not read cell $cellname.cell: $!\n"; } my $area=defined($maxs[0])?($maxs[0]-$mins[0])*($maxs[1]-$mins[1]):1; From 61ae1578f49605a42c9ed9beef91c510b195e75a Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 17 Apr 2020 17:20:26 +0200 Subject: [PATCH 273/673] Ignoring demoboard, improved logging for buildreports, ... --- Tools/perl/librecells.pl | 55 ++++++++++++++++++++++++++++++++-------- 1 file changed, 44 insertions(+), 11 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 5685cab9..c1a51b37 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -7,15 +7,37 @@ my $sp=$ARGV[0]||"libresilicon.sp"; $ENV{'PySpiceLogLevel'}="DEBUG" if($debug); -undef($ENV{'PySpiceLogLevel'}) unless($debug); +$ENV{'PySpiceLogLevel'}="ERROR" if(!$debug); +#undef($ENV{'PySpiceLogLevel'}) unless($debug); + open IN,"<$sp" || die "Could not open file $sp: $!\n"; while() { if(m/^\.subckt (\w+)/) { - my $cellname=$1; + our $cellname=$1; next if(defined($ENV{'CELL'}) && $cellname ne $ENV{'CELL'}); + if(-s "$cellname.lib") + { + print STDERR "INFO: $cellname.lib already exists, so we are skipping it.\n"; + next; + } + unlink "$cellname.log"; + unlink "$cellname.err"; + + sub step($) + { + print "$_[0]\n"; + open LOG,">>$cellname.log"; + print LOG $_[0]."\n"; + close LOG; + open LOG,">>$cellname.err"; + print LOG $_[0]."\n"; + close LOG; + } + + step("NEXT CELL: $cellname"); my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); if($cellname eq "CLKBUF3") @@ -24,8 +46,9 @@ next; } unlink "outputlib/$cellname.mag"; - system "../Tools/perl/cell2spice.pl $cellname"; - my $cmd="lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer >$cellname.log 2>$cellname.err"; + step("NEXT STEP: Running cell2spice"); + system "../Tools/perl/cell2spice.pl $cellname >>$cellname.log 2>>$cellname.err"; + my $cmd="lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer >>$cellname.log 2>>$cellname.err"; print "$cmd\n"; system $cmd; @@ -36,7 +59,7 @@ } else { - # otherwise convert GDS2 to magic: + print STDERR "lclayout has not exported magic, so we try to convert GDS2:\n"; # For this processing step, the refrenced libresilicon.tech file needs to contain the cifinput section to import from GDS and the extract section to do the parasitic extraction: open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech >>$cellname.log 2>>$cellname.err"; print OUT < $cellname.mag"); system "cp $cellname.fixed $cellname.mag"; } unlink "$cellname.nodes"; @@ -71,6 +95,7 @@ unlink "$cellname.res.lump"; unlink "$cellname.sim"; print "First magic call:\n"; + step("NEXT STEP: magic2"); open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; print OUT <>$cellname.ext"; - system "cat $cellname.ext"; + #system "cat $cellname.ext"; print "Second magic call:\n"; + step("NEXT STEP: magic3"); open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; print OUT <$cellname.libtemplate 2>>$cellname.err"; + + step("NEXT STEP: Characterization"); $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics #print "$cmd\n"; system($cmd); $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics print "$cmd\n"; system($cmd); - print "Visualisation: libertyviz -l $cellname.lib --cell $cellname --pin Y --related-pin A --table cell_rise\n"; - - + step("NEXT STEP: Visualisation"); + print "Visualisation:\nlibertyviz -l $cellname.lib --cell $cellname --pin Y --related-pin A --table cell_rise\n"; + step("NEXT STEP: gds2mag"); #system "gds2mag --config ~/libresilicon/gds2mag/example/example_config.toml -i outputlib/$1.gds -o _$1.mag"; # exit; # Stop after doing one cell + + step("NEXT STEP: mag2svg"); + system "../Tools/perl/mag2svg.pl $cellname.mag $cellname.svg" if(-f "$cellname.mag"); + } } +system "python3 ../Tools/python/concat4gds.py outputlib/*.gds"; From 12139d38dcd69c9d28bccd09fb46a83ebade370e Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 17 Apr 2020 17:21:23 +0200 Subject: [PATCH 274/673] Loaded the correct Tech file, exited cleanly. It seems there is still a problem in there --- Tools/perl/mag2svg.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 699908ba..10fb17e2 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -11,8 +11,8 @@ if(-f "$mag.mag") { - open MAGIC,"|magic -d XR -noconsole -nowindow $mag"; - print MAGIC "plot svg $svg\nquit\n"; + open MAGIC,"|magic -d XR -noconsole -nowindow -T libresilicon $mag"; + print MAGIC "plot svg $svg\nexit\n"; close MAGIC; print "$svg written.\n" if(-f $svg); print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\nThe cairo library development files need to be installed and magic needs to be configured with --with-cairo.\nMake sure that magic configure says 'Cairo: yes' in the summary.\n" if(! -f $svg); From 7416c50730b4b1f27f0b38ef5c480d9ede82af6f Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 17 Apr 2020 17:22:58 +0200 Subject: [PATCH 275/673] Adding a template for the LEF file header --- Tech/template.lef | 177 ++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 177 insertions(+) create mode 100755 Tech/template.lef diff --git a/Tech/template.lef b/Tech/template.lef new file mode 100755 index 00000000..d0c6b4fc --- /dev/null +++ b/Tech/template.lef @@ -0,0 +1,177 @@ +# LEF file generated by lefgen from LibreSilicon version 0.1 +# + +VERSION 5.4 ; +NAMESCASESENSITIVE ON ; +BUSBITCHARS "[]" ; +DIVIDERCHAR "/" ; +UNITS + DATABASE MICRONS 1000 ; +END UNITS + +USEMINSPACING OBS ON ; +USEMINSPACING PIN OFF ; +CLEARANCEMEASURE EUCLIDEAN ; + + +MANUFACTURINGGRID 0.15 ; + +LAYER nwell + TYPE MASTERSLICE ; +END nwell + +LAYER nactive + TYPE MASTERSLICE ; +END nactive + +LAYER pactive + TYPE MASTERSLICE ; +END pactive + +LAYER poly + TYPE MASTERSLICE ; +END poly + +LAYER cc + TYPE CUT ; + SPACING 0.9 ; +END cc + +LAYER metal1 + TYPE ROUTING ; + DIRECTION HORIZONTAL ; + PITCH 3 ; + OFFSET 1.5 ; + WIDTH 0.9 ; + SPACING 0.9 ; + RESISTANCE RPERSQ 0.09 ; + CAPACITANCE CPERSQDIST 3.2e-05 ; +END metal1 + +LAYER via + TYPE CUT ; + SPACING 0.9 ; +END via + +LAYER metal2 + TYPE ROUTING ; + DIRECTION VERTICAL ; + PITCH 2.4 ; + OFFSET 1.2 ; + WIDTH 0.9 ; + SPACING 0.9 ; + RESISTANCE RPERSQ 0.09 ; + CAPACITANCE CPERSQDIST 1.6e-05 ; +END metal2 + +LAYER via2 + TYPE CUT ; + SPACING 0.9 ; +END via2 + +LAYER metal3 + TYPE ROUTING ; + DIRECTION HORIZONTAL ; + PITCH 3 ; + OFFSET 1.5 ; + WIDTH 1.5 ; + SPACING 0.9 ; + RESISTANCE RPERSQ 0.05 ; + CAPACITANCE CPERSQDIST 1e-05 ; +END metal3 + +SPACING + SAMENET cc via 0.150 ; + SAMENET via via2 0.150 ; +END SPACING + +VIA M2_M1 DEFAULT + LAYER metal1 ; + RECT -0.600 -0.600 0.600 0.600 ; + LAYER via ; + RECT -0.300 -0.300 0.300 0.300 ; + LAYER metal2 ; + RECT -0.600 -0.600 0.600 0.600 ; +END M2_M1 + +VIA M3_M2 DEFAULT + LAYER metal2 ; + RECT -0.600 -0.600 0.600 0.600 ; + LAYER via2 ; + RECT -0.300 -0.300 0.300 0.300 ; + LAYER metal3 ; + RECT -0.900 -0.900 0.900 0.900 ; +END M3_M2 + + +VIARULE viagen21 GENERATE + LAYER metal1 ; + DIRECTION HORIZONTAL ; + WIDTH 1.2 TO 120 ; + OVERHANG 0.3 ; + METALOVERHANG 0 ; + LAYER metal2 ; + DIRECTION VERTICAL ; + WIDTH 1.2 TO 120 ; + OVERHANG 0.3 ; + METALOVERHANG 0 ; + LAYER via ; + RECT -0.3 -0.3 0.3 0.3 ; + SPACING 1.5 BY 1.5 ; +END viagen21 + +VIARULE viagen32 GENERATE + LAYER metal3 ; + DIRECTION HORIZONTAL ; + WIDTH 1.8 TO 180 ; + OVERHANG 0.6 ; + METALOVERHANG 0 ; + LAYER metal2 ; + DIRECTION VERTICAL ; + WIDTH 1.2 TO 120 ; + OVERHANG 0.6 ; + METALOVERHANG 0 ; + LAYER via2 ; + RECT -0.3 -0.3 0.3 0.3 ; + SPACING 2.1 BY 2.1 ; +END viagen32 + +VIARULE TURN1 GENERATE + LAYER metal1 ; + DIRECTION HORIZONTAL ; + LAYER metal1 ; + DIRECTION VERTICAL ; +END TURN1 + +VIARULE TURN2 GENERATE + LAYER metal2 ; + DIRECTION HORIZONTAL ; + LAYER metal2 ; + DIRECTION VERTICAL ; +END TURN2 + +VIARULE TURN3 GENERATE + LAYER metal3 ; + DIRECTION HORIZONTAL ; + LAYER metal3 ; + DIRECTION VERTICAL ; +END TURN3 + +SITE corner + CLASS PAD ; + SYMMETRY R90 Y ; + SIZE 300.000 BY 300.000 ; +END corner + +SITE IO + CLASS PAD ; + SYMMETRY Y ; + SIZE 90.000 BY 300.000 ; +END IO + +SITE core + CLASS CORE ; + SYMMETRY Y ; + SIZE 2.400 BY 30.000 ; +END core + From 9b2b3b38827ce8ef95dca1499e2c2a07ec8247ee Mon Sep 17 00:00:00 2001 From: Philipp Date: Fri, 17 Apr 2020 17:25:04 +0200 Subject: [PATCH 276/673] Moved the header template to the Tech directory --- Tools/perl/lefgen.pl | 183 +------------------------------------------ 1 file changed, 4 insertions(+), 179 deletions(-) diff --git a/Tools/perl/lefgen.pl b/Tools/perl/lefgen.pl index 0c5bfbea..e49f773e 100755 --- a/Tools/perl/lefgen.pl +++ b/Tools/perl/lefgen.pl @@ -1,186 +1,11 @@ #!/usr/bin/perl -w use strict; -print <); +close IN; foreach(@ARGV) { From d721c7354167e55cb9bebf9b8f72ccca4e808eb2 Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Wed, 22 Apr 2020 15:15:42 +0200 Subject: [PATCH 277/673] Updated documentation --- README.md | 79 ++++++++++++++++++++++++++++++++----------------------- 1 file changed, 46 insertions(+), 33 deletions(-) diff --git a/README.md b/README.md index 46ba614f..c4b2b027 100644 --- a/README.md +++ b/README.md @@ -1,56 +1,44 @@ # StdCellLib -This Repository contains all Sources for LibreSilicons's Standard Cell Library. -Started once as manual work, given slow progress, the focus was shifted to the Standard Cell Generator which was named "Popcorn". -Many Sources are now generated by Popcorn and still Work-in-Progress. -Check regulary for updates. - +This Repository contains all Sources for LibreSilicons's Standard Cell Library Generator. +The process starts with the PDK from the semiconductor factory as an input. +In the first step you can either use "Popcorn" to grow the netlists for all cells out of a single inverter. +Or you could import the netlists from a given existing standard cell library. +The second step is the automatic generation (layouting, characterization) of all the standard cells. +In the final step, all the files are collected into the library files together that can then be used by e.g. qflow, yosys to go from RTL to GDS. + +# How to help +Please test it and report any issues, or in the respective repositories of the partner-projects. And please, do not hesitate to contact the Authors of Standard Cell Library for Patches, Feature additions or Questions. Any feedback welcome under [Email](mailto://stdcelllib@nospam.chipforge.org "stdcelllib@nospam.chipforge.org"). ## Requirements -### LaTeX +### Linux (Debian/Ubuntu or derivative) -The Standard Cell Library uses LaTeX for Documentation. On Debian based system LaTeX can be installed with +For Debian/Ubuntu based distributions we are currently offering an installation script that installs all the necessary requirements: ``` -apt-get install texlive-latex-extra texlive-extra-utils texlive-latex-recommended +wget https://pdk.libresilicon.com/tools.sh +bash tools.sh ``` -or shorter +It will download, build and install all required tools, and at the end try to build a standard cell library. -``` -apt-get install texlive-full -``` -which installs the complete (and usefull) LaTeX Environment. -Additionally we use the great CircDia LaTeX package for drawing circuit diagrams by Dr. Stefan Krause (Saarbrücken/Germany). Please download [CircDia](http://www.taylorgruppe.de/circdia "http://www.taylorgruppe.de/circdia"), unzip it, and run mktexlsr in the directory. Many Thanks to Stefan for the excellent work! +### Partner Tools + +We use LibreCell from Thomas Kramer https://codeberg.org/tok/librecell/ for Layouting and Characterization of the standard cells. +We use Magic from Tim Edwards: http://opencircuitdesign.com/magic/ for parasitic extraction and visualisation. Thanks a lot for your support! +We use the great CircDia LaTeX package for drawing circuit diagrams by Dr. Stefan Krause (Saarbrücken/Germany). (http://www.taylorgruppe.de/circdia "http://www.taylorgruppe.de/circdia") ### Scheme Popcorn (as the tool which does the Voodoo stuff and generates the Standard Cells) is written in R^7RS Scheme. While this Standard is already a couple of years old, not so many tools supporting them. Chibi-Scheme as a pre-build package is not available on most systems, so we are using Gauche Scheme (or gosh) in Version 0.9.6 or higher. -``` -apt-get install gauche -``` - -Please check the correct Version by - -``` -gosh -V -``` - -some more "conservative" distributions with Long-time support (LTS) probably stuck at older versions. - ### Magic -Another software for the Popcorn tool, which should be installed before usage, is [Magic](http://opencircuitdesign.com/magic "http://opencircuitdesign.com/magic"). Magic is Open Source, but not part of all Linux distributions (lacks on OpenSuse, Arch Linux etc). On Debian based systems - -``` -apt-get install magic -``` -works. +Another software for the Popcorn tool, which should be installed before usage, is [Magic](http://opencircuitdesign.com/magic "http://opencircuitdesign.com/magic"). Magic is Open Source, but not part of all Linux distributions (lacks on OpenSuse, Arch Linux etc). ## Usage @@ -77,6 +65,7 @@ this will generate the very usefull Manual Pages about the tool and the formats, Once Popcorn is installed, you might run ``` +cd Catalog make catalog ``` @@ -84,9 +73,27 @@ which re-generates the majority of Cell Description Catalog; and overwrites olde Please re-generate the Catalog only, if you know what you're doing and have strong reasons for that. +Instead of Generating Cell Descriptions you can also import them from qflow: + +``` +cd Catalog +make importQflow +``` + ### Generate Cell Layouts -t.b.d +After you have generated and/or imported the cell description netlists, you can generate the layout: +``` +make layout +``` +In the future you will be able to parallelize it with make -j4 to have several cells being calculated at the same time + +While the generation is running you can continuously generate the build-report every 10 seconds by running +``` +bash ../Tools/reporter.sh +``` +You can then view the build-report in the file buildreport.html +An example build report is available here: https://pdk.libresilicon.com/dist/StdCellLib_20200417/Catalog/buildreport.html ### Generate Library Documentation @@ -113,6 +120,12 @@ make dist generates a compresses Archive (.tgz) of all important files, named with the current date. If you're sure nothing left, this is the file to ditstribute as Standard Cell Library and to store into your repository (and to tag them as Release). +You can also use +``` +make qflow +``` +to install the standard cell library into qflow as "libresilicon" + BTW, the Tooling should *not* be part of the Distribution. Congratulations! You generated a Standard Cell Library :-) There aren't many people who can say they've done that. From 4b82d261f8bad0d665be7d6b76e3df5061c5f46a Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 23 Apr 2020 18:39:41 +0200 Subject: [PATCH 278/673] Added documentation links --- Tools/perl/buildreport.pl | 14 ++++++++------ 1 file changed, 8 insertions(+), 6 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 336f7c86..e1bfef2c 100644 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -7,10 +7,10 @@ open OUT,">$report"; print OUT "Build Report Libresilicon"; print OUT "

Build Report

"; -print OUT "Generated: ".localtime()."
\n"; +print OUT "Generated: ".localtime()." by LibreSilicon Standard Cell Generator
\n"; print OUT "

Input files: PDK, DRC, layer definitions, parameters:

"; -my %inputs=("drc.lydrc"=>"DRC for KLayout","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transitor BSIM parameters","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","transistor.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for LEF Files"); +my %inputs=("drc.lydrc"=>"DRC for KLayout","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transitor BSIM parameters","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","transistor.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); print OUT ""; foreach(sort keys %inputs) { @@ -21,9 +21,10 @@ print OUT "
FilenameDescription
"; print OUT "

Standard Cells:

\n"; +print OUT "You can click on the green ticks and the error messages to get more details or download the files. By moving the mouse over the header line you will get explanations for each column.
\n"; print OUT ""; -print OUT ""; +print OUT ""; foreach my $file (<*.cell>) { my $errfile=$file; $errfile=~s/\.cell$/.err/; @@ -31,6 +32,7 @@ my $magfile=$file; $magfile=~s/\.cell$/.mag/; my $libfile=$file; $libfile=~s/\.cell$/.lib/; my $svgfile=$file; $svgfile=~s/\.cell$/.svg/; + my $pngfile=$file; $pngfile=~s/\.cell$/.png/; my $leffile="outputlib/".$file; $leffile=~s/\.cell$/.lef/; my $gdsfile="outputlib/".$file; $gdsfile=~s/\.cell$/.gds/; my $spicefile=$file; $spicefile=~s/\.cell$/.spice/; @@ -47,7 +49,7 @@ print OUT ""; print OUT ""; print OUT ""; - print OUT ""; + print OUT ""; print OUT ""; print OUT ""; print OUT ""; @@ -81,7 +83,7 @@ } $lvs=~s/FAILED/FAILED<\/font>/; - print OUT ""; + print OUT ""; print OUT ""; @@ -91,7 +93,7 @@ print OUT "

Output files:

"; -my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells","libresilicon.lef"=>"LEF File with all cells","library.gds"=>"GDS-II file with masks for all cells","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library"); +my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library"); print OUT "
CellcellMagSVGLogErrLibertyLEFGDSParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
CellcellMagSVGLogErrLibertyLEFGDSParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
$file".(-f $file ? "":"X")."".(-f $magfile ? "":"X")."".(-f $svgfile ? "":"X")."".(-f $svgfile ? "":"X")."".(-f $logfile ? "":"X")."".(-f $errfile ? "":"X")."".(-f $libfile ? "":"X")."$area$lvs$euler$layouttime$nets".scalar(keys %ports)."$routing$errors$area$lvs$euler$layouttime$nets".scalar(keys %ports)."$routing$errors
"; foreach(sort keys %outputs) { From f9fd8a17d896c0570175de0f3b25bd4d30cf337d Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 23 Apr 2020 18:40:15 +0200 Subject: [PATCH 279/673] Added debugging output --- Tools/perl/demoboard.pl | 2 ++ 1 file changed, 2 insertions(+) diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl index 7c8c1e3a..890e5db7 100755 --- a/Tools/perl/demoboard.pl +++ b/Tools/perl/demoboard.pl @@ -59,6 +59,7 @@ ($$) $timestamp=$1 if(m/^timestamp (\d+)/); if(m/^rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/) { + print STDERR "$name min:@mins max:@maxs $_"; my @a=split " ",$_; foreach(1 .. 4) { @@ -89,6 +90,7 @@ ($$) print "timestamp $timestamp\n"; print "transform $dir 0 $bx 0 $dir $by\n"; print "box 0 0 $width $height\n"; + print STDERR "$name $width $height\n"; my $lx=$x+$width/2; my $ly=$y+$height/2; From 1f9eed20e6da3a2d5956fbdaf11319cd714b2439 Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Tue, 28 Apr 2020 10:50:00 +0200 Subject: [PATCH 280/673] Update README.md --- README.md | 53 ++++++++++++++++++++++++----------------------------- 1 file changed, 24 insertions(+), 29 deletions(-) diff --git a/README.md b/README.md index c4b2b027..28565c80 100644 --- a/README.md +++ b/README.md @@ -7,16 +7,12 @@ Or you could import the netlists from a given existing standard cell library. The second step is the automatic generation (layouting, characterization) of all the standard cells. In the final step, all the files are collected into the library files together that can then be used by e.g. qflow, yosys to go from RTL to GDS. -# How to help -Please test it and report any issues, or in the respective repositories of the partner-projects. -And please, do not hesitate to contact the Authors of Standard Cell Library for Patches, Feature additions or Questions. -Any feedback welcome under [Email](mailto://stdcelllib@nospam.chipforge.org "stdcelllib@nospam.chipforge.org"). ## Requirements ### Linux (Debian/Ubuntu or derivative) -For Debian/Ubuntu based distributions we are currently offering an installation script that installs all the necessary requirements: +For Debian/Ubuntu based distributions (with Python >=3.6, e.g. Debian Buster) we are currently offering an installation script that installs all the necessary requirements: ``` wget https://pdk.libresilicon.com/tools.sh @@ -26,39 +22,22 @@ bash tools.sh It will download, build and install all required tools, and at the end try to build a standard cell library. -### Partner Tools - -We use LibreCell from Thomas Kramer https://codeberg.org/tok/librecell/ for Layouting and Characterization of the standard cells. -We use Magic from Tim Edwards: http://opencircuitdesign.com/magic/ for parasitic extraction and visualisation. Thanks a lot for your support! -We use the great CircDia LaTeX package for drawing circuit diagrams by Dr. Stefan Krause (Saarbrücken/Germany). (http://www.taylorgruppe.de/circdia "http://www.taylorgruppe.de/circdia") - -### Scheme - -Popcorn (as the tool which does the Voodoo stuff and generates the Standard Cells) is written in R^7RS Scheme. While this Standard is already a couple of years old, not so many tools supporting them. Chibi-Scheme as a pre-build package is not available on most systems, so we are using Gauche Scheme (or gosh) in Version 0.9.6 or higher. - -### Magic - -Another software for the Popcorn tool, which should be installed before usage, is [Magic](http://opencircuitdesign.com/magic "http://opencircuitdesign.com/magic"). Magic is Open Source, but not part of all Linux distributions (lacks on OpenSuse, Arch Linux etc). - ## Usage Please build and use the Standard Cells (and the cell generator) with the GNUmakefile system. ``` +cd StdCellLib/Catalog make -``` - -shows e.g. a help screen with available targets. - -### Popcorn Preparing - -Please prepare Popcorn first by typing - -``` make popcorn +make catalog +make layout +make docs ``` -this will generate the very usefull Manual Pages about the tool and the formats, Popcorn is using. Please read them. +make alone shows e.g. a help screen with available targets. +make popcorn will prepare Popcorn and generate the very useful Manual Pages about the tool and the formats, Popcorn is using. Please read them. + ### Generate Cell Descriptions @@ -128,4 +107,20 @@ to install the standard cell library into qflow as "libresilicon" BTW, the Tooling should *not* be part of the Distribution. + Congratulations! You generated a Standard Cell Library :-) There aren't many people who can say they've done that. + +# How to help +Please test it and report any issues, or in the respective repositories of the partner-projects. +And please, do not hesitate to contact the Authors of Standard Cell Library for Patches, Feature additions or Questions. +Any feedback welcome under [Email](mailto://stdcelllib@nospam.chipforge.org "stdcelllib@nospam.chipforge.org"). + +### Partner Tools + +We use LibreCell from Thomas Kramer https://codeberg.org/tok/librecell/ for Layouting and Characterization of the standard cells. +We use Magic from Tim Edwards: http://opencircuitdesign.com/magic/ for Popcorn, parasitic extraction and visualisation. Thanks a lot for your help! +We use the great CircDia LaTeX package for drawing circuit diagrams by Dr. Stefan Krause (Saarbrücken/Germany). (http://www.taylorgruppe.de/circdia "http://www.taylorgruppe.de/circdia") + +### Scheme + +Popcorn (as the tool which does the Voodoo stuff and generates the Standard Cells) is written in R^7RS Scheme. While this Standard is already a couple of years old, not so many tools supporting them. Chibi-Scheme as a pre-build package is not available on most systems, so we are using Gauche Scheme (or gosh) in Version 0.9.6 or higher. From 179c7d3c4cb7ec5b0237571eefbf89f67a6ba55f Mon Sep 17 00:00:00 2001 From: Philipp Date: Tue, 28 Apr 2020 12:49:22 +0200 Subject: [PATCH 281/673] Added a demand testing tool for liberty files --- Tools/perl/libgenall.pl | 430 ++++++++++++++++++++++++++++++++++++++++ 1 file changed, 430 insertions(+) create mode 100755 Tools/perl/libgenall.pl diff --git a/Tools/perl/libgenall.pl b/Tools/perl/libgenall.pl new file mode 100755 index 00000000..814b126c --- /dev/null +++ b/Tools/perl/libgenall.pl @@ -0,0 +1,430 @@ +#!/usr/bin/perl -w +use strict; + +#Liberty File generator + +my $maxpins=3; + +print <$_[1])?$_[1]:$_[0]; +} + +sub mymax($$) +{ + return $_[1] unless(defined($_[0])); + return ($_[0]>$_[1])?$_[0]:$_[1]; +} + + +foreach my $npins(1 .. $maxpins) +{ + my @inputs=(); + + foreach my $mag(0 .. (2**$npins)-1) + { + my $cellname=$mag; $cellname=~s/\.mag$//; + my @outputs=(); + + + if(open(IN,"<$cellname.cell")) + { + print STDERR "Reading $cellname.cell\n"; + while() + { + @inputs=split " ",$1 if(m/^\.inputs (.*)/); + @outputs=split " ",$1 if(m/^\.outputs (.*)/); + } + close IN; + } + else + { + print STDERR "Could not read: $!\n"; + } + my $area=defined($maxs[0])?($maxs[0]-$mins[0])*($maxs[1]-$mins[1]):1; + + if($mag=~m/^PAD/) + { + print "cell ($cellname) { area : $area; dont_touch : true; }\n"; + } + else + { + + my $output= < Date: Tue, 28 Apr 2020 13:35:57 +0200 Subject: [PATCH 282/673] Made sure to generate the spice models first --- Tools/perl/librecells.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index c1a51b37..41280085 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -10,6 +10,7 @@ $ENV{'PySpiceLogLevel'}="ERROR" if(!$debug); #undef($ENV{'PySpiceLogLevel'}) unless($debug); +system "perl ../Tools/perl/cell2spice.pl"; open IN,"<$sp" || die "Could not open file $sp: $!\n"; while() From f2cc8519f679242c3fe6210abe886dfec00016e1 Mon Sep 17 00:00:00 2001 From: Philipp Date: Tue, 28 Apr 2020 13:55:57 +0200 Subject: [PATCH 283/673] Separated active into ndiffusion and pdiffusion --- Tech/librecell_tech.py | 53 +++++++++++++++++++++++++++--------------- 1 file changed, 34 insertions(+), 19 deletions(-) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index e5952010..26d763c4 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -16,7 +16,8 @@ transistor_channel_width_sizing = 1 # GDS2 layer numbers for final output. -my_active = (1, 0) # all DIFF's + all FET's (pdiff+ndiff) +my_ndiffusion = (1, 0) +my_pdiffusion = (1, 7) my_nwell = (2, 0) #my_nwell2 = (2, 1) # a copy of the nwell layer due to limitations of other tools we don't need my_pwell = (2, 7) @@ -36,7 +37,8 @@ # For the final output the layers can be remapped with a mapping # defined in this dictioinary. output_map = { - l_active: my_active, + l_ndiffusion: my_ndiffusion, + l_pdiffusion: my_pdiffusion, l_nwell: my_nwell, # [my_nwell, my_nwell2], # Map l_nwell to two output layers. l_pwell: my_pwell, # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. l_poly: my_poly, @@ -65,7 +67,8 @@ l_metal2: 'metal2', l_metal1_label: 'metal1', l_metal2_label: 'metal2', - l_active: 'ndiffusion', + l_ndiffusion: 'ndiffusion', + l_pdiffusion: 'pdiffusion', l_metal2_pin: 'metal2', l_poly_contact: 'polycontact', l_diff_contact: 'pdcontact' @@ -87,7 +90,8 @@ # Example for a layer that can be used for horizontal and vertical tracks: {'MyLayer1' : 'hv'} # Example for a layer that can be contacted but not used for routing: {'MyLayer2' : ''} routing_layers = { - l_active: '', # Allow adding shapes on active layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. + l_ndiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. + l_pdiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. l_poly: '', l_metal1: 'hv', l_metal2: 'hv', @@ -95,13 +99,15 @@ # Minimum spacing rules for layer pairs. min_spacing = { - (l_active, l_active): 3*l, # 3 -> 3l - (l_active, l_poly_contact): 4*l, # 2.6.6 -> 4l + (l_ndiffusion, l_pdiffusion): 3*l, # 3 -> 3l + (l_ndiffusion, l_poly_contact): 4*l, # 2.6.6 -> 4l + (l_pdiffusion, l_poly_contact): 4*l, # 2.6.6 -> 4l (l_nwell, l_nwell): 10*l, # 3 -> 10l (l_nwell, l_pwell): 12*l, # 2.2.4->12l (l_pwell, l_pwell): 10*l, # 3 -> 10l #(l_poly, l_nwell): 10, # No rule? - (l_poly, l_active): 1*l, # 2.4.6 -> 1l + (l_poly, l_ndiffusion): 1*l, # 2.4.6 -> 1l + (l_poly, l_pdiffusion): 1*l, # 2.4.6 -> 1l (l_poly, l_poly): 1*l, # 3 POLY -> 2l XXX: TODO: THIS NEEDS TO BE INCREASED TO 2l BUT AT THE MOMENT IT WOULD BREAK THE ROUTING (l_poly, l_diff_contact): 2*l, # The maximum "minimum spacing" from poly to anything else is 2l (l_diff_contact, l_diff_contact): 2*l, # 3 -> 2l @@ -109,7 +115,8 @@ (l_metal2, l_metal2): 4*l, # 3 METAL2 -> 4l (l_via1, l_via1): 3*l, # 3 VIA1 -> 3l (l_via1, l_diff_contact): 2*l, # 2.8.3 -> 2l - (l_via1, l_active): 2*l, # 2.8.4 -> 2l + (l_via1, l_ndiffusion): 2*l, # 2.8.4 -> 2l + (l_via1, l_pdiffusion): 2*l, # 2.8.4 -> 2l (l_poly_contact, l_diff_contact): 4*l, } @@ -164,7 +171,8 @@ # Width of routing wires. wire_width = { - l_active: 2*l, + l_ndiffusion: 2*l, + l_pdiffusion: 2*l, l_poly: 2*l, # 2.4.1 -> 2l l_metal1: 4*l, # 2.7.1 -> 4l l_metal2: 4*l, # 2.9.1 -> 4l @@ -172,7 +180,8 @@ # Width of horizontal routing wires (overwrites `wire_width`). wire_width_horizontal = { - l_active: 2*l, + l_ndiffusion: 2*l, + l_pdiffusion: 2*l, l_poly: 2*l, # 2.4.1 -> 2l l_metal1: 4*l, # 2.7.1 -> 4l l_metal2: 4*l, # 2.9.1 -> 4l @@ -188,7 +197,8 @@ # Minimum width rules. minimum_width = { - l_active: 2*l, # 4 l + l_ndiffusion: 2*l, # 4 l + l_pdiffusion: 2*l, # 4 l l_poly: gate_length, # 2.4.1-> 2l l_metal1: 4*l, # 2.7.1 -> 4l l_metal2: 4*l, # 2.9.1 -> 4l @@ -198,23 +208,25 @@ # Syntax: {(outer layer, inner layer): minimum enclosure, ...} minimum_enclosure = { # Via enclosure - (l_active, l_diff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's + (l_ndiffusion, l_diff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's + (l_pdiffusion, l_diff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's (l_poly, l_poly_contact): 1*l, # 2.6.2 -> 1l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT (l_metal1, l_diff_contact): 1*l, # 2.7.3 -> 1l (l_metal1, l_poly_contact): 1*l, # 2.7.3 -> 1l (l_metal1, l_via1): 1*l,# 2.7.3 -> 1l (l_metal2, l_via1): 1*l,# 2.9.3 -> 1l - # l_nwell must overlap l_active - (l_nwell, l_active): 2*l, # 2.3.3 -> 2l - (l_pwell, l_active): 2*l, # 2.3.3 -> 2l + # l_*well must overlap l_*diffusion + (l_nwell, l_pdiffusion): 2*l, # 2.3.3 -> 2l + (l_pwell, l_ndiffusion): 2*l, # 2.3.3 -> 2l (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment (l_abutment_box, l_pwell): 0, } # Minimum notch rules. minimum_notch = { - l_active: 1*l, + l_ndiffusion: 1*l, + l_pdiffusion: 1*l, l_poly: 1*l, l_metal1: 1*l, l_metal2: 1*l, @@ -236,13 +248,15 @@ # Routing edge weights per data base unit. weights_horizontal = { - l_active: 10000, + l_ndiffusion: 10000, + l_pdiffusion: 10000, l_poly: 10, l_metal1: 1, l_metal2: 2, } weights_vertical = { - l_active: 10000, + l_ndiffusion: 10000, + l_pdiffusion: 10000, l_poly: 10, l_metal1: 1, l_metal2: 2, @@ -250,7 +264,8 @@ # Via weights. via_weights = { - (l_metal1, l_active): 500, + (l_metal1, l_ndiffusion): 500, + (l_metal1, l_pdiffusion): 500, (l_metal1, l_poly): 500, (l_metal1, l_metal2): 400 } From c16b81ae717db088c7f078f5d6be27db41b1391a Mon Sep 17 00:00:00 2001 From: Philipp Date: Tue, 28 Apr 2020 15:16:39 +0200 Subject: [PATCH 284/673] Fixed min_spacing --- Tech/librecell_tech.py | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py index 26d763c4..ed8bee5d 100644 --- a/Tech/librecell_tech.py +++ b/Tech/librecell_tech.py @@ -99,7 +99,9 @@ # Minimum spacing rules for layer pairs. min_spacing = { - (l_ndiffusion, l_pdiffusion): 3*l, # 3 -> 3l + (l_ndiffusion, l_ndiffusion): 3*l, # 3 -> 3l + (l_pdiffusion, l_ndiffusion): 3*l, # 3 -> 3l + (l_pdiffusion, l_pdiffusion): 3*l, # 3 -> 3l (l_ndiffusion, l_poly_contact): 4*l, # 2.6.6 -> 4l (l_pdiffusion, l_poly_contact): 4*l, # 2.6.6 -> 4l (l_nwell, l_nwell): 10*l, # 3 -> 10l From d9e860a546bc4a3a6819928fc7ee0d9c86cb9650 Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Thu, 30 Apr 2020 10:18:02 +0200 Subject: [PATCH 285/673] Update README.md --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index 28565c80..fa28ba3c 100644 --- a/README.md +++ b/README.md @@ -21,6 +21,7 @@ bash tools.sh It will download, build and install all required tools, and at the end try to build a standard cell library. +For other operating systems it is likely quite some work to get it running due to the high number of dependencies. But any ports to other systems are very welcome! ## Usage @@ -29,7 +30,6 @@ Please build and use the Standard Cells (and the cell generator) with the GNUmak ``` cd StdCellLib/Catalog make -make popcorn make catalog make layout make docs From 116d971bb2ea0ced5ce121e52ebb53f9ad4d812f Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 7 May 2020 11:45:39 +0200 Subject: [PATCH 286/673] Fixed permissions Added documentation to the buildreport --- Tools/perl/annotate.pl | 0 Tools/perl/buildreport.pl | 6 +++--- Tools/perl/cell2circle.pl | 0 Tools/perl/draw.pl | 0 Tools/perl/funclist.pl | 0 Tools/perl/painter.pl | 0 Tools/perl/report.pl | 0 7 files changed, 3 insertions(+), 3 deletions(-) mode change 100644 => 100755 Tools/perl/annotate.pl mode change 100644 => 100755 Tools/perl/buildreport.pl mode change 100644 => 100755 Tools/perl/cell2circle.pl mode change 100644 => 100755 Tools/perl/draw.pl mode change 100644 => 100755 Tools/perl/funclist.pl mode change 100644 => 100755 Tools/perl/painter.pl mode change 100644 => 100755 Tools/perl/report.pl diff --git a/Tools/perl/annotate.pl b/Tools/perl/annotate.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl old mode 100644 new mode 100755 index e1bfef2c..21ea4b9c --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -9,7 +9,7 @@ print OUT "

Build Report

"; print OUT "Generated: ".localtime()." by LibreSilicon Standard Cell Generator
\n"; -print OUT "

Input files: PDK, DRC, layer definitions, parameters:

"; +print OUT "

Input files: PDK, DRC, layer definitions, process parameters:

"; my %inputs=("drc.lydrc"=>"DRC for KLayout","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transitor BSIM parameters","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","transistor.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); print OUT "
FilenameDescription
"; foreach(sort keys %inputs) @@ -92,8 +92,8 @@ print OUT "
FilenameDescription

"; -print OUT "

Output files:

"; -my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library"); +print OUT "

Output files (those are needed by qflow or other RTL2GDS tools):

"; +my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells, can be viewed with libertyviz","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells, can be viewed with KLayout","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library"); print OUT ""; foreach(sort keys %outputs) { diff --git a/Tools/perl/cell2circle.pl b/Tools/perl/cell2circle.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/draw.pl b/Tools/perl/draw.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/funclist.pl b/Tools/perl/funclist.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/painter.pl b/Tools/perl/painter.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/report.pl b/Tools/perl/report.pl old mode 100644 new mode 100755 From 80a6f8a44c86dc4792439357be7ebfe8b631721a Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 7 May 2020 12:59:54 +0200 Subject: [PATCH 287/673] Added link --- Tools/perl/buildreport.pl | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 21ea4b9c..1003a81a 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -5,7 +5,9 @@ my $report="buildreport.html"; open OUT,">$report"; -print OUT "Build Report Libresilicon"; +print OUT ""; +print OUT '' if(!-f ".done"); +print OUT "Build Report Libresilicon"; print OUT "

Build Report

"; print OUT "Generated: ".localtime()." by LibreSilicon Standard Cell Generator
\n"; @@ -92,7 +94,7 @@ print OUT "
FilenameDescription

"; -print OUT "

Output files (those are needed by qflow or other RTL2GDS tools):

"; +print OUT "

Output files (those are needed by qflow or other RTL2GDS tools):

"; my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells, can be viewed with libertyviz","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells, can be viewed with KLayout","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library"); print OUT ""; foreach(sort keys %outputs) From 26ecb53f569de9990b540ee2d5bb5ca407c07996 Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 7 May 2020 13:00:54 +0200 Subject: [PATCH 288/673] Fixed permission --- Tools/reporter.sh | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/Tools/reporter.sh b/Tools/reporter.sh index 6e067eb6..1b890a67 100755 --- a/Tools/reporter.sh +++ b/Tools/reporter.sh @@ -1,5 +1,8 @@ #!/bin/bash -echo This script generates a build report every 10 seconds, so that you can monitor the progress of the standarc cell generation. +echo This script generates a build report every 10 seconds, so that you can monitor the progress of the standard cell generation. +rm -f .done +perl ../Tools/perl/buildreport.pl +firefox buildreport.html & while true do perl ../Tools/perl/buildreport.pl From 61f21a31f8edd27a2585ec5fd8844ef19dfc4bb5 Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 7 May 2020 13:05:58 +0200 Subject: [PATCH 289/673] Added DRC link --- Tools/perl/buildreport.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 1003a81a..0dd19908 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -11,7 +11,7 @@ print OUT "

Build Report

"; print OUT "Generated: ".localtime()." by LibreSilicon Standard Cell Generator
\n"; -print OUT "

Input files: PDK, DRC, layer definitions, process parameters:

"; +print OUT "

Input files: PDK, DRC, layer definitions, process parameters:

"; my %inputs=("drc.lydrc"=>"DRC for KLayout","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transitor BSIM parameters","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","transistor.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); print OUT "
FilenameDescription
"; foreach(sort keys %inputs) From 98a99713889f6c5af357b2f69d36bc897a986349 Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 7 May 2020 13:57:10 +0200 Subject: [PATCH 290/673] Fixed Tech-File inclusion Added output tools --- Tools/perl/buildreport.pl | 2 +- Tools/perl/mag2svg.pl | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 0dd19908..b8bf05e7 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -94,7 +94,7 @@ print OUT "
FilenameDescription

"; -print OUT "

Output files (those are needed by qflow or other RTL2GDS tools):

"; +print OUT "

Output files (needed by RTL2GDS tools like qflow, , Cadence, Synopsys, ...):

"; my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells, can be viewed with libertyviz","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells, can be viewed with KLayout","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library"); print OUT ""; foreach(sort keys %outputs) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 10fb17e2..234f2e1e 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -11,7 +11,7 @@ if(-f "$mag.mag") { - open MAGIC,"|magic -d XR -noconsole -nowindow -T libresilicon $mag"; + open MAGIC,"|magic -d XR -noconsole -nowindow -T ../Tech/libresilicon.tech $mag"; print MAGIC "plot svg $svg\nexit\n"; close MAGIC; print "$svg written.\n" if(-f $svg); From 09f75773c0c5f1dca4477564c1d23657e7ec47d0 Mon Sep 17 00:00:00 2001 From: Philipp Date: Thu, 7 May 2020 15:02:45 +0200 Subject: [PATCH 291/673] Visualized running state --- Tools/perl/buildreport.pl | 11 ++++++++--- Tools/perl/librecells.pl | 12 ++++++++++-- 2 files changed, 18 insertions(+), 5 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index b8bf05e7..68e2c773 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -38,6 +38,7 @@ my $leffile="outputlib/".$file; $leffile=~s/\.cell$/.lef/; my $gdsfile="outputlib/".$file; $gdsfile=~s/\.cell$/.gds/; my $spicefile=$file; $spicefile=~s/\.cell$/.spice/; + my $runfile=$file; $runfile=~s/\.cell$/.running/; my $area=""; my $lvs=""; @@ -47,8 +48,10 @@ my $errors=""; my $routing=""; my %ports=(); + my $b1=(-f $runfile)?"":""; + my $b2=(-f $runfile)?"":""; - print OUT ""; + print OUT ""; print OUT ""; print OUT ""; print OUT ""; @@ -85,6 +88,8 @@ } $lvs=~s/FAILED/FAILED<\/font>/; + $errors.=" This cell is currently building..." if(-f $runfile); + print OUT ""; print OUT ""; @@ -94,8 +99,8 @@ print OUT "
FilenameDescription
$file
$b1$file$b2".(-f $file ? "":"X")."".(-f $magfile ? "":"X")."".(-f $svgfile ? "":"X")."$area$lvs$euler$layouttime$nets".scalar(keys %ports)."$routing$errors

"; -print OUT "

Output files (needed by RTL2GDS tools like qflow, , Cadence, Synopsys, ...):

"; -my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells, can be viewed with libertyviz","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells, can be viewed with KLayout","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library"); +print OUT "

Output files (needed by RTL2GDS tools like qflow, OpenROAD, Cadence, Synopsys, ...):

"; +my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells, can be viewed with libertyviz","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells, can be viewed with KLayout","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library","demoboard.mag"=>"Demoboard: with all cells"); print OUT ""; foreach(sort keys %outputs) { diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 41280085..91c36bc4 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -24,8 +24,11 @@ print STDERR "INFO: $cellname.lib already exists, so we are skipping it.\n"; next; } + unlink "$cellname.done"; unlink "$cellname.log"; unlink "$cellname.err"; + open OUT,">$cellname.running"; + close OUT; sub step($) { @@ -44,6 +47,7 @@ if($cellname eq "CLKBUF3") { print STDERR "TODO: CLKBUF3 currently takes too much time to generate so we have to skip it, librecell needs to be improved\n"; + unlink "$cellname.running"; next; } unlink "outputlib/$cellname.mag"; @@ -159,8 +163,12 @@ step("NEXT STEP: mag2svg"); system "../Tools/perl/mag2svg.pl $cellname.mag $cellname.svg" if(-f "$cellname.mag"); - + unlink "$cellname.running"; } } -system "python3 ../Tools/python/concat4gds.py outputlib/*.gds"; +if(!defined($ENV{'CELL'})) +{ + system "python3 ../Tools/python/concat4gds.py outputlib/*.gds"; +} + From 3de45b9ccac70b00c7eed2759173cec1630b5031 Mon Sep 17 00:00:00 2001 From: Philipp Date: Mon, 11 May 2020 13:06:40 +0200 Subject: [PATCH 292/673] Fixed permission --- Tools/perl/cell2sch.pl | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 Tools/perl/cell2sch.pl diff --git a/Tools/perl/cell2sch.pl b/Tools/perl/cell2sch.pl old mode 100644 new mode 100755 From 22b7a60bddc143c0fef637c7f2c6a878abeb9c15 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 19 Jun 2020 00:31:31 +0200 Subject: [PATCH 293/673] Added Spice files and Schematic views --- Tools/perl/buildreport.pl | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 68e2c773..d587ca73 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -26,7 +26,7 @@ print OUT "You can click on the green ticks and the error messages to get more details or download the files. By moving the mouse over the header line you will get explanations for each column.
\n"; print OUT "
FilenameDescription
"; -print OUT ""; +print OUT ""; foreach my $file (<*.cell>) { my $errfile=$file; $errfile=~s/\.cell$/.err/; @@ -34,9 +34,11 @@ my $magfile=$file; $magfile=~s/\.cell$/.mag/; my $libfile=$file; $libfile=~s/\.cell$/.lib/; my $svgfile=$file; $svgfile=~s/\.cell$/.svg/; + my $schfile="doc/".$file; $schfile=~s/\.cell$/_svg.png/; my $pngfile=$file; $pngfile=~s/\.cell$/.png/; my $leffile="outputlib/".$file; $leffile=~s/\.cell$/.lef/; my $gdsfile="outputlib/".$file; $gdsfile=~s/\.cell$/.gds/; + my $spfile=$file; $spfile=~s/\.cell$/.sp/; my $spicefile=$file; $spicefile=~s/\.cell$/.spice/; my $runfile=$file; $runfile=~s/\.cell$/.running/; @@ -55,11 +57,13 @@ print OUT ""; print OUT ""; print OUT ""; + print OUT ""; print OUT ""; print OUT ""; print OUT ""; print OUT ""; print OUT ""; + print OUT ""; print OUT ""; if(open LIB,"<$libfile") From 061382646da5fcf716ff7d90922e40d6e2e26aa0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 19 Jun 2020 00:32:11 +0200 Subject: [PATCH 294/673] Added truthtable generation --- Tools/perl/librecells.pl | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 91c36bc4..55ecee75 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -43,6 +43,11 @@ step("NEXT CELL: $cellname"); + system "../Tools/perl/truthtable.pl --format=text $cellname >$cellname.truthtable.txt"; + system "../Tools/perl/truthtable.pl --format=html $cellname >$cellname.truthtable.html"; + system "../Tools/perl/truthtable.pl --format=verilog $cellname >$cellname.truthtable.v"; + + my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); if($cellname eq "CLKBUF3") { From 61434a4159045710e362fa26cbf9293cea958151 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 19 Jun 2020 00:32:37 +0200 Subject: [PATCH 295/673] Fixed HTML Output for truthtables --- Tools/perl/truthtable.pl | 11 ++++++++--- 1 file changed, 8 insertions(+), 3 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index 17b22f51..c89c85fa 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -4,12 +4,12 @@ # Parameters and their default values: our $debug=0; -our $format="text"; # html latex liberty +our $format="text"; # html latex text liberty verilog # Parsing the commandline parameters: GetOptions ("debug" => \$debug, "v" => \$debug, - "format=s" => \$format); # text,html,latex,liberty + "format=s" => \$format); # text,html,latex,liberty,verilog # Convert a value to the gray code value: sub bin2gray @@ -263,7 +263,7 @@ sub truth } elsif($format eq "html") { - print "
CellcellMagSVGLogErrLibertyLEFGDSParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
CellcellMagicSVGSchemLogErrLibertyLEFGDSSPICEParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
".(-f $file ? "":"X")."".(-f $magfile ? "":"X")."".(-f $svgfile ? "":"X")."".(-f $schfile ? "":"X")."".(-f $logfile ? "":"X")."".(-f $errfile ? "":"X")."".(-f $libfile ? "":"X")."".(-f $leffile ? "":"X")."".(-f $gdsfile ? "":"X")."".(-f $spfile?"":"X")."".(-f $spicefile?"":"X")."
"; + print "
".join("",@ins)."".join("",@outs)."
\n\n"; } my %values=(); @@ -275,10 +275,13 @@ sub truth # We count from 0 .. 2^n-1 and take the graycode, and then interpret that as a binary value for the input stimulus: my $gray=bin2gray($i); print " " if($format eq "latex"); + print "" if($format eq "html"); foreach(0 .. $ninputs-1) { print "& " if($format eq "latex" && $_>0); + print "" if($format eq "html"); $values{$ins[$_]}=($gray&(1<<$_))?1:0; } # Here we are using the truth function to calculate all network states for the given inputs: @@ -311,8 +314,10 @@ sub truth { print "" foreach(@outs); } + print "" if($format eq "html"); print "\n" unless($format eq "liberty"); } + print "
".join("",@ins)."".join("",@outs)."
" if($format eq "html"); print "".($gray&(1<<$_))?"1 ":"0 " unless($format eq "liberty"); + print "$res{$_}
\n" if($format eq "html"); foreach my $out (@outs) # We might have more than one output of a cell { From ede75da65af1bb52d88c0345a7f35515816250ad Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 19 Jun 2020 15:46:55 +0200 Subject: [PATCH 296/673] New styling --- Tools/perl/buildreport.pl | 60 +++++++++++++++++++++++++++++++++++++-- 1 file changed, 58 insertions(+), 2 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index d587ca73..704d1e47 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -6,7 +6,63 @@ open OUT,">$report"; print OUT ""; -print OUT '' if(!-f ".done"); +print OUT '' if(!-f ".done"); +print OUT < +body +{ + font-family: Verdana, Helvetica, sans-serif; + font-size: 100%; + color: black; + background-color: #f8f8f8; + margin: 10; + padding: 0 0 25px 0 +} + +div, form +{ + margin: 0; + padding: 0 +} + +p, ul, ol, table +{ + padding: 0; + margin: 0.8em 0; + border-collapse: collapse; +} +th +{ + text-align: left; + font-size: 100%; + padding: 3px 3px 3px 3px; +} +td +{ + padding: 3px 3px 3px 3px; + vertical-align: middle; +} + +a { color: #DE0019; text-decoration: underline } +a:link { color: #DE0019; text-decoration: underline } +a:visited { color: #DE0019; text-decoration: underline } +a:hover { color: black; text-decoration: underline } +a:active { color: white; background-color: black; text-decoration: underline } +a:focus { color: white; background-color: black; text-decoration: underline } + + img, +a img, +a:link img, +a:visited img, +a:hover img, +a:active img, +a:focus img +{ border: 0px none white; } + + + +EOF +; print OUT "Build Report Libresilicon"; print OUT "

Build Report

"; print OUT "Generated: ".localtime()." by LibreSilicon Standard Cell Generator
\n"; @@ -26,7 +82,7 @@ print OUT "You can click on the green ticks and the error messages to get more details or download the files. By moving the mouse over the header line you will get explanations for each column.
\n"; print OUT ""; -print OUT ""; +print OUT ""; foreach my $file (<*.cell>) { my $errfile=$file; $errfile=~s/\.cell$/.err/; From 575c33dc5d2bd34eeba24c6fb1e77091729e7e42 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 20 Jun 2020 09:29:14 +0200 Subject: [PATCH 297/673] Added description, improved colours --- Tools/perl/buildreport.pl | 17 +++++++++++------ 1 file changed, 11 insertions(+), 6 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 704d1e47..4f325cf0 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -35,6 +35,7 @@ { text-align: left; font-size: 100%; + background-color: #f0f0f0; padding: 3px 3px 3px 3px; } td @@ -65,10 +66,11 @@ ; print OUT "Build Report Libresilicon"; print OUT "

Build Report

"; -print OUT "Generated: ".localtime()." by LibreSilicon Standard Cell Generator
\n"; +print OUT "Generated: ".localtime()." by LibreSilicon Standard Cell Library Generator
\n"; -print OUT "

Input files: PDK, DRC, layer definitions, process parameters:

"; -my %inputs=("drc.lydrc"=>"DRC for KLayout","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transitor BSIM parameters","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","transistor.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); +print OUT "

Input files: PDK, DRC, layer definitions, process parameters:

"; +print OUT "These input files are necessary to define the rules and parameters for the standard cell library. All those files are collected in the Tech directory.
"; +my %inputs=("drc.lydrc"=>"DRC rules for KLayout GDS Viewer","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transistor BSIM model SPICE parameters (*.lib files in other tools)","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","transistor.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); print OUT "
CellcellMagicSVGSchemLogErrLibertyLEFGDSSPICEParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
CellcellMagicLayoutSchematicLogErrLibertyLEFGDSSPICEParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
"; foreach(sort keys %inputs) { @@ -79,6 +81,7 @@ print OUT "
FilenameDescription
"; print OUT "

Standard Cells:

\n"; +print OUT "These are the generated standard cells. "; print OUT "You can click on the green ticks and the error messages to get more details or download the files. By moving the mouse over the header line you will get explanations for each column.
\n"; print OUT ""; @@ -112,7 +115,7 @@ print OUT ""; print OUT ""; print OUT ""; - print OUT ""; + print OUT ""; print OUT ""; print OUT ""; print OUT ""; @@ -160,14 +163,16 @@ print OUT "

Output files (needed by RTL2GDS tools like qflow, OpenROAD, Cadence, Synopsys, ...):

"; -my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells, can be viewed with libertyviz","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells, can be viewed with KLayout","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library","demoboard.mag"=>"Demoboard: with all cells"); +print OUT "These are the resulting output files, you can download and use them with other EDA tools to build your chips:
\n"; +my %outputs=("libresilicon.sp"=>"SPICE netlist with all cells","libresilicon.lib"=>"LIBERTY File with Characterization of all cells, can be viewed with libertyviz","libresilicon.lef"=>"Library Exchange Format (LEF) File with all cells","library.gds"=>"GDS-II file with masks for all cells, can be viewed with KLayout","../Documents/StdCellLib.pdf"=>"PDF Documentation of the Standard cell library","demoboard.mag"=>"Demoboard: ".(-s "demoboard.svg"?"":"")." with all cells"); print OUT "
$b1$file$b2".(-f $file ? "":"X")."".(-f $magfile ? "":"X")."".(-f $svgfile ? "":"X")."".(-f $svgfile ? "":"X")."".(-f $schfile ? "":"X")."".(-f $logfile ? "":"X")."".(-f $errfile ? "":"X")."
"; foreach(sort keys %outputs) { - print OUT ""; + print OUT ""; } print OUT "
FilenameDescription
".(-f $_? "$_":"X$_")."$outputs{$_}
".(-f $_? " $_":"X$_")."$outputs{$_}
"; +print OUT "If you want to build your own standard cell library, you can try our Online Standard Cell Library Generator or download the generator software and run it yourself.
\n"; print OUT ""; print "firefox $report\n"; From f213888aa644d5276b0f1ee4f855cee20e11d63a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 20 Jun 2020 09:30:25 +0200 Subject: [PATCH 298/673] Added path length output --- Tools/tcl/_schematic | 2 ++ 1 file changed, 2 insertions(+) diff --git a/Tools/tcl/_schematic b/Tools/tcl/_schematic index b5d18884..51b3b57a 100755 --- a/Tools/tcl/_schematic +++ b/Tools/tcl/_schematic @@ -92,6 +92,8 @@ proc length? {path from to netlist} { set length 0 set result 0 + verb "length from $from to $to is $length for $path" + foreach mosfet $netlist { # check mosfet type if {[string compare -nocase [lindex $mosfet 3] $from] == 0} { From 2e8a35b634c402968b516337d3b22249e4228085 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 20 Jun 2020 09:30:49 +0200 Subject: [PATCH 299/673] Developed own mag2svg without depending on Magic --- Tools/perl/mag2svg.pl | 79 +++++++++++++++++++++++++++++++++++++++---- 1 file changed, 73 insertions(+), 6 deletions(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 234f2e1e..b307215d 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -7,15 +7,82 @@ my $svg=$ARGV[1] || $svgvar; print "mag2svg - Convert magic files to SVG\n"; -print "Usage: mag2svg input.mag output.svg\n"; +print "Usage: mag2svg input.mag output.svg\n" if(scalar(@ARGV)<1); + +sub mymin($$) +{ + return $_[1] if(!defined($_[0])); + return $_[0] if(!defined($_[1])); + return $_[0]<$_[1]?$_[0]:$_[1]; +} +sub mymax($$) +{ + return $_[1] if(!defined($_[0])); + return $_[0] if(!defined($_[1])); + return $_[0]>$_[1]?$_[0]:$_[1]; +} + + + if(-f "$mag.mag") { - open MAGIC,"|magic -d XR -noconsole -nowindow -T ../Tech/libresilicon.tech $mag"; - print MAGIC "plot svg $svg\nexit\n"; - close MAGIC; - print "$svg written.\n" if(-f $svg); - print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\nThe cairo library development files need to be installed and magic needs to be configured with --with-cairo.\nMake sure that magic configure says 'Cairo: yes' in the summary.\n" if(! -f $svg); + # open MAGIC,"|magic -d XR -noconsole -nowindow -T ../Tech/libresilicon.tech $mag"; + # print MAGIC "plot svg $svg\nexit\n"; + # close MAGIC; + # print "$svg written.\n" if(-f $svg); + # print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\nThe cairo library development files need to be installed and magic needs to be configured with --with-cairo.\nMake sure that magic configure says 'Cairo: yes' in the summary.\n" if(! -f $svg); + + + open IN,"<$mag.mag"; + # + my $layer=""; + my $rects=""; + my @limits=(); + while() + { + if(m/<< ([^>]+) >>/) + { + $layer=$1; + #print "Layer: $layer\n"; + } + elsif(m/rect (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*)/) + { + #print "Rect\n"; + my $width=$3-$1; + my $height=$4-$2; + $limits[1]=mymin($limits[1],$1); + $limits[2]=mymin($limits[2],$2); + $limits[3]=mymax($limits[3],$3); + $limits[4]=mymax($limits[4],$4); + $rects.="\n"; + } + } + close IN; + print "Writing $svg\n"; + open OUT,">$svg"; + print OUT < + + +$rects + +EOF +; + close OUT; } else { From 0925233f6c540f57b33195f1ebe084ccb75c863a Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Mon, 22 Jun 2020 23:45:22 +0200 Subject: [PATCH 300/673] Rename Inverter cell --- Catalog/{INV => INV.cell} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename Catalog/{INV => INV.cell} (100%) diff --git a/Catalog/INV b/Catalog/INV.cell similarity index 100% rename from Catalog/INV rename to Catalog/INV.cell From 366e5fa2a8b730415cb880a216535f1d4e5ab355 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 22 Jun 2020 23:46:52 +0200 Subject: [PATCH 301/673] Corrected liberty template filename --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index f29b17a4..4356a4e5 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -131,7 +131,7 @@ libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl .. ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl rm -f libresilicon.lib - libertymerge -b libresilicon.libtemplate -o libresilicon.lib -u *.lib + libertymerge -b INV.libtemplate -o libresilicon.lib -u *.lib demoboard.mag: *.cell libresilicon.sp perl ../Tools/perl/demoboard.pl >demoboard.mag From 6113e9cc15d39868501d05dc02ff0ce43fb8c12d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 22 Jun 2020 23:55:17 +0200 Subject: [PATCH 302/673] Added warning for hierarchical files --- Tools/perl/mag2svg.pl | 50 ++++++++++++++++++++++++++++++++++--------- 1 file changed, 40 insertions(+), 10 deletions(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index b307215d..6d9d0996 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -22,6 +22,7 @@ ($$) return $_[0]>$_[1]?$_[0]:$_[1]; } +our $usewarning=0; @@ -41,12 +42,17 @@ ($$) my @limits=(); while() { - if(m/<< ([^>]+) >>/) + if(m/^<< ([^>]+) >>/) { $layer=$1; #print "Layer: $layer\n"; } - elsif(m/rect (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*)/) + elsif(m/^use/ && !$usewarning) + { + print STDERR "WARNING: Hierarchical magic files are not supported yet!\n"; + $usewarning=1; + } + elsif(m/^rect (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*)/) { #print "Rect\n"; my $width=$3-$1; @@ -56,16 +62,31 @@ ($$) $limits[3]=mymax($limits[3],$3); $limits[4]=mymax($limits[4],$4); $rects.="\n"; + } + #rlabel metal1 0 61 64 67 0 vdd + elsif(m/rlabel (\S+) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (.*)/) + { + my $x=($2+$4)/2; + my $y=($3+$5)/2; + my $width=$4-$2; + my $height=$5-$3; + $rects.="\n"; + + $rects.="$7\n"; } } close IN; - print "Writing $svg\n"; - open OUT,">$svg"; - print OUT <$svg"; + print OUT < - + $rects EOF -; - close OUT; + ; + close OUT; + } + else + { + print "Error: Empty magic file!\n"; + } } else { From cbf4240b6710148c76a25fb813a71a30a23a9cb6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 22 Jun 2020 23:56:53 +0200 Subject: [PATCH 303/673] Improved warning --- Tools/perl/mag2svg.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 6d9d0996..935ce19e 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -49,7 +49,7 @@ ($$) } elsif(m/^use/ && !$usewarning) { - print STDERR "WARNING: Hierarchical magic files are not supported yet!\n"; + print STDERR "WARNING: Hierarchical magic files are not supported yet! If you want to see the sub-layouts, please flatten the magic file first.\n"; $usewarning=1; } elsif(m/^rect (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*)/) From da92ccc985b5b7a368b475754b259885aced4434 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 24 Jun 2020 00:22:00 +0200 Subject: [PATCH 304/673] Trying to improve Source/Drain mismatches --- Tools/perl/spice2cell.pl | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl index 70902c1e..6b93f8a9 100755 --- a/Tools/perl/spice2cell.pl +++ b/Tools/perl/spice2cell.pl @@ -78,6 +78,11 @@ ($) elsif(m/^M\d+ (\w+#?) (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet)/) { my ($g,$d,$s,$m)=($2,$1,$3,$5); + if($d=~m/^(vdd|gnd)$/i) + { + print "EXCHANGING SOURCE AND DRAIN: $_\n"; + ($s,$d)=($d,$s); + } $g=internal($g); $d=internal($d); $s=internal($s); From 80e591d388e28b9ead25509869a98dcbaa82279d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 29 Jun 2020 07:43:47 +0200 Subject: [PATCH 305/673] Removed some DRC warnings --- Tech/libresilicon.tech | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech index 05452c7a..62f426fb 100644 --- a/Tech/libresilicon.tech +++ b/Tech/libresilicon.tech @@ -1039,8 +1039,8 @@ drc edge4way ~(ndiff,ndc/a,nfet,enfet,nffet,wcap)/act ndiff,ndc,nfet 4 ~(psd,psc/a)/act ndiff,ndc/a,nfet,enfet,nffet,wcap 2 \ "Backedge of diffusion must be 4 from substrate diff (MOSIS rule #4.2.b)" # 1383 "scmos.tech.out" - width pc 4 \ - "Poly contact width must be at least 4 (MOSIS rule #5B.1,2,3)" + width pc 2 \ + "Poly contact width must be at least 2 (MOSIS rule #5B.1,2,3)" @@ -1058,8 +1058,8 @@ drc spacing pc ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a 1 touching_illegal \ "Poly contact must be 1 unit from diffusion (MOSIS rule #5B.6)" # 1409 "scmos.tech.out" - width ndc,pdc 4 \ - "Diffusion contact width must be at least 4 (MOSIS rule #6B.1,2,3)" + width ndc,pdc 2 \ + "Diffusion contact width must be at least 2 (MOSIS rule #6B.1,2,3)" width nsc,psc 4 \ "Substrate contact width must be at least 4 (MOSIS rule #6B.1,2,3)" # 1433 "scmos.tech.out" @@ -1124,8 +1124,8 @@ drc spacing pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 3 touching_ok \ "First-level metal spacing must be at least 3 (MOSIS rule #7.2)" # 1511 "scmos.tech.out" - width m2c 4 \ - "Contact width must be at least 4 (MOSIS rule #8.1,2,3)" + width m2c 2 \ + "Contact width must be at least 2 (MOSIS rule #8.1,2,3)" # 1540 "scmos.tech.out" width m2,m2c/m2,m3c/m2,pad 3 \ "Second-level metal width must be at least 3 (MOSIS rule #9.1)" From 7cf0870907f0a50f4381fe7f7ea6441f420165c7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 29 Jun 2020 21:55:12 +0200 Subject: [PATCH 306/673] X-mirroring, Color loading from magic tech files --- Tools/perl/mag2svg.pl | 83 +++++++++++++++++++++++++++++++++++++------ 1 file changed, 72 insertions(+), 11 deletions(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 935ce19e..f8d1993d 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -1,14 +1,71 @@ #!/usr/bin/perl -w -# Now we need magic 4.2 with kairos support compiled in for SVG export: -my $mag=$ARGV[0]; $mag=~s/\.mag$//i; $mag=~s/\.svg$//i; +my $mag=$ARGV[0] || ""; $mag=~s/\.mag$//i; $mag=~s/\.svg$//i; my $svgvar=$mag; $svgvar.=".svg"; my $svg=$ARGV[1] || $svgvar; print "mag2svg - Convert magic files to SVG\n"; print "Usage: mag2svg input.mag output.svg\n" if(scalar(@ARGV)<1); +our %colors=(); +our %styles=(); +our $csscolors=""; + +sub initColors() +{ + my $dir=$ARGV{'MAGIC_DIR'} || "/usr/local/lib/magic/sys"; + open IN,"<$dir/mos.24bit.std.cmap"; + while() + { + if(m/^(\d+)\s+(\d+)\s+(\d+)\s+(\d+)\s+(\w+)\s*$/) + { + $colors{$4}=sprintf("#%02X%02X%02X",$1,$2,$3); + #print "$4:$colors{$4}\n"; + } + } + close IN; + for my $style (<$dir/mos.24bit.dstyle>) + { + open IN,"<$style"; + while() + { + if(m/^(\d+)\s+(\w+)\s+(\d+)\s+(\w+)\s+(\w+)\s+(\d+)\s+(\S+)\s+(\w+)/) + { + my $c=$colors{$3}||sprintf("#%02x%02x%02x",rand()*256,rand()*256,rand()*256); + $styles{$8}=$c; + #print "$8:$c\n"; + } + } + close IN; + } + foreach my $tech (<$dir/*.tech>) + { + #print "tech$tech\n"; + open IN,"<$tech"; + while() + { + if(m/^\s*styles\s*$/) + { + #print "styles found\n"; + while() + { + last if(m/^\s*end\s*$/); + if(m/^\s*(\w+)\s+(\w+)/) + { + my $s=$styles{$2}||""; + #print "$1 $s\n" if($s); + $csscolors.=".$1 { fill:$s; }\n"; + } + } + } + } + close(IN); + } + +} +initColors(); + sub mymin($$) { return $_[1] if(!defined($_[0])); @@ -28,12 +85,12 @@ ($$) if(-f "$mag.mag") { - # open MAGIC,"|magic -d XR -noconsole -nowindow -T ../Tech/libresilicon.tech $mag"; - # print MAGIC "plot svg $svg\nexit\n"; - # close MAGIC; - # print "$svg written.\n" if(-f $svg); - # print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\nThe cairo library development files need to be installed and magic needs to be configured with --with-cairo.\nMake sure that magic configure says 'Cairo: yes' in the summary.\n" if(! -f $svg); - + # Now we need magic 4.2 with kairos support compiled in for SVG export: + # open MAGIC,"|magic -d XR -noconsole -nowindow -T ../Tech/libresilicon.tech $mag"; + # print MAGIC "plot svg $svg\nexit\n"; + # close MAGIC; + # print "$svg written.\n" if(-f $svg); + # print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\nThe cairo library development files need to be installed and magic needs to be configured with --with-cairo.\nMake sure that magic configure says 'Cairo: yes' in the summary.\n" if(! -f $svg); open IN,"<$mag.mag"; # @@ -61,7 +118,8 @@ ($$) $limits[2]=mymin($limits[2],$2); $limits[3]=mymax($limits[3],$3); $limits[4]=mymax($limits[4],$4); - $rects.="\n"; + my $ny=-$4; + $rects.="\n"; } #rlabel metal1 0 61 64 67 0 vdd elsif(m/rlabel (\S+) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (.*)/) @@ -70,14 +128,16 @@ ($$) my $y=($3+$5)/2; my $width=$4-$2; my $height=$5-$3; - $rects.="\n"; + my $ny=-$5; + $rects.="\n"; - $rects.="$7\n"; + $rects.="$7\n"; } } close IN; my $width=($limits[3]||0)-($limits[1]||0); my $height=($limits[4]||0)-($limits[2]||0); + $limits[2]=-$limits[4]; if($width) { print "Writing $svg\n"; @@ -102,6 +162,7 @@ ($$) .labels { fill:#ffffff; } .port { stroke:#505050; fill:none} text { font: normal 7px sans-serif; text-anchor: middle;} +$csscolors $rects From 661efbdbda7fb6aa1d71b11db0d9cff8ae451e18 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 29 Jun 2020 22:53:23 +0200 Subject: [PATCH 307/673] Added a flattening tool --- Tools/perl/flatten.pl | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100755 Tools/perl/flatten.pl diff --git a/Tools/perl/flatten.pl b/Tools/perl/flatten.pl new file mode 100755 index 00000000..1b26b2f0 --- /dev/null +++ b/Tools/perl/flatten.pl @@ -0,0 +1,23 @@ +#!/usr/bin/perl -w + +my $mag=$ARGV[0]; $mag=~s/\.mag$//i; +my $sp=$mag; $sp.=".flat.mag"; +my $flat=$ARGV[1] || $sp; +my $tech=$ARGV[2] || "../Tech/libresilicon.tech"; + +print "flatten.pl - Flatten a hierarchical .mag file into a flattened .mag file\n"; +print "Usage: flatten.pl input.mag output.mag [Technology]\n"; + +if(-f "$mag.mag") +{ + open MAGIC,"|magic -noconsole -nowindow -T EFS8A $mag"; + unlink $flat; + $flat=~s/\.mag$//i; # We need to remove the extension otherwise load will not work + print MAGIC "select\nexpand\nflatten $flat\nload $flat\nsave\n"; + print MAGIC "exit\n"; + close MAGIC; +} +else +{ + print STDERR "Error: Could not load magic file $mag.mag\n"; +} From bea5eccc15ee9d4b08f01bb7fa9e91d5e2701b4b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 29 Jun 2020 23:06:26 +0200 Subject: [PATCH 308/673] Fixed hardcoded tech file --- Tools/perl/flatten.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/flatten.pl b/Tools/perl/flatten.pl index 1b26b2f0..a9991e33 100755 --- a/Tools/perl/flatten.pl +++ b/Tools/perl/flatten.pl @@ -10,7 +10,7 @@ if(-f "$mag.mag") { - open MAGIC,"|magic -noconsole -nowindow -T EFS8A $mag"; + open MAGIC,"|magic -noconsole -nowindow -T $tech $mag"; unlink $flat; $flat=~s/\.mag$//i; # We need to remove the extension otherwise load will not work print MAGIC "select\nexpand\nflatten $flat\nload $flat\nsave\n"; From 48df17c1148cbac8b3847f5a0888eea71e399897 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 30 Jun 2020 00:53:29 +0200 Subject: [PATCH 309/673] Added hierarchical support --- Tools/perl/mag2svg.pl | 19 +++++++++++++++---- 1 file changed, 15 insertions(+), 4 deletions(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index f8d1993d..83b72088 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -4,6 +4,7 @@ my $mag=$ARGV[0] || ""; $mag=~s/\.mag$//i; $mag=~s/\.svg$//i; my $svgvar=$mag; $svgvar.=".svg"; my $svg=$ARGV[1] || $svgvar; +my $tech=$ARGV[2] || "../Tech/libresilicon.tech"; print "mag2svg - Convert magic files to SVG\n"; print "Usage: mag2svg input.mag output.svg\n" if(scalar(@ARGV)<1); @@ -14,7 +15,7 @@ sub initColors() { - my $dir=$ARGV{'MAGIC_DIR'} || "/usr/local/lib/magic/sys"; + my $dir=$ENV{'MAGIC_DIR'} || "/usr/local/lib/magic/sys"; open IN,"<$dir/mos.24bit.std.cmap"; while() { @@ -106,8 +107,18 @@ ($$) } elsif(m/^use/ && !$usewarning) { - print STDERR "WARNING: Hierarchical magic files are not supported yet! If you want to see the sub-layouts, please flatten the magic file first.\n"; - $usewarning=1; + close IN; # We dont need it anymore, we use a different approach now: + + open MAGIC,"|magic -noconsole -nowindow -T $tech $mag"; + my $flat="tmp".int(rand()*10000).".mag"; + unlink $flat; + $flat=~s/\.mag$//i; # We need to remove the extension otherwise load will not work + print MAGIC "select\nexpand\nflatten $flat\nload $flat\nsave\n"; + print MAGIC "exit\n"; + close MAGIC; + system "$0 $flat.mag $svg $tech"; + #unlink $flat; + exit; } elsif(m/^rect (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*)/) { @@ -130,7 +141,7 @@ ($$) my $height=$5-$3; my $ny=-$5; $rects.="\n"; - + $ny+=$height; $rects.="$7\n"; } } From dcd57fc6a78003e543c1d402bfcee642d3eee4d6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 6 Jul 2020 22:52:41 +0200 Subject: [PATCH 310/673] Capacitance and Resistance extraction --- Tools/perl/parasitics.pl | 57 +++++++++++++++++++++++++++++++++++----- 1 file changed, 51 insertions(+), 6 deletions(-) diff --git a/Tools/perl/parasitics.pl b/Tools/perl/parasitics.pl index ba263c14..69850006 100755 --- a/Tools/perl/parasitics.pl +++ b/Tools/perl/parasitics.pl @@ -1,6 +1,6 @@ #!/usr/bin/perl -w -my $mag=$ARGV[0]; $mag=~s/\.mag$//i; +my $mag=$ARGV[0] || ""; $mag=~s/\.mag$//i; my $sp=$mag; $sp.=".par.sp"; my $par=$ARGV[1] || $sp; @@ -9,11 +9,56 @@ if(-f "$mag.mag") { - open MAGIC,"|magic -d XR -noconsole -nowindow -T ../Tech/libresilicon.tech $mag"; - print MAGIC "extract all\next2spice cthresh 0 rthresh 0 $par\next2spice\nquit\n"; - close MAGIC; - #print "$svg written.\n" if(-f $svg); - #print "Could not generate $svg , perhaps magic is not installed or missing Kairos support?\nThe cairo library development files need to be installed and magic needs to be configured with --with-cairo.\nMake sure that magic configure says 'Cairo: yes' in the summary.\n" if(! -f $svg); + unlink "$mag.nodes"; + unlink "$mag.res.ext"; + unlink "$mag.spice"; + unlink "$mag.ext"; + unlink "$mag.al"; + unlink "$mag.res.lump"; + unlink "$mag.sim"; + + print "First magic call:\n"; + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $mag.mag"; + print OUT <>$cellname.ext"; + #system "cat $cellname.ext"; + print "Second magic call:\n"; + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $mag.mag"; + print OUT < Date: Tue, 7 Jul 2020 17:50:53 +0200 Subject: [PATCH 311/673] Tech file parameter added --- Tools/perl/parasitics.pl | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/Tools/perl/parasitics.pl b/Tools/perl/parasitics.pl index 69850006..0dd1d054 100755 --- a/Tools/perl/parasitics.pl +++ b/Tools/perl/parasitics.pl @@ -4,6 +4,8 @@ my $sp=$mag; $sp.=".par.sp"; my $par=$ARGV[1] || $sp; +my $tech=$ARGV[2] || "../Tech/libresilicon.tech"; + print "parasitics.pl - Extract parasitics from a .mag file for characterization into a .par.sp (PARasitics-SPice) file\n"; print "Usage: parasitics.pl input.mag output.par.sp\n"; @@ -18,7 +20,7 @@ unlink "$mag.sim"; print "First magic call:\n"; - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $mag.mag"; + open OUT,"|magic -dnull -noconsole -T $tech $mag.mag"; print OUT <>$cellname.ext"; #system "cat $cellname.ext"; print "Second magic call:\n"; - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $mag.mag"; + open OUT,"|magic -dnull -noconsole -T $tech $mag.mag"; print OUT < Date: Wed, 8 Jul 2020 11:43:25 +0200 Subject: [PATCH 312/673] Fixed the parameter order --- GNUmakefile | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/GNUmakefile b/GNUmakefile index 3a3d7639..3d2d7205 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -39,11 +39,13 @@ include include.mk DISTRIBUTION = $(CATALOGDIR)/ \ $(DOCUMENTSDIR)/*.pdf \ + $(TECHDIR) \ # $(RELEASEDIR) \ # $(SIMULATIONDIR) \ $(SOURCESDIR) \ $(SYNTHESISDIR) \ - $(TBENCHDIR) + $(TBENCHDIR) \ + # collect available cells From 2e3788055f6d6bb89b6c43c78c266931616d8ac2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 11 Jul 2020 14:00:35 +0200 Subject: [PATCH 313/673] LTspice .cir -> Lclayout netlist converter --- Tools/perl/ltspice2lclayout.pl | 51 ++++++++++++++++++++++++++++++++++ 1 file changed, 51 insertions(+) create mode 100644 Tools/perl/ltspice2lclayout.pl diff --git a/Tools/perl/ltspice2lclayout.pl b/Tools/perl/ltspice2lclayout.pl new file mode 100644 index 00000000..e5bf3dee --- /dev/null +++ b/Tools/perl/ltspice2lclayout.pl @@ -0,0 +1,51 @@ +#!/usr/bin/perl -w +use strict; + +if(scalar(@ARGV) != 2) +{ + print "Usage: $0 \n"; + print "All VDD, GND and all inputs/output nets to the cell must be labled in LTspice.\n"; + exit; +} + + + +my $circuitname=$ARGV[1]; $circuitname=~s/\.\w+$//; $circuitname=~s/.*\///; +if(open(IN,"<$ARGV[0]")) +{ + if(open(OUT,">$ARGV[1]")) + { + my $head=; $head=~s/\r//g; + print OUT "* converted by $0 : $head"; + my %ports=(); + my $circ=""; + while() + { + s/\r//g; + s/ 0 / GND /g; + s/ 0 / GND /g; # This needs to be done 2 times since they are overlapping! + my @a=split(" ",$_); + $circ.=$_ if(m/^[MR]/); + $ports{$a[1]}=1 if(m/^M/ && $a[1]!~m/^N\d\d\d$/); + $ports{$a[2]}=1 if(m/^M/ && $a[2]!~m/^N\d\d\d$/); + $ports{$a[3]}=1 if(m/^M/ && $a[3]!~m/^N\d\d\d$/); + $ports{$a[4]}=1 if(m/^M/ && $a[4]!~m/^N\d\d\d$/); + } + print OUT ".subckt $circuitname ".join(" ",sort keys %ports)."\n"; + print OUT $circ; + print OUT ".ends $circuitname"; + close OUT; + print "$ARGV[1] has been written.\n"; + } + else + { + print "Could not open $ARGV[1] for writing: $!\n"; + } + close IN; +} +else +{ + print "Could not open $ARGV[0] for reading: $!\n"; +} + + From 63192aef40a4ba46dce72971c64832dacbfcc502 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 11 Jul 2020 16:04:15 +0200 Subject: [PATCH 314/673] Improved fileformat output --- Tools/perl/ltspice2lclayout.pl | 16 +++++++--------- 1 file changed, 7 insertions(+), 9 deletions(-) diff --git a/Tools/perl/ltspice2lclayout.pl b/Tools/perl/ltspice2lclayout.pl index e5bf3dee..ba023a74 100644 --- a/Tools/perl/ltspice2lclayout.pl +++ b/Tools/perl/ltspice2lclayout.pl @@ -8,9 +8,7 @@ exit; } - - -my $circuitname=$ARGV[1]; $circuitname=~s/\.\w+$//; $circuitname=~s/.*\///; +my $circuitname=uc $ARGV[1]; $circuitname=~s/\.\w+$//; $circuitname=~s/.*\///; if(open(IN,"<$ARGV[0]")) { if(open(OUT,">$ARGV[1]")) @@ -26,14 +24,14 @@ s/ 0 / GND /g; # This needs to be done 2 times since they are overlapping! my @a=split(" ",$_); $circ.=$_ if(m/^[MR]/); - $ports{$a[1]}=1 if(m/^M/ && $a[1]!~m/^N\d\d\d$/); - $ports{$a[2]}=1 if(m/^M/ && $a[2]!~m/^N\d\d\d$/); - $ports{$a[3]}=1 if(m/^M/ && $a[3]!~m/^N\d\d\d$/); - $ports{$a[4]}=1 if(m/^M/ && $a[4]!~m/^N\d\d\d$/); + $ports{$a[1]}=1 if(m/^[MR]/ && $a[1]!~m/^(N\d\d\d|\d+)$/); + $ports{$a[2]}=1 if(m/^[MR]/ && $a[2]!~m/^(N\d\d\d|\d+)$/); + $ports{$a[3]}=1 if(m/^[M]/ && $a[3]!~m/^(N\d\d\d|\d+)$/); + $ports{$a[4]}=1 if(m/^[M]/ && $a[4]!~m/^(N\d\d\d|\d+)$/); } - print OUT ".subckt $circuitname ".join(" ",sort keys %ports)."\n"; + print OUT "\n.subckt $circuitname ".join(" ",sort keys %ports)."\n"; print OUT $circ; - print OUT ".ends $circuitname"; + print OUT ".ends $circuitname\n"; close OUT; print "$ARGV[1] has been written.\n"; } From 5acd8f065e856b60d656bbbe51da7fdcf585afa2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 20 Jul 2020 00:40:30 +0200 Subject: [PATCH 315/673] Better cleanup --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 4356a4e5..dc6fddc5 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -96,7 +96,7 @@ clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) $(CELLS) $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump + $(RM) *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag # ---------------------------------------------------------------- # CELL TARGETS From 4145b091696651d5338736a750777abb5b1e54df Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 20 Jul 2020 00:42:13 +0200 Subject: [PATCH 316/673] Added SKY130 layers --- Tools/perl/mag2svg.pl | 3 +++ 1 file changed, 3 insertions(+) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 83b72088..d6b14db9 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -159,8 +159,10 @@ ($$)
\n"; print OUT ""; -print OUT ""; +print OUT ""; foreach my $file (<*.cell>) { my $errfile=$file; $errfile=~s/\.cell$/.err/; my $logfile=$file; $logfile=~s/\.cell$/.log/; my $magfile=$file; $magfile=~s/\.cell$/.mag/; + my $drcfile=$file; $drcfile=~s/\.cell$/.mag.drc/; my $libfile=$file; $libfile=~s/\.cell$/.lib/; my $svgfile=$file; $svgfile=~s/\.cell$/.svg/; my $schfile="doc/".$file; $schfile=~s/\.cell$/_svg.png/; @@ -100,6 +101,7 @@ my $spfile=$file; $spfile=~s/\.cell$/.sp/; my $spicefile=$file; $spicefile=~s/\.cell$/.spice/; my $runfile=$file; $runfile=~s/\.cell$/.running/; + my $dontlayoutfile=$file; $dontlayoutfile=~s/\.cell$/.dontlayout/; my $area=""; my $lvs=""; @@ -119,6 +121,7 @@ print OUT ""; print OUT ""; print OUT ""; + print OUT ""; print OUT ""; print OUT ""; print OUT ""; @@ -152,6 +155,7 @@ $lvs=~s/FAILED/FAILED<\/font>/; $errors.=" This cell is currently building..." if(-f $runfile); + $errors.=" This cell has been excluded from building " if(-f $dontlayoutfile); print OUT ""; diff --git a/Tools/perl/drccheck.pl b/Tools/perl/drccheck.pl index 5f3d8ba4..38458d73 100755 --- a/Tools/perl/drccheck.pl +++ b/Tools/perl/drccheck.pl @@ -1,45 +1,45 @@ #!/usr/bin/perl -w my $mag=$ARGV[0] || ""; $mag=~s/\.mag$//i; -my $sp=$mag; $sp.=".log"; -my $par=$ARGV[1] || $sp; -my $tech=$ARGV[2] || "../Tech/libresilicon.tech"; +my $tech=$ARGV[1] || "../Tech/libresilicon.tech"; print "drccheck.pl - Check DRC rules with magic\n"; -print "Usage: parasitics.pl input.mag drc.log\n"; +print "Usage: drccheck.pl input.mag\n"; + +my $style="drc(fast)"; # "drc(full)"; if(-f "$mag.mag") { open OUT,"|magic -dnull -noconsole -T $tech $mag.mag"; + my $outfile="$mag.drc"; + print OUT <) { if(m/Mcon spacing < 0\.17um \(Mcon 2\)/) { - $mode=1; + $mode="viali"; + my $dummy=; + print "Found my rule\n"; + next; + } + if(m/Diffusion contact spacing < 0.17um \(LIcon 2\)/) + { + $mode="ndiffc"; my $dummy=; print "Found my rule\n"; next; } + if(m/\-\-\-\-\-\-\-\-\-\-\-/) { $mode=0; @@ -37,25 +47,30 @@ ($) { print "Vertikal\n"; print "@line1 - @line2\n"; - open MAG,"<$mag"; - open CORR,">corr.$mag"; - print "Reading from $mag Writing to $mag\n"; - while() - { - print CORR $_; - if(m/<< viali >>/) - { - print CORR "<< viali >>\nrect ".form($line1[0])." ".form($line1[3])." ".form($line1[2])." ".form($line2[1])."\n"; - while() - { - print CORR $_; - } - } - } - close CORR; - close MAG; + $insert.="<< $mode >>\nrect ".form($line1[0])." ".form($line1[3])." ".form($line1[2])." ".form($line2[1])."\n"; + } + elsif($line1[1] eq $line2[1] && $line1[3] eq $line2[3] && $line1[0]<$line2[0]) + { + print "Horizontal\n"; + print "@line1 - @line2\n"; + $insert.="<< $mode >>\nrect ".form($line1[2])." ".form($line1[1])." ".form($line2[3])." ".form($line1[1])."\n"; } } } close IN; + +open MAG,"<$mag"; +open CORR,">corr.$mag"; +print "Reading from $mag Writing to $mag\n"; +while() +{ + if(m/<< end >>/) + { + print CORR $insert; + } + print CORR $_; +} +close CORR; +close MAG; + diff --git a/Tools/perl/drcfixall.pl b/Tools/perl/drcfixall.pl index f6bf555f..1a9ab1cc 100755 --- a/Tools/perl/drcfixall.pl +++ b/Tools/perl/drcfixall.pl @@ -10,10 +10,10 @@ ($) my $cellname=$magfile; $cellname=~s/\.mag$//; step("NEXT STEP: DRC Check with Magic"); - system "../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; + system "../Tools/perl/drccheck.pl $cellname.mag"; step("NEXT STEP: DRC Fix"); - system "../Tools/perl/drcfix.pl $cellname.mag.drc"; + system "../Tools/perl/drcfix.pl $cellname.drc"; if(-f "corr.$cellname.mag") { unlink "$cellname.mag"; From 2d5dcc1d6fab6c31bdda40f0afda88ed460297bb Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 25 Dec 2020 22:47:11 +0100 Subject: [PATCH 352/673] Added Docker installation script --- Docker/Dockerfile | 61 +++++++++++++++++++++++++++++++++++++++++++++++ Docker/build.sh | 1 + 2 files changed, 62 insertions(+) create mode 100644 Docker/Dockerfile create mode 100644 Docker/build.sh diff --git a/Docker/Dockerfile b/Docker/Dockerfile new file mode 100644 index 00000000..dafa1b4e --- /dev/null +++ b/Docker/Dockerfile @@ -0,0 +1,61 @@ +#docker pull ubuntu:20.10 + +FROM ubuntu:20.10 as build + +ENV TZ=Europe/Vienna +ENV LANG=C.UTF-8 + +RUN apt update --fix-missing +RUN ln -snf /usr/share/zoneinfo/$TZ /etc/localtime && echo $TZ > /etc/timezone +#RUN apt-get update && apt-get -y install npm blender texlive-full inkscape kicad iverilog gtkwave lsb + +RUN apt-get update && apt-get -y install qflow imagemagick libcairo2-dev tcllib tklib make g++ libreadline-dev python3-cairosvg python3 python3-numpy libblas-dev ngspice z3 tcl8.6-dev tk8.6-dev python3-scipy python3-matplotlib texlive-latex-recommended unzip glpk-utils libglpk-dev python3-pulp git wget gauche python3-toml python3-pytoml python3-pip mmv libglu1-mesa-dev libcurl4-gnutls-dev pdf2svg python3-yaml python3-cffi python3-pyparsing python3-certifi tcl magic libsqlite3-dev python3-setuptools python3-networkx python3-sympy +RUN apt-get -y install libngspice0 libngspice0-dev python3-gdspy python3-kiwisolver +#RUN apt-get -y install klayout libopengl-dev opensta opensta-dev +#RUN apt-get -y install python-z3 +RUN apt-get -y install python3-z3 + +#RUN apt --fix-broken install +#RUN apt --fix-missing + +RUN pip3 install PySpice + +RUN git clone https://github.com/KLayout/klayout +WORKDIR klayout +RUN python3 setup.py build --parallel 1 +RUN python3 setup.py install +WORKDIR .. + +RUN wget -c http://www.taylorgruppe.de/circdia/circdia.zip +RUN mkdir -p /usr/share/texlive/texmf-dist/tex/circdia +RUN unzip -u -o -d /usr/share/texlive/texmf-dist/tex/circdia circdia.zip +RUN mktexlsr + +RUN git clone https://codeberg.org/tok/librecell +WORKDIR librecell/librecell-common +RUN python3 setup.py install +WORKDIR ../.. +WORKDIR librecell/librecell-meta +RUN python3 setup.py install +WORKDIR ../.. +WORKDIR librecell/librecell-lib +RUN python3 setup.py install +WORKDIR ../.. +WORKDIR librecell/librecell-layout +RUN python3 setup.py install +WORKDIR ../.. + +RUN wget -c http://www.taylorgruppe.de/circdia/circdia.zip +RUN mkdir -p /usr/share/texlive/texmf-dist/tex/circdia +RUN unzip -u -o -d /usr/share/texlive/texmf-dist/tex/circdia circdia.zip +RUN mktexlsr + +RUN git clone https://github.com/thesourcerer8/StdCellLib +WORKDIR StdCellLib/Catalog +#RUN make catalog +RUN make importQflow + +#echo "Installation of the StdCellLib is finished." +#echo "To build a whole standard cell library you can now run:" +#echo "make layout ; make doc ; perl ../Tools/perl/buildreport.pl ; cd .. ; make dist" +#sudo make qflow diff --git a/Docker/build.sh b/Docker/build.sh new file mode 100644 index 00000000..c977aadf --- /dev/null +++ b/Docker/build.sh @@ -0,0 +1 @@ +docker build --tag stdcelllib . From 72340732d1ddf9a9b17fa561379209412ed8a1e7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Dec 2020 00:27:48 +0100 Subject: [PATCH 353/673] Removed the broken six.py --- Docker/Dockerfile | 2 ++ 1 file changed, 2 insertions(+) diff --git a/Docker/Dockerfile b/Docker/Dockerfile index dafa1b4e..28ef09be 100644 --- a/Docker/Dockerfile +++ b/Docker/Dockerfile @@ -55,6 +55,8 @@ WORKDIR StdCellLib/Catalog #RUN make catalog RUN make importQflow +RUN rm /usr/local/lib/python3.8/dist-packages/PySMT-0.9.1.dev86-py3.8.egg/six.py + #echo "Installation of the StdCellLib is finished." #echo "To build a whole standard cell library you can now run:" #echo "make layout ; make doc ; perl ../Tools/perl/buildreport.pl ; cd .. ; make dist" From db58198a8f599f7badbba50362b64289b398b057 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Dec 2020 00:28:43 +0100 Subject: [PATCH 354/673] Adding bash script to enter the docker container --- Docker/bash.sh | 1 + 1 file changed, 1 insertion(+) create mode 100644 Docker/bash.sh diff --git a/Docker/bash.sh b/Docker/bash.sh new file mode 100644 index 00000000..181893a3 --- /dev/null +++ b/Docker/bash.sh @@ -0,0 +1 @@ +docker run -it thesourcerer8/stdcelllib /bin/bash From 9ee080c2c9fda784e0e1755bea0010328e9ffa98 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Mar 2021 16:32:04 +0100 Subject: [PATCH 355/673] Removed double newlines --- Tools/perl/spice2cell.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl index 6b93f8a9..2f8a2061 100755 --- a/Tools/perl/spice2cell.pl +++ b/Tools/perl/spice2cell.pl @@ -80,7 +80,7 @@ ($) my ($g,$d,$s,$m)=($2,$1,$3,$5); if($d=~m/^(vdd|gnd)$/i) { - print "EXCHANGING SOURCE AND DRAIN: $_\n"; + print "EXCHANGING SOURCE AND DRAIN: $_"; ($s,$d)=($d,$s); } $g=internal($g); From 31d21662eb1fbff1e42f3721c2b883e866cad4d1 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 9 Mar 2021 16:40:58 +0100 Subject: [PATCH 356/673] Fixed double filename extensions --- Tools/perl/drcfix.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 8788484a..8821cc82 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -2,7 +2,7 @@ print "Handling $ARGV[0]\n"; open IN,"<".$ARGV[0]; -my $mag=$ARGV[0];$mag=~s/\.drc$/.mag/; +my $mag=$ARGV[0];$mag=~s/\.drc$/.mag/; $mag=~s/\.mag\.mag/\.mag/; my $mode=0; sub form($) From d27aa62ccd550be20f53baff9abb1acf1097f5e4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 12 Mar 2021 14:55:55 +0100 Subject: [PATCH 357/673] Placement caching, might be caching too much --- Tools/perl/librecells.pl | 2 +- Tools/perl/searchTechRules.pl | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 7fc1ffc3..f9a88bab 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -64,7 +64,7 @@ foreach my $deb(0,1) { next if($deb && !$debug); - my $cmd="lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.log 2>>$cellname.err"; + my $cmd="lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.log 2>>$cellname.err"; print "$cmd\n"; system $cmd; } diff --git a/Tools/perl/searchTechRules.pl b/Tools/perl/searchTechRules.pl index eb294538..3fe8371b 100755 --- a/Tools/perl/searchTechRules.pl +++ b/Tools/perl/searchTechRules.pl @@ -68,14 +68,14 @@ unlink "$cell.gds"; my $found=""; - system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v --debug-routing-graph 2>$fn.gerr"; + system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v --placement-file $cell.place --debug-routing-graph 2>$fn.gerr"; if(-f "$cell.gds") { rename "$cell.gds","$fn.graph.gds"; $found.="$fn.graph.gds"; } - system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v 2>$fn.err"; + system "lclayout --output-dir . --tech $fn.py --netlist ../libresilicon.sp --cell $cell -v --placement-file $cell.place 2>$fn.err"; if(-f "$cell.gds") { rename "$cell.gds","$fn.final.gds"; From a1b5a4143ac2fd1c054ec3f5f7ba282ffe1ed16b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 9 Apr 2021 17:01:18 +0200 Subject: [PATCH 358/673] Added lctime configuration file lctime.conf --- Tools/perl/librecells.pl | 12 ++++++++++-- 1 file changed, 10 insertions(+), 2 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index f9a88bab..d599f490 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -11,6 +11,14 @@ $ENV{'PySpiceLogLevel'}="ERROR" if(!$debug); #undef($ENV{'PySpiceLogLevel'}) unless($debug); +my $lctimeparams="--output-loads 0.0005,0.05,0.1,0.2,1 --slew-times 0.01,0.05,0.1,0.2,1.5"; +if(open IN,"<../Tech/lctime.conf") +{ + $lctimeparams=; $lctimeparams=~tr/\r\n//; + close IN; +} + + system "perl ../Tools/perl/cell2spice.pl"; open IN,"<$sp" || die "Could not open file $sp: $!\n"; @@ -184,10 +192,10 @@ system "../Tools/perl/libgen.pl >$cellname.libtemplate 2>>$cellname.err"; step("NEXT STEP: Characterization"); - $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics + $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics #print "$cmd\n"; system($cmd); - $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics + $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics print "$cmd\n"; system($cmd); step("NEXT STEP: Visualisation"); From 0ce816a16835dcbcdb8598ff5e445ab6244a86cd Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 13 Apr 2021 22:18:12 +0200 Subject: [PATCH 359/673] Fixed filenames for truthtable generation --- Tools/perl/librecells.pl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index d599f490..af4a4bfe 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -52,9 +52,9 @@ step("NEXT CELL: $cellname"); - system "../Tools/perl/truthtable.pl --format=text $cellname >$cellname.truthtable.txt"; - system "../Tools/perl/truthtable.pl --format=html $cellname >$cellname.truthtable.html"; - system "../Tools/perl/truthtable.pl --format=verilog $cellname >$cellname.truthtable.v"; + system "../Tools/perl/truthtable.pl --format=text $cellname.cell >$cellname.truthtable.txt"; + system "../Tools/perl/truthtable.pl --format=html $cellname.cell >$cellname.truthtable.html"; + system "../Tools/perl/truthtable.pl --format=verilog $cellname.cell >$cellname.truthtable.v"; my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); From 51e65e9d3100934a955d639fb004f6daca7b3f07 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 14 Apr 2021 00:58:15 +0200 Subject: [PATCH 360/673] Automatic Test generator for Caravel --- Tools/perl/testgen.pl | 64 ++++++++++++++++++++++++++++++++++++++++ Tools/perl/truthtable.pl | 28 +++++++++++++++--- 2 files changed, 88 insertions(+), 4 deletions(-) create mode 100644 Tools/perl/testgen.pl diff --git a/Tools/perl/testgen.pl b/Tools/perl/testgen.pl new file mode 100644 index 00000000..bd117061 --- /dev/null +++ b/Tools/perl/testgen.pl @@ -0,0 +1,64 @@ +#!/usr/bin/perl -w +open IN,"<$ARGV[0]"; + +print <; +my @l=split "->",$header; +my @ins=split " ",$l[0]; +my @outs=split " ",$l[1]; +my %map=(); + +my $reg=0; +my @io=(); +print " printf(\"Initializing the Inputs of the cell:\\n\");\n"; +foreach(@ins) +{ + print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_OUTPUT; // $_\n"; + $io[$reg>>5]|=1<<($reg&31); + $reg++; +} +print " printf(\"Initializing the Outputs of the cell:\\n\");\n"; +foreach(@outs) +{ + $map{$_}=$reg++; + + print " reg_mprj_io_$reg = GPIO_MODE_USER_STD_OUTPUT; // $_\n"; +} + +print " reg_mprj_xfer=1;\n"; +print " while (reg_mprj_xfer == 1);\n"; + +foreach(0 .. 3) +{ + print "reg_la".$_."_ena=".sprintf("0x%08X",$io[$_]).";\n" if(defined($io[$_])); +} + +my $counter=0; +print " printf(\"Starting the tests:\\n\");\n"; + +while() +{ + last if(m/^function:/); + @l=split " ",$_; + my $if=0; + foreach(@l) + { + if(m/(\w+)=(\d)/) + { + print " assert(reg_la".$map{$1}."_data==$2); //$1\n"; + } + else + { + print " reg_la".$if."_data=$_; //$ins[$if]\n"; + } + $if++; + } + print " printf(\"Test $counter successful\\n\");\n\n"; + + $counter++; +} diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index c89c85fa..7b6d4a3a 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -9,7 +9,9 @@ # Parsing the commandline parameters: GetOptions ("debug" => \$debug, "v" => \$debug, - "format=s" => \$format); # text,html,latex,liberty,verilog + "format=s" => \$format); # text,html,latex,liberty,verilog,testcad + +our $testcadcounter=1; # Convert a value to the gray code value: sub bin2gray @@ -265,6 +267,14 @@ sub truth { print "
CellcellMagicLayoutSchematicLogErrLibertyLEFGDSSPICEParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
CellcellMagicLayoutSchematicLogErrDRCLibertyLEFGDSSPICEParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
".(-f $schfile ? "":"X")."".(-f $logfile ? "":"X")."".(-f $errfile ? "":"X")."".(-f $drcfile ? "":"X")."".(-f $libfile ? "":"X")."".(-f $leffile ? "":"X")."".(-f $gdsfile ? "":"X")."$area$lvs$euler$layouttime$nets".scalar(keys %ports)."$routing$errors
\n\n"; } + elsif($format eq "testcad") + { + foreach (@ins) + { + print "$testcadcounter PI ".($testcadcounter+1)." ; # $_\n"; + $testcadcounter+=2; + } + } my %values=(); our %sum=(); @@ -280,7 +290,7 @@ sub truth { print "& " if($format eq "latex" && $_>0); print "" if($format eq "html"); $values{$ins[$_]}=($gray&(1<<$_))?1:0; } @@ -315,7 +325,7 @@ sub truth print "" foreach(@outs); } print "" if($format eq "html"); - print "\n" unless($format eq "liberty"); + print "\n" if($format eq "text" || $format eq "html"); } print "
".join("",@ins)."".join("",@outs)."
" if($format eq "html"); - print "".($gray&(1<<$_))?"1 ":"0 " unless($format eq "liberty"); + print "".($gray&(1<<$_))?"1 ":"0 " if($format eq "text" || $format eq "latex" || $format eq "html"); # not for liberty! print "$res{$_}
\n" if($format eq "html"); @@ -324,7 +334,17 @@ sub truth my $not=($sum{$out}{0}||0)>($sum{$out}{1}||0)?1:0; # If we have more 0 than 1 results, then the negated inverse is shorted: # TODO: When there are HIGH-Z outputs we should split the HIGH-Z outputs from the others and give a function for output-enable and HIGH-Z - print $format eq "liberty" ? " pin($out) {\n direction: output;\n function:\"":"function: $out = "; + if($format eq "liberty") + { + print " pin($out) {\n direction: output;\n function:\""; + } + elsif($format eq "testcad") + { + } + else + { + print "function: $out = "; + } my @list=defined($results{$out}{$not})?@{$results{$out}{$not}}:(); if(!scalar(@list)) { From 82adf1254216b60ec8f877ef8ff02630f029a350 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 25 Apr 2021 23:31:42 +0200 Subject: [PATCH 361/673] Cleaning up DRC results --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index a57547f7..88cc878b 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -96,7 +96,7 @@ clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) $(CELLS) $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc + $(RM) *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc # ---------------------------------------------------------------- # CELL TARGETS From 08bf66b653d7ec62e640c1f0a5d4775ebff8ef93 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 25 Apr 2021 23:44:18 +0200 Subject: [PATCH 362/673] Seperated NMOS and PMOS SPICE sizing --- Tech.CDTA/{transistor.sp => nmos.sp} | 0 Tech.CDTA/pmos.sp | 1 + Tech.LS1UM/{transistor.sp => nmos.sp} | 0 Tech.LS1UM/pmos.sp | 1 + Tech.SKY130/nmos.sp | 1 + Tech.SKY130/{transistor.sp => pmos.sp} | 0 Tools/perl/cell2spice.pl | 21 +++++++++++++++------ 7 files changed, 18 insertions(+), 6 deletions(-) rename Tech.CDTA/{transistor.sp => nmos.sp} (100%) create mode 100644 Tech.CDTA/pmos.sp rename Tech.LS1UM/{transistor.sp => nmos.sp} (100%) create mode 100644 Tech.LS1UM/pmos.sp create mode 100644 Tech.SKY130/nmos.sp rename Tech.SKY130/{transistor.sp => pmos.sp} (100%) diff --git a/Tech.CDTA/transistor.sp b/Tech.CDTA/nmos.sp similarity index 100% rename from Tech.CDTA/transistor.sp rename to Tech.CDTA/nmos.sp diff --git a/Tech.CDTA/pmos.sp b/Tech.CDTA/pmos.sp new file mode 100644 index 00000000..0c7b022b --- /dev/null +++ b/Tech.CDTA/pmos.sp @@ -0,0 +1 @@ +w=2.7u l=1.0u diff --git a/Tech.LS1UM/transistor.sp b/Tech.LS1UM/nmos.sp similarity index 100% rename from Tech.LS1UM/transistor.sp rename to Tech.LS1UM/nmos.sp diff --git a/Tech.LS1UM/pmos.sp b/Tech.LS1UM/pmos.sp new file mode 100644 index 00000000..0c7b022b --- /dev/null +++ b/Tech.LS1UM/pmos.sp @@ -0,0 +1 @@ +w=2.7u l=1.0u diff --git a/Tech.SKY130/nmos.sp b/Tech.SKY130/nmos.sp new file mode 100644 index 00000000..dec7d592 --- /dev/null +++ b/Tech.SKY130/nmos.sp @@ -0,0 +1 @@ +w=0.150u l=0.150u diff --git a/Tech.SKY130/transistor.sp b/Tech.SKY130/pmos.sp similarity index 100% rename from Tech.SKY130/transistor.sp rename to Tech.SKY130/pmos.sp diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index cb0cd10d..3fe53ec7 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -1,16 +1,25 @@ #!/usr/bin/perl -w use strict; -my $tech="w=1.0u l=1.0u"; +my $ntech="w=1.0u l=1.0u"; +my $ptech="w=1.0u l=1.0u"; -if(open IN,"<../Tech/transistor.sp") +if(open IN,"<../Tech/nmos.sp") { - print "Loading tech specs from transistor.sp file\n"; - $tech=; $tech=~s/[\n\r]//s; + print "Loading tech specs from nmos.sp file\n"; + $ntech=; $ntech=~s/[\n\r]//s; close IN; } +if(open IN,"<../Tech/pmos.sp") +{ + print "Loading tech specs from pmos.sp file\n"; + $ptech=; $ptech=~s/[\n\r]//s; + close IN; +} + -print "Tech specs: $tech\n"; +print "NMOS Tech specs: $ntech\n"; +print "PMOS Tech specs: $ptech\n"; my @cells=<*.cell>; my $cell=undef; @@ -45,7 +54,7 @@ { my($t,$g,$d,$s)=($1,$2,$3,$4); my $x=($t eq "pmos")?"vdd":"gnd"; - $transistors.="M$M $d $g $s $x $t $tech\n"; + $transistors.="M$M $d $g $s $x $t ".(($t eq "pmos") ? $ptech:$ntech)."\n"; #M1 vdd B a_2_6# vdd pmos w=0.5u l=0.05u #M2 Y a_2_6# vdd vdd pmos w=0.5u l=0.05u #M3 a_9_6# A a_2_6# gnd nmos w=0.5u l=0.05u From 286b7d486f6db73ecc408206e004260c5f12bf8c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 25 Apr 2021 23:45:30 +0200 Subject: [PATCH 363/673] Switched from "unithd" to "unit" since high-density is too dense for lclayout --- Tech.SKY130/librecell_tech.py | 53 +++++++++++++++++++++++++++++++---- 1 file changed, 47 insertions(+), 6 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 21587aed..1a38f393 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -167,14 +167,14 @@ # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. # `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. -unit_cell_width = 920*nm # 920 is 2*0.46um (unithd SITE), 8 * 130*nm -unit_cell_height = 2720*nm #270*nm # 32 * 130*nm # minimum 16um due to pwell width + nwell-pwell spacing +unit_cell_width = 1440*nm # 480*3 (unit SITE) # 1380*nm # 920 is 2*0.46um (unithd SITE), 8 * 130*nm +unit_cell_height = 3330*nm # (unit SITE) # 2720*nm #270*nm # 32 * 130*nm # minimum 16um due to pwell width + nwell-pwell spacing #assert unit_cell_height >= 16*um, "minimum 16um due to pwell width + nwell-pwell spacing" # due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 # Routing pitch -routing_grid_pitch_x = unit_cell_width // 4 -routing_grid_pitch_y = 180*nm # unit_cell_height // 8 // 2 +routing_grid_pitch_x = unit_cell_width // 6 # // 4 +routing_grid_pitch_y = 135*nm # unit_cell_height // 8 // 2 # Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) grid_offset_x = routing_grid_pitch_x @@ -276,14 +276,14 @@ l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" l_pdiffusion: 197000, # (mohms/square) l_poly: 48200*10, # (mohms/square) # 10 to avoid routing - l_metal1: 12800, # SKY130_Li1 Local Interconnect! (mohms/square) + l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) l_metal2: 125, # SKY130_Metal1 } weights_vertical = { l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" l_pdiffusion: 197000, # (mohms/square) l_poly: 48200*10, # (mohms/square) # 10 to avoid routing - l_metal1: 12800, # SKY130_Li1 Local Interconnect! (mohms/square) + l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) l_metal2: 125, # SKY130_Metal1 } @@ -301,4 +301,45 @@ (l_metal1, l_metal2): 1, } +if( min_spacing[(l_pdiff_contact, l_pdiff_contact)] < min_spacing[(l_pdiffusion,l_pdiffusion)]+2*minimum_enclosure[(l_pdiffusion, l_pdiff_contact)]): + newmin=min_spacing[(l_pdiffusion,l_pdiffusion)]+2*minimum_enclosure[(l_pdiffusion, l_pdiff_contact)] + print("Minimum Spacing "+str(min_spacing[(l_pdiff_contact, l_pdiff_contact)])+" for pdiff_contact too small because of pdiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_pdiffusion,l_pdiffusion)])+"+2*"+str(minimum_enclosure[(l_pdiffusion, l_pdiff_contact)])+") Fixing minimum_spacing") + min_spacing[(l_pdiff_contact, l_pdiff_contact)]=newmin + +if( min_spacing[(l_ndiff_contact, l_ndiff_contact)] < min_spacing[(l_ndiffusion,l_ndiffusion)]+2*minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]): + newmin=min_spacing[(l_ndiffusion,l_ndiffusion)]+2*minimum_enclosure[(l_ndiffusion, l_ndiff_contact)] + print("Minimum Spacing "+str(min_spacing[(l_ndiff_contact, l_ndiff_contact)])+" for ndiff_contact too small because of ndiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_ndiffusion,l_ndiffusion)])+"+2*"+str(minimum_enclosure[(l_ndiffusion, l_ndiff_contact)])+") Fixing minimum_spacing") + min_spacing[(l_ndiff_contact, l_ndiff_contact)]=newmin + +if( min_spacing[(l_pdiff_contact, l_ndiff_contact)] < min_spacing[(l_pdiffusion,l_ndiffusion)]+minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]+minimum_enclosure[(l_pdiffusion, l_pdiff_contact)]): + newmin=min_spacing[(l_pdiffusion,l_ndiffusion)]+minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]+minimum_enclosure[(l_pdiffusion, l_pdiff_contact)] + print("Minimum Spacing "+str(min_spacing[(l_ndiff_contact, l_ndiff_contact)])+" for pdiff_contact - ndiff_contact too small because of ndiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_pdiffusion,l_ndiffusion)])+"+"+str(minimum_enclosure[(l_ndiffusion, l_ndiff_contact)])+"+"+str(minimum_enclosure[(l_pdiffusion, l_pdiff_contact)])+") Fixing minimum_spacing") + min_spacing[(l_pdiff_contact, l_ndiff_contact)]=newmin + +if((l_poly_contact, l_poly_contact) in min_spacing and min_spacing[(l_poly_contact, l_poly_contact)] < min_spacing[(l_poly,l_poly)]+2*minimum_enclosure[(l_poly, l_poly_contact)]): + newmin=min_spacing[(l_poly,l_poly)]+2*minimum_enclosure[(l_poly, l_poly_contact)] + print("Minimum Spacing "+str(min_spacing[(l_poly_contact, l_poly_contact)])+" for poly_contact too small because of polysilicon, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_poly,l_poly)])+"+"+str(minimum_enclosure[(l_poly, l_poly_contact)])+"+"+str(minimum_enclosure[(l_poly, l_poly_contact)])+") Fixing minimum_spacing") + min_spacing[(l_poly_contact, l_poly_contact)]=newmin + +if((l_poly_contact, l_poly_contact) in min_spacing and min_spacing[(l_poly_contact, l_poly_contact)] < min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_poly_contact)]): + newmin=min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_poly_contact)] + print("Minimum Spacing "+str(min_spacing[(l_poly_contact, l_poly_contact)])+" for poly_contact too small because of local interconnect, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+"+str(minimum_enclosure[(l_metal1, l_poly_contact)])+"+"+str(minimum_enclosure[(l_metal1, l_poly_contact)])+") Fixing minimum_spacing") + min_spacing[(l_poly_contact, l_poly_contact)]=newmin + +if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)]): + newmin=min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)] + print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of local interconnect, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+2*"+str(minimum_enclosure[(l_metal1, l_via1)])+") Fixing minimum_spacing") + min_spacing[(l_via1, l_via1)]=newmin + +if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)]): + newmin=min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)] + print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal1, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal2,l_metal2)])+"+2*"+str(minimum_enclosure[(l_metal2, l_via1)])+") Fixing minimum_spacing") + min_spacing[(l_via1, l_via1)]=newmin + + + + #(l_poly_contact, l_pdiff_contact): 170*nm, # (licon.2) + #(l_poly_contact, l_ndiff_contact): 170*nm, # (licon.2) + + From b06cdae4cdc3841e468c0b8544234bd97cbd6a14 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 1 May 2021 20:48:35 +0200 Subject: [PATCH 364/673] Added support for differential inputs --- Tools/perl/truthtable.pl | 27 ++++++++++++++++++++------- 1 file changed, 20 insertions(+), 7 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index 7b6d4a3a..1d72e7cf 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -171,12 +171,14 @@ sub truth my %inputs=(); my %intermediates=(); my %outputs=(); - + my %differential=(); + # Here we are parsing all transistor lines for input-, output- and intermediate nets # But this is just a guess: foreach(@lines) { next if(m/^#/); # Ignore comment lines + $differential{$1}=$2 if(m/^\.differential (\w+) (\w+)/); $inputs{$1}=1 if(m/^[pn]mos\s*([A-W]+\d*)/); $intermediates{$1}=1 if(m/^[pn]mos.*([X-Y]\w*\d*)/); $outputs{$1}=1 if(m/^[pn]mos.*\w+ ([X-Z]\w*\d*)/); @@ -283,17 +285,28 @@ sub truth foreach my $i(0 .. 2**$ninputs-1) { # We count from 0 .. 2^n-1 and take the graycode, and then interpret that as a binary value for the input stimulus: + my $output=""; my $gray=bin2gray($i); - print " " if($format eq "latex"); - print "" if($format eq "html"); + $output.=" " if($format eq "latex"); + $output.="" if($format eq "html"); foreach(0 .. $ninputs-1) { - print "& " if($format eq "latex" && $_>0); - print "" if($format eq "html"); - print "".($gray&(1<<$_))?"1 ":"0 " if($format eq "text" || $format eq "latex" || $format eq "html"); # not for liberty! - print "" if($format eq "html"); + $output.="& " if($format eq "latex" && $_>0); + $output.="" if($format eq "html"); + $output.="".($gray&(1<<$_))?"1 ":"0 " if($format eq "text" || $format eq "latex" || $format eq "html"); # not for liberty! + $output.="" if($format eq "html"); $values{$ins[$_]}=($gray&(1<<$_))?1:0; } + + my $ignoreinvalidinputs=0; # Look for differential inputs that have the same value, and are therefore invalid + foreach my $k1(keys %differential) + { + $ignoreinvalidinputs=1 if($values{$k1} eq $values{$differential{$k1}}); + } + next if($ignoreinvalidinputs); + + print $output; + # Here we are using the truth function to calculate all network states for the given inputs: my %res=truth(\@lines,\%values); # The result is a hash with the intermediate/output netnames as keys and the resulting values as values From 0139666ae2942ed889ad70833d749a900fdd4c7e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 1 May 2021 21:54:41 +0200 Subject: [PATCH 365/673] Automated differential inputs with _n and _p --- Tools/perl/truthtable.pl | 16 +++++++++++++++- 1 file changed, 15 insertions(+), 1 deletion(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index 1d72e7cf..22cd4d37 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -178,7 +178,7 @@ sub truth foreach(@lines) { next if(m/^#/); # Ignore comment lines - $differential{$1}=$2 if(m/^\.differential (\w+) (\w+)/); + #$differential{$1}=$2 if(m/^\.differential (\w+) (\w+)/); $inputs{$1}=1 if(m/^[pn]mos\s*([A-W]+\d*)/); $intermediates{$1}=1 if(m/^[pn]mos.*([X-Y]\w*\d*)/); $outputs{$1}=1 if(m/^[pn]mos.*\w+ ([X-Z]\w*\d*)/); @@ -194,6 +194,20 @@ sub truth @ins=split(" ",$1) if($line=~m/^\.inputs (\w.*)/i); @outs=split(" ",$1) if($line=~m/^\.outputs (\w.*)/i) } + $inputs{$_}=1 foreach(@ins); + + foreach my $a(@ins) + { + if($a=~m/_n$/) + { + my $b=$a; $b=~s/_n$/_p/; + if(defined($inputs{$b})) + { + $differential{$a}=$b; + #print STDERR "Differential input detected: $a <-> $b\n"; + } + } + } my $ninputs=scalar(@ins); my $noutputs=scalar(@outs); From 08c474c5b167db4f1426d7e1cc05e7418bc635ab Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 1 May 2021 21:58:22 +0200 Subject: [PATCH 366/673] Added differential input support for lctime --- Tools/perl/librecells.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index af4a4bfe..390b9b97 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -192,10 +192,10 @@ system "../Tools/perl/libgen.pl >$cellname.libtemplate 2>>$cellname.err"; step("NEXT STEP: Characterization"); - $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics + $cmd="lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics #print "$cmd\n"; system($cmd); - $cmd="lctime ".($debug?"--debug":"")." --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics + $cmd="lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics print "$cmd\n"; system($cmd); step("NEXT STEP: Visualisation"); From 617bb7dd7631a9a6365a53875b7433f410fff3b6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 1 May 2021 22:26:20 +0200 Subject: [PATCH 367/673] Fixed the sizing for the SPICE models --- Tech.SKY130/nmos.sp | 2 +- Tech.SKY130/pmos.sp | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/Tech.SKY130/nmos.sp b/Tech.SKY130/nmos.sp index dec7d592..5dcab40a 100644 --- a/Tech.SKY130/nmos.sp +++ b/Tech.SKY130/nmos.sp @@ -1 +1 @@ -w=0.150u l=0.150u +w=0.420u l=0.150u diff --git a/Tech.SKY130/pmos.sp b/Tech.SKY130/pmos.sp index 5dcab40a..f1fc5c3c 100644 --- a/Tech.SKY130/pmos.sp +++ b/Tech.SKY130/pmos.sp @@ -1 +1 @@ -w=0.420u l=0.150u +w=0.840u l=0.150u From 1f32bc82c3a8d359c6d54ffe0457b8de7afe922d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 1 May 2021 22:27:30 +0200 Subject: [PATCH 368/673] Splitted transistor.sp into nmos and pmos for correct sizing --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 88cc878b..2ef3a418 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -127,7 +127,7 @@ catalog: $(CELLS) layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf -libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/transistor.sp +libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/nmos.sp ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl rm -f libresilicon.lib From c1aae5c13de03d874e0a4cf502d342a574e3dab5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 2 May 2021 00:48:15 +0200 Subject: [PATCH 369/673] Starting Well-Tap support --- Tech.SKY130/librecell_tech.py | 10 ++++++++++ 1 file changed, 10 insertions(+) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 1a38f393..cb8720de 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -2,6 +2,7 @@ from lclayout.writer.magic_writer import MagWriter from lclayout.writer.lef_writer import LefWriter from lclayout.writer.gds_writer import GdsWriter +from lclayout.writer.oasis_writer import OasisWriter # Physical size of one data base unit in meters. # BUT GDS2 requires the database units to be in nanometers, and lclayout cannot convert to nanometers automatically yet @@ -102,7 +103,13 @@ GdsWriter( db_unit=db_unit, output_map=output_map + ), + + OasisWriter( + db_unit=db_unit, + output_map=output_map ) + ] # Define how layers can be used for routing. @@ -293,6 +300,9 @@ (l_metal1, l_pdiffusion): 15000, # LICON (l_metal1, l_poly): 15000, # LICON (l_metal1, l_metal2): 152000, # MCON + (l_metal1, l_nplus): 1, # Contact to Well Taps, the value doesn't matter + (l_metal1, l_nplus): 1, + } # Enable double vias between layers. From a4c2d6e615d79467bff1bac0c952eada54903543 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 7 May 2021 02:08:40 +0200 Subject: [PATCH 370/673] DRC fixing comes to life --- Tools/perl/drcfix.pl | 100 +++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 100 insertions(+) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 8821cc82..0ff3a943 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -1,5 +1,12 @@ #!/usr/bin/perl -w +if(scalar(@ARGV)<1) +{ + print "Usage: drcfix.pl report.drc\n"; + exit; +} + + print "Handling $ARGV[0]\n"; open IN,"<".$ARGV[0]; my $mag=$ARGV[0];$mag=~s/\.drc$/.mag/; $mag=~s/\.mag\.mag/\.mag/; @@ -12,6 +19,67 @@ ($) my $insert=""; + +sub tryfix($) +{ + print "Trying the fix on $mag:\n$_[0]\nRuning magic ...\n"; +print < 0} { + redirect_variable drcresult {puts [drc find]} + puts \$drcresult + if {[string first "Local interconnect spacing" \$drcresult] != -1} { + erase li + drc check + drc catchup + redirect_variable drccountnew {puts [drc count total]} + set drccn [string map {"Total DRC errors found: " ""} \$drccountnew] + if {\$drccn < \$drcc} { + puts "Hoory, we fixed a DRC issue" + } else { + puts "Trying to fix this DRC issue caused more issues so we undo and try something else" + undo + } + } + + } +} + + +% puts \$mydrc +EOF +; + +} + while() { if(m/Mcon spacing < 0\.17um \(Mcon 2\)/) @@ -28,6 +96,13 @@ ($) print "Found my rule\n"; next; } + if(m/Local interconnect spacing < 0.17um \(LI 3\)/) + { + $mode="li_spacing"; + my $dummy=; + print "Found my rule\n"; + next; + } if(m/\-\-\-\-\-\-\-\-\-\-\-/) { @@ -57,6 +132,31 @@ ($) } } + + + if($mode eq "li_spacing") + { + my @line1=split " ",$_; + my $l2=; + my @line2=split " ",$l2; + print "LINE1 (@line1): $_\nLINE2 (@line2): $l2\n"; + #if($line1[0] eq $line2[0] && $line1[2] eq $line2[2] && $line1[1]<$line2[1]) + #{ + # print "Vertikal\n"; + # print "@line1 - @line2\n"; + tryfix("box position $line1[0] $line1[1]\nbox size $line2[0] $line2[1]\nerase li\n"); + # $insert.="<< $mode >>\nrect ".form($line1[0])." ".form($line1[3])." ".form($line1[2])." ".form($line2[1])."\n"; + #} + #elsif($line1[1] eq $line2[1] && $line1[3] eq $line2[3] && $line1[0]<$line2[0]) + #{ + # print "Horizontal\n"; + # print "@line1 - @line2\n"; + # $insert.="<< $mode >>\nrect ".form($line1[2])." ".form($line1[1])." ".form($line2[3])." ".form($line1[1])."\n"; + #} + + } + + } close IN; From 45441be4b4e2580d5a0014f3e780c44896b3b3da Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 23 May 2021 15:33:34 +0200 Subject: [PATCH 371/673] Updated the Buildreport for new output of Librecell --- Tools/perl/buildreport.pl | 20 +++++++++++++++----- 1 file changed, 15 insertions(+), 5 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 0c79d7cf..5d05dd3c 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -91,7 +91,7 @@ my $errfile=$file; $errfile=~s/\.cell$/.err/; my $logfile=$file; $logfile=~s/\.cell$/.log/; my $magfile=$file; $magfile=~s/\.cell$/.mag/; - my $drcfile=$file; $drcfile=~s/\.cell$/.mag.drc/; + my $drcfile=$file; $drcfile=~s/\.cell$/.drc/; my $libfile=$file; $libfile=~s/\.cell$/.lib/; my $svgfile=$file; $svgfile=~s/\.cell$/.svg/; my $schfile="doc/".$file; $schfile=~s/\.cell$/_svg.png/; @@ -110,9 +110,18 @@ my $nets=""; my $errors=""; my $routing=""; - my %ports=(); + my $ports=0; my $b1=(-f $runfile)?"":""; my $b2=(-f $runfile)?"":""; + my $drccount=0; + + if(open DRC,"<$drcfile") + { + while() + { + $drccount=$1 if(m/Number of DRC errors: (\d+)/); + } + } print OUT "$b1$file$b2"; print OUT "".(-f $file ? "":"X").""; @@ -121,7 +130,7 @@ print OUT "".(-f $schfile ? "":"X").""; print OUT "".(-f $logfile ? "":"X").""; print OUT "".(-f $errfile ? "":"X").""; - print OUT "".(-f $drcfile ? "":"X").""; + print OUT "".(-f $drcfile ? " $drccount":"X").""; print OUT "".(-f $libfile ? "":"X").""; print OUT "".(-f $leffile ? "":"X").""; print OUT "".(-f $gdsfile ? "":"X").""; @@ -145,19 +154,20 @@ $layouttime=$1 if(m/INFO:\s*Done\s*\(Total duration: ([\d:.]+)\s*\)/); $nets=$1 if(m/Nets output: (\d+)/); $routing=$1 if(m/Routing iteration (\d+)/); - $ports{$1}=1 if(m/Port: name = (\w+) exists/); + $ports=scalar(split(",",$1)) if(m/Subcircuit ports: (.*)/); $errors.=$1." " if(m/AssertionError: (.*)/); $errors.=$1." " if(m/Exception: (.*)/); $errors.=$1." " if(m/ERROR: (.*)/); } close LIB; } + $layouttime=~s/\.\d+$//; $lvs=~s/FAILED/FAILED<\/font>/; $errors.=" This cell is currently building..." if(-f $runfile); $errors.=" This cell has been excluded from building " if(-f $dontlayoutfile); - print OUT "$area$lvs$euler$layouttime$nets".scalar(keys %ports)."$routing$errors"; + print OUT "$area$lvs$euler$layouttime$nets$ports$routing$errors"; print OUT ""; From 2fa2b45d0cb3af20140a7a138d3758023ea32605 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 23 May 2021 15:34:11 +0200 Subject: [PATCH 372/673] Counting the number of DRC errors --- Tools/perl/drccheck.pl | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/Tools/perl/drccheck.pl b/Tools/perl/drccheck.pl index 38458d73..fa087a4b 100755 --- a/Tools/perl/drccheck.pl +++ b/Tools/perl/drccheck.pl @@ -22,6 +22,7 @@ set oscale [cif scale out] set drcresult [drc listall why] set fout [open \"$outfile\" w] +set countall 0 foreach {errtype coordlist} \$drcresult { puts \$fout \$errtype puts \$fout "----------------------------------------" @@ -32,10 +33,13 @@ set bury [expr {\$oscale * [lindex \$coord 3]}] set coords [format " %.3f %.3f %.3f %.3f" \$bllx \$blly \$burx \$bury] puts \$fout "\$coords" + incr countall } puts \$fout "----------------------------------------" } puts \$fout "" +puts \$fout "Number of DRC errors: \$countall" + close \$fout quit -noprompt EOF From 8872c4fb65d99224fdb25596b6146d2df9810faf Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 9 Jun 2021 01:20:18 +0200 Subject: [PATCH 373/673] Added a missing word --- Tools/perl/librecells.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 390b9b97..128f30c7 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -118,7 +118,7 @@ if(-f "$cellname.fixed") { - print "We found a manually fixed $cellname.fixed magic file for testing so we using that one instead.\n"; + print "We found a manually fixed $cellname.fixed magic file for testing so we are using that one instead.\n"; step("NEXT STEP: Fixing file $cellname.fixed -> $cellname.mag"); system "cp $cellname.fixed $cellname.mag"; } From f631925ef3133a73abdce02d6c51e12b1590decf Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 9 Jun 2021 01:20:51 +0200 Subject: [PATCH 374/673] Greatly improved DRC check and fixing tools --- Tools/perl/drccheck.pl | 2 +- Tools/perl/drcfix.pl | 94 +++++++++++++++++++++++++++++------------ Tools/perl/drcfixall.pl | 14 ++++-- 3 files changed, 79 insertions(+), 31 deletions(-) diff --git a/Tools/perl/drccheck.pl b/Tools/perl/drccheck.pl index fa087a4b..a1b6a0e5 100755 --- a/Tools/perl/drccheck.pl +++ b/Tools/perl/drccheck.pl @@ -20,7 +20,7 @@ drc list count drc listall catchup set oscale [cif scale out] -set drcresult [drc listall why] +set drcresult [lsort -stride 2 -index 0 [drc listall why]] set fout [open \"$outfile\" w] set countall 0 foreach {errtype coordlist} \$drcresult { diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 0ff3a943..e261629e 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -6,6 +6,10 @@ exit; } +# Rules we have to deal with: + +#Local interconnect spacing < 0.17um (LI 3) +#Metal1 spacing < 0.14um (Met1 2) print "Handling $ARGV[0]\n"; open IN,"<".$ARGV[0]; @@ -19,11 +23,14 @@ ($) my $insert=""; +our $tech=$ARGV[1] || "../Tech/libresilicon.tech"; -sub tryfix($) -{ - print "Trying the fix on $mag:\n$_[0]\nRuning magic ...\n"; -print < 0} { - redirect_variable drcresult {puts [drc find]} - puts \$drcresult - if {[string first "Local interconnect spacing" \$drcresult] != -1} { - erase li - drc check - drc catchup - redirect_variable drccountnew {puts [drc count total]} - set drccn [string map {"Total DRC errors found: " ""} \$drccountnew] - if {\$drccn < \$drcc} { - puts "Hoory, we fixed a DRC issue" - } else { - puts "Trying to fix this DRC issue caused more issues so we undo and try something else" - undo - } + #puts \$drcc + for {set i 0} {\$i < \$nRounds} {incr i} { + puts "I inside first loop: \$i" + if {\$drcc > 0} { + redirect_variable drcresult {puts [drc find]} + puts \$drcresult + if {[string first "\\[erase" \$drcresult] != -1} { + regexp {\\[erase ([^\\]]+)\\]} \$drcresult full layernames + foreach drcparts [split \$layernames ","] { + foreach layername [split \$drcparts " "] { + puts "Erasing \$layername" + set res [erase \$layername] + puts \$res + } + drc check + drc catchup + redirect_variable drccountnew {puts [drc count total]} + set drccn [string trim [string map {"Total DRC errors found: " ""} \$drccountnew] ] + if {\$drccn < \$drcc} { + puts "Hoory, we fixed a DRC issue" + incr nFixed + set drcc \$drccn + #save corr_$mag + #exit + } else { + puts "Trying to fix this DRC issue did not reduce the number of DRC issues (\$drccn vs. \$drcc) so we undo and try something else" + foreach layername [split \$drcparts " "] { + puts "Undoing \$layername" + #erase \$layername + undo + } + } + } + } } - } -} - -% puts \$mydrc + if {\$nFixed >0} { + puts "We have fixed some issues, now we save the file" + save corr_$mag + puts "File saved." + } +} +puts "Trying to FIX some DRC issues" +fix_drc +puts "Done trying to FIX some DRC issues" +quit -noprompt EOF ; +close OUT; -} +#} + +#tryfix(); + +if(0) +{ while() { @@ -162,7 +201,7 @@ ($) open MAG,"<$mag"; open CORR,">corr.$mag"; -print "Reading from $mag Writing to $mag\n"; +print "Reading from $mag Writing to corr.$mag\n"; while() { if(m/<< end >>/) @@ -174,3 +213,4 @@ ($) close CORR; close MAG; +} diff --git a/Tools/perl/drcfixall.pl b/Tools/perl/drcfixall.pl index 1a9ab1cc..d0013357 100755 --- a/Tools/perl/drcfixall.pl +++ b/Tools/perl/drcfixall.pl @@ -5,22 +5,30 @@ ($) print "$_[0]\n"; } +my $tech=$ARGV[0] || "../Tech/libresilicon.tech"; + foreach my $magfile (<*.mag>) { my $cellname=$magfile; $cellname=~s/\.mag$//; step("NEXT STEP: DRC Check with Magic"); - system "../Tools/perl/drccheck.pl $cellname.mag"; + system "../Tools/perl/drccheck.pl $cellname.mag $tech"; step("NEXT STEP: DRC Fix"); - system "../Tools/perl/drcfix.pl $cellname.drc"; + system "../Tools/perl/drcfix.pl $cellname.drc $tech"; + + step("NEXT STEP: DRC Check with Magic"); + system "../Tools/perl/drccheck.pl $cellname.mag $tech"; + if(-f "corr.$cellname.mag") { unlink "$cellname.mag"; rename "corr.$cellname.mag","$cellname.mag"; print "DRC errors in $cellname corrected. Now running final DRC check:\n"; step("NEXT STEP: Final DRC check"); - system "../Tools/perl/drccheck.pl $cellname.mag"; + system "../Tools/perl/drccheck.pl $cellname.mag $tech"; } + + exit; } From 1a75a88d55792014e838d455d8dc52576f7f86ee Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 9 Jun 2021 01:21:46 +0200 Subject: [PATCH 375/673] Expanded the DRC rules with the DRC rule expander --- Tech.SKY130/libresilicon.tech | 295 +++++++++++++--------------------- 1 file changed, 110 insertions(+), 185 deletions(-) diff --git a/Tech.SKY130/libresilicon.tech b/Tech.SKY130/libresilicon.tech index 9ca5b2d6..0c79a4f2 100644 --- a/Tech.SKY130/libresilicon.tech +++ b/Tech.SKY130/libresilicon.tech @@ -2724,9 +2724,8 @@ drc #----------------------------- width dnwell 3000 "Deep N-well width < %d (Dnwell 2)" - spacing dnwell dnwell 6300 touching_ok "Deep N-well spacing < %d (Dnwell 3)" - spacing dnwell allnwell 4500 surround_ok \ - "Deep N-well spacing to N-well < %d (Nwell 7)" + spacing dnwell dnwell 6300 touching_ok "Deep N-well spacing < %d (Dnwell 3) [erase dnwell]" + spacing dnwell allnwell 4500 surround_ok "Deep N-well spacing to N-well < %d (Nwell 7) [erase dnwell,nwell obswell]" cifmaxwidth nwell_missing 0 bend_illegal \ "N-well overlap of Deep N-well < 0.4um outside, 1.03um inside (Nwell 5a, 7)" cifmaxwidth dnwell_missing 0 bend_illegal \ @@ -2737,7 +2736,7 @@ drc #----------------------------- width allnwell 840 "N-well width < %d (Nwell 1)" - spacing allnwell allnwell 1270 touching_ok "N-well spacing < %d (Nwell 2a)" + spacing allnwell allnwell 1270 touching_ok "N-well spacing < %d (Nwell 2a) [erase nwell obswell]" #----------------------------- # DIFF @@ -2753,36 +2752,21 @@ drc extend *psd *ndiff 290 "Butting tap length < %d (Diff/tap 4)" extend *nsd *pdiff 290 "Butting tap length < %d (Diff/tap 4)" width mvpdiffres 150 "MV P-Diffusion resistor width < %d (Diff/tap 14a)" - spacing alldifflv,var,varhvt alldifflv,var,varhvt 270 touching_ok \ - "Diffusion spacing < %d (Diff/tap 3)" - spacing alldiffmvnontap,mvvar alldiffmvnontap,mvvar 300 touching_ok \ - "MV Diffusion spacing < %d (Diff/tap 15a)" - spacing alldiffmv *mvnsd,*mvpsd 270 touching_ok \ - "MV Diffusion to MV tap spacing < %d (Diff/tap 3)" - spacing *mvndiff,mvnfet,mvnnfet,*mvndiode,*nndiode,mvndiffres,mvvar *mvpsd 370 \ - touching_ok "MV P-Diffusion to MV N-tap spacing < %d (Diff/tap 15b)" - spacing *mvnsd,*mvpdiff,mvpfet,mvvar,*mvpdiode *mvpsd,*psd 760 touching_illegal \ - "MV Diffusion in N-well to P-tap spacing < %d (Diff/tap 20 + Diff/tap 17,19)" - spacing *ndiff,*ndiode,nfet allnwell 340 touching_illegal \ - "N-Diffusion spacing to N-well < %d (Diff/tap 9)" - spacing *mvndiff,*mvndiode,mvnfet,mvnnfet allnwell 340 touching_illegal \ - "N-Diffusion spacing to N-well < %d (Diff/tap 9)" - spacing *psd allnwell 130 touching_illegal \ - "P-tap spacing to N-well < %d (Diff/tap 11)" - spacing *mvpsd allnwell 130 touching_illegal \ - "P-tap spacing to N-well < %d (Diff/tap 11)" - surround *nsd allnwell 180 absence_illegal \ - "N-well overlap of N-tap < %d (Diff/tap 10)" - surround *mvnsd allnwell 330 absence_illegal \ - "N-well overlap of MV N-tap < %d (Diff/tap 19)" - surround *pdiff,*pdiode,pfet,scpfet allnwell 180 absence_illegal \ - "N-well overlap of P-Diffusion < %d (Diff/tap 8)" - surround *mvpdiff,*mvpdiode,mvpfet allnwell 330 absence_illegal \ - "N-well overlap of P-Diffusion < %d (Diff/tap 17)" - surround mvvar allnwell 560 absence_illegal \ - "N-well overlap of MV varactor < %d (LVTN 10 + LVTN 4b)" - spacing *mvndiode *mvndiode 1070 touching_ok \ - "MV N-diode spacing < %d (HVNTM.2 + 2 * HVNTM.3)" + spacing alldifflv,var,varhvt alldifflv,var,varhvt 270 touching_ok "Diffusion spacing < %d (Diff/tap 3) [erase ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt psubstratepcontact psubstratepdiff ptransistor scntransistor scptransistor varactor varactorhvt]" + spacing alldiffmvnontap,mvvar alldiffmvnontap,mvvar 300 touching_ok "MV Diffusion spacing < %d (Diff/tap 15a) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvptransistor mvvaractor nndiode nndiodec]" + spacing alldiffmv *mvnsd,*mvpsd 270 touching_ok "MV Diffusion to MV tap spacing < %d (Diff/tap 3) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor nndiode nndiodec,mvnsubstratencontact mvnsubstratendiff mvpsubstratepcontact mvpsubstratepdiff]" + spacing *mvndiff,mvnfet,mvnnfet,*mvndiode,*nndiode,mvndiffres,mvvar *mvpsd 370 touching_ok "MV P-Diffusion to MV N-tap spacing < %d (Diff/tap 15b) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvvaractor nndiode nndiodec,mvpsubstratepcontact mvpsubstratepdiff]" + spacing *mvnsd,*mvpdiff,mvpfet,mvvar,*mvpdiode *mvpsd,*psd 760 touching_illegal "MV Diffusion in N-well to P-tap spacing < %d (Diff/tap 20 + Diff/tap 17,19) [erase mvnsubstratencontact mvnsubstratendiff mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor mvvaractor,mvpsubstratepcontact mvpsubstratepdiff psubstratepcontact psubstratepdiff]" + spacing *ndiff,*ndiode,nfet allnwell 340 touching_illegal "N-Diffusion spacing to N-well < %d (Diff/tap 9) [erase ndcontact ndiffusion ndiode ndiodec ntransistor,nwell obswell]" + spacing *mvndiff,*mvndiode,mvnfet,mvnnfet allnwell 340 touching_illegal "N-Diffusion spacing to N-well < %d (Diff/tap 9) [erase mvndcontact mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor,nwell obswell]" + spacing *psd allnwell 130 touching_illegal "P-tap spacing to N-well < %d (Diff/tap 11) [erase psubstratepcontact psubstratepdiff,nwell obswell]" + spacing *mvpsd allnwell 130 touching_illegal "P-tap spacing to N-well < %d (Diff/tap 11) [erase mvpsubstratepcontact mvpsubstratepdiff,nwell obswell]" + surround *nsd allnwell 180 absence_illegal "N-well overlap of N-tap < %d (Diff/tap 10) [erase nsubstratencontact nsubstratendiff,nwell obswell]" + surround *mvnsd allnwell 330 absence_illegal "N-well overlap of MV N-tap < %d (Diff/tap 19) [erase mvnsubstratencontact mvnsubstratendiff,nwell obswell]" + surround *pdiff,*pdiode,pfet,scpfet allnwell 180 absence_illegal "N-well overlap of P-Diffusion < %d (Diff/tap 8) [erase pdcontact pdiffusion pdiode pdiodec ptransistor scptransistor,nwell obswell]" + surround *mvpdiff,*mvpdiode,mvpfet allnwell 330 absence_illegal "N-well overlap of P-Diffusion < %d (Diff/tap 17) [erase mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor,nwell obswell]" + surround mvvar allnwell 560 absence_illegal "N-well overlap of MV varactor < %d (LVTN 10 + LVTN 4b) [erase mvvaractor,nwell obswell]" + spacing *mvndiode *mvndiode 1070 touching_ok "MV N-diode spacing < %d (HVNTM.2 + 2 * HVNTM.3) [erase mvndiode mvndiodec]" # Butting junction rules edge4way (*psd)/a ~(*ndiff,*psd)/a 125 ~(*ndiff)/a (*ndiff)/a 125 \ @@ -2820,11 +2804,10 @@ drc #----------------------------- width allpoly 150 "Poly width < %d (Poly 1a)" - spacing allpoly allpoly 210 touching_ok "Poly spacing < %d (Poly 2)" + spacing allpoly allpoly 210 touching_ok "Poly spacing < %d (Poly 2) [erase mvnntransistor mvntransistor mvptransistor mvvaractor nfetlvt npolyres nsonos ntransistor pcontact pfethvt pfetlvt polysilicon ppolyres ptransistor rmp scntransistor scptransistor varactor varactorhvt xpolycontact xpolyres]" spacing allpolynonfet alldifflvnonfet 75 corner_ok allfets \ "Poly spacing to Diffusion < %d (Poly 4a)" - spacing npres *nsd 480 touching_illegal \ - "Poly resistor spacing to N-tap < %d (Poly 9)" + spacing npres *nsd 480 touching_illegal "Poly resistor spacing to N-tap < %d (Poly 9) [erase npolyres,nsubstratencontact nsubstratendiff]" overhang *ndiff,rndiff nfet,scnfet 250 "N-Diffusion overhang of nmos < %d (Poly 7)" overhang *mvndiff,mvrndiff mvnfet,mvnnfet 250 \ "N-Diffusion overhang of nmos < %d (Poly 7)" @@ -2835,8 +2818,7 @@ drc rect_only xhrpoly,uhrpoly "No bends in poly resistors (Poly 11)" extend xpc/a xhrpoly,uhrpoly 2160 \ "Poly contact extends poly resistor by < %d (LIcon 1c + LI 5)" - spacing xhrpoly,uhrpoly xhrpoly,uhrpoly 1240 touching_illegal \ - "Distance between precision resistors < %d (RPM 2 + 2 * RPM 3)" + spacing xhrpoly,uhrpoly xhrpoly,uhrpoly 1240 touching_illegal "Distance between precision resistors < %d (RPM 2 + 2 * RPM 3) [erase ppolyres xpolyres]" #-------------------------------------------------------------------- # NPC (Nitride Poly Cut) @@ -2865,82 +2847,50 @@ drc width mvndic/li 170 "N-diode contact width < %d (LIcon 1)" width mvpdic/li 170 "P-diode contact width < %d (LIcon 1)" - spacing allpdiffcont allndiffcont 170 touching_illegal \ - "Diffusion contact spacing < %d (LIcon 2)" - spacing allndiffcont allndiffcont 170 touching_ok \ - "Diffusion contact spacing < %d (LIcon 2)" - spacing allpdiffcont allpdiffcont 170 touching_ok \ - "Diffusion contact spacing < %d (LIcon 2)" - spacing pc pc 170 touching_ok "Poly1 contact spacing < %d (LIcon 2)" - - spacing pc alldiff 190 touching_illegal \ - "Poly contact spacing to diffusion < %d (LIcon 14)" - spacing pc allpfets 235 touching_illegal \ - "Poly contact spacing to pFET < %d (LIcon 9 + PSDM 5a)" - - spacing ndc,pdc nfet,pfet 55 touching_illegal \ - "Diffusion contact to gate < %d (LIcon 11)" - spacing ndc,pdc scnfet,scpfet 50 touching_illegal \ - "Diffusion contact to standard cell gate < %d (LIcon 11)" - spacing mvndc,mvpdc mvnfet,mvnnfet,mvpfet 55 touching_illegal \ - "Diffusion contact to gate < %d (LIcon 11)" - spacing ndc,mvndc rnd,mvrnd 60 touching_illegal "Diffusion contact to rndiff < %d ()" - spacing pdc,mvpdc rdp,mvrdp 60 touching_illegal "Diffusion contact to rndiff < %d ()" - spacing nsc varactor,varhvt 250 touching_illegal \ - "Diffusion contact to varactor gate < %d (LIcon 10)" - spacing mvnsc mvvar 250 touching_illegal \ - "Diffusion contact to varactor gate < %d (LIcon 10)" - - surround ndc/a *ndiff,nfet,scnfet,nfetlvt 40 absence_illegal \ - "N-diffusion overlap of N-diffusion contact < %d (LIcon 5a)" - surround pdc/a *pdiff,pfet,scpfet,pfethvt,pfetlvt 40 absence_illegal \ - "P-diffusion overlap of P-diffusion contact < %d (LIcon 5a)" - surround ndic/a *ndi 40 absence_illegal \ - "N-diode overlap of N-diode contact < %d (LIcon 5a)" - surround pdic/a *pdi 40 absence_illegal \ - "P-diode overlap of N-diode contact < %d (LIcon 5a)" - - surround ndc/a *ndiff,nfet,scnfet,nfetlvt 60 directional \ - "N-diffusion overlap of N-diffusion contact < %d in one direction (LIcon 5c)" - surround pdc/a *pdiff,pfet,scpfet,pfethvt,pfetlvt 60 directional \ - "P-diffusion overlap of P-diffusion contact < %d in one direction (LIcon 5c)" - surround ndic/a *ndi 60 directional \ - "N-diode overlap of N-diode contact < %d in one direction (LIcon 5c)" - surround pdic/a *pdi 60 directional \ - "P-diode overlap of N-diode contact < %d in one direction (LIcon 5c)" - - surround nsc/a *nsd 120 directional \ - "N-tap overlap of N-tap contact < %d in one direction (LIcon 7)" - surround psc/a *psd 120 directional \ - "P-tap overlap of P-tap contact < %d in one direction (LIcon 7)" - - surround mvndc/a *mvndiff,mvnfet 40 absence_illegal \ - "N-diffusion overlap of N-diffusion contact < %d (LIcon 5a)" - surround mvpdc/a *mvpdiff,mvpfet 40 absence_illegal \ - "P-diffusion overlap of P-diffusion contact < %d (LIcon 5a)" - surround mvndic/a *mvndi 40 absence_illegal \ - "N-diode overlap of N-diode contact < %d (LIcon 5a)" - surround mvpdic/a *mvpdi 40 absence_illegal \ - "P-diode overlap of N-diode contact < %d (LIcon 5a)" - - surround mvndc/a *mvndiff,mvnfet 60 directional \ - "N-diffusion overlap of N-diffusion contact < %d in one direction (LIcon 5c)" - surround mvpdc/a *mvpdiff,mvpfet 60 directional \ - "P-diffusion overlap of P-diffusion contact < %d in one direction (LIcon 5c)" - surround mvndic/a *mvndi 60 directional \ - "N-diode overlap of N-diode contact < %d in one direction (LIcon 5c)" - surround mvpdic/a *mvpdi 60 directional \ - "P-diode overlap of N-diode contact < %d in one direction (LIcon 5c)" - - surround mvnsc/a *mvnsd 120 directional \ - "N-tap overlap of N-tap contact < %d in one direction (LIcon 7)" - surround mvpsc/a *mvpsd 120 directional \ - "P-tap overlap of P-tap contact < %d in one direction (LIcon 7)" - - surround pc/a *poly,mrp1,xhrpoly,uhrpoly 50 absence_illegal \ - "Poly overlap of poly contact < %d (LIcon 8)" - surround pc/a *poly,mrp1,xhrpoly,uhrpoly 80 directional \ - "Poly overlap of poly contact < %d in one direction (LIcon 8a)" + spacing allpdiffcont allndiffcont 170 touching_illegal "Diffusion contact spacing < %d (LIcon 2) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact,mvndcontact mvndiodec mvnsubstratencontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact]" + spacing allndiffcont allndiffcont 170 touching_ok "Diffusion contact spacing < %d (LIcon 2) [erase mvndcontact mvndiodec mvnsubstratencontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact]" + spacing allpdiffcont allpdiffcont 170 touching_ok "Diffusion contact spacing < %d (LIcon 2) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact]" + spacing pc pc 170 touching_ok "Poly1 contact spacing < %d (LIcon 2) [erase pcontact]" + + spacing pc alldiff 190 touching_illegal "Poly contact spacing to diffusion < %d (LIcon 14) [erase pcontact,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt psubstratepcontact psubstratepdiff ptransistor scntransistor scptransistor]" + spacing pc allpfets 235 touching_illegal "Poly contact spacing to pFET < %d (LIcon 9 + PSDM 5a) [erase pcontact,mvptransistor pfethvt pfetlvt ptransistor scptransistor]" + + spacing ndc,pdc nfet,pfet 55 touching_illegal "Diffusion contact to gate < %d (LIcon 11) [erase ndcontact pdcontact,ntransistor ptransistor]" + spacing ndc,pdc scnfet,scpfet 50 touching_illegal "Diffusion contact to standard cell gate < %d (LIcon 11) [erase ndcontact pdcontact,scntransistor scptransistor]" + spacing mvndc,mvpdc mvnfet,mvnnfet,mvpfet 55 touching_illegal "Diffusion contact to gate < %d (LIcon 11) [erase mvndcontact mvpdcontact,mvnntransistor mvntransistor mvptransistor]" + spacing ndc,mvndc rnd,mvrnd 60 touching_illegal "Diffusion contact to rndiff < %d () [erase mvndcontact ndcontact,mvndiffres ndiffres]" + spacing pdc,mvpdc rdp,mvrdp 60 touching_illegal "Diffusion contact to rndiff < %d () [erase mvpdcontact pdcontact,mvpdiffres pdiffres]" + spacing nsc varactor,varhvt 250 touching_illegal "Diffusion contact to varactor gate < %d (LIcon 10) [erase nsubstratencontact,varactor varactorhvt]" + spacing mvnsc mvvar 250 touching_illegal "Diffusion contact to varactor gate < %d (LIcon 10) [erase mvnsubstratencontact,mvvaractor]" + + surround ndc/a *ndiff,nfet,scnfet,nfetlvt 40 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (LIcon 5a) [erase ndcontact/a,ndcontact ndiffusion nfetlvt ntransistor scntransistor]" + surround pdc/a *pdiff,pfet,scpfet,pfethvt,pfetlvt 40 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (LIcon 5a) [erase pdcontact/a,pdcontact pdiffusion pfethvt pfetlvt ptransistor scptransistor]" + surround ndic/a *ndi 40 absence_illegal "N-diode overlap of N-diode contact < %d (LIcon 5a) [erase ndiodec/a,ndiode ndiodec]" + surround pdic/a *pdi 40 absence_illegal "P-diode overlap of N-diode contact < %d (LIcon 5a) [erase pdiodec/a,pdiode pdiodec]" + + surround ndc/a *ndiff,nfet,scnfet,nfetlvt 60 directional "N-diffusion overlap of N-diffusion contact < %d in one direction (LIcon 5c) [erase ndcontact/a,ndcontact ndiffusion nfetlvt ntransistor scntransistor]" + surround pdc/a *pdiff,pfet,scpfet,pfethvt,pfetlvt 60 directional "P-diffusion overlap of P-diffusion contact < %d in one direction (LIcon 5c) [erase pdcontact/a,pdcontact pdiffusion pfethvt pfetlvt ptransistor scptransistor]" + surround ndic/a *ndi 60 directional "N-diode overlap of N-diode contact < %d in one direction (LIcon 5c) [erase ndiodec/a,ndiode ndiodec]" + surround pdic/a *pdi 60 directional "P-diode overlap of N-diode contact < %d in one direction (LIcon 5c) [erase pdiodec/a,pdiode pdiodec]" + + surround nsc/a *nsd 120 directional "N-tap overlap of N-tap contact < %d in one direction (LIcon 7) [erase nsubstratencontact/a,nsubstratencontact nsubstratendiff]" + surround psc/a *psd 120 directional "P-tap overlap of P-tap contact < %d in one direction (LIcon 7) [erase psubstratepcontact/a,psubstratepcontact psubstratepdiff]" + + surround mvndc/a *mvndiff,mvnfet 40 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (LIcon 5a) [erase mvndcontact/a,mvndcontact mvndiffusion mvntransistor]" + surround mvpdc/a *mvpdiff,mvpfet 40 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (LIcon 5a) [erase mvpdcontact/a,mvpdcontact mvpdiffusion mvptransistor]" + surround mvndic/a *mvndi 40 absence_illegal "N-diode overlap of N-diode contact < %d (LIcon 5a) [erase mvndiodec/a,mvndiode mvndiodec]" + surround mvpdic/a *mvpdi 40 absence_illegal "P-diode overlap of N-diode contact < %d (LIcon 5a) [erase mvpdiodec/a,mvpdiode mvpdiodec]" + + surround mvndc/a *mvndiff,mvnfet 60 directional "N-diffusion overlap of N-diffusion contact < %d in one direction (LIcon 5c) [erase mvndcontact/a,mvndcontact mvndiffusion mvntransistor]" + surround mvpdc/a *mvpdiff,mvpfet 60 directional "P-diffusion overlap of P-diffusion contact < %d in one direction (LIcon 5c) [erase mvpdcontact/a,mvpdcontact mvpdiffusion mvptransistor]" + surround mvndic/a *mvndi 60 directional "N-diode overlap of N-diode contact < %d in one direction (LIcon 5c) [erase mvndiodec/a,mvndiode mvndiodec]" + surround mvpdic/a *mvpdi 60 directional "P-diode overlap of N-diode contact < %d in one direction (LIcon 5c) [erase mvpdiodec/a,mvpdiode mvpdiodec]" + + surround mvnsc/a *mvnsd 120 directional "N-tap overlap of N-tap contact < %d in one direction (LIcon 7) [erase mvnsubstratencontact/a,mvnsubstratencontact mvnsubstratendiff]" + surround mvpsc/a *mvpsd 120 directional "P-tap overlap of P-tap contact < %d in one direction (LIcon 7) [erase mvpsubstratepcontact/a,mvpsubstratepcontact mvpsubstratepdiff]" + + surround pc/a *poly,mrp1,xhrpoly,uhrpoly 50 absence_illegal "Poly overlap of poly contact < %d (LIcon 8) [erase pcontact/a,npolyres pcontact polysilicon ppolyres xpolyres]" + surround pc/a *poly,mrp1,xhrpoly,uhrpoly 80 directional "Poly overlap of poly contact < %d in one direction (LIcon 8a) [erase pcontact/a,npolyres pcontact polysilicon ppolyres xpolyres]" exact_overlap ndc/a,pdc/a,psc/a,nsc/a,pc/a,ndic/a,pdic/a exact_overlap mvndc/a,mvpdc/a,mvpsc/a,mvnsc/a,mvndic/a,mvpdic/a @@ -2951,11 +2901,10 @@ drc width *li,rli 170 "Local interconnect width < %d (LI 1)" width coreli 140 "Core local interconnect width < %d (LI c1)" - spacing allli allli,*obsli 170 touching_ok "Local interconnect spacing < %d (LI 3)" - spacing coreli allli,*obsli 140 touching_ok "Core local interconnect spacing < %d (LI c2)" + spacing allli allli,*obsli 170 touching_ok "Local interconnect spacing < %d (LI 3) [erase corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact,corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact obsli1 obslicon pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact]" + spacing coreli allli,*obsli 140 touching_ok "Core local interconnect spacing < %d (LI c2) [erase corelocali,corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact obsli1 obslicon pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact]" - surround pc/li *li 80 directional \ - "Local interconnect overlap of poly contact < %d in one direction (LI 5)" + surround pc/li *li 80 directional "Local interconnect overlap of poly contact < %d in one direction (LI 5) [erase pcontact/li,licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact xpolycontact]" surround ndc/li,nsc/li,pdc/li,psc/li,ndic/li,pdic/li,mvndc/li,mvnsc/li,mvpdc/li,mvpsc/li,mvndic/li,mvpdic/li \ *li,rli 80 directional \ @@ -2968,7 +2917,7 @@ drc #------------------------------------------------------------- width lic/m1 170 "Mcon width < %d (Mcon 1)" - spacing lic/m1 lic/m1,obslic/m1 170 touching_ok "Mcon spacing < %d (Mcon 2)" + spacing lic/m1 lic/m1,obslic/m1 170 touching_ok "Mcon spacing < %d (Mcon 2) [erase licon/m1,licon/m1 obslicon/m1]" exact_overlap lic/m1 @@ -2977,13 +2926,11 @@ drc #------------------------------------------------------------- width *m1,rm1 140 "Metal1 width < %d (Met1 1)" - spacing allm1 allm1,*obsm1 140 touching_ok "Metal1 spacing < %d (Met1 2)" + spacing allm1 allm1,*obsm1 140 touching_ok "Metal1 spacing < %d (Met1 2) [erase licon m2contact metal1 padl rmetal1,licon m2contact metal1 obslicon obsm1 padl rmetal1]" area allm1,*obsm1 83000 140 "Metal1 minimum area < %a (Met1 6)" - surround lic/m1 *met1 30 absence_illegal \ - "Metal1 overlap of local interconnect contact < %d (Met1 4)" - surround lic/m1 *met1 60 directional \ - "Metal1 overlap of local interconnect contact < %d in one direction (Met1 5)" + surround lic/m1 *met1 30 absence_illegal "Metal1 overlap of local interconnect contact < %d (Met1 4) [erase licon/m1,licon m2contact metal1 padl]" + surround lic/m1 *met1 60 directional "Metal1 overlap of local interconnect contact < %d in one direction (Met1 5) [erase licon/m1,licon m2contact metal1 padl]" variants (fast),(full) widespacing allm1 3000 allm1,*obsm1 280 touching_ok \ @@ -3001,11 +2948,9 @@ variants * #-------------------------------------------------- width v1/m1 260 "Via1 width < %d (Via 1a + 2 * Via 4a)" - spacing v1 v1 60 touching_ok "Via1 spacing < %d (Via 2 - 2 * Via 4a)" - surround v1/m1 *m1 30 directional \ - "Metal1 overlap of Via1 < %d in one direction (Via 5a - Via 4a)" - surround v1/m2 *m2 30 directional \ - "Metal2 overlap of Via1 < %d in one direction (Met2 5 - Met2 4)" + spacing v1 v1 60 touching_ok "Via1 spacing < %d (Via 2 - 2 * Via 4a) [erase m2contact]" + surround v1/m1 *m1 30 directional "Metal1 overlap of Via1 < %d in one direction (Via 5a - Via 4a) [erase m2contact/m1,licon m2contact metal1 padl]" + surround v1/m2 *m2 30 directional "Metal2 overlap of Via1 < %d in one direction (Met2 5 - Met2 4) [erase m2contact/m2,m2contact m3contact metal2 padl]" exact_overlap v1/m2 @@ -3014,7 +2959,7 @@ variants * #-------------------------------------------------- width allm2 140 "Metal2 width < %d (Met2 1)" - spacing allm2 allm2,obsm2 140 touching_ok "Metal2 spacing < %d (Met2 2)" + spacing allm2 allm2,obsm2 140 touching_ok "Metal2 spacing < %d (Met2 2) [erase m2contact m3contact metal2 padl rmetal2,m2contact m3contact metal2 obsm2 padl rmetal2]" area allm2,obsm2 67600 140 "Metal2 minimum area < %a (Met2 6)" variants (fast),(full) @@ -3034,11 +2979,10 @@ variants * width v2/m2 280 "Via2 width < %d (Via2 1a + 2 * Via2 4)" - spacing v2 v2 120 touching_ok "Via2 spacing < 0.24um (Via2 2 - 2 * Via2 4)" + spacing v2 v2 120 touching_ok "Via2 spacing < 0.24um (Via2 2 - 2 * Via2 4) [erase m3contact]" - surround v2/m2 *m2 45 directional \ - "Metal2 overlap of Via2 < %d in one direction (Via2 4a - Via2 4)" - surround v2/m3 *m3 25 absence_illegal "Metal3 overlap of Via2 < %d (Met3 4)" + surround v2/m2 *m2 45 directional "Metal2 overlap of Via2 < %d in one direction (Via2 4a - Via2 4) [erase m3contact/m2,m2contact m3contact metal2 padl]" + surround v2/m3 *m3 25 absence_illegal "Metal3 overlap of Via2 < %d (Met3 4) [erase m3contact/m3,m3contact metal3 padl via3]" exact_overlap v2/m2 @@ -3047,7 +2991,7 @@ variants * #-------------------------------------------------- width allm3 300 "Metal3 width < %d (Met3 1)" - spacing allm3 allm3,obsm3 300 touching_ok "Metal3 spacing < %d (Met3 2)" + spacing allm3 allm3,obsm3 300 touching_ok "Metal3 spacing < %d (Met3 2) [erase m3contact metal3 padl rmetal3 via3,m3contact metal3 obsm3 padl rmetal3 via3]" area allm3,obsm3 240000 300 "Metal3 minimum area < %a (Met3 6)" variants (fast),(full) @@ -3063,11 +3007,9 @@ variants * #-------------------------------------------------- width v3/m3 320 "Via3 width < %d (Via3 1 + 2 * Via3 4)" - spacing v3 v3 80 touching_ok "Via3 spacing < %d (Via3 2 - 2 * Via3 4)" - surround v3/m3 *m3 30 directional \ - "Metal3 overlap of Via3 in one direction < %d (Via3 5 - Via3 4)" - surround v3/m4 *m4 5 absence_illegal \ - "Metal4 overlap of Via3 < %d (Met4 3 - Via3 4)" + spacing v3 v3 80 touching_ok "Via3 spacing < %d (Via3 2 - 2 * Via3 4) [erase via3]" + surround v3/m3 *m3 30 directional "Metal3 overlap of Via3 in one direction < %d (Via3 5 - Via3 4) [erase via3/m3,m3contact metal3 padl via3]" + surround v3/m4 *m4 5 absence_illegal "Metal4 overlap of Via3 < %d (Met4 3 - Via3 4) [erase via3/m4,metal4 mimcapcontact padl via3 via4]" exact_overlap v3/m3 @@ -3078,7 +3020,7 @@ variants * variants * width allm4 300 "Metal4 width < %d (Met4 1)" - spacing allm4 allm4,obsm4 300 touching_ok "Metal4 spacing < %d (Met4 2)" + spacing allm4 allm4,obsm4 300 touching_ok "Metal4 spacing < %d (Met4 2) [erase metal4 mimcapcontact padl rmetal4 via3 via4,metal4 mimcapcontact obsm4 padl rmetal4 via3 via4]" area allm4,obsm4 240000 300 "Metal4 minimum area < %a (Met4 4a)" variants (fast),(full) @@ -3093,9 +3035,8 @@ variants * #-------------------------------------------------- width v4/m4 1180 "Via4 width < %d (Via4 1 + 2 * Via4 4)" - spacing v4 v4 420 touching_ok "Via4 spacing < %d (Via4 2 - 2 * Via4 4)" - surround v4/m5 *m5 120 absence_illegal \ - "Metal5 overlap of Via4 < %d (Met5 3 - Via4 4)" + spacing v4 v4 420 touching_ok "Via4 spacing < %d (Via4 2 - 2 * Via4 4) [erase via4]" + surround v4/m5 *m5 120 absence_illegal "Metal5 overlap of Via4 < %d (Met5 3 - Via4 4) [erase via4/m5,metal5 mimcap2contact padl via4]" exact_overlap v4/m4 @@ -3104,7 +3045,7 @@ variants * #----------------------------- width allm5 1600 "Metal5 width < %d (Met5 1)" - spacing allm5 allm5,obsm5 1600 touching_ok "Metal5 spacing < %d (Met5 2)" + spacing allm5 allm5,obsm5 1600 touching_ok "Metal5 spacing < %d (Met5 2) [erase metal5 mimcap2contact padl rmetal5 via4,metal5 mimcap2contact obsm5 padl rmetal5 via4]" area allm5,obsm5 4000000 1600 "Metal5 minimum area < %a (Met5 4)" @@ -3117,10 +3058,8 @@ variants * # Except: Note that standard cells allow transistor width minimum 0.36um width pfetlvt 350 "LVT PMOS gate length < %d (Poly 1b)" - spacing *nsd,*mvnsd allpolynonfet 55 touching_illegal \ - "N-tap spacing to field poly < %d (Poly 5)" - spacing *psd,*mvpsd allpolynonfet 55 touching_illegal \ - "P-tap spacing to field poly < %d (Poly 5)" + spacing *nsd,*mvnsd allpolynonfet 55 touching_illegal "N-tap spacing to field poly < %d (Poly 5) [erase mvnsubstratencontact mvnsubstratendiff nsubstratencontact nsubstratendiff,npolyres pcontact polysilicon ppolyres rmp xpolycontact xpolyres]" + spacing *psd,*mvpsd allpolynonfet 55 touching_illegal "P-tap spacing to field poly < %d (Poly 5) [erase mvpsubstratepcontact mvpsubstratepdiff psubstratepcontact psubstratepdiff,npolyres pcontact polysilicon ppolyres rmp xpolycontact xpolyres]" # Full edge rule required to describe FET to butted tap distance edge4way *psd *ndiff 300 *ndiff *psd 300 \ @@ -3133,18 +3072,14 @@ variants * "Butting MV N-tap spacing to MV PMOS gate < %d (Poly 6)" # No LV FETs in HV diff - spacing pfet,scpfet,pfetlvt,pfethvt,*pdiff *mvpdiff 360 touching_illegal \ - "LV P-diffusion to MV P-diffusion < %d (Diff/tap 23 + Diff/tap 22)" + spacing pfet,scpfet,pfetlvt,pfethvt,*pdiff *mvpdiff 360 touching_illegal "LV P-diffusion to MV P-diffusion < %d (Diff/tap 23 + Diff/tap 22) [erase pdcontact pdiffusion pfethvt pfetlvt ptransistor scptransistor,mvpdcontact mvpdiffusion]" - spacing nfet,scnfet,nfetlvt,varactor,varhvt,*ndiff *mvndiff 360 touching_illegal \ - "LV N-diffusion to MV N-diffusion < %d (Diff/tap 23 + Diff/tap 22)" + spacing nfet,scnfet,nfetlvt,varactor,varhvt,*ndiff *mvndiff 360 touching_illegal "LV N-diffusion to MV N-diffusion < %d (Diff/tap 23 + Diff/tap 22) [erase ndcontact ndiffusion nfetlvt ntransistor scntransistor varactor varactorhvt,mvndcontact mvndiffusion]" # No HV FETs in LV diff - spacing mvpfet,*mvpdiff *pdiff 360 touching_illegal \ - "MV P-diffusion to LV P-diffusion < %d (Diff/tap 23 + Diff/tap 22)" + spacing mvpfet,*mvpdiff *pdiff 360 touching_illegal "MV P-diffusion to LV P-diffusion < %d (Diff/tap 23 + Diff/tap 22) [erase mvpdcontact mvpdiffusion mvptransistor,pdcontact pdiffusion]" - spacing mvnfet,mvvaractor,*mvndiff *ndiff 360 touching_illegal \ - "MV N-diffusion to LV N-diffusion < %d (Diff/tap 23 + Diff/tap 22)" + spacing mvnfet,mvvaractor,*mvndiff *ndiff 360 touching_illegal "MV N-diffusion to LV N-diffusion < %d (Diff/tap 23 + Diff/tap 22) [erase mvndcontact mvndiffusion mvntransistor mvvaractor,ndcontact ndiffusion]" # Minimum length of MV FETs. Note that this is larger than the minimum # width (0.29um), so an edge rule is required @@ -3176,8 +3111,7 @@ variants * #-------------------------------------------------- width uhrpoly 350 "uhrpoly resistor width < %d" - spacing xhrpoly,uhrpoly,xpc alldiff 480 touching_illegal \ - "xhrpoly/uhrpoly resistor spacing to diffusion < %d (Poly 9)" + spacing xhrpoly,uhrpoly,xpc alldiff 480 touching_illegal "xhrpoly/uhrpoly resistor spacing to diffusion < %d (Poly 9) [erase ppolyres xpolycontact xpolyres,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt psubstratepcontact psubstratepdiff ptransistor scntransistor scptransistor]" #------------------------------------ # MOS Varactor device rules @@ -3197,17 +3131,14 @@ variants * #----------------------------------------------------------- width *mimcap 2000 "MiM cap width < %d (Capm 1)" - spacing *mimcap *mimcap 840 touching_ok "MiM cap spacing < %d (Capm 2a)" - spacing *mimcap via2/m3 1270 touching_illegal \ - "MiM cap spacing to via2 < %d (Capm 5)" - surround *mimcc *mimcap 200 absence_illegal \ - "MiM cap must surround MiM cap contact by %d (Capm 4)" + spacing *mimcap *mimcap 840 touching_ok "MiM cap spacing < %d (Capm 2a) [erase mimcap mimcapcontact]" + spacing *mimcap via2/m3 1270 touching_illegal "MiM cap spacing to via2 < %d (Capm 5) [erase mimcap mimcapcontact,m3contact/m3]" + surround *mimcc *mimcap 200 absence_illegal "MiM cap must surround MiM cap contact by %d (Capm 4) [erase mimcapcontact,mimcap mimcapcontact]" rect_only *mimcap "MiM cap must be rectangular (Capm 7) - surround *mimcap *metal3/m3 140 absence_illegal \ - "Metal3 must surround MiM cap by %d (Capm 3)" - spacing via2 *mimcap 50 touching_illegal "MiM cap cannot overlap via2 (Capm 8)" - spacing via3 *mimcap 50 touching_illegal "MiM cap cannot overlap via3 (Capm 8)" + surround *mimcap *metal3/m3 140 absence_illegal "Metal3 must surround MiM cap by %d (Capm 3) [erase mimcap mimcapcontact,m3contact/m3 metal3/m3 padl/m3 via3/m3]" + spacing via2 *mimcap 50 touching_illegal "MiM cap cannot overlap via2 (Capm 8) [erase m3contact,mimcap mimcapcontact]" + spacing via3 *mimcap 50 touching_illegal "MiM cap cannot overlap via3 (Capm 8) [erase via3,mimcap mimcapcontact]" # (resolve scaling issue!) # cifspacing mim_bottom mim_bottom 1200 touching_ok \ # "MiM cap bottom plate spacing < %d (Capm 2b)" @@ -3215,23 +3146,19 @@ variants * # MiM cap contact rules (VIA3) width mimcc/m3 320 "MiM cap contact width < %d (Via3 1 + 2 * Via3 4)" - spacing mimcc mimcc 80 touching_ok "MiM cap contact spacing < %d (Via3 2 - 2 * Via3 4)" - surround mimcc/m4 *m4 5 directional \ - "Metal4 overlap of MiM cap contact in one direction < %d (Met4 3 - Via3 4)" + spacing mimcc mimcc 80 touching_ok "MiM cap contact spacing < %d (Via3 2 - 2 * Via3 4) [erase mimcapcontact]" + surround mimcc/m4 *m4 5 directional "Metal4 overlap of MiM cap contact in one direction < %d (Met4 3 - Via3 4) [erase mimcapcontact/m4,metal4 mimcapcontact padl via3 via4]" exact_overlap mimcc/m3 width *mimcap2 2000 "MiM cap width < %d (Cap2m 1)" - spacing *mimcap2 *mimcap2 840 touching_ok "MiM cap spacing < %d (Cap2m 2a)" - spacing *mimcap2 via3/m4 1270 touching_illegal \ - "MiM cap spacing to via3 < %d (Cap2m 5)" - surround *mim2cc *mimcap2 200 absence_illegal \ - "MiM cap must surround MiM cap contact by %d (Cap2m 4)" + spacing *mimcap2 *mimcap2 840 touching_ok "MiM cap spacing < %d (Cap2m 2a) [erase mimcap2 mimcap2contact]" + spacing *mimcap2 via3/m4 1270 touching_illegal "MiM cap spacing to via3 < %d (Cap2m 5) [erase mimcap2 mimcap2contact,via3/m4]" + surround *mim2cc *mimcap2 200 absence_illegal "MiM cap must surround MiM cap contact by %d (Cap2m 4) [erase mimcap2contact,mimcap2 mimcap2contact]" rect_only *mimcap2 "MiM cap must be rectangular (Cap2m 7) - surround *mimcap2 *metal4/m4 140 absence_illegal \ - "Metal4 must surround MiM cap by %d (Cap2m 3)" - spacing via3 *mimcap2 50 touching_illegal "MiM cap cannot overlap via3 (Cap2m 8)" - spacing via4 *mimcap2 50 touching_illegal "MiM cap cannot overlap via4 (Cap2m 8)" + surround *mimcap2 *metal4/m4 140 absence_illegal "Metal4 must surround MiM cap by %d (Cap2m 3) [erase mimcap2 mimcap2contact,metal4/m4 mimcapcontact/m4 padl/m4 via3/m4 via4/m4]" + spacing via3 *mimcap2 50 touching_illegal "MiM cap cannot overlap via3 (Cap2m 8) [erase via3,mimcap2 mimcap2contact]" + spacing via4 *mimcap2 50 touching_illegal "MiM cap cannot overlap via4 (Cap2m 8) [erase via4,mimcap2 mimcap2contact]" # (resolve scaling issue!) # cifspacing mim2_bottom mim2_bottom 1200 touching_ok \ # "MiM2 cap bottom plate spacing < %d (Cap2m 2b)" @@ -3239,10 +3166,8 @@ variants * # MiM cap contact rules (VIA4) width mim2cc/m4 1180 "MiM2 cap contact width < %d (Via4 1 + 2 * Via4 4)" - spacing mim2cc mim2cc 420 touching_ok \ - "MiM2 cap contact spacing < %d (Via4 2 - 2 * Via4 4)" - surround mim2cc/m5 *m5 120 absence_illegal \ - "Metal5 overlap of MiM2 cap contact < %d (Met5 3 - Via4 4)" + spacing mim2cc mim2cc 420 touching_ok "MiM2 cap contact spacing < %d (Via4 2 - 2 * Via4 4) [erase mimcap2contact]" + surround mim2cc/m5 *m5 120 absence_illegal "Metal5 overlap of MiM2 cap contact < %d (Met5 3 - Via4 4) [erase mimcap2contact/m5,metal5 mimcap2contact padl via4]" exact_overlap mim2cc/m4 From c93b1b0eb0159a3da653b83fd7345d55830752ed Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 9 Jun 2021 01:22:14 +0200 Subject: [PATCH 376/673] Fixed nwell shape Tried to add well tap support --- Tech.SKY130/librecell_tech.py | 25 ++++++++++++++++++------- 1 file changed, 18 insertions(+), 7 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index cb8720de..8f9e5ecf 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -48,6 +48,9 @@ my_metal2_pin = (69, 16) my_abutment_box = (235, 4) # prBndry ??? +#my_pplus = (65,44) # TAP.DRAWING +#my_nplus = (65,44) # TAP.DRAWING + # lclayout internally uses its own layer numbering scheme. # For the final output the layers can be remapped with a mapping # defined in this dictioinary. @@ -67,7 +70,9 @@ l_metal2: my_metal1, # Metal2 from lclayout gets met1 from SKY130 l_metal2_label: my_metal1_label, l_metal2_pin: my_metal1_pin, - l_abutment_box: my_abutment_box + l_abutment_box: my_abutment_box, +# l_pplus: my_pplus, +# l_nplus: my_nplus } # Define a list of output writers. @@ -90,7 +95,9 @@ l_pdiffusion: 'pdiffusion', l_poly_contact: 'polycont', l_pdiff_contact: 'pdiffc', - l_ndiff_contact: 'ndiffc' + l_ndiff_contact: 'ndiffc', +# l_nplus: 'allnactivetap', +# l_pplus: 'allpactivetap' } ), @@ -149,7 +156,8 @@ #(l_via1, l_pdiffusion): 2*l, # NO RULES FOR MCON-DIFF spacing found (l_poly_contact, l_pdiff_contact): 170*nm, # (licon.2) (l_poly_contact, l_ndiff_contact): 170*nm, # (licon.2) - +# (l_ndiffusion, l_pplus): 75*nm, +# (l_pdiffusion, l_nplus): 75*nm, } # Layer for the pins. @@ -245,14 +253,17 @@ (l_metal1, l_pdiff_contact): 80*nm, # (li.5) (l_metal1, l_ndiff_contact): 80*nm, # (li.5) (l_metal1, l_poly_contact): 80*nm, # (li.5) - (l_metal1, l_via1): 0,# (ct.4) + (l_metal1, l_via1): 0*nm, # this was 0,# (ct.4) (l_metal2, l_via1): 60*nm,# (m1.4) # l_*well must overlap l_*diffusion - (l_nwell, l_pdiffusion): 180*nm+130*nm, # (difftap.8) +# (l_nwell, l_pdiffusion): 180*nm+130*nm, # (difftap.8) # This causes notches, I am trying to get rid of them (l_pwell, l_ndiffusion): 180*nm, # (difftap.8) (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment (l_abutment_box, l_pwell): 0, +# (l_nplus, l_ndiff_contact): 80*nm, # Implicitly encodes the size of well taps. +# (l_pplus, l_pdiff_contact): 80*nm, # Implicitly encodes the size of well taps. + } # Minimum notch rules. @@ -300,8 +311,8 @@ (l_metal1, l_pdiffusion): 15000, # LICON (l_metal1, l_poly): 15000, # LICON (l_metal1, l_metal2): 152000, # MCON - (l_metal1, l_nplus): 1, # Contact to Well Taps, the value doesn't matter - (l_metal1, l_nplus): 1, +# (l_metal1, l_nplus): 1, # Contact to Well Taps, the value doesn't matter +# (l_metal1, l_pplus): 1, } From 0aeb5af5505afb06d1f343777234c07169217288 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 9 Jun 2021 01:23:32 +0200 Subject: [PATCH 377/673] Fixed namespace for container --- Docker/build.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Docker/build.sh b/Docker/build.sh index c977aadf..74b0ca9a 100644 --- a/Docker/build.sh +++ b/Docker/build.sh @@ -1 +1 @@ -docker build --tag stdcelllib . +docker build --tag thesourcerer8/stdcelllib . From d570162a392535af4dd70d288d1624220e95d518 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 10 Jun 2021 01:21:46 +0200 Subject: [PATCH 378/673] Changed correction naming convention --- Tools/perl/drcfixall.pl | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/Tools/perl/drcfixall.pl b/Tools/perl/drcfixall.pl index d0013357..98c3844f 100755 --- a/Tools/perl/drcfixall.pl +++ b/Tools/perl/drcfixall.pl @@ -9,6 +9,7 @@ ($) foreach my $magfile (<*.mag>) { + next if($magfile=~m/^corr_/); # Ignore correction files my $cellname=$magfile; $cellname=~s/\.mag$//; step("NEXT STEP: DRC Check with Magic"); @@ -20,15 +21,15 @@ ($) step("NEXT STEP: DRC Check with Magic"); system "../Tools/perl/drccheck.pl $cellname.mag $tech"; - if(-f "corr.$cellname.mag") + if(-f "corr_$cellname.mag") { unlink "$cellname.mag"; - rename "corr.$cellname.mag","$cellname.mag"; + rename "corr_$cellname.mag","$cellname.mag"; print "DRC errors in $cellname corrected. Now running final DRC check:\n"; step("NEXT STEP: Final DRC check"); system "../Tools/perl/drccheck.pl $cellname.mag $tech"; } - exit; + # exit; } From 367164c96c6995e1d3b50ac9c389c54e263a718e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 10 Jun 2021 01:41:29 +0200 Subject: [PATCH 379/673] Added annotations for minimum width rules --- Tech.SKY130/libresilicon.tech | 124 ++++++++++++++++++++++------------ 1 file changed, 82 insertions(+), 42 deletions(-) diff --git a/Tech.SKY130/libresilicon.tech b/Tech.SKY130/libresilicon.tech index 0c79a4f2..ace45be8 100644 --- a/Tech.SKY130/libresilicon.tech +++ b/Tech.SKY130/libresilicon.tech @@ -2723,7 +2723,8 @@ drc # DNWELL #----------------------------- - width dnwell 3000 "Deep N-well width < %d (Dnwell 2)" + # ORIGINAL RULE: width dnwell 3000 "Deep N-well width < %d (Dnwell 2)" + width dnwell 3000 "Deep N-well width < %d (Dnwell 2) [paint dnwell]" spacing dnwell dnwell 6300 touching_ok "Deep N-well spacing < %d (Dnwell 3) [erase dnwell]" spacing dnwell allnwell 4500 surround_ok "Deep N-well spacing to N-well < %d (Nwell 7) [erase dnwell,nwell obswell]" cifmaxwidth nwell_missing 0 bend_illegal \ @@ -2735,7 +2736,8 @@ drc # NWELL #----------------------------- - width allnwell 840 "N-well width < %d (Nwell 1)" + # ORIGINAL RULE: width allnwell 840 "N-well width < %d (Nwell 1)" + width allnwell 840 "N-well width < %d (Nwell 1) [paint nwell,obswell]" spacing allnwell allnwell 1270 touching_ok "N-well spacing < %d (Nwell 2a) [erase nwell obswell]" #----------------------------- @@ -2746,12 +2748,14 @@ drc 150 "Diffusion width < %d (Diff/tap 1)" width *mvndiff,mvnfet,mvnnfet,*mvndiode,*nndiode,mvndiffres,*mvpdiff,mvpfet,*mvpdiode 290 \ "MV Diffusion width < %d (Diff/tap 14)" - width *mvnsd,*mvpsd 150 "MV Tap width < %d (Diff/tap 1)" + # ORIGINAL RULE: width *mvnsd,*mvpsd 150 "MV Tap width < %d (Diff/tap 1)" + width *mvnsd,*mvpsd 150 "MV Tap width < %d (Diff/tap 1) [paint mvnsubstratencontact,mvnsubstratendiff,mvpsubstratepcontact,mvpsubstratepdiff]" extend *mvpsd *mvndiff 700 "MV Butting tap length < %d (Diff/tap 16)" extend *mvnsd *mvpdiff 700 "MV Butting tap length < %d (Diff/tap 16)" extend *psd *ndiff 290 "Butting tap length < %d (Diff/tap 4)" extend *nsd *pdiff 290 "Butting tap length < %d (Diff/tap 4)" - width mvpdiffres 150 "MV P-Diffusion resistor width < %d (Diff/tap 14a)" + # ORIGINAL RULE: width mvpdiffres 150 "MV P-Diffusion resistor width < %d (Diff/tap 14a)" + width mvpdiffres 150 "MV P-Diffusion resistor width < %d (Diff/tap 14a) [paint mvpdiffres]" spacing alldifflv,var,varhvt alldifflv,var,varhvt 270 touching_ok "Diffusion spacing < %d (Diff/tap 3) [erase ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt psubstratepcontact psubstratepdiff ptransistor scntransistor scptransistor varactor varactorhvt]" spacing alldiffmvnontap,mvvar alldiffmvnontap,mvvar 300 touching_ok "MV Diffusion spacing < %d (Diff/tap 15a) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvptransistor mvvaractor nndiode nndiodec]" spacing alldiffmv *mvnsd,*mvpsd 270 touching_ok "MV Diffusion to MV tap spacing < %d (Diff/tap 3) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor nndiode nndiodec,mvnsubstratencontact mvnsubstratendiff mvpsubstratepcontact mvpsubstratepdiff]" @@ -2803,7 +2807,8 @@ drc # POLY #----------------------------- - width allpoly 150 "Poly width < %d (Poly 1a)" + # ORIGINAL RULE: width allpoly 150 "Poly width < %d (Poly 1a)" + width allpoly 150 "Poly width < %d (Poly 1a) [paint mvnntransistor,mvntransistor,mvptransistor,mvvaractor,nfetlvt,npolyres,nsonos,ntransistor,pcontact,pfethvt,pfetlvt,polysilicon,ppolyres,ptransistor,rmp,scntransistor,scptransistor,varactor,varactorhvt,xpolycontact,xpolyres]" spacing allpoly allpoly 210 touching_ok "Poly spacing < %d (Poly 2) [erase mvnntransistor mvntransistor mvptransistor mvvaractor nfetlvt npolyres nsonos ntransistor pcontact pfethvt pfetlvt polysilicon ppolyres ptransistor rmp scntransistor scptransistor varactor varactorhvt xpolycontact xpolyres]" spacing allpolynonfet alldifflvnonfet 75 corner_ok allfets \ "Poly spacing to Diffusion < %d (Poly 4a)" @@ -2830,22 +2835,36 @@ drc # CONT (LICON, contact between poly/diff and LI) #-------------------------------------------------------------------- - width ndc/li 170 "N-diffusion contact width < %d (LIcon 1)" - width nsc/li 170 "N-tap contact width < %d (LIcon 1)" - width pdc/li 170 "P-diffusion contact width < %d (LIcon 1)" - width psc/li 170 "P-tap contact width < %d (LIcon 1)" - width ndic/li 170 "N-diode contact width < %d (LIcon 1)" - width pdic/li 170 "P-diode contact width < %d (LIcon 1)" - width pc/li 170 "Poly contact width < %d (LIcon 1)" - - width xpc/li 350 "Poly resistor contact width < %d (LIcon 1b + 2 * LI 5)" - - width mvndc/li 170 "N-diffusion contact width < %d (LIcon 1)" - width mvnsc/li 170 "N-tap contact width < %d (LIcon 1)" - width mvpdc/li 170 "P-diffusion contact width < %d (LIcon 1)" - width mvpsc/li 170 "P-tap contact width < %d (LIcon 1)" - width mvndic/li 170 "N-diode contact width < %d (LIcon 1)" - width mvpdic/li 170 "P-diode contact width < %d (LIcon 1)" + # ORIGINAL RULE: width ndc/li 170 "N-diffusion contact width < %d (LIcon 1)" + width ndc/li 170 "N-diffusion contact width < %d (LIcon 1) [paint ndcontact/li]" + # ORIGINAL RULE: width nsc/li 170 "N-tap contact width < %d (LIcon 1)" + width nsc/li 170 "N-tap contact width < %d (LIcon 1) [paint nsubstratencontact/li]" + # ORIGINAL RULE: width pdc/li 170 "P-diffusion contact width < %d (LIcon 1)" + width pdc/li 170 "P-diffusion contact width < %d (LIcon 1) [paint pdcontact/li]" + # ORIGINAL RULE: width psc/li 170 "P-tap contact width < %d (LIcon 1)" + width psc/li 170 "P-tap contact width < %d (LIcon 1) [paint psubstratepcontact/li]" + # ORIGINAL RULE: width ndic/li 170 "N-diode contact width < %d (LIcon 1)" + width ndic/li 170 "N-diode contact width < %d (LIcon 1) [paint ndiodec/li]" + # ORIGINAL RULE: width pdic/li 170 "P-diode contact width < %d (LIcon 1)" + width pdic/li 170 "P-diode contact width < %d (LIcon 1) [paint pdiodec/li]" + # ORIGINAL RULE: width pc/li 170 "Poly contact width < %d (LIcon 1)" + width pc/li 170 "Poly contact width < %d (LIcon 1) [paint pcontact/li]" + + # ORIGINAL RULE: width xpc/li 350 "Poly resistor contact width < %d (LIcon 1b + 2 * LI 5)" + width xpc/li 350 "Poly resistor contact width < %d (LIcon 1b + 2 * LI 5) [paint xpolycontact/li]" + + # ORIGINAL RULE: width mvndc/li 170 "N-diffusion contact width < %d (LIcon 1)" + width mvndc/li 170 "N-diffusion contact width < %d (LIcon 1) [paint mvndcontact/li]" + # ORIGINAL RULE: width mvnsc/li 170 "N-tap contact width < %d (LIcon 1)" + width mvnsc/li 170 "N-tap contact width < %d (LIcon 1) [paint mvnsubstratencontact/li]" + # ORIGINAL RULE: width mvpdc/li 170 "P-diffusion contact width < %d (LIcon 1)" + width mvpdc/li 170 "P-diffusion contact width < %d (LIcon 1) [paint mvpdcontact/li]" + # ORIGINAL RULE: width mvpsc/li 170 "P-tap contact width < %d (LIcon 1)" + width mvpsc/li 170 "P-tap contact width < %d (LIcon 1) [paint mvpsubstratepcontact/li]" + # ORIGINAL RULE: width mvndic/li 170 "N-diode contact width < %d (LIcon 1)" + width mvndic/li 170 "N-diode contact width < %d (LIcon 1) [paint mvndiodec/li]" + # ORIGINAL RULE: width mvpdic/li 170 "P-diode contact width < %d (LIcon 1)" + width mvpdic/li 170 "P-diode contact width < %d (LIcon 1) [paint mvpdiodec/li]" spacing allpdiffcont allndiffcont 170 touching_illegal "Diffusion contact spacing < %d (LIcon 2) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact,mvndcontact mvndiodec mvnsubstratencontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact]" spacing allndiffcont allndiffcont 170 touching_ok "Diffusion contact spacing < %d (LIcon 2) [erase mvndcontact mvndiodec mvnsubstratencontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact]" @@ -2899,8 +2918,10 @@ drc # LI - Local interconnect layer #------------------------------------------------------------- - width *li,rli 170 "Local interconnect width < %d (LI 1)" - width coreli 140 "Core local interconnect width < %d (LI c1)" + # ORIGINAL RULE: width *li,rli 170 "Local interconnect width < %d (LI 1)" + width *li,rli 170 "Local interconnect width < %d (LI 1) [paint licon,locali,mvndcontact,mvndiodec,mvnsubstratencontact,mvpdcontact,mvpdiodec,mvpsubstratepcontact,ndcontact,ndiodec,ndiodelvtc,nndiodec,nsubstratencontact,pcontact,pdcontact,pdiodec,pdiodehvtc,pdiodelvtc,psubstratepcontact,rlocali,xpolycontact]" + # ORIGINAL RULE: width coreli 140 "Core local interconnect width < %d (LI c1)" + width coreli 140 "Core local interconnect width < %d (LI c1) [paint corelocali]" spacing allli allli,*obsli 170 touching_ok "Local interconnect spacing < %d (LI 3) [erase corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact,corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact obsli1 obslicon pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact]" spacing coreli allli,*obsli 140 touching_ok "Core local interconnect spacing < %d (LI c2) [erase corelocali,corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact obsli1 obslicon pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact]" @@ -2916,7 +2937,8 @@ drc # MCON - Contact between local interconnect and metal1 #------------------------------------------------------------- - width lic/m1 170 "Mcon width < %d (Mcon 1)" + # ORIGINAL RULE: width lic/m1 170 "Mcon width < %d (Mcon 1)" + width lic/m1 170 "Mcon width < %d (Mcon 1) [paint licon/m1]" spacing lic/m1 lic/m1,obslic/m1 170 touching_ok "Mcon spacing < %d (Mcon 2) [erase licon/m1,licon/m1 obslicon/m1]" exact_overlap lic/m1 @@ -2925,7 +2947,8 @@ drc # METAL1 - #------------------------------------------------------------- - width *m1,rm1 140 "Metal1 width < %d (Met1 1)" + # ORIGINAL RULE: width *m1,rm1 140 "Metal1 width < %d (Met1 1)" + width *m1,rm1 140 "Metal1 width < %d (Met1 1) [paint licon,m2contact,metal1,padl,rmetal1]" spacing allm1 allm1,*obsm1 140 touching_ok "Metal1 spacing < %d (Met1 2) [erase licon m2contact metal1 padl rmetal1,licon m2contact metal1 obslicon obsm1 padl rmetal1]" area allm1,*obsm1 83000 140 "Metal1 minimum area < %a (Met1 6)" @@ -2947,7 +2970,8 @@ variants * # VIA1 #-------------------------------------------------- - width v1/m1 260 "Via1 width < %d (Via 1a + 2 * Via 4a)" + # ORIGINAL RULE: width v1/m1 260 "Via1 width < %d (Via 1a + 2 * Via 4a)" + width v1/m1 260 "Via1 width < %d (Via 1a + 2 * Via 4a) [paint m2contact/m1]" spacing v1 v1 60 touching_ok "Via1 spacing < %d (Via 2 - 2 * Via 4a) [erase m2contact]" surround v1/m1 *m1 30 directional "Metal1 overlap of Via1 < %d in one direction (Via 5a - Via 4a) [erase m2contact/m1,licon m2contact metal1 padl]" surround v1/m2 *m2 30 directional "Metal2 overlap of Via1 < %d in one direction (Met2 5 - Met2 4) [erase m2contact/m2,m2contact m3contact metal2 padl]" @@ -2958,7 +2982,8 @@ variants * # METAL2 - #-------------------------------------------------- - width allm2 140 "Metal2 width < %d (Met2 1)" + # ORIGINAL RULE: width allm2 140 "Metal2 width < %d (Met2 1)" + width allm2 140 "Metal2 width < %d (Met2 1) [paint m2contact,m3contact,metal2,padl,rmetal2]" spacing allm2 allm2,obsm2 140 touching_ok "Metal2 spacing < %d (Met2 2) [erase m2contact m3contact metal2 padl rmetal2,m2contact m3contact metal2 obsm2 padl rmetal2]" area allm2,obsm2 67600 140 "Metal2 minimum area < %a (Met2 6)" @@ -2977,7 +3002,8 @@ variants * # VIA2 #-------------------------------------------------- - width v2/m2 280 "Via2 width < %d (Via2 1a + 2 * Via2 4)" + # ORIGINAL RULE: width v2/m2 280 "Via2 width < %d (Via2 1a + 2 * Via2 4)" + width v2/m2 280 "Via2 width < %d (Via2 1a + 2 * Via2 4) [paint m3contact/m2]" spacing v2 v2 120 touching_ok "Via2 spacing < 0.24um (Via2 2 - 2 * Via2 4) [erase m3contact]" @@ -2990,7 +3016,8 @@ variants * # METAL3 - #-------------------------------------------------- - width allm3 300 "Metal3 width < %d (Met3 1)" + # ORIGINAL RULE: width allm3 300 "Metal3 width < %d (Met3 1)" + width allm3 300 "Metal3 width < %d (Met3 1) [paint m3contact,metal3,padl,rmetal3,via3]" spacing allm3 allm3,obsm3 300 touching_ok "Metal3 spacing < %d (Met3 2) [erase m3contact metal3 padl rmetal3 via3,m3contact metal3 obsm3 padl rmetal3 via3]" area allm3,obsm3 240000 300 "Metal3 minimum area < %a (Met3 6)" @@ -3006,7 +3033,8 @@ variants * # VIA3 - Requires 1 Module #-------------------------------------------------- - width v3/m3 320 "Via3 width < %d (Via3 1 + 2 * Via3 4)" + # ORIGINAL RULE: width v3/m3 320 "Via3 width < %d (Via3 1 + 2 * Via3 4)" + width v3/m3 320 "Via3 width < %d (Via3 1 + 2 * Via3 4) [paint via3/m3]" spacing v3 v3 80 touching_ok "Via3 spacing < %d (Via3 2 - 2 * Via3 4) [erase via3]" surround v3/m3 *m3 30 directional "Metal3 overlap of Via3 in one direction < %d (Via3 5 - Via3 4) [erase via3/m3,m3contact metal3 padl via3]" surround v3/m4 *m4 5 absence_illegal "Metal4 overlap of Via3 < %d (Met4 3 - Via3 4) [erase via3/m4,metal4 mimcapcontact padl via3 via4]" @@ -3019,7 +3047,8 @@ variants * variants * - width allm4 300 "Metal4 width < %d (Met4 1)" + # ORIGINAL RULE: width allm4 300 "Metal4 width < %d (Met4 1)" + width allm4 300 "Metal4 width < %d (Met4 1) [paint metal4,mimcapcontact,padl,rmetal4,via3,via4]" spacing allm4 allm4,obsm4 300 touching_ok "Metal4 spacing < %d (Met4 2) [erase metal4 mimcapcontact padl rmetal4 via3 via4,metal4 mimcapcontact obsm4 padl rmetal4 via3 via4]" area allm4,obsm4 240000 300 "Metal4 minimum area < %a (Met4 4a)" @@ -3034,7 +3063,8 @@ variants * # VIA4 - Requires 1 Module #-------------------------------------------------- - width v4/m4 1180 "Via4 width < %d (Via4 1 + 2 * Via4 4)" + # ORIGINAL RULE: width v4/m4 1180 "Via4 width < %d (Via4 1 + 2 * Via4 4)" + width v4/m4 1180 "Via4 width < %d (Via4 1 + 2 * Via4 4) [paint via4/m4]" spacing v4 v4 420 touching_ok "Via4 spacing < %d (Via4 2 - 2 * Via4 4) [erase via4]" surround v4/m5 *m5 120 absence_illegal "Metal5 overlap of Via4 < %d (Met5 3 - Via4 4) [erase via4/m5,metal5 mimcap2contact padl via4]" @@ -3044,7 +3074,8 @@ variants * # 1 - 1 Module #----------------------------- - width allm5 1600 "Metal5 width < %d (Met5 1)" + # ORIGINAL RULE: width allm5 1600 "Metal5 width < %d (Met5 1)" + width allm5 1600 "Metal5 width < %d (Met5 1) [paint metal5,mimcap2contact,padl,rmetal5,via4]" spacing allm5 allm5,obsm5 1600 touching_ok "Metal5 spacing < %d (Met5 2) [erase metal5 mimcap2contact padl rmetal5 via4,metal5 mimcap2contact obsm5 padl rmetal5 via4]" area allm5,obsm5 4000000 1600 "Metal5 minimum area < %a (Met5 4)" @@ -3056,7 +3087,8 @@ variants * extend allfets *poly 420 "Transistor width < %d (Diff/tap 2)" # Except: Note that standard cells allow transistor width minimum 0.36um - width pfetlvt 350 "LVT PMOS gate length < %d (Poly 1b)" + # ORIGINAL RULE: width pfetlvt 350 "LVT PMOS gate length < %d (Poly 1b)" + width pfetlvt 350 "LVT PMOS gate length < %d (Poly 1b) [paint pfetlvt]" spacing *nsd,*mvnsd allpolynonfet 55 touching_illegal "N-tap spacing to field poly < %d (Poly 5) [erase mvnsubstratencontact mvnsubstratendiff nsubstratencontact nsubstratendiff,npolyres pcontact polysilicon ppolyres rmp xpolycontact xpolyres]" spacing *psd,*mvpsd allpolynonfet 55 touching_illegal "P-tap spacing to field poly < %d (Poly 5) [erase mvpsubstratepcontact mvpsubstratepdiff psubstratepcontact psubstratepdiff,npolyres pcontact polysilicon ppolyres rmp xpolycontact xpolyres]" @@ -3097,20 +3129,23 @@ variants * # mrp1 (N+ poly resistor) #-------------------------------------------------- - width mrp1 330 "mrp1 resistor width < %d (Poly 3)" + # ORIGINAL RULE: width mrp1 330 "mrp1 resistor width < %d (Poly 3)" + width mrp1 330 "mrp1 resistor width < %d (Poly 3) [paint npolyres]" #-------------------------------------------------- # xhrpoly (P+ poly resistor) #-------------------------------------------------- - width xhrpoly 350 "xhrpoly resistor width < %d (P+ Poly 1a)" + # ORIGINAL RULE: width xhrpoly 350 "xhrpoly resistor width < %d (P+ Poly 1a)" + width xhrpoly 350 "xhrpoly resistor width < %d (P+ Poly 1a) [paint ppolyres]" # NOTE: xhrpoly resistor requires choice of discrete widths 0.35, 0.69, ... up to 1.27. #-------------------------------------------------- # uhrpoly (P+ poly resistor, 2kOhm/sq) #-------------------------------------------------- - width uhrpoly 350 "uhrpoly resistor width < %d" + # ORIGINAL RULE: width uhrpoly 350 "uhrpoly resistor width < %d" + width uhrpoly 350 "uhrpoly resistor width < %d [paint xpolyres]" spacing xhrpoly,uhrpoly,xpc alldiff 480 touching_illegal "xhrpoly/uhrpoly resistor spacing to diffusion < %d (Poly 9) [erase ppolyres xpolycontact xpolyres,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt psubstratepcontact psubstratepdiff ptransistor scntransistor scptransistor]" #------------------------------------ @@ -3123,14 +3158,16 @@ variants * overhang *mvnsd mvvar 250 \ "N-Tap overhang of Varactor < %d (Var 4)" - width var,varhvt,mvvar 180 "Varactor length < %d (Var 1)" + # ORIGINAL RULE: width var,varhvt,mvvar 180 "Varactor length < %d (Var 1)" + width var,varhvt,mvvar 180 "Varactor length < %d (Var 1) [paint mvvaractor,varactor,varactorhvt]" extend var,varhvt,mvvar *poly 1000 "Varactor width < %d (Var 2)" #----------------------------------------------------------- # MiM CAP (CAPM) - #----------------------------------------------------------- - width *mimcap 2000 "MiM cap width < %d (Capm 1)" + # ORIGINAL RULE: width *mimcap 2000 "MiM cap width < %d (Capm 1)" + width *mimcap 2000 "MiM cap width < %d (Capm 1) [paint mimcap,mimcapcontact]" spacing *mimcap *mimcap 840 touching_ok "MiM cap spacing < %d (Capm 2a) [erase mimcap mimcapcontact]" spacing *mimcap via2/m3 1270 touching_illegal "MiM cap spacing to via2 < %d (Capm 5) [erase mimcap mimcapcontact,m3contact/m3]" surround *mimcc *mimcap 200 absence_illegal "MiM cap must surround MiM cap contact by %d (Capm 4) [erase mimcapcontact,mimcap mimcapcontact]" @@ -3145,12 +3182,14 @@ variants * # MiM cap contact rules (VIA3) - width mimcc/m3 320 "MiM cap contact width < %d (Via3 1 + 2 * Via3 4)" + # ORIGINAL RULE: width mimcc/m3 320 "MiM cap contact width < %d (Via3 1 + 2 * Via3 4)" + width mimcc/m3 320 "MiM cap contact width < %d (Via3 1 + 2 * Via3 4) [paint mimcapcontact/m3]" spacing mimcc mimcc 80 touching_ok "MiM cap contact spacing < %d (Via3 2 - 2 * Via3 4) [erase mimcapcontact]" surround mimcc/m4 *m4 5 directional "Metal4 overlap of MiM cap contact in one direction < %d (Met4 3 - Via3 4) [erase mimcapcontact/m4,metal4 mimcapcontact padl via3 via4]" exact_overlap mimcc/m3 - width *mimcap2 2000 "MiM cap width < %d (Cap2m 1)" + # ORIGINAL RULE: width *mimcap2 2000 "MiM cap width < %d (Cap2m 1)" + width *mimcap2 2000 "MiM cap width < %d (Cap2m 1) [paint mimcap2,mimcap2contact]" spacing *mimcap2 *mimcap2 840 touching_ok "MiM cap spacing < %d (Cap2m 2a) [erase mimcap2 mimcap2contact]" spacing *mimcap2 via3/m4 1270 touching_illegal "MiM cap spacing to via3 < %d (Cap2m 5) [erase mimcap2 mimcap2contact,via3/m4]" surround *mim2cc *mimcap2 200 absence_illegal "MiM cap must surround MiM cap contact by %d (Cap2m 4) [erase mimcap2contact,mimcap2 mimcap2contact]" @@ -3165,7 +3204,8 @@ variants * # MiM cap contact rules (VIA4) - width mim2cc/m4 1180 "MiM2 cap contact width < %d (Via4 1 + 2 * Via4 4)" + # ORIGINAL RULE: width mim2cc/m4 1180 "MiM2 cap contact width < %d (Via4 1 + 2 * Via4 4)" + width mim2cc/m4 1180 "MiM2 cap contact width < %d (Via4 1 + 2 * Via4 4) [paint mimcap2contact/m4]" spacing mim2cc mim2cc 420 touching_ok "MiM2 cap contact spacing < %d (Via4 2 - 2 * Via4 4) [erase mimcap2contact]" surround mim2cc/m5 *m5 120 absence_illegal "Metal5 overlap of MiM2 cap contact < %d (Met5 3 - Via4 4) [erase mimcap2contact/m5,metal5 mimcap2contact padl via4]" exact_overlap mim2cc/m4 From c4a17320b633025f16e52bd88525661e0d8a449b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 10 Jun 2021 01:42:47 +0200 Subject: [PATCH 380/673] Added checkpoint support and painting support --- Tools/perl/drcfix.pl | 81 ++++++++++++++++++++++++++++++++++---------- 1 file changed, 64 insertions(+), 17 deletions(-) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index e261629e..b86b1b74 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -14,6 +14,7 @@ print "Handling $ARGV[0]\n"; open IN,"<".$ARGV[0]; my $mag=$ARGV[0];$mag=~s/\.drc$/.mag/; $mag=~s/\.mag\.mag/\.mag/; +my $output="corr_$mag"; my $mode=0; sub form($) @@ -52,46 +53,92 @@ ($) rename ::tcl::orig::puts puts } +proc getCheckpoint {} { + redirect_variable undostack {undo print 10} + #puts "Undostack: \$undostack" + #head=0xd620c840 tail=0xd5b648a0 cur=0xd5b648a0 + regexp {cur=(0x\\w+)} \$undostack full cur + #puts "cur: \$cur" + return \$cur +} + +proc undoToCheckpoint {checkpoint} { + redirect_variable undostack {undo print 10} + regexp {cur=(0x\\w+)} \$undostack full cur + regexp {head=(0x\\w+)} \$undostack full head + + if {\$head ne 0x0} { + if {\$checkpoint == 0x0} { + set checkpoint \$head + } + set tries 0 + while {\$cur ne \$checkpoint && \$tries < 200 } { + undo + redirect_variable undostack {undo print 10} + regexp {cur=(0x\\w+)} \$undostack full cur + incr tries + } + if {\$tries > 180} { + puts "WARNING: \$tries tries were tried, this is strange" + undo print 20 + } + } +} + +#getCheckpoint + proc fix_drc {} { drc on drc check drc catchup - redirect_variable drccount {puts [drc count total]} + redirect_variable drccount {drc count total} + set checkpoint [getCheckpoint] + puts "Checkpoint: \$checkpoint" set nFixed 0 set drcc [string trim [string map {"Total DRC errors found: " ""} \$drccount] ] + if {\$drcc == 0} return set nRounds \$drcc puts \$drccount #puts \$drcc for {set i 0} {\$i < \$nRounds} {incr i} { puts "I inside first loop: \$i" if {\$drcc > 0} { - redirect_variable drcresult {puts [drc find]} + redirect_variable drcresult {drc find} puts \$drcresult - if {[string first "\\[erase" \$drcresult] != -1} { - regexp {\\[erase ([^\\]]+)\\]} \$drcresult full layernames + if {[string first "\\[" \$drcresult] != -1} { + regexp {\\[(erase|paint) ([^\\]]+)\\]} \$drcresult full drccommand layernames foreach drcparts [split \$layernames ","] { foreach layername [split \$drcparts " "] { - puts "Erasing \$layername" - set res [erase \$layername] - puts \$res + puts "\$drccommand \$layername" + #erase \$layername + \$drccommand \$layername } drc check drc catchup - redirect_variable drccountnew {puts [drc count total]} + redirect_variable drccountnew {drc count total} set drccn [string trim [string map {"Total DRC errors found: " ""} \$drccountnew] ] + if {\$drccn == 0} { + puts "We have fixed all issues, no need to try more" + save $output + puts "File $output saved." + quit -noprompt + } if {\$drccn < \$drcc} { puts "Hoory, we fixed a DRC issue" incr nFixed set drcc \$drccn - #save corr_$mag + set checkpoint [getCheckpoint] + puts "New Checkpoint: \$checkpoint" + #save $output #exit } else { puts "Trying to fix this DRC issue did not reduce the number of DRC issues (\$drccn vs. \$drcc) so we undo and try something else" - foreach layername [split \$drcparts " "] { - puts "Undoing \$layername" - #erase \$layername - undo - } + undoToCheckpoint \$checkpoint + #foreach layername [split \$drcparts " "] { + # puts "Undoing \$layername" + # #erase \$layername + # undo + #} } } } @@ -99,9 +146,9 @@ ($) } if {\$nFixed >0} { - puts "We have fixed some issues, now we save the file" - save corr_$mag - puts "File saved." + puts "We have fixed some issues, \$drccn issues are remaining, we give up and save the file now." + save $output + puts "File $output saved." } } puts "Trying to FIX some DRC issues" From 7e16a70279341be65b24922fee8c30bc14cff917 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 10 Jun 2021 08:43:14 +0200 Subject: [PATCH 381/673] Updated the documentation --- Tools/perl/drcfix.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index b86b1b74..49449362 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -2,7 +2,7 @@ if(scalar(@ARGV)<1) { - print "Usage: drcfix.pl report.drc\n"; + print "Usage: drcfix.pl problematic.mag [techfile.tech]\n"; exit; } From 294470692ecdd8855aed17b23654e3a2544f4d70 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 13 Jun 2021 00:15:07 +0200 Subject: [PATCH 382/673] Fixed the rounding error with the labels --- Tools/perl/demoboard.pl | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl index 42109c2d..54db6219 100755 --- a/Tools/perl/demoboard.pl +++ b/Tools/perl/demoboard.pl @@ -77,6 +77,8 @@ ($$) magic tech $usedtech timestamp $now +<< checkpaint >> +rect -100 -100 10000 10000 EOF ; $printedheader=1; @@ -86,6 +88,7 @@ ($$) my $height=$maxs[0]-$mins[0]; my $width=$maxs[1]-$mins[1]; + print STDERR "Cell-Width: $width Cell-Height: $height\n"; my $dir=($row%2)?"-1":"1"; @@ -104,8 +107,8 @@ ($$) print "box 0 0 $width $height\n"; #print STDERR "$name $width $height\n"; - my $lx=$x+$width/2; - my $ly=$y+$height/2; + my $lx=$x+int($width/2); + my $ly=$y+int($height/2); $labels.="flabel space $lx $ly $lx $ly 0 FreeSans 80 0 0 0 $name\n"; From cb7c2f4906cbf91f96ed4df18715d1dec1800df3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 13 Jun 2021 19:01:23 +0200 Subject: [PATCH 383/673] Timestamp 0 indicates that DRC needs to be run --- Tools/perl/demoboard.pl | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl index 54db6219..ff30680e 100755 --- a/Tools/perl/demoboard.pl +++ b/Tools/perl/demoboard.pl @@ -31,7 +31,7 @@ ($$) our $x=0; our $y=0; -my $now=time(); +my $now=0; # time(); # 0 indicates that DRC needs to be run my $usedtech="scmos"; @@ -47,14 +47,14 @@ ($$) my @maxs=(); my $mag=$_; $mag=~s/\.cell$/.mag/; my $name=$_; $name=~s/\.cell$//; - my $timestamp="1"; + my $timestamp="0"; # Indicates that DRC needs to be run if(open(IN,"<$mag")) { while() { $usedtech=$1 if(m/^tech (\w+)/); - $timestamp=$1 if(m/^timestamp (\d+)/); + #$timestamp=$1 if(m/^timestamp (\d+)/); if(m/^rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/) { #print STDERR "$name min:@mins max:@maxs $_"; @@ -100,7 +100,6 @@ ($$) $bx=$x+$width; $by=$y+$height+$mins[0]; } - print "use $name $name"."_0\n"; print "timestamp $timestamp\n"; print "transform $dir 0 $bx 0 $dir $by\n"; From e07954267faf4271557c6f1a3ac311d0473135f5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 13 Jun 2021 19:01:52 +0200 Subject: [PATCH 384/673] Print the usage only when needed --- Tools/perl/drccheck.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/drccheck.pl b/Tools/perl/drccheck.pl index a1b6a0e5..dd5c8020 100755 --- a/Tools/perl/drccheck.pl +++ b/Tools/perl/drccheck.pl @@ -5,7 +5,7 @@ my $tech=$ARGV[1] || "../Tech/libresilicon.tech"; print "drccheck.pl - Check DRC rules with magic\n"; -print "Usage: drccheck.pl input.mag\n"; +print "Usage: drccheck.pl input.mag\n" if(scalar(@ARGV)<1); my $style="drc(fast)"; # "drc(full)"; From f4f94c129f813ca94d6033642517f699f7c85048 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 13 Jun 2021 19:03:06 +0200 Subject: [PATCH 385/673] Various improvements --- Tools/perl/drcfix.pl | 14 +++++++++++--- 1 file changed, 11 insertions(+), 3 deletions(-) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 49449362..7dd6d022 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -54,26 +54,33 @@ ($) } proc getCheckpoint {} { + #save checkpoint + #return redirect_variable undostack {undo print 10} #puts "Undostack: \$undostack" #head=0xd620c840 tail=0xd5b648a0 cur=0xd5b648a0 regexp {cur=(0x\\w+)} \$undostack full cur #puts "cur: \$cur" + undo print 20 return \$cur } proc undoToCheckpoint {checkpoint} { + #load checkpoint + #return redirect_variable undostack {undo print 10} regexp {cur=(0x\\w+)} \$undostack full cur regexp {head=(0x\\w+)} \$undostack full head - + #undo print 20 if {\$head ne 0x0} { if {\$checkpoint == 0x0} { set checkpoint \$head } set tries 0 - while {\$cur ne \$checkpoint && \$tries < 200 } { + while {\$cur ne \$checkpoint && \$cur ne 0x0 && \$tries < 200 } { + puts "Undo ..." undo + undo print 20 redirect_variable undostack {undo print 10} regexp {cur=(0x\\w+)} \$undostack full cur incr tries @@ -91,6 +98,7 @@ ($) drc on drc check drc catchup + drc listall catchup redirect_variable drccount {drc count total} set checkpoint [getCheckpoint] puts "Checkpoint: \$checkpoint" @@ -100,7 +108,7 @@ ($) set nRounds \$drcc puts \$drccount #puts \$drcc - for {set i 0} {\$i < \$nRounds} {incr i} { + for {set i 0} {\$i <= \$nRounds + 10 } {incr i} { puts "I inside first loop: \$i" if {\$drcc > 0} { redirect_variable drcresult {drc find} From a8964dcd7e8904e9000bdd8c25a34a69f7fa8631 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 13 Jun 2021 19:03:41 +0200 Subject: [PATCH 386/673] Fixed workflow around DRC, re-generate GDS when necessary --- Tools/perl/librecells.pl | 23 +++++++++++++++++++++-- 1 file changed, 21 insertions(+), 2 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 128f30c7..dcac11f4 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -129,6 +129,8 @@ unlink "$cellname.al"; unlink "$cellname.res.lump"; unlink "$cellname.sim"; + unlink "$cellname.drclog"; + print "First magic call:\n"; step("NEXT STEP: magic2"); open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; @@ -178,14 +180,31 @@ system "../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; step("NEXT STEP: DRC Fix"); - system "../Tools/perl/drcfix.pl $cellname.mag.drc"; + system "../Tools/perl/drcfix.pl $cellname.mag"; if(-f "corr.$cellname.mag") { unlink "$cellname.mag"; rename "corr.$cellname.mag","$cellname.mag"; - print "DRC errors in $cellname corrected. Now running final DRC check:\n"; + + step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); + system "../Tools/perl/drcfix.pl $cellname.mag"; + unlink "$cellname.mag"; + rename "corr.$cellname.mag","$cellname.mag"; + step("NEXT STEP: Final DRC check"); + print "DRC errors in $cellname corrected. Now running final DRC check:\n"; system "../Tools/perl/drccheck.pl $cellname.mag"; + + step("NEXT STEP: mag2gds"); + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; + print OUT < Date: Wed, 16 Jun 2021 20:12:31 +0200 Subject: [PATCH 387/673] Fixed abutment and ignored outlying checkpaints --- Tools/perl/demoboard.pl | 16 +++++++++++++--- 1 file changed, 13 insertions(+), 3 deletions(-) diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl index ff30680e..e433e9cf 100755 --- a/Tools/perl/demoboard.pl +++ b/Tools/perl/demoboard.pl @@ -51,10 +51,17 @@ ($$) if(open(IN,"<$mag")) { + my $layer=""; while() { $usedtech=$1 if(m/^tech (\w+)/); #$timestamp=$1 if(m/^timestamp (\d+)/); + # + if(m/^<< (\w+) >>/) + { + $layer=$1; + } + next if($layer eq "checkpaint"); if(m/^rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/) { #print STDERR "$name min:@mins max:@maxs $_"; @@ -70,6 +77,9 @@ ($$) } next unless(defined($maxs[0])); + print STDERR "$name maxs: ".join("/",@maxs)." "; + print STDERR "mins: ".join("/",@mins)." "; + if(!$printedheader) { @@ -86,19 +96,19 @@ ($$) - my $height=$maxs[0]-$mins[0]; + my $height=$maxs[0]+$mins[0]; my $width=$maxs[1]-$mins[1]; print STDERR "Cell-Width: $width Cell-Height: $height\n"; my $dir=($row%2)?"-1":"1"; my $bx=$x; - my $by=$y-$mins[0]; + my $by=$y; # -$mins[0]; if($row%2) { $bx=$x+$width; - $by=$y+$height+$mins[0]; + $by=$y+$height; # +$mins[0]; } print "use $name $name"."_0\n"; print "timestamp $timestamp\n"; From f41dc56ddf07fd764bd9b7c60607a90b4dce13ff Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 17 Jun 2021 23:34:39 +0200 Subject: [PATCH 388/673] Deactivated Well-Taps again --- Tech.SKY130/librecell_tech.py | 40 +++++++++++++++++++++++------------ 1 file changed, 26 insertions(+), 14 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 8f9e5ecf..627a57fd 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -47,9 +47,10 @@ my_metal2_label = (69, 5) my_metal2_pin = (69, 16) my_abutment_box = (235, 4) # prBndry ??? +#my_outline = (235, 5) # -#my_pplus = (65,44) # TAP.DRAWING -#my_nplus = (65,44) # TAP.DRAWING +my_pplus = (65,44) # TAP.DRAWING +my_nplus = (65,44) # TAP.DRAWING # lclayout internally uses its own layer numbering scheme. # For the final output the layers can be remapped with a mapping @@ -71,8 +72,9 @@ l_metal2_label: my_metal1_label, l_metal2_pin: my_metal1_pin, l_abutment_box: my_abutment_box, -# l_pplus: my_pplus, -# l_nplus: my_nplus + #l_outline: my_outline, + l_pplus: my_pplus, + l_nplus: my_nplus } # Define a list of output writers. @@ -96,15 +98,16 @@ l_poly_contact: 'polycont', l_pdiff_contact: 'pdiffc', l_ndiff_contact: 'ndiffc', -# l_nplus: 'allnactivetap', -# l_pplus: 'allpactivetap' + l_nplus: 'allnactivetap', + l_pplus: 'allpactivetap' } ), LefWriter( db_unit=1e-6, # LEF Fileformat always needs Microns - output_map=output_map, - use_rectangles_only=True + obstruction_output_map=output_map, + use_rectangles_only=True, + site="unit" ), GdsWriter( @@ -133,7 +136,9 @@ # Minimum spacing rules for layer pairs. min_spacing = { (l_ndiffusion, l_ndiffusion): 270*nm, # (difftap.3) + #(l_ndiffusion, l_outline): 270/2*nm, # (difftap.3) (l_pdiffusion, l_ndiffusion): 270*nm, # (difftap.3) + #(l_pdiffusion, l_outline): 270/2*nm, # (difftap.3) (l_pdiffusion, l_pdiffusion): 270*nm, # (difftap.3) (l_ndiffusion, l_poly_contact): 190*nm, # (licon.14) (l_pdiffusion, l_poly_contact): 190*nm, # (licon.14) @@ -143,21 +148,28 @@ (l_poly, l_ndiffusion): 75*nm, # (poly.4) (l_poly, l_pdiffusion): 75*nm, # (poly.4) (l_poly, l_poly): 210*nm, # (poly.2) + #(l_poly, l_outline): 210/2*nm, # (poly.2) (l_poly, l_pdiff_contact): 155*nm, # 55*nm # (licon.11) (l_poly, l_ndiff_contact): 155*nm, # 55*nm # (licon.11) (l_pdiff_contact, l_pdiff_contact): 270*nm, # (difftap.3) + #(l_pdiff_contact, l_outline): 270/2*nm, # (difftap.3) (l_ndiff_contact, l_ndiff_contact): 270*nm, # (difftap.3) + #(l_ndiff_contact, l_outline): 270/2*nm, # (difftap.3) (l_pdiff_contact, l_ndiff_contact): 270*nm, # (difftap.3) (l_metal1, l_metal1): 170*nm, # (li.3) # !!!! WARNING: Spacing to huge_met1 (>=?nm) needs to be 280nm ! + #(l_metal1, l_outline): 170/2*nm, # (li.3) # !!!! WARNING: Spacing to huge_met1 (>=?nm) needs to be 280nm ! (l_metal2, l_metal2): 140*nm, # (m1.2) # huge_met2 + # We need metal2 at the border for the power lanes, so we dont put border rules (l_via1, l_via1): 190*nm, # (ct.2) - # (l_via1, l_diff_contact): 2*l, # NO RULES FOR LICON-MCON spacing found + #(l_via1, l_outline): 190/2*nm, # (ct.2) + #(l_via1, l_diff_contact): 2*l, # NO RULES FOR LICON-MCON spacing found #(l_via1, l_ndiffusion): 2*l, # NO RULES FOR MCON-DIFF spacing found #(l_via1, l_pdiffusion): 2*l, # NO RULES FOR MCON-DIFF spacing found (l_poly_contact, l_pdiff_contact): 170*nm, # (licon.2) + #(l_poly_contact, l_outline): 170/2*nm, # (licon.2) (l_poly_contact, l_ndiff_contact): 170*nm, # (licon.2) -# (l_ndiffusion, l_pplus): 75*nm, -# (l_pdiffusion, l_nplus): 75*nm, + (l_ndiffusion, l_pplus): 75*nm, + (l_pdiffusion, l_nplus): 75*nm, } # Layer for the pins. @@ -177,7 +189,7 @@ gate_extension = 130*nm # (poly.8) # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. -transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on +transistor_offset_y = 240*nm + 150/2*nm # !!! This likely needs to be tuned later on # The 150/2*nm might have to be removed # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. @@ -261,8 +273,8 @@ (l_pwell, l_ndiffusion): 180*nm, # (difftap.8) (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment (l_abutment_box, l_pwell): 0, -# (l_nplus, l_ndiff_contact): 80*nm, # Implicitly encodes the size of well taps. -# (l_pplus, l_pdiff_contact): 80*nm, # Implicitly encodes the size of well taps. + (l_nplus, l_ndiff_contact): 80*nm, # Implicitly encodes the size of well taps. + (l_pplus, l_pdiff_contact): 80*nm, # Implicitly encodes the size of well taps. } From bed8e85dcf92b7566b7a9e6ba8091cd171871364 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 17 Jun 2021 23:35:27 +0200 Subject: [PATCH 389/673] Switched from the last (second) to the first LVS result --- Tools/perl/buildreport.pl | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 5d05dd3c..33f89744 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -149,7 +149,7 @@ { while() { - $lvs=$1 if m/LVS result: (\w+)/; + $lvs=$1 if(m/LVS result: (\w+)/ && $lvs eq ""); $euler=$1 if m/Number of deduplicated eulertours: (\d+)/; $layouttime=$1 if(m/INFO:\s*Done\s*\(Total duration: ([\d:.]+)\s*\)/); $nets=$1 if(m/Nets output: (\d+)/); @@ -158,6 +158,8 @@ $errors.=$1." " if(m/AssertionError: (.*)/); $errors.=$1." " if(m/Exception: (.*)/); $errors.=$1." " if(m/ERROR: (.*)/); + $errors.=$1." " if(m/(Segmentation fault \(core dumped\))/); + $errors=~s/LVS check failed!//; } close LIB; } From 11b7c29ebf7fae081247b06593cf531c430529b0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 00:31:24 +0200 Subject: [PATCH 390/673] Multiplication of cells so that you can use it even with a single cell --- Tools/perl/demoboard.pl | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl index e433e9cf..0ca4add9 100755 --- a/Tools/perl/demoboard.pl +++ b/Tools/perl/demoboard.pl @@ -1,12 +1,14 @@ #!/usr/bin/perl -w +my $times=$ARGV[0] || 1; + my @cells=(); foreach my $cell (<*.cell>) { my $name=$cell; $name=~s/\.cell$//; next unless (-f "$name.mag"); - push @cells,$cell; + push @cells,$cell foreach(1 .. $times); } my $squared=sqrt(scalar(@cells)||1); From 2685845c14fbcf0c32c977bda0029d2701a88b0d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 00:32:10 +0200 Subject: [PATCH 391/673] Improved error handling --- Tools/perl/lefgen.pl | 14 ++++++++++---- 1 file changed, 10 insertions(+), 4 deletions(-) diff --git a/Tools/perl/lefgen.pl b/Tools/perl/lefgen.pl index e49f773e..3797c2fa 100755 --- a/Tools/perl/lefgen.pl +++ b/Tools/perl/lefgen.pl @@ -9,12 +9,18 @@ foreach(@ARGV) { - open IN,"<$_"; - while() + if(open IN,"<$_") { - print $_; + while() + { + print $_; + } + close IN; + } + else + { + print STDERR "Error: Could not open file $_ for reading: $!\n"; } - close IN; print "\n"; } From e2f60d55cf75fc5a439895a49aebfaf1c8754472 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 16:01:07 +0200 Subject: [PATCH 392/673] Faster error handling --- Tools/perl/librecells.pl | 76 ++++++++++++++++++++++++---------------- 1 file changed, 45 insertions(+), 31 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index dcac11f4..e4aa101c 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -19,7 +19,7 @@ } -system "perl ../Tools/perl/cell2spice.pl"; +system "../Tools/perl/cell2spice.pl"; open IN,"<$sp" || die "Could not open file $sp: $!\n"; while() @@ -78,6 +78,7 @@ } my $magfile="outputlib/$cellname.mag"; + my $magfile="outputlib/$cellname.gds"; if(-f $magfile && (-s $magfile) > 51) # Has lclayout exported magic directly? { # Then we dont have to convert it @@ -92,7 +93,7 @@ close MAGIN; close MAGOUT; } - else + elsif(-f $gdsfile) { print STDERR "lclayout has not exported magic, so we try to convert GDS2:\n"; # For this processing step, the refrenced libresilicon.tech file needs to contain the cifinput section to import from GDS and the extract section to do the parasitic extraction: @@ -115,6 +116,10 @@ close OUT; #exit; } + else + { + # Perhaps we have a fixed file, so let's continue + } if(-f "$cellname.fixed") { @@ -122,6 +127,12 @@ step("NEXT STEP: Fixing file $cellname.fixed -> $cellname.mag"); system "cp $cellname.fixed $cellname.mag"; } + elsif(! -f $gdsfile) + { + print STDERR "Error: lclayout has not generated Magic or GDS2.\n"; + next; + } + unlink "$cellname.nodes"; unlink "$cellname.res.ext"; unlink "$cellname.spice"; @@ -131,6 +142,38 @@ unlink "$cellname.sim"; unlink "$cellname.drclog"; + step("NEXT STEP: DRC Check with Magic"); + system "../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; + + step("NEXT STEP: DRC Fix"); + system "../Tools/perl/drcfix.pl $cellname.mag"; + if(-f "corr.$cellname.mag") + { + unlink "$cellname.mag"; + rename "corr.$cellname.mag","$cellname.mag"; + + step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); + system "../Tools/perl/drcfix.pl $cellname.mag"; + unlink "$cellname.mag"; + rename "corr.$cellname.mag","$cellname.mag"; + + step("NEXT STEP: Final DRC check"); + print "DRC errors in $cellname corrected. Now running final DRC check:\n"; + system "../Tools/perl/drccheck.pl $cellname.mag"; + + step("NEXT STEP: mag2gds"); + open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; + print OUT <>$cellname.log 2>>$cellname.err"; @@ -176,36 +219,7 @@ ; close OUT; - step("NEXT STEP: DRC Check with Magic"); - system "../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; - - step("NEXT STEP: DRC Fix"); - system "../Tools/perl/drcfix.pl $cellname.mag"; - if(-f "corr.$cellname.mag") - { - unlink "$cellname.mag"; - rename "corr.$cellname.mag","$cellname.mag"; - - step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); - system "../Tools/perl/drcfix.pl $cellname.mag"; - unlink "$cellname.mag"; - rename "corr.$cellname.mag","$cellname.mag"; - - step("NEXT STEP: Final DRC check"); - print "DRC errors in $cellname corrected. Now running final DRC check:\n"; - system "../Tools/perl/drccheck.pl $cellname.mag"; - step("NEXT STEP: mag2gds"); - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; - print OUT <$cellname.libtemplate 2>>$cellname.err"; From c1ee6f1ae718f8a7770cccc07be3991af9f1469f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 16:01:42 +0200 Subject: [PATCH 393/673] Fixed permissions --- Tools/perl/ltspice2lclayout.pl | 0 Tools/perl/popcorn.pl | 0 Tools/perl/testgen.pl | 0 3 files changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 Tools/perl/ltspice2lclayout.pl mode change 100644 => 100755 Tools/perl/popcorn.pl mode change 100644 => 100755 Tools/perl/testgen.pl diff --git a/Tools/perl/ltspice2lclayout.pl b/Tools/perl/ltspice2lclayout.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/popcorn.pl b/Tools/perl/popcorn.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/testgen.pl b/Tools/perl/testgen.pl old mode 100644 new mode 100755 From 60e36c4a50779b824d5b5a1b4e5afc0f96393cce Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 16:02:25 +0200 Subject: [PATCH 394/673] Added seperate obstruction list Changed weight of vias --- Tech.SKY130/librecell_tech.py | 23 ++++++++++++++++++----- 1 file changed, 18 insertions(+), 5 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 627a57fd..cf617fc4 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -77,6 +77,16 @@ l_nplus: my_nplus } +# These are only the obstruction layers, only these layers will be generated into the OBS section of the LEF files +obstruction_output_map = { + l_poly_contact: my_licon1, + l_pdiff_contact: my_licon1, + l_ndiff_contact: my_licon1, + l_metal1: my_li1, # Metal1 from lclayout gets li1 from SKY130 + l_via1: my_mcon, + l_metal2: my_metal1, # Metal2 from lclayout gets met1 from SKY130 +} + # Define a list of output writers. output_writers = [ MagWriter( @@ -106,6 +116,7 @@ LefWriter( db_unit=1e-6, # LEF Fileformat always needs Microns obstruction_output_map=output_map, + #output_map=output_map, # Not supported yet but will be soon use_rectangles_only=True, site="unit" ), @@ -189,7 +200,7 @@ gate_extension = 130*nm # (poly.8) # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. -transistor_offset_y = 240*nm + 150/2*nm # !!! This likely needs to be tuned later on # The 150/2*nm might have to be removed +transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 150/2*nm might have to be removed # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. @@ -317,12 +328,14 @@ l_metal2: 125, # SKY130_Metal1 } +viafactor = 0.5 # The via weights seem to have been to strong, so we try to reduce them + # Via weights. via_weights = { - (l_metal1, l_ndiffusion): 15000, # LICON - (l_metal1, l_pdiffusion): 15000, # LICON - (l_metal1, l_poly): 15000, # LICON - (l_metal1, l_metal2): 152000, # MCON + (l_metal1, l_ndiffusion): 15000*viafactor, # LICON + (l_metal1, l_pdiffusion): 15000*viafactor, # LICON + (l_metal1, l_poly): 15000*viafactor, # LICON + (l_metal1, l_metal2): 152000*viafactor, # MCON # (l_metal1, l_nplus): 1, # Contact to Well Taps, the value doesn't matter # (l_metal1, l_pplus): 1, From 54a809b8a8f5ebbe720bcd5adac96f52e5d38bb7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 16:13:02 +0200 Subject: [PATCH 395/673] Fixed GDS files reference --- Tools/perl/librecells.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index e4aa101c..399c4a20 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -78,7 +78,7 @@ } my $magfile="outputlib/$cellname.mag"; - my $magfile="outputlib/$cellname.gds"; + my $gdsfile="outputlib/$cellname.gds"; if(-f $magfile && (-s $magfile) > 51) # Has lclayout exported magic directly? { # Then we dont have to convert it From 215b2c9459804046bc8c52974d046a8995e072d5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 16:24:58 +0200 Subject: [PATCH 396/673] Fixed DRC correction --- Tools/perl/librecells.pl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 399c4a20..b10ab73a 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -147,15 +147,15 @@ step("NEXT STEP: DRC Fix"); system "../Tools/perl/drcfix.pl $cellname.mag"; - if(-f "corr.$cellname.mag") + if(-f "corr_$cellname.mag") { unlink "$cellname.mag"; - rename "corr.$cellname.mag","$cellname.mag"; + rename "corr_$cellname.mag","$cellname.mag"; step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); system "../Tools/perl/drcfix.pl $cellname.mag"; unlink "$cellname.mag"; - rename "corr.$cellname.mag","$cellname.mag"; + rename "corr_$cellname.mag","$cellname.mag"; step("NEXT STEP: Final DRC check"); print "DRC errors in $cellname corrected. Now running final DRC check:\n"; From d37759e945c10ef4b8b8b9a1cf319998e06ff3d6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 16:38:38 +0200 Subject: [PATCH 397/673] Cleaning up pre-DRC layout files --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 2ef3a418..5044b7bc 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -96,7 +96,7 @@ clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) $(CELLS) $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc + $(RM) *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc # ---------------------------------------------------------------- # CELL TARGETS From 25546ae338958cf90cfa811daa024fe43ca9da54 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 16:39:11 +0200 Subject: [PATCH 398/673] Preserving pre-DRC layouts --- Tools/perl/librecells.pl | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index b10ab73a..2ac0ba60 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -149,7 +149,8 @@ system "../Tools/perl/drcfix.pl $cellname.mag"; if(-f "corr_$cellname.mag") { - unlink "$cellname.mag"; + unlink "$cellname.mag.predrc"; + rename "$cellname.mag","$cellname.mag.predrc"; rename "corr_$cellname.mag","$cellname.mag"; step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); From 8d34374b871cba94fb4336cf26c26610e3e5e2d4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 17:30:10 +0200 Subject: [PATCH 399/673] Fixed DRC fixing, improved logging --- Tools/perl/librecells.pl | 11 +++++++---- 1 file changed, 7 insertions(+), 4 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 2ac0ba60..8b3f3ec8 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -146,7 +146,7 @@ system "../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; step("NEXT STEP: DRC Fix"); - system "../Tools/perl/drcfix.pl $cellname.mag"; + system "../Tools/perl/drcfix.pl $cellname.mag >>$cellname.log 2>>$cellname.err"; if(-f "corr_$cellname.mag") { unlink "$cellname.mag.predrc"; @@ -154,9 +154,12 @@ rename "corr_$cellname.mag","$cellname.mag"; step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); - system "../Tools/perl/drcfix.pl $cellname.mag"; - unlink "$cellname.mag"; - rename "corr_$cellname.mag","$cellname.mag"; + system "../Tools/perl/drcfix.pl $cellname.mag >>$cellname.log 2>>$cellname.err"; + if(-f "corr_$cellname.mag") + { + unlink "$cellname.mag" ; + rename "corr_$cellname.mag","$cellname.mag"; + } step("NEXT STEP: Final DRC check"); print "DRC errors in $cellname corrected. Now running final DRC check:\n"; From 12277e39393867b68c106a2a32ab4486ec9356f2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 19:57:24 +0200 Subject: [PATCH 400/673] Removed corrupting second DRC fix try --- Tools/perl/librecells.pl | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 8b3f3ec8..700bf9bc 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -153,13 +153,13 @@ rename "$cellname.mag","$cellname.mag.predrc"; rename "corr_$cellname.mag","$cellname.mag"; - step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); - system "../Tools/perl/drcfix.pl $cellname.mag >>$cellname.log 2>>$cellname.err"; - if(-f "corr_$cellname.mag") - { - unlink "$cellname.mag" ; - rename "corr_$cellname.mag","$cellname.mag"; - } + #step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); + #system "../Tools/perl/drcfix.pl $cellname.mag >>$cellname.log 2>>$cellname.err"; + #if(-f "corr_$cellname.mag") + #{ + # unlink "$cellname.mag" ; + # rename "corr_$cellname.mag","$cellname.mag"; + #} step("NEXT STEP: Final DRC check"); print "DRC errors in $cellname corrected. Now running final DRC check:\n"; From 574998278b39567c73c026256565c55283b5be16 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 19:57:53 +0200 Subject: [PATCH 401/673] Ignored checkpaint (DRC TODO) layer --- Tools/perl/mag2svg.pl | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index e510a44c..1d04eaca 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -6,6 +6,8 @@ my $svg=$ARGV[1] || $svgvar; my $tech=$ARGV[2] || "../Tech/libresilicon.tech"; +my %ignorelayers=("checkpaint"=>1); + print "mag2svg - Convert magic files to SVG\n"; print "Usage: mag2svg input.mag output.svg\n" if(scalar(@ARGV)<1); @@ -123,6 +125,7 @@ ($$) elsif(m/^rect (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*)/) { #print "Rect\n"; + next if(defined($ignorelayers{$layer})); my $width=$3-$1; my $height=$4-$2; $limits[1]=mymin($limits[1],$1); @@ -172,7 +175,7 @@ ($$) .pdcontact { fill:#8592c8; } .mcon { fill:#8592c8; } .polycontact { fill:#8e2aaa; } -.nwell { fill:#bdbdbd; } +.nwell { fill:#bdbdbd; fill-opacity: 0.5;} .pwell { fill:#ccccbd; } .fence { stroke:#c8c8c8; fill:none } .bound { stroke:#c8c8c8; fill:none } From 776896737bc621eae6c26601d5e4237622043593 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 18 Jun 2021 19:58:26 +0200 Subject: [PATCH 402/673] Demoboard rendering --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 5044b7bc..ec6ed59e 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -124,7 +124,7 @@ endif catalog: $(CELLS) .PHONY: layout -layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf +layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf demoboard.svg libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/nmos.sp From c73820e2be7f422245c331ea742f33c0f10d9f44 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 19 Jun 2021 01:01:56 +0200 Subject: [PATCH 403/673] Adapted for new librecell version --- Tech.SKY130/librecell_tech.py | 46 ++++++++++++++++++++--------------- 1 file changed, 27 insertions(+), 19 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index cf617fc4..55c756f5 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -74,25 +74,22 @@ l_abutment_box: my_abutment_box, #l_outline: my_outline, l_pplus: my_pplus, - l_nplus: my_nplus + l_nplus: my_nplus, + l_border_vertical: (142, 1), + l_border_horizontal: (142, 2), } # These are only the obstruction layers, only these layers will be generated into the OBS section of the LEF files -obstruction_output_map = { - l_poly_contact: my_licon1, - l_pdiff_contact: my_licon1, - l_ndiff_contact: my_licon1, - l_metal1: my_li1, # Metal1 from lclayout gets li1 from SKY130 - l_via1: my_mcon, - l_metal2: my_metal1, # Metal2 from lclayout gets met1 from SKY130 -} +obstruction_layers = [ + l_poly_contact, + l_pdiff_contact, + l_ndiff_contact, + l_metal1, # Metal1 from lclayout gets li1 from SKY130 + l_via1, + l_metal2, # Metal2 from lclayout gets met1 from SKY130 +] -# Define a list of output writers. -output_writers = [ - MagWriter( - tech_name='sky130A', - scale_factor=0.1, # Scale all coordinates by this factor (rounded down to next integer). - output_map={ +output_map_magic = { l_nwell: 'nwell', l_via1: 'viali', l_poly: 'poly', @@ -110,13 +107,21 @@ l_ndiff_contact: 'ndiffc', l_nplus: 'allnactivetap', l_pplus: 'allpactivetap' - } +} + + +# Define a list of output writers. +output_writers = [ + MagWriter( + tech_name='sky130A', + scale_factor=0.1, # Scale all coordinates by this factor (rounded down to next integer). + output_map=output_map_magic ), LefWriter( db_unit=1e-6, # LEF Fileformat always needs Microns - obstruction_output_map=output_map, - #output_map=output_map, # Not supported yet but will be soon + obstruction_layers=obstruction_layers, + output_map=output_map_magic, # Not supported yet but will be soon use_rectangles_only=True, site="unit" ), @@ -169,6 +174,9 @@ (l_pdiff_contact, l_ndiff_contact): 270*nm, # (difftap.3) (l_metal1, l_metal1): 170*nm, # (li.3) # !!!! WARNING: Spacing to huge_met1 (>=?nm) needs to be 280nm ! #(l_metal1, l_outline): 170/2*nm, # (li.3) # !!!! WARNING: Spacing to huge_met1 (>=?nm) needs to be 280nm ! +# (l_metal1, l_border_vertical): 190*nm, # To move the VIAs at the right place +# (l_metal2, l_border_vertical): 190*nm, # To move the VIAs at the right place + (l_metal2, l_metal2): 140*nm, # (m1.2) # huge_met2 # We need metal2 at the border for the power lanes, so we dont put border rules (l_via1, l_via1): 190*nm, # (ct.2) @@ -328,7 +336,7 @@ l_metal2: 125, # SKY130_Metal1 } -viafactor = 0.5 # The via weights seem to have been to strong, so we try to reduce them +viafactor = 0.1 # The via weights seem to have been too strong, so we try to reduce them # Via weights. via_weights = { From 5b49fa8cae61dab8d0e1660cbcda537372cef2f4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 19 Jun 2021 01:02:33 +0200 Subject: [PATCH 404/673] New transistor files --- Tools/perl/buildreport.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 33f89744..b365bad3 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -70,7 +70,7 @@ print OUT "

Input files: PDK, DRC, layer definitions, process parameters:

"; print OUT "These input files are necessary to define the rules and parameters for the standard cell library. All those files are collected in the Tech directory.
"; -my %inputs=("drc.lydrc"=>"DRC rules for KLayout GDS Viewer","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transistor BSIM model SPICE parameters (*.lib files in other tools)","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","transistor.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); +my %inputs=("drc.lydrc"=>"DRC rules for KLayout GDS Viewer","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transistor BSIM model SPICE parameters (*.lib files in other tools)","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","nmos.sp"=>"Transistor size template for SPICE models","pmos.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); print OUT ""; foreach(sort keys %inputs) { From 464e370bc6433adbc28b0eb60a69c5a163a1b365 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 19 Jun 2021 04:26:56 +0200 Subject: [PATCH 405/673] Corrected the grid coordinates --- Tech.SKY130/librecell_tech.py | 27 ++++++++++++++++++++++++--- 1 file changed, 24 insertions(+), 3 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 55c756f5..79fb201f 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -394,6 +394,27 @@ #(l_poly_contact, l_pdiff_contact): 170*nm, # (licon.2) #(l_poly_contact, l_ndiff_contact): 170*nm, # (licon.2) - - - +#unit_cell_height=10 +#routing_grid_pitch_y=4 + +#print("unit_cell_height: "+str(unit_cell_height)) +#print("routing_grid_pitch_y: "+str(routing_grid_pitch_y)) +middle=unit_cell_height//2 +#print("Middle: "+str(middle)) +gridpoints=1+unit_cell_height//routing_grid_pitch_y +#print("gridpoints: "+str(gridpoints)) +odd=gridpoints &1 +#print("odd: "+str(odd)) + +if odd==1: + grid_offset_y=middle-((gridpoints-1)//2)*routing_grid_pitch_y +else: + grid_offset_y=middle+routing_grid_pitch_y//2-(gridpoints//2)*routing_grid_pitch_y + +#print("grid_offset_y: "+str(grid_offset_y)) +grid_ys = list(range(grid_offset_y, grid_offset_y + unit_cell_height +1, routing_grid_pitch_y)) +print("grid_before: "+str(grid_ys)) +grid_ys[2] += 90*nm +grid_ys[-3] -= 90*nm +grid_ys[14] -= 10*nm +print("grid_after: "+str(grid_ys)) From 44532b0bedf2ec381b39b8a195dded578d7b0f15 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 21 Jun 2021 01:08:04 +0200 Subject: [PATCH 406/673] New version --- Tech.SKY130/librecell_tech.py | 19 +++++++++++++++---- 1 file changed, 15 insertions(+), 4 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 79fb201f..48744fbc 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -192,10 +192,10 @@ } # Layer for the pins. -pin_layer = l_metal2 # metal2 = metal1 on SKY130 +pin_layer = l_metal2 # lclayout.metal2 = sky130.metal1 # Power stripe layer -power_layer = l_metal2 # metal2 = metal1 on SKY130 +power_layer = [l_metal1, l_metal2] # lclayout.metal2 = sky130.metal1 # Layers that can be connected/merged without changing the schematic. # This can be used to resolve spacing/notch violations by just filling the space. @@ -414,7 +414,18 @@ #print("grid_offset_y: "+str(grid_offset_y)) grid_ys = list(range(grid_offset_y, grid_offset_y + unit_cell_height +1, routing_grid_pitch_y)) print("grid_before: "+str(grid_ys)) -grid_ys[2] += 90*nm -grid_ys[-3] -= 90*nm +grid_ys[2] += 110*nm +grid_ys[-3] -= 110*nm grid_ys[14] -= 10*nm +grid_ys[1] = 0 +grid_ys[-2] = unit_cell_height +grid_ys.pop(-1) +grid_ys.pop(0) print("grid_after: "+str(grid_ys)) + + + +def powervias(unit_cell_width): + return list(range(0,unit_cell_width,110*nm)) + +power_vias=powervias From c54bb46f3877547996ae619048d21420f942b93a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 23 Jun 2021 00:16:09 +0200 Subject: [PATCH 407/673] Corrected Via positioning --- Tech.SKY130/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 48744fbc..0da09af0 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -426,6 +426,6 @@ def powervias(unit_cell_width): - return list(range(0,unit_cell_width,110*nm)) + return list(range(240*nm,unit_cell_width,480*nm)) power_vias=powervias From 3cfa59a1842deb228abc8cd6c02a4e18315c6c37 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 25 Jun 2021 01:26:19 +0200 Subject: [PATCH 408/673] We dont need to fix the demoboard --- Tools/perl/drcfixall.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/drcfixall.pl b/Tools/perl/drcfixall.pl index 98c3844f..272f4763 100755 --- a/Tools/perl/drcfixall.pl +++ b/Tools/perl/drcfixall.pl @@ -10,6 +10,7 @@ ($) foreach my $magfile (<*.mag>) { next if($magfile=~m/^corr_/); # Ignore correction files + next if($magfile eq "demoboard.mag"); my $cellname=$magfile; $cellname=~s/\.mag$//; step("NEXT STEP: DRC Check with Magic"); From 8708f3ea2634ac1fe1e16e0a96784c13f7da42de Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 25 Jun 2021 01:26:40 +0200 Subject: [PATCH 409/673] Added DRC fixing mechanisms for the issues we have --- Tools/perl/drcfix.pl | 29 +++++++++++++++++++++++++---- 1 file changed, 25 insertions(+), 4 deletions(-) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 7dd6d022..5dde3956 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -78,16 +78,16 @@ ($) } set tries 0 while {\$cur ne \$checkpoint && \$cur ne 0x0 && \$tries < 200 } { - puts "Undo ..." + #puts "Undo ..." undo - undo print 20 + #undo print 20 redirect_variable undostack {undo print 10} regexp {cur=(0x\\w+)} \$undostack full cur incr tries } if {\$tries > 180} { puts "WARNING: \$tries tries were tried, this is strange" - undo print 20 + #undo print 20 } } } @@ -105,6 +105,10 @@ ($) set nFixed 0 set drcc [string trim [string map {"Total DRC errors found: " ""} \$drccount] ] if {\$drcc == 0} return + set yReposition {0 2 -2} + + foreach yRepo \$yReposition { + puts "Trying Reposition \$yRepo" set nRounds \$drcc puts \$drccount #puts \$drcc @@ -112,13 +116,29 @@ ($) puts "I inside first loop: \$i" if {\$drcc > 0} { redirect_variable drcresult {drc find} + puts "move up \$yRepo" + move up \$yRepo puts \$drcresult if {[string first "\\[" \$drcresult] != -1} { regexp {\\[(erase|paint) ([^\\]]+)\\]} \$drcresult full drccommand layernames + if {\$yRepo != 0 } { + puts "This is an addition for Sky130: We have some 20nm wide inter-net spacings that we need to paint on locali, so we reposition the box and try to paint on locali" + set drccommand "paint" + set layernames "locali" + } + if {\$drccommand == "erase" } { + redirect_variable bbox {box} + #lambda: 44 x 10 ( 0, 309 ), ( 44, 319 ) 440 + regexp {lambda:\\s*\\d+ x \\d+\\s+\\([^\\)]*\\), \\(\\s*(\\d+),\\s*(\\d+)} \$bbox full boxX boxY + puts "Bounding box for erase: \$boxX \$boxY" + if {\$boxY >= 309 } { + puts "This is an addition for Sky130: We do not want to erase the power rails, so we skip ignore rules outside the core of the cell" + set layernames "" + } + } foreach drcparts [split \$layernames ","] { foreach layername [split \$drcparts " "] { puts "\$drccommand \$layername" - #erase \$layername \$drccommand \$layername } drc check @@ -150,6 +170,7 @@ ($) } } } + } } } From 4a34d1c6319889711594b6eada2c2707a955003f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Jun 2021 15:34:45 +0200 Subject: [PATCH 410/673] Prevented overwriting of mag files with debug files Corrected scaling --- Tools/perl/librecells.pl | 26 ++++++++++++++------------ 1 file changed, 14 insertions(+), 12 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 700bf9bc..a4f46877 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -69,7 +69,7 @@ system "../Tools/perl/cell2spice.pl $cellname >>$cellname.log 2>>$cellname.err"; step("NEXT STEP: Running lclayout"); - foreach my $deb(0,1) + foreach my $deb(1,0) # We dont want to overwrite the good output files with debug output files { next if($deb && !$debug); my $cmd="lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.log 2>>$cellname.err"; @@ -88,6 +88,7 @@ undef $/; my $magcontent=; $/=$old; + $magcontent=~s/tech sky130A/tech sky130A\nmagscale 1 2/s; $magcontent=~s/<< abutment >>\nrect /<< properties >>\nstring FIXED_BBOX /s; print MAGOUT $magcontent; close MAGIN; @@ -165,20 +166,21 @@ print "DRC errors in $cellname corrected. Now running final DRC check:\n"; system "../Tools/perl/drccheck.pl $cellname.mag"; - step("NEXT STEP: mag2gds"); - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; - print OUT <>$cellname.log 2>>$cellname.err"; + print OUT <>$cellname.log 2>>$cellname.err"; print OUT < Date: Sat, 26 Jun 2021 15:37:36 +0200 Subject: [PATCH 411/673] Fixed scaling (but we still need magscale support for lclayout) --- Tech.SKY130/librecell_tech.py | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 0da09af0..0d85de4a 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -9,7 +9,7 @@ db_unit = 1e-9 # Lambda - how many db_units is 1 lambda? -l = 55 +l = 55 # unused grid = 5 # grid basis um = 1000 nm = 1 @@ -114,7 +114,7 @@ output_writers = [ MagWriter( tech_name='sky130A', - scale_factor=0.1, # Scale all coordinates by this factor (rounded down to next integer). + scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). output_map=output_map_magic ), @@ -336,7 +336,7 @@ l_metal2: 125, # SKY130_Metal1 } -viafactor = 0.1 # The via weights seem to have been too strong, so we try to reduce them +viafactor = 1 # Via weights. via_weights = { From c9b42c848e9d017cf80b49e5c64cde131cdb3f63 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Jun 2021 15:42:50 +0200 Subject: [PATCH 412/673] Added magscale support --- Tools/perl/demoboard.pl | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl index 0ca4add9..6b5479b3 100755 --- a/Tools/perl/demoboard.pl +++ b/Tools/perl/demoboard.pl @@ -43,6 +43,9 @@ ($$) our $printedheader=0; +our $magscale=undef; + + foreach(@cells) { my @mins=(); @@ -56,6 +59,7 @@ ($$) my $layer=""; while() { + $magscale=$1 if(m/^magscale (\d+ \d+)/); $usedtech=$1 if(m/^tech (\w+)/); #$timestamp=$1 if(m/^timestamp (\d+)/); # @@ -88,6 +92,10 @@ ($$) print <> rect -100 -100 10000 10000 From 14d682c105c2fc73d99566f408cc32ec68fceac6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Jun 2021 15:43:21 +0200 Subject: [PATCH 413/673] Scaling support --- Tools/perl/magscale.pl | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100755 Tools/perl/magscale.pl diff --git a/Tools/perl/magscale.pl b/Tools/perl/magscale.pl new file mode 100755 index 00000000..e9e43689 --- /dev/null +++ b/Tools/perl/magscale.pl @@ -0,0 +1,7 @@ +#!/usr/bin/perl -w + +while() +{ + print; + print "magscale 1 2\n" if(m/^tech/); +} From b5835f2cafa1b6a1c3f915309791fed9b1557b25 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 22 Oct 2021 15:23:37 +0200 Subject: [PATCH 414/673] Added xschem+sky130 support --- Tools/perl/spice2cell.pl | 26 +++++++++++++++++++++----- 1 file changed, 21 insertions(+), 5 deletions(-) diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl index 2f8a2061..72f9e0c0 100755 --- a/Tools/perl/spice2cell.pl +++ b/Tools/perl/spice2cell.pl @@ -32,8 +32,8 @@ our $pins=""; # Defines whether pins are inputs or outputs since SPICE does not have that concept -my %iomap=('A'=>'I','B'=>'I','C'=>'I','CLK'=>'I','D'=>'I','EN'=>'I','Q'=>'O','R'=>'I','S'=>'I','Y'=>'O','YC'=>'O','YS'=>'O','gnd'=>'','vdd'=>'','GND'=>'','VDD'=>'','Z'=>'O','DI'=>'I','DO'=>'O','OEN'=>'I','YPAD'=>'O','gnd2'=>'','vdd2'=>'','GND2'=>'','VDD2'=>'','vss'=>'','VSS'=>''); -my %mosmap=('pfet'=>'pmos','nfet'=>'nmos','nmos'=>'nmos','pmos'=>'pmos','hnfet'=>'nmos','hpfet'=>'pmos'); +my %iomap=('A'=>'I','B'=>'I','C'=>'I','CN'=>'I','CLK'=>'I','D'=>'I','EN'=>'I','Q'=>'O','R'=>'I','S'=>'I','Y'=>'O','YC'=>'O','YS'=>'O','gnd'=>'','vdd'=>'','GND'=>'','VDD'=>'','Z'=>'O','DI'=>'I','DO'=>'O','OEN'=>'I','YPAD'=>'O','gnd2'=>'','vdd2'=>'','GND2'=>'','VDD2'=>'','vss'=>'','VSS'=>''); +my %mosmap=('pfet'=>'pmos','nfet'=>'nmos','nmos'=>'nmos','pmos'=>'pmos','hnfet'=>'nmos','hpfet'=>'pmos','enbsim3'=>'nmos','epbsim3'=>'pmos','sky130_fd_pr__nfet_01v8'=>'nmos','sky130_fd_pr__pfet_01v8'=>'pmos'); our %internalnets=(); our $internalcounter=0; our $OUT; @@ -60,7 +60,7 @@ ($) { while() { - if(m/^\.subckt (\w+) (.*)$/ || m/TOP LEVEL CELL: (\w+)\{sch\}()/) + if(m/^\.subckt (\w+) (.*)$/ || m/TOP LEVEL CELL: (\w+)\{sch\}()/ || m/^\*\*\.subckt (\w+) (.*)$/ ) { $name=$1; $pins=$2; @@ -75,7 +75,7 @@ ($) print $OUT ".outputs ".join(" ",reverse sort keys %{$pins{'O'}})."\n"; print $OUT ".ORDER \"MOSFET Gate Drain Source\"\n"; } - elsif(m/^M\d+ (\w+#?) (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet)/) + elsif(m/^X?M\d+ (\w+#?) (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet|enbsim3|epbsim3|sky130_fd_pr__nfet_01v8|sky130_fd_pr__pfet_01v8)/i) { my ($g,$d,$s,$m)=($2,$1,$3,$5); if($d=~m/^(vdd|gnd)$/i) @@ -88,6 +88,19 @@ ($) $s=internal($s); print $OUT $mosmap{$m}." $g $d $s\n"; } + elsif(m/^X?[MX]\d+ (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet|enbsim3|epbsim3|sky130_fd_pr__nfet_01v8|sky130_fd_pr__pfet_01v8)/i) + { + my ($g,$d,$s,$m)=($2,$1,$3,$4); + if($d=~m/^(vdd|gnd)$/i) + { + print "EXCHANGING SOURCE AND DRAIN: $_"; + ($s,$d)=($d,$s); + } + $g=internal($g); + $d=internal($d); + $s=internal($s); + print $OUT "".$mosmap{$m}." $g $d $s\n"; + } elsif(m/^M(n|p)mos\@\d+ (\w+\@?\d*) (\w+\@?\d*) (\w+\@?\d*) (\w+\@?\d*)/) { my ($g,$d,$s,$m)=($3,$2,$4,$1."mos"); @@ -119,7 +132,10 @@ ($) elsif(m/^\s*$/) { } - elsif(m/^\.ends/) + elsif(m/^\.global (\w+)/i) + { + } + elsif(m/^\.ends?/) { $name="UNNAMED"; $pins=""; From d10ccdf6ec2b0570ca497101f8276a134dbc8d0c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 23 Oct 2021 00:23:57 +0200 Subject: [PATCH 415/673] New Asynchronous cells --- Catalog/ASYNC1.cell | 16 ++++++++++++++++ Catalog/ASYNC2.cell | 12 ++++++++++++ Catalog/ASYNC3.cell | 16 ++++++++++++++++ 3 files changed, 44 insertions(+) create mode 100644 Catalog/ASYNC1.cell create mode 100644 Catalog/ASYNC2.cell create mode 100644 Catalog/ASYNC3.cell diff --git a/Catalog/ASYNC1.cell b/Catalog/ASYNC1.cell new file mode 100644 index 00000000..67bb09b0 --- /dev/null +++ b/Catalog/ASYNC1.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from ASYNC1.spice +.inputs A B +.outputs C CN +.ORDER "MOSFET Gate Drain Source" +nmos B CN net3 +pmos A net4 VDD +pmos B CN net4 +pmos A net1 VDD +pmos B net1 VDD +pmos C CN net1 +pmos CN C VDD +nmos C CN net2 +nmos A net3 GND +nmos B net2 GND +nmos A net2 GND +nmos CN C GND diff --git a/Catalog/ASYNC2.cell b/Catalog/ASYNC2.cell new file mode 100644 index 00000000..b604db8f --- /dev/null +++ b/Catalog/ASYNC2.cell @@ -0,0 +1,12 @@ +.AUTOGENERATED by spice2cell script from ASYNC2.spice +.inputs A B +.outputs C CN +.ORDER "MOSFET Gate Drain Source" +nmos C CN GND +pmos A net1 VDD +pmos B CN net1 +pmos CN C VDD +pmos C CN VDD +nmos B CN net2 +nmos A net2 GND +nmos CN C GND diff --git a/Catalog/ASYNC3.cell b/Catalog/ASYNC3.cell new file mode 100644 index 00000000..c4ec28a6 --- /dev/null +++ b/Catalog/ASYNC3.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from ASYNC3.spice +.inputs A B +.outputs C CN +.ORDER "MOSFET Gate Drain Source" +nmos B CN net2 +nmos A net2 GND +nmos A CN net1 +nmos CN C GND +nmos B net1 GND +nmos C net2 net1 +pmos B CN net3 +pmos A net3 VDD +pmos B net4 VDD +pmos A CN net4 +pmos CN C VDD +pmos C net4 net3 From e790beb424d28f062c48ed5d07adfcc471e54805 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 12 Nov 2021 22:55:27 +0100 Subject: [PATCH 416/673] Various improvements --- Tools/perl/drcfix.pl | 47 +++++++++++++++++++++++++++++++++----------- 1 file changed, 36 insertions(+), 11 deletions(-) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 5dde3956..9be661a1 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -16,6 +16,8 @@ my $mag=$ARGV[0];$mag=~s/\.drc$/.mag/; $mag=~s/\.mag\.mag/\.mag/; my $output="corr_$mag"; my $mode=0; +my $try=1; +my $debug=0; sub form($) { @@ -29,9 +31,8 @@ ($) #sub tryfix($) #{ print "Trying the fix on $mag:\nRuning magic ...\n"; - open OUT,"|magic -dnull -noconsole -T $tech $mag"; -print OUT < 0} { redirect_variable drcresult {drc find} puts "move up \$yRepo" @@ -129,7 +135,9 @@ ($) if {\$drccommand == "erase" } { redirect_variable bbox {box} #lambda: 44 x 10 ( 0, 309 ), ( 44, 319 ) 440 - regexp {lambda:\\s*\\d+ x \\d+\\s+\\([^\\)]*\\), \\(\\s*(\\d+),\\s*(\\d+)} \$bbox full boxX boxY + #lambda: 2.00 x 8.50 ( 463.50, 217.50), ( 465.50, 226.00) 17.00 + puts "BOX: \$bbox" + regexp {lambda:\\s*\\d+\\.?\\d* x \\d+\\.?\\d*\\s+\\([^\\)]*\\), \\(\\s*(\\d+\\.?\\d*),\\s*(\\d+\\.?\\d*)} \$bbox full boxX boxY puts "Bounding box for erase: \$boxX \$boxY" if {\$boxY >= 309 } { puts "This is an addition for Sky130: We do not want to erase the power rails, so we skip ignore rules outside the core of the cell" @@ -137,10 +145,14 @@ ($) } } foreach drcparts [split \$layernames ","] { + puts "Trying layers \$drcparts" foreach layername [split \$drcparts " "] { puts "\$drccommand \$layername" \$drccommand \$layername + puts "done with this layer." } + # save "$output.try.\$ndebugfile" + incr ndebugfile drc check drc catchup redirect_variable drccountnew {drc count total} @@ -157,8 +169,8 @@ ($) set drcc \$drccn set checkpoint [getCheckpoint] puts "New Checkpoint: \$checkpoint" - #save $output - #exit + # save $output + # exit } else { puts "Trying to fix this DRC issue did not reduce the number of DRC issues (\$drccn vs. \$drcc) so we undo and try something else" undoToCheckpoint \$checkpoint @@ -178,17 +190,30 @@ ($) puts "We have fixed some issues, \$drccn issues are remaining, we give up and save the file now." save $output puts "File $output saved." - } + } else { + puts "We could not fix any issues." + } } puts "Trying to FIX some DRC issues" +load $mag fix_drc puts "Done trying to FIX some DRC issues" quit -noprompt EOF ; -close OUT; - -#} +if($debug) +{ + open OUT,">magic-commands.tcl"; + print OUT $todo; + close OUT; + system "magic -dnull -rcfile magic-commands.tcl -noconsole -T $tech"; +} +else +{ + open OUT,"|magic -dnull -noconsole -T $tech"; + print OUT $todo; + close OUT; +} #tryfix(); From 1190b64e3fe87a859004efb78c9711da190c19f3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 12 Nov 2021 23:02:35 +0100 Subject: [PATCH 417/673] drc check needs a box --- Tools/perl/drccheck.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/drccheck.pl b/Tools/perl/drccheck.pl index dd5c8020..acf6c796 100755 --- a/Tools/perl/drccheck.pl +++ b/Tools/perl/drccheck.pl @@ -15,6 +15,7 @@ my $outfile="$mag.drc"; print OUT < Date: Fri, 12 Nov 2021 23:08:18 +0100 Subject: [PATCH 418/673] Used select top to automatically select the right size --- Tools/perl/drccheck.pl | 2 +- Tools/perl/drcfix.pl | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/drccheck.pl b/Tools/perl/drccheck.pl index acf6c796..0d622eb6 100755 --- a/Tools/perl/drccheck.pl +++ b/Tools/perl/drccheck.pl @@ -15,7 +15,7 @@ my $outfile="$mag.drc"; print OUT < Date: Fri, 12 Nov 2021 23:59:03 +0100 Subject: [PATCH 419/673] workaround for lclayout problems with lowercase filenames --- Tools/perl/spice2cell.pl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Tools/perl/spice2cell.pl b/Tools/perl/spice2cell.pl index 72f9e0c0..921b6a52 100755 --- a/Tools/perl/spice2cell.pl +++ b/Tools/perl/spice2cell.pl @@ -69,13 +69,13 @@ ($) my %pins=(); $pins{$iomap{$_}}{$_}++ foreach(split " ",$pins); $seenpins{$_}++ foreach(split " ",$pins); - open $OUT,">$name.cell"; + open $OUT,">".uc($name).".cell"; print $OUT ".AUTOGENERATED by spice2cell script from $ARGV[0]\n"; print $OUT ".inputs ".join(" ",reverse sort keys %{$pins{'I'}})."\n"; print $OUT ".outputs ".join(" ",reverse sort keys %{$pins{'O'}})."\n"; print $OUT ".ORDER \"MOSFET Gate Drain Source\"\n"; } - elsif(m/^X?M\d+ (\w+#?) (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet|enbsim3|epbsim3|sky130_fd_pr__nfet_01v8|sky130_fd_pr__pfet_01v8)/i) + elsif(m/^X?[MNP]\d+ (\w+#?) (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet|enbsim3|epbsim3|sky130_fd_pr__nfet_01v8|sky130_fd_pr__pfet_01v8)/i) { my ($g,$d,$s,$m)=($2,$1,$3,$5); if($d=~m/^(vdd|gnd)$/i) @@ -88,7 +88,7 @@ ($) $s=internal($s); print $OUT $mosmap{$m}." $g $d $s\n"; } - elsif(m/^X?[MX]\d+ (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet|enbsim3|epbsim3|sky130_fd_pr__nfet_01v8|sky130_fd_pr__pfet_01v8)/i) + elsif(m/^X?[MXNP]\d+ (\w+#?) (\w+#?) (\w+#?) (pfet|nfet|nmos|pmos|hnfet|hpfet|enbsim3|epbsim3|sky130_fd_pr__nfet_01v8|sky130_fd_pr__pfet_01v8)/i) { my ($g,$d,$s,$m)=($2,$1,$3,$4); if($d=~m/^(vdd|gnd)$/i) From c94d3e847fb655c7f0aecd8acde771bbaf119ff7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 13 Nov 2021 00:00:01 +0100 Subject: [PATCH 420/673] Improved predrc filenames --- Tools/perl/librecells.pl | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index a4f46877..2705ce06 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -147,11 +147,11 @@ system "../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; step("NEXT STEP: DRC Fix"); - system "../Tools/perl/drcfix.pl $cellname.mag >>$cellname.log 2>>$cellname.err"; + system "../Tools/perl/drcfix.pl $cellname.mag ../Tech/libresilicon.tech >>$cellname.log 2>>$cellname.err"; if(-f "corr_$cellname.mag") { - unlink "$cellname.mag.predrc"; - rename "$cellname.mag","$cellname.mag.predrc"; + unlink "$cellname.predrc.mag"; + rename "$cellname.mag","$cellname.predrc.mag"; rename "corr_$cellname.mag","$cellname.mag"; #step("NEXT STEP: DRC Fix - 2nd try, just to make sure"); @@ -191,10 +191,10 @@ ext2sim alias on ext2sim labels on ext2sim -extresist tolerance 10 -extresist simplify off +#extresist tolerance 10 +#extresist simplify off #extresist extout on -extresist lumped on +#extresist lumped on #extresist geometry extresist all quit -noprompt From 4cf37b314b5331f4ec6251007613d158108a5a5e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 13 Nov 2021 00:00:43 +0100 Subject: [PATCH 421/673] Improved Magic handling --- Tools/perl/parasitics.pl | 53 +++++++++++++++++++++++++++------------- 1 file changed, 36 insertions(+), 17 deletions(-) diff --git a/Tools/perl/parasitics.pl b/Tools/perl/parasitics.pl index 0dd1d054..222229ad 100755 --- a/Tools/perl/parasitics.pl +++ b/Tools/perl/parasitics.pl @@ -21,6 +21,21 @@ print "First magic call:\n"; open OUT,"|magic -dnull -noconsole -T $tech $mag.mag"; + print OUT <>$cellname.ext"; - #system "cat $cellname.ext"; - print "Second magic call:\n"; - open OUT,"|magic -dnull -noconsole -T $tech $mag.mag"; - print OUT <>$cellname.ext"; +# #system "cat $cellname.ext"; +# print "Second magic call:\n"; +# open OUT,"|magic -dnull -noconsole -T $tech $mag.mag"; +# print OUT < Date: Thu, 8 Sep 2022 17:27:52 +0200 Subject: [PATCH 422/673] MUX generator for transmission gates --- Tools/perl/muxgen.pl | 26 ++++++++++++++++++++++++++ 1 file changed, 26 insertions(+) create mode 100644 Tools/perl/muxgen.pl diff --git a/Tools/perl/muxgen.pl b/Tools/perl/muxgen.pl new file mode 100644 index 00000000..2bf3c553 --- /dev/null +++ b/Tools/perl/muxgen.pl @@ -0,0 +1,26 @@ +#!/usr/bin/perl -w + + +foreach my $n(2 .. 10) +{ + open OUT,">MUX$n.cell"; + print OUT ".AUTOGENERATED by muxgen Perl Script\n"; + my @ins=(); + push @ins,"IN$_" foreach(0 .. $n-1); + push @ins,"S$_" foreach(0 .. $n-1); + print OUT ".inputs ".join(" ".@ins)."\n"; + print OUT ".outputs OUT\n"; + print OUT ".ORDER \"MOSFET Gate Drain Source\"\n"; + foreach(0 .. $n-1) + { + print OUT "pmos IN$_ INT$_ vdd\n"; + print OUT "nmos IN$_ INT$_ gnd\n"; + print OUT "pmos S$_ INT$_ Y\n"; + print OUT "nmos S$_ INT$_ Y\n"; + } + print OUT "pmos Y Z vdd\n"; + print OUT "nmos Y Z gnd\n"; + close OUT; + + +} From e52e19bf6548c66247e0db8dfef72c9781920beb Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Thu, 8 Sep 2022 17:36:50 +0200 Subject: [PATCH 423/673] MUX generator with Transmission Gates From 11365c0f5e625e74db39e63bf2c110264ec137bc Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Fri, 9 Sep 2022 11:37:24 +0200 Subject: [PATCH 424/673] Bugfix: Inputs were missing --- Tools/perl/muxgen.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/muxgen.pl b/Tools/perl/muxgen.pl index 2bf3c553..c853eba6 100644 --- a/Tools/perl/muxgen.pl +++ b/Tools/perl/muxgen.pl @@ -8,7 +8,7 @@ my @ins=(); push @ins,"IN$_" foreach(0 .. $n-1); push @ins,"S$_" foreach(0 .. $n-1); - print OUT ".inputs ".join(" ".@ins)."\n"; + print OUT ".inputs ".join(" ",@ins)."\n"; print OUT ".outputs OUT\n"; print OUT ".ORDER \"MOSFET Gate Drain Source\"\n"; foreach(0 .. $n-1) From fcf91c0cd6c31147f415a6bce7f29a534a851f98 Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Fri, 9 Sep 2022 11:41:30 +0200 Subject: [PATCH 425/673] Fixed ouput naming --- Tools/perl/muxgen.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/muxgen.pl b/Tools/perl/muxgen.pl index c853eba6..16c55b82 100644 --- a/Tools/perl/muxgen.pl +++ b/Tools/perl/muxgen.pl @@ -9,7 +9,7 @@ push @ins,"IN$_" foreach(0 .. $n-1); push @ins,"S$_" foreach(0 .. $n-1); print OUT ".inputs ".join(" ",@ins)."\n"; - print OUT ".outputs OUT\n"; + print OUT ".outputs Z\n"; print OUT ".ORDER \"MOSFET Gate Drain Source\"\n"; foreach(0 .. $n-1) { From 95c231dfd6fcbb41cd5acb24480221e3f1a8f947 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 30 Oct 2022 11:23:24 -0400 Subject: [PATCH 426/673] Added error message for mouse-over titles when files are missing --- Tools/perl/buildreport.pl | 24 ++++++++++++------------ 1 file changed, 12 insertions(+), 12 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index b365bad3..b92504e8 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -124,18 +124,18 @@ } print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; - print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; + print OUT ""; if(open LIB,"<$libfile") { From 00d4791cdd1408d99b875cb6a36777241d0c58ef Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 21 Nov 2022 14:48:25 -0500 Subject: [PATCH 427/673] Executable --- Tools/perl/muxgen.pl | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 Tools/perl/muxgen.pl diff --git a/Tools/perl/muxgen.pl b/Tools/perl/muxgen.pl old mode 100644 new mode 100755 From 6e1af493a8b81681c13fad0dd89174d93fea356e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 21 Nov 2022 14:49:36 -0500 Subject: [PATCH 428/673] Made names uppercase --- Tools/perl/cell2spice.pl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index 3fe53ec7..7863ad66 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -54,7 +54,7 @@ { my($t,$g,$d,$s)=($1,$2,$3,$4); my $x=($t eq "pmos")?"vdd":"gnd"; - $transistors.="M$M $d $g $s $x $t ".(($t eq "pmos") ? $ptech:$ntech)."\n"; + $transistors.="M$M ".uc("$d $g $s $x")." $t ".(($t eq "pmos") ? $ptech:$ntech)."\n"; #M1 vdd B a_2_6# vdd pmos w=0.5u l=0.05u #M2 Y a_2_6# vdd vdd pmos w=0.5u l=0.05u #M3 a_9_6# A a_2_6# gnd nmos w=0.5u l=0.05u @@ -66,12 +66,12 @@ if(m/^res (\w+) (\w+) (\w+)/i) { my($n1,$n2,$v)=($1,$2,$3); - $transistors.="R$M $n1 $n2 $v\n"; + $transistors.="R$M ".uc($n1)." ".uc($n2)." ".uc($v)."\n"; $M++; } } $ios=~s/,/ /g;$ios=~s/ / /g; $ios=~s/^ //; $ios=~s/ $//; - print OUT ".subckt $short vdd gnd $ios\n"; + print OUT ".subckt $short ".uc("vdd gnd $ios")."\n"; print OUT $transistors; print OUT ".ends $short\n\n"; close IN; From 817e8f0e83da150c87fe53d50fa687132a906a5e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 23 Nov 2022 21:27:45 -0500 Subject: [PATCH 429/673] Adding DRC Expander script to expand MAGIC .tech files --- Tools/perl/drcexpander.pl | 374 ++++++++++++++++++++++++++++++++++++++ 1 file changed, 374 insertions(+) create mode 100755 Tools/perl/drcexpander.pl diff --git a/Tools/perl/drcexpander.pl b/Tools/perl/drcexpander.pl new file mode 100755 index 00000000..997be2c5 --- /dev/null +++ b/Tools/perl/drcexpander.pl @@ -0,0 +1,374 @@ +print STDERR "DRC Expander - expands the DRC rules in MAGIC tech files and annotates the layers that might cause the DRC issues\n"; + +# Original tech file: +my $tech=$ARGV[0] || "/usr/local/lib/magic/sys/sky130A.tech"; +my $expand=0; +my $debug=0; +my $createrules=0; # Create code for rules instead of expanding the tech file + +print STDERR "Processing the original tech file: $tech\n"; + +#our %contacts=(); +our %alias=(); + +# Required DRC rules for SKY130: area,cifmaxwidth,edge4way,exact_overlap,extend,overhang,rect_only,spacing,surround,width +my $rules=< \$l$_\n\" if(\$debug);\n" if($types[$_] eq "type-list"); + print " my \$text=\$$_;\n" if($types[$_] eq "why"); + push @typelists,$_ if($types[$_] eq "type-list"); + } + print " print \" "; + foreach(1 .. scalar(@types)-1) + { + print "\$$_ " if($types[$_] eq "other"); + print "\$vl$_ " if($types[$_] eq "type-list"); + if($types[$_] eq "why") + { + print "\\\"\$$_ "; + #print "[erase ".join(",",@typelists)."]"; + foreach my $tl (@typelists) + { + print "[erase \$l$tl]"; + } + print "\\\""; + } + } + print "\\n\";\n"; + print " }\n"; + print "\n"; + # $1 $vl1 $vl2 $4 $5 \"$6 [erase $l1]".(($vl1 eq $vl2)?"":"[erase $l2]")."\"\n"; + + +} + +exit if($createrules); + +#magic: tech layers allli +# At first we are reading through the original tech file to search for all the virtual layers that need to be expanded +open IN,"<$tech"; +undef $/; +my $content=; +$/="\n"; +$content=~s/\\\n//sg; + +foreach(split("\n",$content)) +{ + s/\\//g; + if(m/^\s*(spacing|surround)\s+(\S+)\s+(\S+)\s+/) + { + my $a2=$2; + my $a3=$3; + $alias{$a2}=1; + $alias{$a3}=1; + foreach(split(",",$a2)) + { + $alias{$_}=1; + $alias{$1}=1 if(m/^([^\/]+)/); + } + foreach(split(",",$a3)) + { + $alias{$_}=1; + $alias{$1}=1 if(m/^([^\/]+)/); + } + } + # Rule: width type-list width error + if(m/^\s*(width)\s+(\S+)\s+(\S+)\s+"([^"]*)"\s*$/) + { + $alias{$2}=1; + foreach(split(",",$2)) + { + $alias{$_}=1; + } + } +} +close IN; + + +if($debug) +{ + print STDERR "BEFORE:\n"; + foreach(sort keys %alias) + { + print STDERR "$_ -> $alias{$_}\n"; + } +} + +# No we are asking magic what those virtual layers actually mean + print STDERR "Running magic -T $tech ...\n"; + if(open OUT,"|magic -dnull -noconsole -T $tech >magic.layers.out") + { + print OUT "puts \"CUT HERE -------- CUT HERE\"\n"; + foreach(sort keys %alias) + { + s/\(// unless(m/\)/); + s/\)// unless(m/\(/); + #print STDERR "[tech layers $_]\n"; + print OUT "puts \"EXPANDING: $_\"\n"; + print OUT "puts [tech layers $_]\n"; + } + print OUT "puts \"CUT HERE -------- CUT HERE\"\n"; + print OUT "quit -noprompt\n"; + close OUT; + + # Now we are retrieving the answer from Magic: + if(open IN,") + { + if(m/^EXPANDING: (\S+)/) + { + my $next=; + chomp $next; + $alias{$1}=$next; + } + } + close IN; + } + else + { + die "Could not get the results from magic!\n"; + } + } + else + { + die "Could not run magic!\n"; + } + print STDERR "Done with magic.\n"; + + +if($debug) +{ + print STDERR "\n\nAFTER:\n"; + foreach(sort keys %alias) + { + print STDERR "$_ -> $alias{$_}\n"; + } + exit; +} + +open IN,"<$tech"; + +# This function expands a single layer-list into all the physical layers it means +sub allLayers($) +{ + #if($_[0] !~ m/\//) # If we do not look for "images" (which are signalled by / in the typelist and are currently not supported by magic), then we can just use the whole typelist which is more efficient. + #{ + #return split " ",$alias{$_[0]} ; + #} + + my @a=split ",",$_[0]; + my %b=(); + foreach(@a) + { + print STDERR "piece: $_\n" if($debug); + if(m/(\/\S+)/ && defined($alias{$_}) && $alias{$_}) + { + print STDERR "WE FOUND A SLASH AND AN ALIAS\n" if($debug); + my $image=$1; + foreach my $part(split " ",$alias{$_}) + { + print STDERR "PART: $part\n" if($debug); + $b{$part.(($part =~ m/\//)?"":$image)}=1; + } + } + elsif(m/^([^\/]+)(\/\S+)/) # This is a workaround for bugs in magic that hopefully get fixed + { + print STDERR "WE FOUND A SLASH BUT NO ALIAS\n" if($debug); + my $image=$2; + my $short=$1; + foreach my $part(split " ",$alias{$short}) + { + print STDERR "PART: $part\n" if($debug); + $b{$part.$image}=1; + } + } + else + { + print STDERR "NO SLASH OR ALIAS\n" if($debug); + foreach my $part(split " ",$alias{$_}) + { + $b{$part}=1; + } + } + } + return sort keys %b; +} + +my $section=""; +our %drckind=(); +# Finally we are processing the whole tech file and annotating the rules: +while() +{ + while($_=~m/\\$/) + { + $_.=; + } + if(m/^(\w+)/) + { + $section=$1; + } + # if($section eq "contact" && m/^\s+(\w+)/) + #{ + # my $a=$_; + # $a=~s/^\s+//; $a=~s/\s+$//; + # my @a=split(/\s+/,$a); + # my $cont=shift(@a); + # foreach my $cnt(@a) + # { + # print STDERR "CONTACT: $cont -> $cnt\n"; + # $contacts{$cont}{$cnt}=1; + # $contacts{$cnt}{$cont}=1; + # } + #} + #if($section eq "aliases" && m/^\s+(\w+)/) + #{ + # my $a=$_; + # $a=~s/^\s+//; $a=~s/\s+$//; + # my @a=split(/\s+/,$a); + # my $cont=shift(@a); + # foreach my $cnt(@a) + # { + # print STDERR "ALIAS: $cont -> $cnt\n"; + # $alias{$cont}=$cnt; + # } + #} + my $oneline=$_; + $oneline=~s/\n//sg; + tr/\\//; + if($section eq "drc") + { + if(m/^\s*(\w+)/) + { + $drckind{$1}=1; + } + } + + + if(m/^\s*(spacing|surround)\s+(\S+)\s+(\S+)\s+(\d+)\s+\\?\s*(\w+)\s+\\?\s*"([^"]+)"/) + { + #print " # ORIGINAL RULE:$oneline\n"; + my $vl1=$2; + my $vl2=$3; + my $text=$6; + my $l1=join " ",allLayers($vl1); + print STDERR "L1: $vl1 -> $l1\n" if($debug); + my $l2=join " ",allLayers($vl2); + print STDERR "L2: $vl2 -> $l2\n" if($debug); + + if($expand) + { + foreach my $layer1(allLayers($vl1)) + { + foreach my $layer2(allLayers($vl2)) + { + #print " $1 $layer1 $layer2 $4 $5 \"$6 [erase $layer1]".(($layer1 eq $layer2)?"":"[erase $layer2]")."\"\n"; + } + } + } + else + { + print " $1 $vl1 $vl2 $4 $5 \"$6 [erase $l1".(($vl1 eq $vl2)?"":",$l2")."]\"\n"; + } + #print "\n"; + } + # Rule: width type-list width error + elsif(m/^\s*(width)\s+(\S+)\s+(\S+)\s+"([^"]*)"\s*$/) + { + print " # ORIGINAL RULE:$oneline\n"; + my $vl2=$2; + print STDERR "ALLLAYERS $vl2\n" if($debug); + my $l2=join ",",allLayers($vl2); # For painting we need a different strategy to try each layer individually + print STDERR "INFO: vl2=$vl2 l2=$l2\n" if($debug); + my $text=$4; + print " $1 $vl2 $3 \"$4 [paint $l2]\"\n"; + } + + + else + { + print $_; + } +} +close IN; + +print STDERR "".join(",", sort keys %drckind)."\n"; From 3575c5a34209c71987caba100e7041282ccdd6b2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 23 Nov 2022 21:30:58 -0500 Subject: [PATCH 430/673] Adding support for GF180MCU from GlobalFoundries --- Tech.GF180MCU/.gitignore | 1 + Tech.GF180MCU/Makefile | 4 + Tech.GF180MCU/librecell_tech.py | 442 ++++ Tech.GF180MCU/libresilicon.m | 14 + Tech.GF180MCU/libresilicon.tech | 3498 +++++++++++++++++++++++++++++++ Tech.GF180MCU/nmos.sp | 1 + Tech.GF180MCU/pmos.sp | 1 + Tech.GF180MCU/template.lef | 177 ++ 8 files changed, 4138 insertions(+) create mode 100644 Tech.GF180MCU/.gitignore create mode 100644 Tech.GF180MCU/Makefile create mode 100644 Tech.GF180MCU/librecell_tech.py create mode 100644 Tech.GF180MCU/libresilicon.m create mode 100644 Tech.GF180MCU/libresilicon.tech create mode 100644 Tech.GF180MCU/nmos.sp create mode 100644 Tech.GF180MCU/pmos.sp create mode 100755 Tech.GF180MCU/template.lef diff --git a/Tech.GF180MCU/.gitignore b/Tech.GF180MCU/.gitignore new file mode 100644 index 00000000..c18dd8d8 --- /dev/null +++ b/Tech.GF180MCU/.gitignore @@ -0,0 +1 @@ +__pycache__/ diff --git a/Tech.GF180MCU/Makefile b/Tech.GF180MCU/Makefile new file mode 100644 index 00000000..e1b946c0 --- /dev/null +++ b/Tech.GF180MCU/Makefile @@ -0,0 +1,4 @@ +libresilicon.tech: /usr/local/share/pdk/gf180mcuC/libs.tech/magic/gf180mcuC.tech + perl ../Tools/perl/drcexpander.pl /usr/local/share/pdk/gf180mcuC/libs.tech/magic/gf180mcuC.tech >libresilicon.tech + + diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py new file mode 100644 index 00000000..3ddb98f3 --- /dev/null +++ b/Tech.GF180MCU/librecell_tech.py @@ -0,0 +1,442 @@ +from lclayout.layout.layers import * +from lclayout.writer.magic_writer import MagWriter +from lclayout.writer.lef_writer import LefWriter +from lclayout.writer.gds_writer import GdsWriter +from lclayout.writer.oasis_writer import OasisWriter + + +# This Tech file was created for 5V transistors for GlobalFoundries GF180MCU. There might be one layer missing for them. We could create additional cells for 3.3V and 6V, but that would change a lot of the DRC rules + + +# Physical size of one data base unit in meters. +# BUT GDS2 requires the database units to be in nanometers, and lclayout cannot convert to nanometers automatically yet +db_unit = 1e-9 + +# Lambda - how many db_units is 1 lambda? +grid = 5 # grid basis +um = 1000 +nm = 1 + +# Scale transistor width. +transistor_channel_width_sizing = 1 + +# GDS2 layer numbers for final output. +my_diffusion = (22, 0) # = ndiffusion+pdiffusion +my_diffusion_label = (22, 0) +my_diffusion_pin = (22, 0) + +my_nwell = (21, 0) +my_nwell_label = (21, 0) +my_nwell_pin = (21, 0) + +my_pwell = (204, 0) + +my_poly = (30, 0) # poly silicium for gates -> poly + ntransistor + ptransistor +my_poly_gate = (30, 0) # poly gates? Why do we have a second layer for gates? +my_poly_label = (30, 0) + +my_mcon = (33, 0) +my_metal1 = (34, 0) +my_metal1_label = (34, 0) +my_metal1_pin = (34, 0) +my_via1 = (35, 0) +my_metal2 = (36, 0) +my_metal2_label = (36, 0) +my_metal2_pin = (36, 0) +my_via2 = (38, 0) +my_metal3 = (42, 0) + +my_abutment_box = (63, 0) # prBndry ??? + +my_pplus = (31,0) # TAP.DRAWING +my_nplus = (32,0) # TAP.DRAWING + +# lclayout internally uses its own layer numbering scheme. +# For the final output the layers can be remapped with a mapping +# defined in this dictioinary. +output_map = { + l_ndiffusion: my_diffusion, + l_pdiffusion: my_diffusion, + l_nwell: my_nwell, # [my_nwell, my_nwell2], # Map l_nwell to two output layers. + l_pwell: my_pwell, # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. + l_poly: my_poly, + l_poly_contact: my_mcon, + l_pdiff_contact: my_mcon, + l_ndiff_contact: my_mcon, + l_metal1: my_metal1, + l_metal1_label: my_metal1_label, + l_metal1_pin: my_metal1_pin, + l_via1: my_via1, + l_metal2: my_metal2, + l_metal2_label: my_metal2_label, + l_metal2_pin: my_metal2_pin, + l_abutment_box: my_abutment_box, + l_pplus: my_pplus, + l_nplus: my_nplus, + l_border_vertical: (142, 1), + l_border_horizontal: (142, 2), +} + +# These are only the obstruction layers, only these layers will be generated into the OBS section of the LEF files +obstruction_layers = [ + l_poly_contact, + l_pdiff_contact, + l_ndiff_contact, + l_metal1, + l_via1, + l_metal2, +] + +output_map_magic = { + l_nwell: 'nwell', + l_pwell: 'pwell', + l_via1: 'via1', + l_poly: 'poly', + l_abutment_box: ['abutment'], + l_metal1: 'met1', + l_metal2: 'met2', + l_metal1_label: 'met1', + l_metal2_label: 'met2', + l_metal1_pin: 'met1', + l_metal2_pin: 'met2', + l_ndiffusion: 'ndiffusion', + l_pdiffusion: 'pdiffusion', + l_poly_contact: 'polycont', + l_pdiff_contact: 'pdiffc', + l_ndiff_contact: 'ndiffc', + l_nplus: 'allnactivetap', + l_pplus: 'allpactivetap' +} + + +# Define a list of output writers. +output_writers = [ + MagWriter( + tech_name='gf180mcuC', + scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). + output_map=output_map_magic + ), + + LefWriter( + db_unit=1e-6, # LEF Fileformat always needs Microns + obstruction_layers=obstruction_layers, + output_map=output_map_magic, # Not supported yet but will be soon + use_rectangles_only=True, + site="unit" + ), + + GdsWriter( + db_unit=db_unit, + output_map=output_map + ), + + OasisWriter( + db_unit=db_unit, + output_map=output_map + ) + +] + +# Define how layers can be used for routing. +# Example for a layer that can be used for horizontal and vertical tracks: {'MyLayer1' : 'hv'} +# Example for a layer that can be contacted but not used for routing: {'MyLayer2' : ''} +routing_layers = { + l_ndiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. + l_pdiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. + l_poly: '', + l_metal1: 'hv', + l_metal2: 'hv', +} + +# Minimum spacing rules for layer pairs. +min_spacing = { + (l_ndiffusion, l_ndiffusion): 270*nm, # (difftap.3) + #(l_ndiffusion, l_outline): 270/2*nm, # (difftap.3) + (l_pdiffusion, l_ndiffusion): 270*nm, # (difftap.3) + #(l_pdiffusion, l_outline): 270/2*nm, # (difftap.3) + (l_pdiffusion, l_pdiffusion): 270*nm, # (difftap.3) + (l_ndiffusion, l_poly_contact): 190*nm, # (licon.14) + (l_pdiffusion, l_poly_contact): 190*nm, # (licon.14) + (l_nwell, l_nwell): 740*nm, # NW.2a + (l_nwell, l_pwell): 250*nm, # p_well not needed for SKY130, but lclayout uses it for sizing the wells! + (l_pwell, l_pwell): 1700*nm, # LPW.2a # If it would be the same potential, we could go down to 860*nm according to LPW.2b + (l_poly, l_ndiffusion): 75*nm, # (poly.4) + (l_poly, l_pdiffusion): 75*nm, # (poly.4) + (l_poly, l_poly): 240*nm, # PL.3a + #(l_poly, l_outline): 210/2*nm, # (poly.2) + (l_poly, l_pdiff_contact): 155*nm, # 55*nm # (licon.11) + (l_poly, l_ndiff_contact): 155*nm, # 55*nm # (licon.11) + (l_pdiff_contact, l_pdiff_contact): 280*nm, # CO.2b + #(l_pdiff_contact, l_outline): 270/2*nm, # (difftap.3) + (l_ndiff_contact, l_ndiff_contact): 280*nm, # CO.2b + #(l_ndiff_contact, l_outline): 270/2*nm, # (difftap.3) + (l_pdiff_contact, l_ndiff_contact): 280*nm, # CO.2b + (l_metal1, l_metal1): 230*nm, # Mn.2a ! WARNING: Spacing to huge_met1 (>=10um) needs to be 300nm ! + #(l_metal1, l_outline): 170/2*nm, # (li.3) # !!!! WARNING: Spacing to huge_met1 (>=?nm) needs to be 280nm ! +# (l_metal1, l_border_vertical): 190*nm, # To move the VIAs at the right place +# (l_metal2, l_border_vertical): 190*nm, # To move the VIAs at the right place + + (l_metal2, l_metal2): 280*nm, # Mn.2a + # We need metal2 at the border for the power lanes, so we dont put border rules + (l_via1, l_via1): 260*nm, # Vn.2a + #(l_via1, l_outline): 190/2*nm, # (ct.2) + #(l_via1, l_diff_contact): 2*l, # NO RULES FOR LICON-MCON spacing found + #(l_via1, l_ndiffusion): 2*l, # NO RULES FOR MCON-DIFF spacing found + #(l_via1, l_pdiffusion): 2*l, # NO RULES FOR MCON-DIFF spacing found + (l_poly_contact, l_pdiff_contact): 280*nm, # CO.2b + #(l_poly_contact, l_outline): 170/2*nm, # (licon.2) + (l_poly_contact, l_ndiff_contact): 170*nm, # CO.2b + (l_ndiffusion, l_pplus): 75*nm, + (l_pdiffusion, l_nplus): 75*nm, + (l_nplus, l_nplus): 400*nm, # NP.2 +} + +# Layer for the pins. +pin_layer = l_metal2 # lclayout.metal2 = sky130.metal1 + +# Power stripe layer +power_layer = l_metal1 # , l_metal2] # lclayout.metal2 = sky130.metal1 + +# Layers that can be connected/merged without changing the schematic. +# This can be used to resolve spacing/notch violations by just filling the space. +connectable_layers = {l_nwell, l_pwell, l_poly} +# Width of the gate polysilicon stripe. +# is reused as the minimum_width for the l_poly layer +gate_length = 500*nm # PL.2 + +# Minimum length a polysilicon gate must overlap the silicon. +gate_extension = 220*nm # PL.4 + +# Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. +transistor_offset_y = 340*nm # !!! This likely needs to be tuned later on # The 150/2*nm might have to be removed + +# Standard cell dimensions. +# A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. +# `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. +unit_cell_width = 2*560*nm # (unit SITE) # measured from gf180mcu_fd_sc_mcu9t5v0__inv_1 +unit_cell_height = 5040*nm # (unit SITE) # measured from gf180mcu_fd_sc_mcu9t5v0__inv_1 + +#assert unit_cell_height >= 16*um, "minimum 16um due to pwell width + nwell-pwell spacing" +# due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 + +# Routing pitch +routing_grid_pitch_x = unit_cell_width // 2 # // 4 +routing_grid_pitch_y = 135*nm # unit_cell_height // 8 // 2 + +# Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) +grid_offset_x = routing_grid_pitch_x +grid_offset_y = 0 # (routing_grid_pitch_y // 2 ) -10 + +# Width of power rail. +power_rail_width = 480*nm # compatible to SKY130 # 3*130*nm # decided by the standard cell library architect + +# Minimum width of polysilicon gate stripes. +# It increases w and l from the spice netlist, so it must be width from the spice netlist +minimum_gate_width_nfet = 300*nm # PL.2 +minimum_gate_width_pfet = 300*nm # PL.2 + +# Minimum width for pins. +minimum_pin_width = 220*nm + +# Width of routing wires. +wire_width = { + l_ndiffusion: 150*nm, # (difftap.1) + l_pdiffusion: 150*nm, # (difftap.2) + l_poly: 150*nm, # (poly.1a) + l_metal1: 230*nm, # Mn.1 + l_metal2: 280*nm, # Mn.1 +} + +# Width of horizontal routing wires (overwrites `wire_width`). +wire_width_horizontal = { + l_ndiffusion: 150*nm, # (difftap.1) + l_pdiffusion: 150*nm, # (difftap.2) + l_poly: 200*nm, # PL.1 + l_metal1: 230*nm, # Mn.1 + l_metal2: 280*nm, # Mn.1 +} + +# Side lengths of vias (square shaped). +via_size = { + l_poly_contact: 220*nm, # CO.1 + l_ndiff_contact: 220*nm, # CO.1 + l_pdiff_contact: 220*nm, # CO.1 + l_via1: 260*nm, # Vn.1 + #l_via2: 260*nm # Vn.1 +} + +# Minimum width rules. +minimum_width = { + l_ndiffusion: 300*nm, # DF.1a + l_pdiffusion: 300*nm, # DF.1a + l_poly: gate_length, + l_metal1: 230*nm, # Mn.1 + l_metal2: 280*nm, # Mn.1 + l_nwell: 860*nm, # NW.1a + l_pwell: 740*nm, # LPW.1 + l_nplus: 400*nm # NP.1 +} + +# Minimum enclosure rules. +# Syntax: {(outer layer, inner layer): minimum enclosure, ...} +minimum_enclosure = { + # Via enclosure + (l_ndiffusion, l_ndiff_contact): 60*nm, # (licon.5a) + (l_pdiffusion, l_pdiff_contact): 60*nm, # (licon.5a) + (l_poly, l_poly_contact): 80*nm, # (licon.8a) !!! OR (licon.4) ? + (l_metal1, l_pdiff_contact): 80*nm, # (li.5) + (l_metal1, l_ndiff_contact): 80*nm, # (li.5) + (l_metal1, l_poly_contact): 80*nm, # (li.5) + (l_metal1, l_via1): 60*nm, # Vn.3 + (l_metal2, l_via1): 60*nm, # Vn.4 + + # l_*well must overlap l_*diffusion +# (l_nwell, l_pdiffusion): 180*nm+130*nm, # (difftap.8) # This causes notches, I am trying to get rid of them + (l_pwell, l_ndiffusion): 180*nm, # (difftap.8) + (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment + (l_abutment_box, l_pwell): 0, + (l_nplus, l_ndiff_contact): 230*nm, # NP.5a Implicitly encodes the size of well taps. + (l_pplus, l_pdiff_contact): 230*nm, # PP.5a Implicitly encodes the size of well taps. + #(l_dnwell, l_pwell): 2500*nm, +} + +# Minimum notch rules. +minimum_notch = { + l_ndiffusion: 130*nm, + l_pdiffusion: 130*nm, + l_poly: 130*nm, + l_metal1: 130*nm, + l_metal2: 130*nm, + l_nwell: 5*130*nm, + l_pwell: 5*130*nm, +} + +# Minimum area rules. +min_area = { + l_ndiffusion: 0.2025 * um * um, + l_pdiffusion: 0.2025 * um * um, + l_metal1: 0.1444 * um * um ,# Mn.3 + l_metal2: 0.1444 * um * um ,# Mn.3 + l_nplus: 0.35 * um * um, #NP.8a + l_pplus: 0.35 * um * um, #PP.8a +} + +# ROUTING # + +# Cost for changing routing direction (horizontal/vertical). +# This will avoid creating zig-zag routings. +orientation_change_penalty = 100000 + +# Routing edge weights per data base unit. +weights_horizontal = { + l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" + l_pdiffusion: 197000, # (mohms/square) + l_poly: 48200*10, # (mohms/square) # 10 to avoid routing + l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) + l_metal2: 125, # SKY130_Metal1 +} +weights_vertical = { + l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" + l_pdiffusion: 197000, # (mohms/square) + l_poly: 48200*10, # (mohms/square) # 10 to avoid routing + l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) + l_metal2: 125, # SKY130_Metal1 +} + +viafactor = 1 + +# Via weights. +via_weights = { + (l_metal1, l_ndiffusion): 15000*viafactor, # LICON + (l_metal1, l_pdiffusion): 15000*viafactor, # LICON + (l_metal1, l_poly): 15000*viafactor, # LICON + (l_metal1, l_metal2): 152000*viafactor, # MCON +# (l_metal1, l_nplus): 1, # Contact to Well Taps, the value doesn't matter +# (l_metal1, l_pplus): 1, + +} + +# Enable double vias between layers. +multi_via = { + (l_metal1, l_poly): 1, + (l_metal1, l_metal2): 1, +} + +if( min_spacing[(l_pdiff_contact, l_pdiff_contact)] < min_spacing[(l_pdiffusion,l_pdiffusion)]+2*minimum_enclosure[(l_pdiffusion, l_pdiff_contact)]): + newmin=min_spacing[(l_pdiffusion,l_pdiffusion)]+2*minimum_enclosure[(l_pdiffusion, l_pdiff_contact)] + print("Minimum Spacing "+str(min_spacing[(l_pdiff_contact, l_pdiff_contact)])+" for pdiff_contact too small because of pdiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_pdiffusion,l_pdiffusion)])+"+2*"+str(minimum_enclosure[(l_pdiffusion, l_pdiff_contact)])+") Fixing minimum_spacing") + min_spacing[(l_pdiff_contact, l_pdiff_contact)]=newmin + +if( min_spacing[(l_ndiff_contact, l_ndiff_contact)] < min_spacing[(l_ndiffusion,l_ndiffusion)]+2*minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]): + newmin=min_spacing[(l_ndiffusion,l_ndiffusion)]+2*minimum_enclosure[(l_ndiffusion, l_ndiff_contact)] + print("Minimum Spacing "+str(min_spacing[(l_ndiff_contact, l_ndiff_contact)])+" for ndiff_contact too small because of ndiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_ndiffusion,l_ndiffusion)])+"+2*"+str(minimum_enclosure[(l_ndiffusion, l_ndiff_contact)])+") Fixing minimum_spacing") + min_spacing[(l_ndiff_contact, l_ndiff_contact)]=newmin + +if( min_spacing[(l_pdiff_contact, l_ndiff_contact)] < min_spacing[(l_pdiffusion,l_ndiffusion)]+minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]+minimum_enclosure[(l_pdiffusion, l_pdiff_contact)]): + newmin=min_spacing[(l_pdiffusion,l_ndiffusion)]+minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]+minimum_enclosure[(l_pdiffusion, l_pdiff_contact)] + print("Minimum Spacing "+str(min_spacing[(l_ndiff_contact, l_ndiff_contact)])+" for pdiff_contact - ndiff_contact too small because of ndiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_pdiffusion,l_ndiffusion)])+"+"+str(minimum_enclosure[(l_ndiffusion, l_ndiff_contact)])+"+"+str(minimum_enclosure[(l_pdiffusion, l_pdiff_contact)])+") Fixing minimum_spacing") + min_spacing[(l_pdiff_contact, l_ndiff_contact)]=newmin + +if((l_poly_contact, l_poly_contact) in min_spacing and min_spacing[(l_poly_contact, l_poly_contact)] < min_spacing[(l_poly,l_poly)]+2*minimum_enclosure[(l_poly, l_poly_contact)]): + newmin=min_spacing[(l_poly,l_poly)]+2*minimum_enclosure[(l_poly, l_poly_contact)] + print("Minimum Spacing "+str(min_spacing[(l_poly_contact, l_poly_contact)])+" for poly_contact too small because of polysilicon, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_poly,l_poly)])+"+"+str(minimum_enclosure[(l_poly, l_poly_contact)])+"+"+str(minimum_enclosure[(l_poly, l_poly_contact)])+") Fixing minimum_spacing") + min_spacing[(l_poly_contact, l_poly_contact)]=newmin + +if((l_poly_contact, l_poly_contact) in min_spacing and min_spacing[(l_poly_contact, l_poly_contact)] < min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_poly_contact)]): + newmin=min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_poly_contact)] + print("Minimum Spacing "+str(min_spacing[(l_poly_contact, l_poly_contact)])+" for poly_contact too small because of local interconnect, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+"+str(minimum_enclosure[(l_metal1, l_poly_contact)])+"+"+str(minimum_enclosure[(l_metal1, l_poly_contact)])+") Fixing minimum_spacing") + min_spacing[(l_poly_contact, l_poly_contact)]=newmin + +if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)]): + newmin=min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)] + print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of local interconnect, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+2*"+str(minimum_enclosure[(l_metal1, l_via1)])+") Fixing minimum_spacing") + min_spacing[(l_via1, l_via1)]=newmin + +if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)]): + newmin=min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)] + print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal1, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal2,l_metal2)])+"+2*"+str(minimum_enclosure[(l_metal2, l_via1)])+") Fixing minimum_spacing") + min_spacing[(l_via1, l_via1)]=newmin + + + + #(l_poly_contact, l_pdiff_contact): 170*nm, # (licon.2) + #(l_poly_contact, l_ndiff_contact): 170*nm, # (licon.2) +#unit_cell_height=10 +#routing_grid_pitch_y=4 + +#print("unit_cell_height: "+str(unit_cell_height)) +#print("routing_grid_pitch_y: "+str(routing_grid_pitch_y)) +middle=unit_cell_height//2 +#print("Middle: "+str(middle)) +gridpoints=1+unit_cell_height//routing_grid_pitch_y +#print("gridpoints: "+str(gridpoints)) +odd=gridpoints &1 +#print("odd: "+str(odd)) + +if odd==1: + grid_offset_y=middle-((gridpoints-1)//2)*routing_grid_pitch_y +else: + grid_offset_y=middle+routing_grid_pitch_y//2-(gridpoints//2)*routing_grid_pitch_y + +print("grid_offset_y: "+str(grid_offset_y)) +print("grid_offset_x: "+str(grid_offset_x)) +print("routing_grid_pitch_x: "+str(routing_grid_pitch_x)) + + +grid_ys = list(range(grid_offset_y, grid_offset_y + unit_cell_height, routing_grid_pitch_y)) +#print("grid_before: "+str(grid_ys)) +#grid_ys[2] += 110*nm +#grid_ys[-3] -= 110*nm +#grid_ys[14] -= 10*nm +#grid_ys[1] = 0 +#grid_ys[-2] = unit_cell_height +#grid_ys.pop(-1) +#grid_ys.pop(0) +#print("grid_after: "+str(grid_ys)) + + + +#def powervias(unit_cell_width): +# return list(range(240*nm,unit_cell_width,480*nm)) + +#power_vias=powervias diff --git a/Tech.GF180MCU/libresilicon.m b/Tech.GF180MCU/libresilicon.m new file mode 100644 index 00000000..473e6e0a --- /dev/null +++ b/Tech.GF180MCU/libresilicon.m @@ -0,0 +1,14 @@ +* This is a template NMOS model that should be further improved + +.model NMOS_VTL nmos level = 54 + +.model PMOS_VTL pmos level = 54 + +.model nmos nmos level = 54 + +.model pmos pmos level = 54 + +.model nfet nmos level = 54 + +.model pfet pmos level = 54 + diff --git a/Tech.GF180MCU/libresilicon.tech b/Tech.GF180MCU/libresilicon.tech new file mode 100644 index 00000000..59332cd6 --- /dev/null +++ b/Tech.GF180MCU/libresilicon.tech @@ -0,0 +1,3498 @@ +#---------------------------------------------------------- +# Copyright (c) 2022 Efabless, Inc. +# Techfile created by R. Timothy Edwards for the Google/ +# Global Foundries Open PDK project. +# +#---------------------------------------------------------- +# This file is designed to be used with magic +# versions 8.3 or newer. +# +# Rules reflect lambda = 0.05um. Minimum grid is 0.005um +#---------------------------------------------------------- +tech + format 34 + gf180mcuC +end + +version + version 1.0.357-0-ga519523 + description "Global Foundries 180mcu: open PDK rules and DRC" + requires magic-8.3.304 +end + +#----------------------------------------------------- +# Tile planes +#----------------------------------------------------- + +planes + dwell,dw + well,w + active,a + metal1,m1 + metal2,m2 + metal3,m3 + metal4,m4 + metal5,m5 + block,b + comment,c +end + +#----------------------------------------------------- +# Tile types +#----------------------------------------------------- + +types +# Deep nwell + dwell deepnwell,dnwell,dnw + dwell isosubstrate,isosub + +# Wells outside deep nwell + well nwell,nw + well pwell,pw +# Well obstruction layer + -well obswell +# Well resistors + well rnw,rnwell + +# Transistors + active nmos,ntransistor,nfet + active pmos,ptransistor,pfet + active nnmos,nntransistor,nnfet + active mvnmos,mvntransistor,mvnfet + active mvpmos,mvptransistor,mvpfet + active mvnnmos,mvnntransistor,mvnnfet +# Diffusions + active ndiff,ndiffusion,ndif + active pdiff,pdiffusion,pdif + active mvndiff,mvndiffusion,mvndif + active mvpdiff,mvpdiffusion,mvpdif + active ndiffc,ndcontact,ndc + active pdiffc,pdcontact,pdc + active mvndiffc,mvndcontact,mvndc + active mvpdiffc,mvpdcontact,mvpdc + active psubdiff,psubstratepdiff,ppdiff,ppd,psd + active nsubdiff,nsubstratendiff,nndiff,nnd,nsd + active mvpsubdiff,mvpsubstratepdiff,mvppdiff,mvppd,mvpsd + active mvnsubdiff,mvnsubstratendiff,mvnndiff,mvnnd,mvnsd + active psubdiffcont,psubstratepcontact,psc + active nsubdiffcont,nsubstratencontact,nsc + active mvpsubdiffcont,mvpsubstratepcontact,mvpsc + active mvnsubdiffcont,mvnsubstratencontact,mvnsc + -active obsactive + -active mvobsactive + active varactor,varact,var + active mvvaractor,mvvaract,mvvar +# Poly + active polysilicon,poly,p + active polycontact,pcontact,polycut,pc,polyc +# Resistors + active npolyres,npres,rnp + active ppolyres,ppres,rpp + active npolysilicide,nsresistor,nspres,rnps + active ppolysilicide,psresistor,pspres,rpps + active nhighres,nhires,hires + active mvnhighres,mvnhires,mvhires + active ndiffres,rnd,rdn,rndiff + active pdiffres,rpd,rdp,rpdiff + active ndiffsilicide,rnds,rdns,rndiffs + active pdiffsilicide,rpds,rdps,rpdiffs + active mvndiffres,mvrnd,mvrdn,mvrndiff + active mvpdiffres,mvrpd,mvrdp,mvrpdiff + active mvndiffsilicide,mvrnds,mvrdns,mvrndiffs + active mvpdiffsilicide,mvrpds,mvrdps,mvrpdiffs +# Diodes + active pdiode,pdi + active ndiode,ndi + active nndiode,nndi + active pdiodec,pdic + active ndiodec,ndic + active nndiodec,nndic + active mvpdiode,mvpdi + active mvndiode,mvndi + active mvnndiode,mvnndi + active mvpdiodec,mvpdic + active mvndiodec,mvndic + active mvnndiodec,mvnndic + + -active filldiff + -active fillpoly + + metal1 metal1,m1,met1 + metal1 rmetal1,rm1,rmet1 + -metal1 m1hole + metal1 via1,m2contact,m2cut,m2c,via,v,v1 + -metal1 obsm1 + -metal1 fillm1 + -metal1 obsv1 + metal1 padl + + metal2 metal2,m2,met2 + metal2 rmetal2,rm2,rmet2 + -metal2 m2hole + metal2 via2,m3contact,m3cut,m3c,v2 + -metal2 obsm2 + -metal2 fillm2 + -metal2 obsv2 + metal4 mimcap,mim,capm + metal4 mimcapcontact,mimcapc,mimcc,capmc + + metal3 metal3,m3,met3 + metal3 rmetal3,rm3,rmet3 + -metal3 m3hole + -metal3 obsm3 + -metal3 fillm3 + metal3 via3,v3 + + metal4 metal4,m4,met4 + metal4 rmetal4,rm4,rmet4 + -metal4 m4hole + -metal4 obsm4 + -metal4 fillm4 + metal4 via4,v4 + + metal5 metal5,m5,met5 + metal5 rm5,rmetal5,rmet5 + -metal5 m5hole + -metal5 obsm5 + -metal5 fillm5 + + block glass + block fillblock + + -comment lvstext + comment comment + -comment obscomment + +end + +#----------------------------------------------------- +# Magic contact types +#----------------------------------------------------- + +contact + pc poly metal1 + ndc ndiff metal1 + pdc pdiff metal1 + nsc nsd metal1 + psc psd metal1 + ndic ndiode metal1 + nndic nndiode metal1 + pdic pdiode metal1 + + mvndc mvndiff metal1 + mvpdc mvpdiff metal1 + mvnsc mvnsd metal1 + mvpsc mvpsd metal1 + mvndic mvndiode metal1 + mvpdic mvpdiode metal1 + mvnndic mvnndiode metal1 + + via1 metal1 metal2 + via2 metal2 metal3 + via3 metal3 metal4 + via4 metal4 metal5 + + mimcc mimcap metal5 + stackable + + padl m1 m2 m3 m4 m5 glass +end + +#----------------------------------------------------- +# Layer aliases +#----------------------------------------------------- + +aliases + + allnwell nwell,rnwell + + allpsub space/w,pwell + + # Similar to allpsub* but does not include space-on-well-plane + allpwell pwell + + # Allsubwell contains space-on-well-plane but not obstruction-on-well-plane + # Used for defining anything under a device that does not touch active/substrate + allsubwell allnwell,allpsub + # Allwells contains obstruction-on-well-plane but not space-on-well-plane + allwells allnwell,allpwell,obswell + + allnfets nfet,mvnfet,nnfet,mvnnfet + allnfetsnonnat nfet,mvnfet + allpfets pfet,mvpfet + allfets allnfets,allpfets,varactor,mvvaractor + allfetsnonnat allnfetsnonnat,allpfets,varactor,mvvaractor + allfetsmv mvnfet,mvpfet,mvnnfet,mvvaractor + + allnactivenonfet *ndiff,*nsd,*ndiode,*nndiode,*mvndiff,*mvnsd,*mvndiode,*mvnndiode + allnactive allnactivenonfet,allnfets + + allpactivenonfet *pdiff,*psd,*pdiode,*mvpdiff,*mvpsd,*mvpdiode + allpactive allpactivenonfet,allpfets + + allactivenonfet allnactivenonfet,allpactivenonfet + allactive allactivenonfet,allfets + + allactiveres ndiffres,pdiffres,mvndiffres,mvpdiffres + + allndifflv *ndif,*nsd,*ndiode,*nndiode,ndiffres,nfet,nnfet + allpdifflv *pdif,*psd,*pdiode,pdiffres,pfet + alldifflv allndifflv,allpdifflv + allndifflvnonfet *ndif,*nsd,*ndiode,*nndiode,ndiffres + allpdifflvnonfet *pdif,*psd,*pdiode,pdiffres + alldifflvnonfet allndifflvnonfet,allpdifflvnonfet + + allndiffmv *mvndif,*mvnsd,*mvndiode,mvndiffres,mvnfet,mvnnfet,mvvaractor,*mvnndiode + allpdiffmv *mvpdif,*mvpsd,*mvpdiode,mvpdiffres,mvpfet + alldiffmv allndiffmv,allpdiffmv + allndiffmvnonfet *mvndif,*mvnsd,*mvndiode,mvndiffres,*mvnndiode + allpdiffmvnonfet *mvpdif,*mvpsd,*mvpdiode,mvpdiffres + alldiffmvnonfet allndiffmvnonfet,allpdiffmvnonfet + + alldiffnonfet alldifflvnonfet,alldiffmvnonfet + alldiff alldifflv,alldiffmv + + allnactivenonhv nfet,mvnfet,nnfet,mvnnfet,varactor,mvvaractor,*ndiff,*mvndiff,*nsd,*mvnsd,*ndiode,*nndiode,*mvndiode,*mvnndiode + allpactivenonhv pfet,mvpfet,*pdiff,*mvpdiff,*psd,*mvpsd,*pdiode,*mvpdiode + allactivenonhv allnactivenonhv,allpactivenonhv + + allpolyres rpp,rnp,rpps,rnps,hires,mvhires + allpolysblkres rpp,rnp,hires,mvhires + allsblkdev rnp,rpp,rnd,rpd,hires,mvhires,mvrnd,mvrpd + + allpolynonfet *poly,allpolyres + allpolynonres *poly,allfets + + allpoly allpolynonfet,allfets + allpolynoncap *poly,allfets,allpolyres + + allndiffcontlv ndc,nsc,ndic,nndic + allpdiffcontlv pdc,psc,pdic + allndiffcontmv mvndc,mvnsc,mvndic,mvnndic + allpdiffcontmv mvpdc,mvpsc,mvpdic + allndiffcont allndiffcontlv,allndiffcontmv + allpdiffcont allpdiffcontlv,allpdiffcontmv + alldiffcontlv allndiffcontlv,allpdiffcontlv + alldiffcontmv allndiffcontmv,allpdiffcontmv + alldiffcont alldiffcontlv,alldiffcontmv + + allcont alldiffcont,pc + + allres allpolyres,allactiveres + alldiode *pdiode,*ndiode,*nndiode,*mvpdiode,*mvndiode,*mvnndiode + + allm1 *m1,rm1 + allm2 *m2,rm2 + + allm3 *m3,rm3 + + allm4 *m4,rm4,*mimcap + + allm5 *m5,rm5 + + + allpad padl +end + +#----------------------------------------------------- +# Layer drawing styles +#----------------------------------------------------- + +styles + styletype mos + dnwell cwell + isosub subcircuit + + nwell nwell + rnwell nwell ntransistor_stripes + pwell pwell + + ndiff ndiffusion + pdiff pdiffusion + nsd ndiff_in_nwell + psd pdiff_in_pwell + nfet ntransistor ntransistor_stripes + nnfet ntransistor ndiff_in_nwell + pfet ptransistor ptransistor_stripes + var polysilicon ndiff_in_nwell + ndc ndiffusion metal1 contact_X'es + pdc pdiffusion metal1 contact_X'es + nsc ndiff_in_nwell metal1 contact_X'es + psc pdiff_in_pwell metal1 contact_X'es + + mvndiff ndiffusion hvndiff_mask + mvpdiff pdiffusion hvpdiff_mask + mvnsd ndiff_in_nwell hvndiff_mask + mvpsd pdiff_in_pwell hvpdiff_mask + mvnfet ntransistor ntransistor_stripes hvndiff_mask + mvnnfet ntransistor ndiff_in_nwell hvndiff_mask + mvpfet ptransistor ptransistor_stripes + mvvar polysilicon ndiff_in_nwell hvndiff_mask + mvndc ndiffusion metal1 contact_X'es hvndiff_mask + mvpdc pdiffusion metal1 contact_X'es hvpdiff_mask + mvnsc ndiff_in_nwell metal1 contact_X'es hvndiff_mask + mvpsc pdiff_in_pwell metal1 contact_X'es hvpdiff_mask + + poly polysilicon + pc polysilicon metal1 contact_X'es + npolyres polysilicon silicide_block nselect2 + ppolyres polysilicon silicide_block pselect2 + + pdiode pdiffusion pselect2 + ndiode ndiffusion nselect2 + nndiode ndiff_in_nwell nselect2 + pdiodec pdiffusion pselect2 metal1 contact_X'es + ndiodec ndiffusion nselect2 metal1 contact_X'es + nndiodec ndiff_in_nwell nselect2 metal1 contact_X'es + + mvpdiode pdiffusion pselect2 hvpdiff_mask + mvndiode ndiffusion nselect2 hvndiff_mask + mvnndiode ndiff_in_nwell nselect2 hvndiff_mask + mvpdiodec pdiffusion pselect2 metal1 contact_X'es hvpdiff_mask + mvndiodec ndiffusion nselect2 metal1 contact_X'es hvndiff_mask + mvnndiodec ndiff_in_nwell nselect2 metal1 contact_X'es hvndiff_mask + + metal1 metal1 + rm1 metal1 poly_resist_stripes + obsm1 metal1 + fillm1 metal1 + obsv1 metal1 metal2 via1arrow + m1hole obsmetal1 + m2c metal1 metal2 via1arrow + metal2 metal2 + rm2 metal2 poly_resist_stripes + obsm2 metal2 + fillm2 metal2 + obsv2 metal2 metal3 via2arrow + m2hole obsmetal2 + m3c metal2 metal3 via2arrow + metal3 metal3 + rm3 metal3 poly_resist_stripes + obsm3 metal3 + fillm3 metal3 + m3hole obsmetal3 + via3 metal3 metal4 via3alt + metal4 metal4 + rm4 metal4 poly_resist_stripes + obsm4 metal4 + fillm4 metal4 + m4hole obsmetal4 + via4 metal4 metal5 via4 + metal5 metal5 + rm5 metal5 poly_resist_stripes + obsm5 metal5 + fillm5 metal5 + m5hole obsmetal5 + + mimcap metal4 mems + mimcc metal4 contact_X'es mems + glass overglass + rnp poly_resist poly_resist_stripes ndop_stripes + rpp poly_resist poly_resist_stripes pdop_stripes + rnps poly_resist ndop_stripes + rpps poly_resist pdop_stripes + nhighres poly_resist silicide_block + mvnhighres poly_resist silicide_block hvndiff_mask + ndiffres ndiffusion ndop_stripes + pdiffres pdiffusion pdop_stripes + mvndiffres ndiffusion hvndiff_mask ndop_stripes + mvpdiffres pdiffusion hvpdiff_mask pdop_stripes + comment comment + lvstext comment + error_p error_waffle + error_s error_waffle + error_ps error_waffle + fillblock cwell + + obswell cwell + obsactive implant4 + filldiff ndiffusion + fillpoly polysilicon + + padl metal5 via5 overglass + + magnet substrate_field_implant + rotate via3alt + fence via5 +end + +#----------------------------------------------------- +# Special paint/erase rules +#----------------------------------------------------- + +compose + compose nfet poly ndiff + compose pfet poly pdiff + compose var poly nsd + + compose mvnfet poly mvndiff + compose mvpfet poly mvpdiff + compose var poly mvnsd + + paint mimcap m4 mimcap + paint mimcapc m4 mimcapc + paint ndc nwell pdc + paint nfet nwell pfet + paint ndiff nwell pdiff + paint psd nwell nsd + paint psc nwell nsc + paint pdc pwell ndc + paint pfet pwell nfet + paint pdiff pwell ndiff + paint nsd pwell psd + paint nsc pwell psc + + paint m1 obsm1 m1 + paint m2 obsm2 m2 + paint m3 obsm3 m3 + paint m4 obsm4 m4 + paint m5 obsm5 m5 +end + +#----------------------------------------------------- +# Electrical connectivity +#----------------------------------------------------- + +connect + nwell,*nsd,*mvnsd nwell,*nsd,*mvnsd + pwell,*psd,*mvpsd,isosub pwell,*psd,*mvpsd,isosub + *psd,*mvpsd *psd,*mvpsd + *m1 *m1 + *m2 *m2 + *m3 *m3 + *m4 *m4 + *m5 *m5 + *mimcap *mimcap + allnactivenonfet allnactivenonfet + allpactivenonfet allpactivenonfet + *poly,allfets *poly,allfets +end + +#----------------------------------------------------- +# CIF/GDS output layer definitions +#----------------------------------------------------- +# NOTE: All values in this section MUST be multiples of 25 +# or else magic will scale below the allowed layout grid size + +cifoutput + +#----------------------------------------------------- +style gdsii +#----------------------------------------------------- + scalefactor 50 nanometers + options calma-permissive-labels + gridlimit 5 + +# This section used for actual GDSII output + +#----------------------------------------------------- +# DNWELL +#----------------------------------------------------- + layer DNWELL dnwell + calma 12 0 + +#----------------------------------------------------- +# NWELL +#----------------------------------------------------- + layer NWELL allnwell + close 1000000 + calma 21 0 + +#----------------------------------------------------- +# PWELL (LVPWELL) +#----------------------------------------------------- + layer PWELL pwell + calma 204 0 + +#----------------------------------------------------- +# DIFF (COMP) +#----------------------------------------------------- + layer DIFF alldiff + labels alldiff + calma 22 0 + + layer DIFFFILL filldiff + labels filldiff + calma 22 4 + +#----------------------------------------------------- +# PPLUS, NPLUS +#----------------------------------------------------- + + layer RESDEF + bloat-or hires,mvhires * 280 poly 0 + grow 200 + shrink 200 + calma 110 5 + + # hires requires PPLUS around terminals + templayer HRTERM + bloat-all hires,mvhires *poly + and-not hires,mvhires + + layer SBLK + bloat-or hires,mvhires * 280 poly 100 + calma 49 0 + + # rnps requires NPLUS + # rnp requires NPLUS + # rnd requires NPLUS + + layer NPLUS + bloat-all rnps *poly + bloat-all rnp *poly + bloat-all rnd *ndiff + grow 200 + grow 200 + shrink 200 + calma 32 0 + + # standard generation of NPLUS + + templayer nwell_shrink nwell + shrink 430 + + templayer shortntap *nsd,*mvnsd + and-not dnwell + and-not nwell_shrink + grow 160 + + templayer shortdntap dnwell + and pwell + grow 430 + and *nsd,*mvnsd + grow 160 + + layer NPLUS + bloat-or *ndif,*ndiode,*nndiode,ndiffres,*mvndif,*mvndiode,*mvnndiode,mvndiffres * 20 allpactivenonfet 0 + bloat-or *nsd,*mvnsd * 20 allpactivenonfet 0 + bloat-or allnfets,var,mvvar * 230 + or shortntap,shortdntap + grow 200 + shrink 200 + calma 32 0 + + # rpps requires PPLUS + # rpp requires PPLUS + # rpd requires PPLUS + + layer PPLUS + bloat-all rpps *poly + bloat-all rpp *poly + bloat-all rpd *pdiff + grow 200 + grow 200 + shrink 200 + calma 31 0 + + # standard generation of PPLUS, including hires resistors + + templayer shortptap nwell + and-not dnwell + grow 430 + and *psd,*mvpsd + grow 160 + + templayer pwell_shrink pwell + and dnwell + shrink 430 + + templayer shortdptap *psd,*mvpsd + and dnwell + and-not pwell_shrink + grow 160 + + layer PPLUS + or HRTERM + grow 200 + and-not RESDEF + bloat-or *pdif,*pdiode,pdiffres,pfet,*mvpdif,*mvpdiode,mvpdiffres,mvpfet * 160 allnactivenonfet 0 + bloat-or *psd,*mvpsd * 20 allnactivenonfet 0 + bloat-or allpfets * 230 + or shortptap,shortdptap + grow 200 + shrink 200 + calma 31 0 + + templayer varactive + bloat-all var *nsd + +#----------------------------------------------------- +# DUALGATE (thickox) +#----------------------------------------------------- + + layer DUALGATE allfetsmv + bloat-all mvhires *poly + # Rule DV.8 (DUALGATE around poly) + grow 400 + # Rule DV.6 (DUALGATE around diff, LV substrate tap excepted) + bloat-or allndiffmv * 240 *psd 0 + bloat-or allpdiffmv * 240 + grow 219 + shrink 219 + calma 55 0 + + # DUALGATE completely covers deep nwell + layer DUALGATE + bloat-all alldiffmv dnwell + grow 500 + grow 219 + shrink 219 + calma 55 0 + +#----------------------------------------------------- +# NAT +#----------------------------------------------------- + + layer NAT + bloat-all *nndiode,nnfet *ndiff + bloat-all *mvnndiode,mvnnfet *mvndiff + grow 260 + grow 309 + shrink 309 + calma 5 0 + +#----------------------------------------------------- +# POLY +#----------------------------------------------------- + layer POLY allpoly + close 224000 + labels allpoly noport + calma 30 0 + + layer POLYTXT + labels allpoly port + calma 30 10 + + layer POLYFILL fillpoly + labels fillpoly + calma 30 4 + +#----------------------------------------------------- +# CONT +#----------------------------------------------------- +# NOTE: Contact arrays defined at 200 spacing for large array rule (4x4), +# otherwise spacing is 180 + + layer contlarge allcont + shrink 615 + grow 615 + + layer CONT allcont + and-not contlarge + squares-grid 5 220 250 + calma 33 0 + + layer CONT allcont + and contlarge + squares-grid 5 220 280 + calma 33 0 + +#----------------------------------------------------- +# MET1 +#----------------------------------------------------- + layer MET1 allm1 + labels allm1 noport + calma 34 0 + + layer MET1TXT + labels allm1 port + calma 34 10 + + layer M1BLOCK obsm1 + labels obsm1 + calma 34 5 + + layer M1FILL fillm1 + labels fillm1 + calma 34 4 + +#----------------------------------------------------- +# VIA1 +#----------------------------------------------------- + templayer via1large via1 + shrink 915 + grow 915 + + layer VIA1 via1 + and-not via1large + squares-grid 0 260 260 + calma 35 0 + + layer VIA1 via1 + and via1large + squares-grid 0 260 360 + calma 35 0 + +#----------------------------------------------------- +# MET2 +#----------------------------------------------------- + layer MET2 allm2 + labels allm2 noport + calma 36 0 + + layer MET2TXT + labels allm2 port + calma 36 10 + + layer M2BLOCK obsm2 + labels obsm2 + calma 36 5 + + layer M2FILL fillm2 + labels fillm2 + calma 36 4 + +#----------------------------------------------------- +# VIA2 +#----------------------------------------------------- + templayer via2large via2 + shrink 915 + grow 915 + + layer VIA2 via2 + and-not via2large + squares-grid 10 260 260 + calma 38 0 + + layer VIA2 via2 + and via2large + squares-grid 10 260 360 + calma 38 0 + + +#----------------------------------------------------- +# MET3 +#----------------------------------------------------- + layer MET3 allm3 + labels allm3 noport + calma 42 0 + + layer MET3TXT + labels allm3 port + calma 42 10 + + layer M3BLOCK obsm3 + labels obsm3 + calma 42 5 + + layer M3FILL fillm3 + labels fillm3 + calma 42 4 + +#----------------------------------------------------- +# VIA3 +#----------------------------------------------------- + templayer via3large via3 + shrink 915 + grow 915 + + layer VIA3 via3 + and-not via3large + squares-grid 10 260 260 + calma 40 0 + + layer VIA3 via3 + and via3large + squares-grid 10 260 360 + calma 40 0 + + +#----------------------------------------------------- +# MET4 +#----------------------------------------------------- + layer MET4 allm4 + labels allm4 noport + calma 46 0 + + layer MET4TXT + labels allm4 port + calma 46 10 + + layer M4BLOCK obsm4 + labels obsm4 + calma 46 5 + + layer M4FILL fillm4 + labels fillm4 + calma 46 4 + + +#----------------------------------------------------- +# VIA4 +#----------------------------------------------------- + templayer via4large via4 + shrink 915 + grow 915 + + layer VIA4 via4 + and-not via4large + squares-grid 10 260 260 + calma 41 0 + + layer VIA4 via4 + and via4large + squares-grid 10 260 360 + calma 41 0 + + layer VIA4 mimcapc + squares-grid 10 260 500 + calma 41 0 + + +#----------------------------------------------------- +# MET5 +#----------------------------------------------------- + layer MET5 allm5 + labels allm5 noport + calma 81 0 + + layer MET5TXT + labels allm5 port + calma 81 10 + + layer M5BLOCK obsm5 + labels obsm5 + calma 81 5 + + layer M5FILL fillm5 + labels fillm5 + calma 81 4 + + + +#----------------------------------------------------- +# GLASS +#----------------------------------------------------- + layer GLASS glass + calma 37 0 + +#----------------------------------------------------- +# PRBNDRY +#----------------------------------------------------- + layer PRBNDRY + boundary + calma 0 0 + +#----------------------------------------------------- +# CAPM +#----------------------------------------------------- +# NOTE: MiM bottom plate handled by alias "allm*" when 1 defined + + layer CAPM *mimcap + labels mimcap + calma 75 0 + + layer CAPDEF *mimcap + grow 200 + calma 117 5 + +#----------------------------------------------------- +# SBLK +#----------------------------------------------------- + + layer SBLK + bloat-or allsblkdev * 0 space/a 220 + grow 215 + shrink 215 + calma 49 0 + + # sblk from rdn/rdp of esd fet + # assume that the diff in only 0.05um + layer SBLK rdp + grow 100 + and pfet + grow 400 + calma 49 0 + + layer SBLK rdn + grow 250 + and nfet + grow 400 + calma 49 0 + +#----------------------------------------------------- +# RESDEF MARK +#----------------------------------------------------- + layer RESDEF allres + calma 110 5 + +#----------------------------------------------------- +# METAL RESISTOR IDs +#----------------------------------------------------- + layer MET1RES rm1 + calma 110 11 + + layer MET2RES rm2 + calma 110 12 + + layer MET3RES rm3 + calma 110 13 + + layer MET4RES rm4 + calma 110 14 + + layer MET5RES rm5 + calma 110 15 + + +#----------------------------------------------------- +# DIODE MARK +#----------------------------------------------------- + layer DIODE alldiode + calma 115 5 + +#----------------------------------------------------- +# MOSCAP MARK +#----------------------------------------------------- + layer MOSCAP var,mvvar + calma 166 5 + +#----------------------------------------------------- +# HRES +#----------------------------------------------------- + layer HRES + bloat-all hires,mvhires *poly + grow 400 + calma 62 0 + +#------------------------------------------------------------------------ +# FILLBLOCK (NOTE: two layers define this on active, then poly & metal) +#------------------------------------------------------------------------ + layer FILLOBS fillblock + calma 111 5 + + layer FILLOBS2 fillblock + calma 152 5 + +#---------------------------------------------------------- +style metfill +#---------------------------------------------------------- +# This section used for metal filling output by a sequence +# of cif paint commands + + scalefactor 50 nanometers + options calma-permissive-labels + gridlimit 5 + +#----------------------------------------------------- +# Fill layer geometry +#----------------------------------------------------- + + templayer fill_geometry_off0 + bbox top + slots 0 2000 1000 0 2000 1000 1000 0 + + templayer fill_geometry_off1 + bbox top + slots 0 2000 1000 0 2000 1000 1000 660 + + templayer fill_geometry_off2 + bbox top + slots 0 2000 1000 0 2000 1000 1000 1320 + + +#----------------------------------------------------- +# Obstruction geometry +#----------------------------------------------------- + + templayer obstruct_diff alldiff,filldiff,obsactive + grow 2010 + shrink 500 + grow 500 + + templayer obstruct_poly allpoly,fillpoly + grow 2010 + shrink 500 + grow 500 + + templayer obstruct_m1 allm1,allpad,fillm1,obsm1 + grow 2010 + shrink 500 + grow 500 + + templayer obstruct_m2 allm2,allpad,fillm2,obsm2 + grow 2010 + shrink 500 + grow 500 + + templayer obstruct_m3 allm3,allpad,fillm3,obsm3 + grow 2010 + shrink 500 + grow 500 + + templayer obstruct_m4 allm4,allpad,fillm4,obsm4 + grow 2010 + shrink 500 + grow 500 + + templayer obstruct_m5 allm5,allpad,fillm5,obsm5 + grow 2010 + shrink 500 + grow 500 + + +#----------------------------------------------------- +# DIFF FILL +#----------------------------------------------------- + layer difffill fill_geometry_off0 + shrink 995 + grow 995 + +#----------------------------------------------------- +# POLY FILL +#----------------------------------------------------- + layer polyfill fill_geometry_off1 + and-not obstruct_m1 + shrink 995 + grow 995 + +#----------------------------------------------------- +# MET1 FILL +#----------------------------------------------------- + layer met1fill fill_geometry_off2 + and-not obstruct_poly + and-not obstruct_m1 + and-not obstruct_m2 + shrink 995 + grow 995 + +#----------------------------------------------------- +# MET2 FILL +#----------------------------------------------------- + layer met2fill fill_geometry_off0 + and-not obstruct_m1 + and-not obstruct_m2 + shrink 995 + grow 995 + +#----------------------------------------------------- +# MET3 FILL +#----------------------------------------------------- + layer met3fill fill_geometry_off1 + and-not obstruct_m2 + and-not obstruct_m3 + shrink 995 + grow 995 + +#----------------------------------------------------- +# MET4 FILL +#----------------------------------------------------- + layer met4fill fill_geometry_off2 + and-not obstruct_m3 + and-not obstruct_m4 + shrink 995 + grow 995 + +#----------------------------------------------------- +# MET5 FILL +#----------------------------------------------------- + layer met5fill fill_geometry_off0 + and-not obstruct_m4 + and-not obstruct_m5 + shrink 995 + grow 995 + + +#----------------------------------------------------------------------- +style drc +#----------------------------------------------------------------------- +# NOTE: this style is used for DRC only, not for GDS output +#----------------------------------------------------------------------- + + scalefactor 50 nanometers + options calma-permissive-labels + gridlimit 5 + + # Check for MV and LV devices in the same dnwell + templayer mv_dnwell + bloat-all alldiffmv dnwell + + templayer bad_dnwell + bloat-all alldifflv dnwell + and mv_dnwell + + # Check pwell in dnwell + templayer pwell_in_dnwell pwell + and dnwell + and-not mv_dnwell + + templayer mvpwell_in_dnwell pwell + and mv_dnwell + + # Check for MV and LV devices in the same nwell + templayer mv_nwell + bloat-all alldiffmv nwell + + templayer bad_nwell + bloat-all alldifflv nwell + and mv_nwell + + # Check for nwell resistor in deep nwell + templayer bad_rnw rnw + and dnwell + + # Define HRES layer for DRC checks + templayer res_hres + bloat-all mvhires,hires *poly + + # Check for contact at correct position for HIRES, LRES, PRES resistors + templayer res_cont res_hres + bloat-all rnp,rpp *poly + and pc + squares-grid 5 220 250 + + templayer res_cont_space_min mvhires,hires + # SBLK larger than defined resistor by 0.1um + grow 100 + # SBLK = resistor for rnp and rpp + or rnp,rpp + # SBLK spacing to contact + grow 220 + and res_cont + # If anything remains, contact is too close. + + templayer res_cont_space_max mvhires,hires + # SBLK larger than defined resistor by 0.1um + grow 100 + # SBLK = resistor for rnp and rpp + or rnp,rpp + # SBLK spacing to contact + grow 220 + # size of poly contact + grow 220 + and res_cont + + templayer res_no_cont res_cont + and-not res_cont_space_max + # If anything remains, contact is too far away + + # Check for HRES to poly and diffusion spacing + templayer res_hres_grow res_hres + grow 700 + + templayer res_diff_space res_hres_grow + and alldiff + # If anything remains, HRES is too close to diffusion + + templayer res_poly_space res_hres_grow + and-not res_hres + and allpoly + # If anything remains, HRES is too close to poly + + # Check for MiM cap bottom plate to other metal spacing rule (< 1.2um) + templayer mim_bottom_plate + bloat-all *mim *m4 + + templayer mim_bottom_plate_space mim_bottom_plate + grow 1200 + and m4 + and-not mim_bottom_plate + # If anything remains, bottom plate is too close + + # Check for MiM cap bottom plate surrounds contact (by 0.4um) + templayer mim_bot_cont_surround via4 + and mim_bottom_plate + squares-grid 10 260 500 + grow 400 + and-not mim_bottom_plate + # If anything remains, not enough surround + +end + +#----------------------------------------------------------------------- +cifinput +#----------------------------------------------------------------------- + +# NOTE: All values in this section MUST be multiples of 25 +# or else magic will scale below the allowed layout grid size + +style import + scalefactor 50 nanometers + gridlimit 5 + + options ignore-unknown-layer-labels options no-reconnect-labels + + ignore BJTDEF + ignore MOSCAP + ignore SRAMDEF + ignore FET5VDEF + ignore CAPDEF + ignore VTEXT + + layer pwell PWELL + labels PWELL + + layer nwell NWELL + labels NWELL + + layer dnwell DNWELL + labels DNWELL + + templayer ndiffarea DIFF + and-not POLY + and-not NWELL + and-not PPLUS + and-not SBLK + and-not DUALGATE + and NPLUS + copyup ndifcheck + + layer ndiff ndiffarea + labels DIFF + + layer filldiff DIFFFILL + labels DIFFFILL + + # Copy ndiff areas up for contact checks + templayer xndifcheck ndifcheck + copyup ndifcheck + + templayer mvndiffarea DIFF + and-not POLY + and-not NWELL + and-not PPLUS + and-not SBLK + and DUALGATE + and NPLUS + copyup mvndifcheck + + layer mvndiff mvndiffarea + labels DIFF + + # Copy mvndiff areas up for contact checks + templayer mvxndifcheck mvndifcheck + copyup mvndifcheck + + layer ndiode DIFF + and NPLUS + and DIODE + and-not NWELL + and-not POLY + and-not PPLUS + and-not DUALGATE + and-not NAT + labels DIFF + + layer nndiode DIFF + and NPLUS + and DIODE + and-not NWELL + and-not POLY + and-not PPLUS + and-not DUALGATE + and NAT + labels DIFF + + templayer ndiodearea DIODE + and NPLUS + and-not NWELL + and-not DUALGATE + copyup DIODE,NPLUS + + layer ndiffres DIFF + and-not POLY + and SBLK + and NPLUS + and-not DUALGATE + labels DIFF + + templayer pdiffarea DIFF + and-not POLY + and NWELL + and-not NPLUS + and-not SBLK + and-not DIODE + and PPLUS + and-not DUALGATE + copyup pdifcheck + + layer pdiff pdiffarea + labels DIFF + + layer mvndiode DIFF + and NPLUS + and DIODE + and-not POLY + and-not PPLUS + and DUALGATE + and-not NAT + labels DIFF + + layer mvnndiode DIFF + and NPLUS + and DIODE + and-not POLY + and-not PPLUS + and DUALGATE + and NAT + labels DIFF + + templayer mvndiodearea DIODE + and NPLUS + and-not NWELL + and DUALGATE + copyup DIODE,NPLUS + + layer mvndiffres DIFF + and-not POLY + and SBLK + and NPLUS + and DUALGATE + labels DIFF + + templayer mvpdiffarea DIFF + and-not POLY + and NWELL + and-not NPLUS + and-not SBLK + and-not DIODE + and DUALGATE + and PPLUS + copyup mvpdifcheck + + layer mvpdiff mvpdiffarea + labels DIFF + + # Copy pdiff areas up for contact checks + templayer xpdifcheck pdifcheck + copyup pdifcheck + + layer pdiode DIFF + and PPLUS + and-not POLY + and-not NPLUS + and-not DUALGATE + and DIODE + labels DIFF + + templayer pdiodearea DIODE + and PPLUS + copyup DIODE,PPLUS + + # Define pfet areas as known pdiff, + # regardless of the presence of a + # well. + + templayer pfetarea DIFF + and-not NPLUS + and-not DUALGATE + and POLY + + layer pfet pfetarea + labels DIFF + + templayer pfetexpand pfetarea + grow 530 + + # Always force nwell under pfet + layer nwell pfetarea + grow 310 + + # Copy mvpdiff areas up for contact checks + templayer mvxpdifcheck mvpdifcheck + copyup mvpdifcheck + + layer mvpdiode DIFF + and PPLUS + and-not POLY + and-not NPLUS + and-not RESDEF + and DUALGATE + and DIODE + labels DIFF + + templayer mvpdiodearea DIODE + and PPLUS + copyup DIODE,PPLUS + + # Define pfet areas as known pdiff, + # regardless of the presence of a + # well. + + templayer mvpfetarea DIFF + and DUALGATE + and-not NPLUS + and POLY + + layer mvpfet mvpfetarea + labels DIFF + + templayer mvpfetexpand mvpfetarea + grow 530 + + layer pdiff DIFF + and-not DUALGATE + and-not NPLUS + and-not POLY + and NWELL + and pfetexpand + labels DIFF + + layer pdiffres DIFF + and-not POLY + and PPLUS + and NWELL + and SBLK + labels DIFF + + layer nfet DIFF + and POLY + and-not PPLUS + and-not DUALGATE + and-not NWELL + and NPLUS + and-not NAT + labels DIFF + + layer nnfet DIFF + and POLY + and-not PPLUS + and-not DUALGATE + and-not NWELL + and NPLUS + and NAT + labels DIFF + + + templayer nsdarea DIFF + and NPLUS + and NWELL + and-not POLY + and-not PPLUS + and-not DUALGATE + + layer nsd nsdarea + labels DIFF + + templayer nsdexpand nsdarea + grow 500 + + # Copy nsub areas up for contact checks + templayer xnsubcheck nsubcheck + copyup nsubcheck + + templayer psdarea DIFF + and PPLUS + and-not DUALGATE + and-not NWELL + and-not POLY + and-not NPLUS + and-not pfetexpand + copyup psubcheck + + layer psd psdarea + labels DIFF + + templayer psdexpand psdarea + grow 500 + + layer mvpdiff DIFF + and-not NPLUS + and-not POLY + and NWELL + and DUALGATE + and mvpfetexpand + labels DIFF + + layer mvpdiffres DIFF + and-not POLY + and PPLUS + and SBLK + and DUALGATE + labels DIFF + + layer mvnfet DIFF + and POLY + and-not PPLUS + and NPLUS + and-not NAT + and-not NWELL + and DUALGATE + labels DIFF + + layer mvnnfet DIFF + and POLY + and-not PPLUS + and NPLUS + and NAT + and-not NWELL + and DUALGATE + labels DIFF + + templayer mvnsdarea DIFF + and NPLUS + and-not POLY + and-not PPLUS + and NWELL + and DUALGATE + copyup mvnsubcheck + + layer mvnsd mvnsdarea + labels DIFF + + templayer mvnsdexpand mvnsdarea + grow 500 + + # Copy nsub areas up for contact checks + templayer mvxnsubcheck mvnsubcheck + copyup mvnsubcheck + + templayer mvpsdarea DIFF + and PPLUS + and-not NWELL + and-not POLY + and-not NPLUS + and DUALGATE + and-not mvpfetexpand + copyup mvpsubcheck + + layer mvpsd mvpsdarea + labels DIFF + + templayer mvpsdexpand mvpsdarea + grow 500 + + # Copy psub areas up for contact checks + templayer xpsubcheck psubcheck + copyup psubcheck + + templayer mvxpsubcheck mvpsubcheck + copyup mvpsubcheck + + layer psd DIFF + and-not PPLUS + and-not NPLUS + and-not POLY + and-not DUALGATE + and-not pfetexpand + and psdexpand + + layer nsd DIFF + and-not PPLUS + and-not NPLUS + and-not POLY + and NWELL + and-not DUALGATE + and nsdexpand + + layer mvpsd DIFF + and-not PPLUS + and-not NPLUS + and-not POLY + and-not NWELL + and DUALGATE + and-not mvpfetexpand + and mvpsdexpand + + layer mvnsd DIFF + and-not PPLUS + and-not NPLUS + and-not POLY + and NWELL + and DUALGATE + and mvnsdexpand + + templayer polyarea POLY + and-not DIFF + and-not SBLK + and-not HRES + copyup polycheck + + layer poly polyarea,POLYTXT + and-not RESDEF + labels POLY + labels POLYTXT text + + layer fillpoly POLYFILL + labels POLYFILL + + # Copy poly areas up for contact checks + templayer xpolycheck polycheck + copyup polycheck + + layer rpps POLY + and-not SBLK + and PPLUS + and RESDEF + labels POLY + + layer rnps POLY + and-not SBLK + and NPLUS + and RESDEF + labels POLY + + layer rpp POLY + and SBLK + and PPLUS + and-not HRES + and RESDEF + labels POLY + + # POLY + SBLK without RESDEF may be a salicide-blocked transistor. + # The SBLK will be regenerated on GDS output and the poly should be + # treated as regular poly. + layer poly POLY + and-not DIFF + and SBLK + and-not RESDEF + labels POLY + + layer rnp POLY + and SBLK + and NPLUS + and RESDEF + and-not HRES + labels POLY + + layer hires POLY + and SBLK + and HRES + and RESDEF + and-not DUALGATE + labels POLY + + layer mvhires POLY + and SBLK + and HRES + and RESDEF + and DUALGATE + labels POLY + + # We define poly under HRES but not under SBLK to be plain poly + layer poly POLY + and HRES + and-not SBLK + and-not RESDEF + + layer ndc CONT + and DIFF + and NPLUS + and-not NWELL + and MET1 + and-not DUALGATE + and-not DIODE + grow 145 + shrink 140 + + layer nsc CONT + and DIFF + and NPLUS + and NWELL + and MET1 + and-not DUALGATE + and-not DIODE + grow 145 + shrink 140 + + layer pdc CONT + and DIFF + and PPLUS + and NWELL + and MET1 + and-not DUALGATE + and-not DIODE + grow 145 + shrink 140 + + layer pdc CONT + and DIFF + and PPLUS + and MET1 + and-not DUALGATE + and-not DIODE + and pfetexpand + grow 145 + shrink 140 + + layer psc CONT + and DIFF + and PPLUS + and-not NWELL + and MET1 + and-not DUALGATE + and-not DIODE + and-not pfetexpand + grow 145 + shrink 140 + + layer pc CONT + and POLY + and-not DIFF + and MET1 + grow 145 + shrink 140 + + layer ndic CONT + and DIFF + and NPLUS + and DIODE + and-not POLY + and-not PPLUS + and-not DUALGATE + and-not NAT + grow 145 + shrink 140 + + layer nndic CONT + and DIFF + and NPLUS + and DIODE + and-not POLY + and-not PPLUS + and-not DUALGATE + and NAT + grow 145 + shrink 140 + + layer pdic CONT + and DIFF + and PPLUS + and DIODE + and-not POLY + and-not NPLUS + and-not DUALGATE + grow 145 + shrink 140 + + layer mvndc CONT + and DIFF + and NPLUS + and-not NWELL + and MET1 + and DUALGATE + and-not DIODE + grow 145 + shrink 140 + + layer mvnsc CONT + and DIFF + and NPLUS + and MET1 + and DUALGATE + and NWELL + and-not DIODE + grow 145 + shrink 140 + + layer mvpdc CONT + and DIFF + and PPLUS + and MET1 + and DUALGATE + and NWELL + and-not DIODE + grow 145 + shrink 140 + + layer mvpdc CONT + and DIFF + and PPLUS + and MET1 + and DUALGATE + and-not DIODE + and mvpfetexpand + grow 145 + shrink 140 + + layer mvpsc CONT + and DIFF + and PPLUS + and-not NWELL + and MET1 + and DUALGATE + and-not DIODE + and-not mvpfetexpand + grow 145 + shrink 140 + + layer mvndic CONT + and DIFF + and NPLUS + and DIODE + and-not POLY + and-not PPLUS + and DUALGATE + and-not NAT + grow 145 + shrink 140 + + layer mvnndic CONT + and DIFF + and NPLUS + and DIODE + and-not POLY + and-not PPLUS + and DUALGATE + and NAT + grow 145 + shrink 140 + + layer mvpdic CONT + and DIFF + and PPLUS + and DIODE + and-not POLY + and-not NPLUS + and DUALGATE + grow 145 + shrink 140 + + layer rm1 MET1 + and RESDEF + and MET1RES + + layer m1 MET1,MET1TXT + and-not MET1RES + labels MET1 + labels MET1TXT port + + layer obsm1 M1BLOCK + labels M1BLOCK + + layer fillm1 M1FILL + labels M1FILL + + layer m2c VIA1 + grow 130 + shrink 130 + + layer rm2 MET2 + and RESDEF + and MET2RES + + layer m2 MET2,MET2TXT + and-not MET2RES + labels MET2 + labels MET2TXT port + + layer obsm2 M2BLOCK + labels M2BLOCK + + layer fillm2 M2FILL + labels M2FILL + + layer rm3 MET3 + and RESDEF + and MET3RES + + templayer mimarea CAPDEF + and MET2 + + layer m3 MET3,MET3TXT + and-not MET3RES + labels MET3 + labels MET3TXT port + + layer obsm3 M3BLOCK + labels M3BLOCK + + layer fillm3 M3FILL + labels M3FILL + + layer m3c VIA2 + grow 140 + shrink 130 + + layer mimcc VIA2 + and MET3 + and CAPM + and CAPDEF + grow 90 + grow 250 + shrink 250 + + layer rm4 MET4 + and RESDEF + and MET4RES + + templayer mimarea CAPDEF + and MET3 + + layer m4 MET4,MET4TXT + and-not MET4RES + labels MET4 + labels MET4TXT port + + layer obsm4 M4BLOCK + labels M4BLOCK + + layer fillm4 M4FILL + labels M4FILL + + layer via3 VIA3 + and-not CAPM + and-not mimarea + grow 140 + shrink 130 + + layer mimcc VIA3 + and MET4 + and CAPM + and CAPDEF + grow 90 + grow 250 + shrink 250 + + layer rm5 MET5 + and RESDEF + and MET5RES + + templayer mimarea CAPDEF + and MET4 + + layer m5 MET5,MET5TXT + and-not MET5RES + labels MET5 + labels MET5TXT port + + layer obsm5 M5BLOCK + labels M5BLOCK + + layer fillm5 M5FILL + labels M5FILL + + layer via4 VIA4 + grow 140 + shrink 130 + + layer mimcc VIA4 + and MET5 + and CAPM + and CAPDEF + grow 90 + grow 250 + shrink 250 + + + layer mimcap CAPM + and CAPDEF + labels CAPM + + # Find diffusion not covered in + # NPLUS or PPLUS and pull it into + # the next layer up + + templayer gentrans DIFF + and-not PPLUS + and-not NPLUS + and POLY + copyup DIFF,POLY + + templayer gendiff DIFF + and-not PPLUS + and-not NPLUS + and-not POLY + copyup DIFF + + # Handle contacts found by copyup + + layer ndic CONT + and MET1 + and DIODE + and NPLUS + and-not DUALGATE + and-not NAT + grow 100 + shrink 100 + + layer mvndic CONT + and MET1 + and DIODE + and NPLUS + and DUALGATE + and-not NAT + grow 100 + shrink 100 + + layer mvnndic CONT + and MET1 + and DIODE + and NPLUS + and DUALGATE + and NAT + grow 100 + shrink 100 + + layer pdic CONT + and MET1 + and DIODE + and PPLUS + and-not DUALGATE + grow 100 + shrink 100 + + layer mvpdic CONT + and MET1 + and DIODE + and PPLUS + and DUALGATE + grow 100 + shrink 100 + + layer ndc CONT + and ndifcheck + grow 100 + shrink 100 + + layer mvndc CONT + and mvndifcheck + grow 100 + shrink 100 + + layer pdc CONT + and pdifcheck + grow 100 + shrink 100 + + layer mvpdc CONT + and mvpdifcheck + grow 100 + shrink 100 + + layer pc CONT + and polycheck + grow 100 + shrink 100 + + layer nsc CONT + and nsubcheck + grow 100 + shrink 100 + + layer mvnsc CONT + and mvnsubcheck + grow 100 + shrink 100 + + layer psc CONT + and psubcheck + grow 100 + shrink 100 + + layer mvpsc CONT + and mvpsubcheck + grow 100 + shrink 100 + + # Find contacts not covered in + # metal and pull them into the + # next layer up + + templayer gencont CONT + and MET1 + and-not DIFF + and-not POLY + and-not DIODE + and-not nsubcheck + and-not psubcheck + and-not mvnsubcheck + and-not mvpsubcheck + copyup CONT,MET1 + + templayer barecont CONT + and-not MET1 + and-not nsubcheck + and-not psubcheck + and-not mvnsubcheck + and-not mvpsubcheck + copyup CONT + + layer glass GLASS + labels GLASS + + templayer cellbound BOUND,PRBOUND + boundary + + layer lvstext TTEXT + labels TTEXT text + + layer fillblock FILLOBS,FILLOBS2 + labels FILLOBS + +# MOS Varactors + + layer var POLY + and DIFF + and NPLUS + and NWELL + and-not DUALGATE + labels POLY + + layer mvvar POLY + and DIFF + and NPLUS + and NWELL + and DUALGATE + labels POLY + + calma DNWELL 12 0 + calma NWELL 21 0 + calma PWELL 204 0 + calma DIFF 22 0 + calma DIFFFILL 22 4 + calma POLY 30 0 + calma POLYFILL 30 4 + calma POLYTXT 30 10 + calma NPLUS 32 0 + calma PPLUS 31 0 + calma SBLK 49 0 + calma GLASS 37 0 + calma CONT 33 0 + calma MET1 34 0 + calma MET1TXT 34 10 + calma M1BLOCK 34 5 + calma M1FILL 34 4 + calma MET2RES 110 11 + calma VIA1 35 0 + calma MET2 36 0 + calma MET2TXT 36 10 + calma M2BLOCK 36 5 + calma M2FILL 36 4 + calma MET2RES 110 12 + calma VIA2 38 0 + calma MET3 42 0 + calma MET3TXT 42 10 + calma M3BLOCK 42 5 + calma M3FILL 42 4 + calma MET3RES 110 13 + calma VIA3 40 0 + calma MET4 46 0 + calma MET4TXT 46 10 + calma M4BLOCK 46 5 + calma M4FILL 46 4 + calma MET4RES 110 14 + calma VIA4 41 0 + calma MET5 81 0 + calma MET5TXT 81 10 + calma M5BLOCK 81 5 + calma M5FILL 81 4 + calma MET5RES 110 15 + calma HRES 62 0 + calma NAT 5 0 + calma CAPM 75 0 + calma DIODE 115 5 + calma CAPDEF 117 5 + calma BJTDEF 118 5 + calma MOSCAP 166 5 + calma BOUND 0 0 + calma PRBOUND 63 0 + calma VTEXT 63 63 + calma FILLOBS 111 5 + calma FILLOBS2 152 5 + calma TTEXT 230 * + calma RESDEF 110 * + calma DUALGATE 55 0 + calma SRAMDEF 108 5 + calma FET5VDEF 112 1 + +end + +#----------------------------------------------------- +# Digital flow maze router cost parameters +#----------------------------------------------------- + +mzrouter +end + +#----------------------------------------------------- +# Vendor DRC rules +#----------------------------------------------------- + +drc + + style drc variants (fast),(full),(routing) + + scalefactor 50 + + cifstyle drc + + variants (fast),(full) + +#----------------------------- +# NWELL +#----------------------------- + + # ORIGINAL RULE: width allnwell 860 "N-well width < %d (NW.1a)" + width allnwell 860 "N-well width < %d (NW.1a) [paint nwell,rnwell]" + spacing allnwell allnwell 600 touching_ok "N-well spacing < %d (NW.2a) [erase nwell rnwell]" + # rnw spacing is to unrelated nwell only. + spacing rnw allnwell 1400 touching_ok "N-well resistor spacing < %d (NW.4) [erase rnwell,nwell rnwell]" + # ORIGINAL RULE: width rnw 2000 "N-well resistor width < %d (NW.1b)" + width rnw 2000 "N-well resistor width < %d (NW.1b) [paint rnwell]" + + variants (full) + cifspacing mv_nwell mv_nwell 740 touching_ok "MV N-well spacing < %d (NW.2a)" + variants * + +#----------------------------- +# DNWELL (deep nwell) +#----------------------------- + + # ORIGINAL RULE: width dnwell 1700 "Deep N-well width < %d (DN.1)" + width dnwell 1700 "Deep N-well width < %d (DN.1) [paint deepnwell]" + spacing dnwell dnwell 2500 touching_ok "Deep N-well spacing < %d (DN.2a) [erase deepnwell]" + + surround pwell dnwell 2500 absence_ok "Deep N-well surround P-well < %d (LPW.3) [erase pwell,deepnwell]" + + surround nwell dnwell 500 absence_ok "Deep N-well surround N-well < %d (NW.5) [erase nwell,deepnwell]" + + variants (full) + + cifwidth pwell_in_dnwell 600 "P-well in deep N-well size < %d (LPW.1)" + cifwidth mvpwell_in_dnwell 740 "HV P-well in deep N-well size < %d (LPW.1)" + + cifspacing pwell_in_dnwell pwell_in_dnwell 860 touching_ok \ + "P-well in deep N-well spacing < %d (LPW.2b)" + cifspacing mvpwell_in_dnwell mvpwell_in_dnwell 860 touching_ok \ + "MV P-well in deep N-well spacing < %d (LPW.2b)" + + cifmaxwidth bad_dnwell 0 bend_illegal \ + "Both LV and MV devices cannot be in the same deep N-well (DN.11) + + cifmaxwidth bad_nwell 0 bend_illegal \ + "Both LV and MV devices cannot be in the same N-well (DV.9) + + cifmaxwidth bad_rnw 0 bend_illegal \ + "N-well resistor cannot be in deep N-well (DN.12) + variants * + + spacing dnwell allnwell 3100 surround_ok "Deep N-well spacing to N-well < %d (NW.3) [erase deepnwell,nwell rnwell]" + + spacing pwell dnwell 1500 surround_ok "Deep N-well spacing to P-well < %d (LPW.11) [erase pwell,deepnwell]" + +#----------------------------- +# DIFF (diffusion) +#----------------------------- + + # ORIGINAL RULE: width alldifflv 220 "Diffusion width < %d (DF.1a)" + width alldifflv 220 "Diffusion width < %d (DF.1a) [paint ndcontact,ndiffres,ndiffusion,ndiode,ndiodec,nndiode,nndiodec,nntransistor,nsubstratencontact,nsubstratendiff,ntransistor,pdcontact,pdiffres,pdiffusion,pdiode,pdiodec,psubstratepcontact,psubstratepdiff,ptransistor]" + # ORIGINAL RULE: width alldiffmv 300 "Diffusion width < %d (DF.1a)" + width alldiffmv 300 "Diffusion width < %d (DF.1a) [paint mvndcontact,mvndiffres,mvndiffusion,mvndiode,mvndiodec,mvnndiode,mvnndiodec,mvnntransistor,mvnsubstratencontact,mvnsubstratendiff,mvntransistor,mvpdcontact,mvpdiffres,mvpdiffusion,mvpdiode,mvpdiodec,mvpsubstratepcontact,mvpsubstratepdiff,mvptransistor,mvvaractor]" + area alldifflv 202500 220 "Diffusion minimum area < %a (DF.9)" + area alldiffmv 202500 300 "Diffusion minimum area < %a (DF.9)" + spacing alldifflv,var alldifflv,var 280 touching_ok "Diffusion spacing < %d (DF.3a) [erase ndcontact ndiffres ndiffusion ndiode ndiodec nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec psubstratepcontact psubstratepdiff ptransistor varactor]" + spacing alldiffmv,mvvar alldiffmv,mvvar 360 touching_ok "Diffusion spacing < %d (DF.3a) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvvaractor]" + + spacing *ndiff,*ndiode,nfet,nnfet allnwell 430 touching_illegal "N-Diffusion spacing to N-well < %d (DF.8) [erase ndcontact ndiffusion ndiode ndiodec nntransistor ntransistor,nwell rnwell]" + spacing *mvndiff,*mvndiode,mvnfet,mvnnfet allnwell 600 touching_illegal "N-Diffusion spacing to N-well < %d (DF.8) [erase mvndcontact mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor,nwell rnwell]" + spacing *psd allnwell 120 touching_illegal "P-Ohmic spacing to N-well < %d (DF.5) [erase psubstratepcontact psubstratepdiff,nwell rnwell]" + spacing *mvpsd allnwell 160 touching_illegal "P-Ohmic spacing to N-well < %d (DF.5) [erase mvpsubstratepcontact mvpsubstratepdiff,nwell rnwell]" + surround *nsd allnwell 120 absence_illegal "N-well overlap of N-Ohmic < %d (DF.4a) [erase nsubstratencontact nsubstratendiff,nwell rnwell]" + surround *mvnsd allnwell 160 absence_illegal "N-well overlap of N-Ohmic < %d (DF.4a) [erase mvnsubstratencontact mvnsubstratendiff,nwell rnwell]" + surround *pdiff,*pdiode,pfet allnwell 430 absence_illegal "N-well overlap of P-Diffusion < %d (DF.7) [erase pdcontact pdiffusion pdiode pdiodec ptransistor,nwell rnwell]" + surround *mvpdiff,*mvpdiode,mvpfet allnwell 600 absence_illegal "N-well overlap of P-Diffusion < %d (DF.7) [erase mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor,nwell rnwell]" + surround *psd pwell 120 absence_ok "P-field overlap of P-Ohmic < %d (DF.5) [erase psubstratepcontact psubstratepdiff,pwell]" + surround *mvpsd pwell 160 absence_ok "MV P-field overlap of MV P-Ohmic < %d (DF.5) [erase mvpsubstratepcontact mvpsubstratepdiff,pwell]" + + # NAT requires additional spacing rules + spacing *nndiode,*mvnndiode *psd,*mvpsd 610 touching_illegal "NAT Diffusion spacing to TAP diffusion < %d (NAT.5 + NAT.6) [erase mvnndiode mvnndiodec nndiode nndiodec,mvpsubstratepcontact mvpsubstratepdiff psubstratepcontact psubstratepdiff]" + +#----------------------------- +# POLY +#----------------------------- + + # ORIGINAL RULE: width allpoly 180 "Poly width < %d (PL.1)" + width allpoly 180 "Poly width < %d (PL.1) [paint mvnhighres,mvnntransistor,mvntransistor,mvptransistor,mvvaractor,nhighres,nntransistor,npolyres,npolysilicide,ntransistor,polycontact,polysilicon,ppolyres,ppolysilicide,ptransistor,varactor]" + spacing allpoly allpoly 240 touching_ok "Poly spacing < %d (PL.3a) [erase mvnhighres mvnntransistor mvntransistor mvptransistor mvvaractor nhighres nntransistor npolyres npolysilicide ntransistor polycontact polysilicon ppolyres ppolysilicide ptransistor varactor]" + spacing allpolynonfet alldifflvnonfet 100 corner_ok allfets \ + "Poly spacing to diffusion < %d (PL.5a)" + spacing allpolynonfet alldiffmvnonfet 300 corner_ok allfets \ + "Poly spacing to MV diffusion < %d (PL.5a) + overhang *ndiff,rndiff nfet,nnfet 230 "N-Diffusion overhang of nmos < %d (DF.6)" + overhang *mvndiff,mvrndiff mvnfet,mvnnfet 230 \ + "N-Diffusion overhang of nmos < %d (DF.6)" + overhang *pdiff,rpdiff pfet 230 "P-Diffusion overhang of pmos < %d (DF.6)" + overhang *mvpdiff,mvrpdiff mvpfet 230 "P-Diffusion overhang of pmos < %d (DF.6)" + overhang *poly allfetsnonnat 220 "Poly overhang of transistor < %d (PL.4)" + overhang *poly nnfet,mvnnfet 350 "Poly overhang of NAT transistor < %d (NAT.6)" + + angles allfets 45-only "Only 45 degrees allowed on transistors (PL.6)" + +#----------------------------------------------------------------------------- +# SBLK - more rules to do here (note PRES refers only to unsalicided resistor) +#----------------------------------------------------------------------------- + + spacing allpolysblkres allpolysblkres 250 touching_ok \ + "Poly resistor spacing < %d (PRES.2) + + spacing allpolysblkres allpolynonres 450 touching_ok "Poly resistor spacing to unrelated poly < %d (PRES.4) [erase mvnhighres nhighres npolyres ppolyres,mvnntransistor mvntransistor mvptransistor mvvaractor nntransistor ntransistor polycontact polysilicon ptransistor varactor]" + spacing allpolysblkres allactive,allactiveres 440 touching_illegal "Poly resistor spacing to diffusion < %d (PRES.3) [erase mvnhighres nhighres npolyres ppolyres,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvvaractor ndcontact ndiffres ndiffusion ndiode ndiodec nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec psubstratepcontact psubstratepdiff ptransistor varactor]" + + spacing allactiveres allactiveres 400 touching_ok \ + "Diffusion resistor spacing < %d (NDRES.2) + + spacing allactiveres allactive 450 touching_ok "Diffusion resistor spacing to unrelated diffusion < %d (NDRES.3) [erase mvndiffres mvpdiffres ndiffres pdiffres,mvndcontact mvndiffusion mvndiode mvndiodec mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvvaractor ndcontact ndiffusion ndiode ndiodec nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffusion pdiode pdiodec psubstratepcontact psubstratepdiff ptransistor varactor]" + spacing allactiveres allpoly 450 touching_ok "Diffusion resistor spacing to unrelated poly < %d (NDRES.4) [erase mvndiffres mvpdiffres ndiffres pdiffres,mvnhighres mvnntransistor mvntransistor mvptransistor mvvaractor nhighres nntransistor npolyres npolysilicide ntransistor polycontact polysilicon ppolyres ppolysilicide ptransistor varactor]" + +#----------------------------- +# CONT +#----------------------------- +# Drawn contact includes 5nm metal1 surround + width (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 230 \ + "Diffusion contact width < %d (CO.1 + 2 * CO.6)" + spacing (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 \ + (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 240 \ + touching_ok "Diffusion contact spacing < %d (CO.2a - CO.6)" + surround (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 *m1 55 directional "Metal1 overlap of contact < %d in one direction (CO.6) [erase 1 1/m1 ndiodec nsubstratencontact pdcontact pdiodec psubstratepcontact,m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact padl pdcontact pdiodec polycontact psubstratepcontact]" + surround ndc/a *ndiff 65 absence_illegal "N-Diffusion overlap of contact < %d (CO.4) [erase ndcontact/a,ndcontact ndiffusion]" + surround nsc/a *nsd 65 absence_illegal "N-Diffusion overlap of contact < %d (CO.4) [erase nsubstratencontact/a,nsubstratencontact nsubstratendiff]" + surround pdc/a *pdiff 65 absence_illegal "P-Diffusion overlap of contact < %d (CO.4) [erase pdcontact/a,pdcontact pdiffusion]" + surround psc/a *psd 65 absence_illegal "P-Diffusion overlap of contact < %d (CO.4) [erase psubstratepcontact/a,psubstratepcontact psubstratepdiff]" + surround ndic/a *ndiode 65 absence_illegal "N-Diffusion overlap of contact < %d (CO.4) [erase ndiodec/a,ndiode ndiodec]" + surround pdic/a *pdiode 65 absence_illegal "P-Diffusion overlap of contact < %d (CO.4) [erase pdiodec/a,pdiode pdiodec]" + surround pc/a *poly 65 absence_illegal "Poly overlap of contact < %d (CO.3) [erase polycontact/a,polycontact polysilicon]" + + width (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 230 \ + "MV Diffusion contact width < %d (CO.1 + 2 * CO.6)" + spacing (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 \ + (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 240 touching_ok \ + "Diffusion contact spacing < %d (CO.2a - CO.6)" + surround (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 *m1 55 directional "Metal1 overlap of contact < %d in one direction (CO.6) [erase 1 1/m1 mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpsubstratepcontact,m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact padl pdcontact pdiodec polycontact psubstratepcontact]" + surround mvndc/a *mvndiff 65 absence_illegal "MV N-Diffusion overlap of contact < %d (CO.4) [erase mvndcontact/a,mvndcontact mvndiffusion]" + surround mvnsc/a *mvnsd 65 absence_illegal "MV N-Diffusion overlap of contact < %d (CO.4) [erase mvnsubstratencontact/a,mvnsubstratencontact mvnsubstratendiff]" + surround mvpdc/a *mvpdiff 65 absence_illegal "MV P-Diffusion overlap of contact < %d (CO.4) [erase mvpdcontact/a,mvpdcontact mvpdiffusion]" + surround mvpsc/a *mvpsd 65 absence_illegal "MV P-Diffusion overlap of contact < %d (CO.4) [erase mvpsubstratepcontact/a,mvpsubstratepcontact mvpsubstratepdiff]" + surround mvndic/a *mvndiode 65 absence_illegal "MV N-Diffusion overlap of contact < %d (CO.4) [erase mvndiodec/a,mvndiode mvndiodec]" + surround mvnndic/a *mvnndiode 65 absence_illegal "MV NAT N-Diffusion overlap of contact < %d (CO.4) [erase mvnndiodec/a,mvnndiode mvnndiodec]" + surround mvpdic/a *mvpdiode 65 absence_illegal "MV P-Diffusion overlap of contact < %d (CO.4) [erase mvpdiodec/a,mvpdiode mvpdiodec]" + + spacing allpdiffcont allndiffcont 240 touching_illegal "Diffusion contact spacing < %d (CO.2a - CO.6) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec psubstratepcontact,mvndcontact mvndiodec mvnndiodec mvnsubstratencontact ndcontact ndiodec nndiodec nsubstratencontact]" + spacing allndiffcont allndiffcont 240 touching_ok "Diffusion contact spacing < %d (CO.2a - CO.6) [erase mvndcontact mvndiodec mvnndiodec mvnsubstratencontact ndcontact ndiodec nndiodec nsubstratencontact]" + spacing allpdiffcont allpdiffcont 240 touching_ok "Diffusion contact spacing < %d (CO.2a - CO.6) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec psubstratepcontact]" + spacing pc pc 240 touching_ok "Poly contact spacing < %d (CO.2a - CO.6) [erase polycontact]" + spacing pc alldiff 165 touching_illegal "Poly contact spacing to diffusion < %d (CO.8 - CO.6) [erase polycontact,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvvaractor ndcontact ndiffres ndiffusion ndiode ndiodec nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec psubstratepcontact psubstratepdiff ptransistor]" + spacing allpdiffcont,allndiffcont allpoly 145 touching_illegal "Diffusion contact spacing to poly < %d (CO.7 - CO.6) [erase mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact pdcontact pdiodec psubstratepcontact,mvnhighres mvnntransistor mvntransistor mvptransistor mvvaractor nhighres nntransistor npolyres npolysilicide ntransistor polycontact polysilicon ppolyres ppolysilicide ptransistor varactor]" + + exact_overlap (ndc,pdc,psc,nsc,pc,ndic,pdic)/a + exact_overlap (mvndc,mvpdc,mvpsc,mvnsc,mvndic,mvnndic,mvpdic)/a + +variants * + +#----------------------------- +# METAL 1 +#----------------------------- + + # ORIGINAL RULE: width *m1,rm1 230 "Metal1 width < %d (M1.1)" + width *m1,rm1 230 "Metal1 width < %d (M1.1) [paint m2contact,metal1,mvndcontact,mvndiodec,mvnndiodec,mvnsubstratencontact,mvpdcontact,mvpdiodec,mvpsubstratepcontact,ndcontact,ndiodec,nndiodec,nsubstratencontact,padl,pdcontact,pdiodec,polycontact,psubstratepcontact,rmetal1]" + spacing allm1,obsm1 allm1,obsm1 230 touching_ok "Metal1 spacing < %d (M1.2a) [erase m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact obsm1 padl pdcontact pdiodec polycontact psubstratepcontact rmetal1]" + area allm1,obsm1 144400 230 "Metal1 minimum area < %a (M1.3)" + +variants (fast),(full) + widespacing allm1,obsm1 10000 allm1,obsm1 300 touching_ok \ + "Metal1 > 10um spacing to unrelated m1 < %d (M1.2b)" + +variants * + +#-------------------------------------------------- +# VIA 1 +#-------------------------------------------------- + + # ORIGINAL RULE: width v1/m1 260 "Via1 width < %d (V1.1)" + width v1/m1 260 "Via1 width < %d (V1.1) [paint m2contact/m1]" + spacing v1 v1 260 touching_ok "Via1 spacing < %d (V1.2a) [erase m2contact]" + surround v1/m1 *m1 60 directional "Metal1 overlap of Via1 < %d in one direction (V1.3) [erase m2contact/m1,m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact padl pdcontact pdiodec polycontact psubstratepcontact]" + surround v1/m2 *m2 10 absence_illegal "Metal2 overlap of Via1 < %d (V1.4) [erase m2contact/m2,m2contact m3contact metal2 padl]" + surround v1/m2 *m2 60 directional "Metal2 overlap of Via1 < %d in one direction (V1.4i) [erase m2contact/m2,m2contact m3contact metal2 padl]" + exact_overlap v1/m2 + +#----------------------------- +# METAL 2 +#----------------------------- + + # ORIGINAL RULE: width *m2,rm2 280 "Metal2 width < %d (M2.1)" + width *m2,rm2 280 "Metal2 width < %d (M2.1) [paint m2contact,m3contact,metal2,padl,rmetal2]" + spacing allm2,obsm2 allm2,obsm2 280 touching_ok "Metal2 spacing < %d (M2.2a) [erase m2contact m3contact metal2 obsm2 padl rmetal2]" + area allm2,obsm2 144400 280 "Metal2 minimum area < %a (M2.3)" + +variants (fast),(full) + widespacing allm2,obsm2 10000 allm2,obsm2 300 touching_ok \ + "Metal2 > 10um spacing to unrelated m2 < %d (M2.2b)" + +variants * + +#-------------------------------------------------- +# VIA 2 +#-------------------------------------------------- + + # ORIGINAL RULE: width v2/m2 280 "Via2 width < %d (V2.1 + 2 * V2.3)" + width v2/m2 280 "Via2 width < %d (V2.1 + 2 * V2.3) [paint m3contact/m2]" + spacing v2 v2 240 touching_ok "Via2 spacing < %d (V2.2a - 2 * V2.3) [erase m3contact]" + surround v2/m2 *m2 50 directional "Metal2 overlap of Via2 < %d in one direction (V2.3i - V2.3) [erase m3contact/m2,m2contact m3contact metal2 padl]" + + exact_overlap v2/m3 + +#----------------------------- +# METAL 3 +#----------------------------- + + surround v2/m3 *m3 50 directional "Metal3 overlap of Via2 < %d in one direction (V2.4i - V2.4) [erase m3contact/m3,m3contact metal3 padl via3]" + + # ORIGINAL RULE: width *m3,rm3 280 "Metal3 width < %d (M3.1)" + width *m3,rm3 280 "Metal3 width < %d (M3.1) [paint m3contact,metal3,padl,rmetal3,via3]" + spacing allm3,obsm3 allm3,obsm3 280 touching_ok "Metal3 spacing < %d (M3.2a) [erase m3contact metal3 obsm3 padl rmetal3 via3]" + area allm3,obsm3 144400 280 "Metal3 minimum area < %a (M3.3)" + +variants (fast),(full) + widespacing allm3,obsm3 10000 allm3,obsm3 300 touching_ok \ + "Metal3 > %c spacing to unrelated m3 < %d (M3.2b)" + +variants * + +#-------------------------------------------------------------- +# VIA 3 - Requires METALS4, 1, or METALS6 Module +#-------------------------------------------------------------- + + # ORIGINAL RULE: width v3/m3 280 "Via3 width < %d (V3.1 + 2 * V3.4)" + width v3/m3 280 "Via3 width < %d (V3.1 + 2 * V3.4) [paint via3/m3]" + spacing v3 v3 240 touching_ok "Via3 spacing < %d (V3.2a - 2 * V3.4) [erase via3]" + surround v3/m3 *m3 50 directional "Metal3 overlap of Via3 < %d in one direction (V3.3i - V3.3) [erase via3/m3,m3contact metal3 padl via3]" + + exact_overlap v3/m4 + +#----------------------------- +# METAL 4 - METALS4 Module +#----------------------------- + + surround v3/m4 *m4 50 directional "Metal4 overlap of Via3 < %d in one direction (V3.4i - V3.4) [erase via3/m4,metal4 padl via3 via4]" + + # ORIGINAL RULE: width *m4,rm4 200 "Metal4 width < %d (M4.1)" + width *m4,rm4 200 "Metal4 width < %d (M4.1) [paint metal4,padl,rmetal4,via3,via4]" + spacing allm4,obsm4 allm4,obsm4 210 touching_ok "Metal4 spacing < %d (M4.2a) [erase metal4 mimcap mimcapcontact obsm4 padl rmetal4 via3 via4]" + area allm4,obsm4 144000 200 "Metal4 minimum area < %a (M4.3)" + +variants (fast),(full) + widespacing allm4,obsm4 10000 allm4,obsm4 300 touching_ok \ + "Metal4 > %c spacing to unrelated m4 < %d (M4.2b)" + +variants * + +#------------------------------------------------------ +# VIA 4 - Requires 1 Module +#------------------------------------------------------ + + # ORIGINAL RULE: width v4/m4 280 "Via4 width < %d (V4.1 + 2 * V4.4)" + width v4/m4 280 "Via4 width < %d (V4.1 + 2 * V4.4) [paint via4/m4]" + spacing v4 v4 240 touching_ok "Via4 spacing < %d (V4.2a - 2 * V4.4) [erase via4]" + exact_overlap v4/m5 + +#----------------------------- +# METALS 5 - 1 Module +#----------------------------- + + # Metal 5 is the top metal + surround v4/m4 *m4 50 directional "Metal4 overlap of Via4 < %d in one direction (V4.3i - V4.3) [erase via4/m4,metal4 padl via3 via4]" + surround v4/m5 *m5 50 directional "Metal5 overlap of Via4 < %d in one direction (V4.4i - V4.4) [erase via4/m5,metal5 mimcapcontact padl via4]" + + # ORIGINAL RULE: width *m5,rm5 360 "Metal5 width < %d (MT.1)" + width *m5,rm5 360 "Metal5 width < %d (MT.1) [paint metal5,mimcapcontact,padl,rmetal5,via4]" + spacing allm5,obsm5 allm5,obsm5 380 touching_ok "Metal5 spacing < %d (MT.2a) [erase metal5 mimcapcontact obsm5 padl rmetal5 via4]" + area allm5,obsm5 562500 360 "Metal5 minimum area < %a (MT.4)" + +variants (fast),(full) + widespacing allm5,obsm5 10000 allm5,obsm5 500 touching_ok \ + "Metal5 > %c spacing to unrelated m5 < %d (MT.2b)" + +variants * + + +#-------------------------------------------------- +# NMOS, PMOS +#-------------------------------------------------- + + # NOTE: The layer width can be used for the smaller of + # (minimum length, minimum width). However, for many + # devices, minimum width is less than minimum length. + + extend nnfet *ndiff 400 exclusive "nn Transistor length < %d (DF.2c)" + + extend mvnnfet *mvndiff 1200 exclusive "MV nn Transistor length < %d (NAT.4)" + # ORIGINAL RULE: width mvnnfet 400 "MV nn Transistor width < %d (DF.2c)" + width mvnnfet 400 "MV nn Transistor width < %d (DF.2c) [paint mvnntransistor]" + + extend pfet *pdiff 280 exclusive "Transistor length < %d (PL.1a)" + extend nfet *ndiff 280 exclusive "Transistor length < %d (PL.1a)" + width pfet 300 angles "Bent Transistor length < %d (PL.7)" + width nfet 300 angles "Bent Transistor length < %d (PL.7)" + + extend mvpfet *mvpdiff 500 exclusive "MV Transistor length < %d (PL.1a)" + extend mvnfet *mvndiff 600 exclusive "MV Transistor length < %d (PL.1a)" + width mvpfet 700 angles "Bent MV Transistor length < %d (PL.7)" + width mvnfet 700 angles "Bent MV Transistor length < %d (PL.7)" + + # NOTE: Use edge4way to deal with butted junctions + # spacing *nsd,*mvnsd pfet,mvnnfet,mvpfet 330 touching_illegal \ + # "n-ohmic spacing to PMOS gate < %d (NP.4b)" + # spacing *psd,*mvpsd nfet,nnfet,mvnfet 330 touching_illegal \ + # "p-ohmic spacing to NMOS gate < %d (NP.4c)" + + edge4way pfet,mvnnfet,mvpfet *poly/a 330 ~(*nsd,*mvnsd)/a (*pdiff,*mvpdiff)/a 300 \ + "n-ohmic spacing to PMOS gate < %d (NP.4b + PP.4c)" + edge4way nfet,nnfet,mvnfet *poly/a 330 ~(*psd,*mvpsd)/a (*ndiff,*mvndiff)/a 300 \ + "p-ohmic spacing to NMOS gate < %d (PP.4b + NP.4c)" + + # Butting junction rules (flag p/nsd distance to butting junction < 0.03um) + edge4way (*psd)/a ~(*ndiff,*psd)/a 330 ~(*ndiff)/a (*ndiff)/a 30 \ + "N-Diffusion to P-tap spacing < %d across butted junction (PP.4b + NP.4c)" + edge4way (*ndiff)/a ~(*ndiff,*psd)/a 330 ~(*psd)/a (*psd)/a 30 \ + "N-Diffusion to P-tap spacing < %d across butted junction (PP.4b + NP.4c)" + edge4way (*nsd)/a ~(*pdiff,*nsd)/a 330 ~(*pdiff)/a (*pdiff)/a 30 \ + "P-Diffusion to N-tap spacing < %d across butted junction (NP.4b + PP.4c)" + edge4way (*pdiff)/a ~(*pdiff,*nsd)/a 330 ~(*nsd)/a (*nsd)/a 30 \ + "P-Diffusion to N-tap spacing < %d across butted junction (NP.4b + PP.4c)" + + edge4way (*mvpsd)/a ~(*mvndiff,*mvpsd)/a 330 ~(*mvndiff)/a (*mvndiff)/a 30 \ + "MV N-Diffusion to MV P-tap spacing < %d across butted junction (PP.4b + NP.4c)" + edge4way (*mvndiff)/a ~(*mvndiff,*mvpsd)/a 330 ~(*mvpsd)/a (*mvpsd)/a 30 \ + "MV N-Diffusion to MV P-tap spacing < %d across butted junction (PP.4b + NP.4c)" + edge4way (*mvnsd)/a ~(*mvpdiff,*mvnsd)/a 330 ~(*mvpdiff)/a (*mvpdiff)/a 30 \ + "MV P-Diffusion to MV N-tap spacing < %d across butted junction (NP.4b + PP.4c)" + edge4way (*mvpdiff)/a ~(*mvpdiff,*mvnsd)/a 330 ~(*mvnsd)/a (*mvnsd)/a 30 \ + "MV P-Diffusion to MV N-tap spacing < %d across butted junction (NP.4b + PP.4c)" + +#-------------------------------------------------- +# RPP,RNP +#-------------------------------------------------- + # ORIGINAL RULE: width rpp 800 "ppolyres minimum width < %d (PRES.1)" + width rpp 800 "ppolyres minimum width < %d (PRES.1) [paint ppolyres]" + # ORIGINAL RULE: width rnp 800 "npolyres minimum width < %d (NRES.1)" + width rnp 800 "npolyres minimum width < %d (NRES.1) [paint npolyres]" + spacing rpp rpp 400 touching_ok "ppolyres minimum spacing < %d (PRES.2) [erase ppolyres]" + spacing rnp rnp 400 touching_ok "npolyres minimum spacing < %d (NRES.2) [erase npolyres]" + + spacing rpp pc 215 touching_illegal "rpp spacing to Cont < %d (PRES.8 - CO.6) [erase ppolyres,polycontact]" + spacing rnp pc 215 touching_illegal "rnp spacing to Cont < %d (NRES.8 - CO.6) [erase npolyres,polycontact]" + +#-------------------------------------------------- +# HIRES +#-------------------------------------------------- + # ORIGINAL RULE: width hires,mvhires 1000 "hires poly minimum width < %d (HRES.3)" + width hires,mvhires 1000 "hires poly minimum width < %d (HRES.3) [paint mvnhighres,nhighres]" + spacing hires,mvhires hires,mvhires 400 touching_ok "hires poly minimum spacing < %d (HRES.2) [erase mvnhighres nhighres]" + + variants (full) + cifmaxwidth res_diff_space 0 bend_illegal \ + "High value resistor spacing to diffusion < 0.7um (HRES.5 + HRES.4)" + cifmaxwidth res_poly_space 0 bend_illegal \ + "High value resistor spacing to poly < 0.7um (HRES.6 + HRES.4)" + + cifmaxwidth res_cont_space_min 0 bend_illegal \ + "Unsalicided resistor spacing to poly contact must be 0.22um (PRES/LRES/HRES.7)" + cifmaxwidth res_no_cont 0 bend_illegal \ + "Unsalicided resistor spacing to poly contact must be 0.22um (PRES/LRES/HRES.7)" + variants * + +#-------------------------------------------------- +# RDN,RDP (Diffusion resistors) +#-------------------------------------------------- + # ORIGINAL RULE: width rdn 300 "N-diffusion resistor width < %d (DF.1b)" + width rdn 300 "N-diffusion resistor width < %d (DF.1b) [paint ndiffres]" + # ORIGINAL RULE: width rdp 300 "P-diffusion resistor width < %d (DF.1b)" + width rdp 300 "P-diffusion resistor width < %d (DF.1b) [paint pdiffres]" + +#------------------------------------ +# MOS Varactor device rules +#------------------------------------ + + overhang *nsd var 320 \ + "N-Ohmic overhang of Varactor < %d (FIXME)" + + overhang *mvnsd mvvar 320 \ + "N-Ohmic overhang of Varactor < %d (FIXME)" + + width var,mvvar 1000 \ + "Varactor length and width < %d (DF.1c)" + +#------------------------------------------------- +# CAPM (FuseTop) +#------------------------------------------------- + + # ORIGINAL RULE: width *mimcap 5000 "MiM cap top plate width < %d (1TM.8a)" + width *mimcap 5000 "MiM cap top plate width < %d (1TM.8a) [paint mimcap,mimcapcontact]" + spacing *mimcap *mimcap 600 touching_ok "MiM cap top plate spacing < %d (1TM.6) [erase mimcap mimcapcontact]" + surround mimcc mimcap 390 absence_illegal "MiM cap must surround MiM cap contact by %d (1TM.4-VT.4) [erase mimcapcontact,mimcap]" + spacing pad *mimcap 50 touching_illegal "MiM cap cannot overlap pad (11M.X) [erase padl,mimcap mimcapcontact]" + spacing mimcc mimcc 480 touching_ok "MiM cap contact spacing < %d (1TM.9 - VT.3) [erase mimcapcontact]" + + spacing via3 *mimcap 50 touching_illegal "MiM cap cannot overlap via3 (1TM.10) [erase via3,mimcap mimcapcontact]" + spacing *mimcap *m4,rm4 1200 touching_ok "MiM cap to Metal4 spacing < %d (1TM.1) [erase mimcap mimcapcontact,metal4 padl rmetal4 via3 via4]" + spacing *mimcap via4/m5 390 touching_illegal "MiM cap spacing to via4 < %d (1TM.5) [erase mimcap mimcapcontact,via4/m5]" + surround *mimcap m4 600 absence_illegal "Bottom plate overlap of MiM cap < %d (1TM.3) [erase mimcap mimcapcontact,metal4]" + # ORIGINAL RULE: width mimcapc/m5 280 "MiM Contact width < %d (VT.1+VT.3)" + width mimcapc/m5 280 "MiM Contact width < %d (VT.1+VT.3) [paint mimcapcontact/m5]" + + variants (full) + cifmaxwidth mim_bottom_plate_space 0 bend_illegal \ + "MiM bottom plate to unrelated metal < 1.2um (1TM.1)" + cifmaxwidth mim_bot_cont_surround 0 bend_illegal \ + "MiM bottom plate surrounds contact < 0.4um (1TM.2)" + +#---------------------------- +# End DRC style +#---------------------------- + + style empty + scalefactor 50 + stepsize 2000 + +end + +#---------------------------- +# LEF format definitions +#---------------------------- + +lef + + routing m1 Metal1 METAL1 MET1 m1 met1 metal1 + routing m2 Metal2 METAL2 MET2 m2 met2 metal2 + routing m3 Metal3 METAL3 MET3 m3 met3 metal3 + routing m4 Metal4 METAL4 MET4 m4 met4 metal4 + routing m5 Metal5 METAL5 MET5 m5 met5 metal5 + + cut m2c Via1 VIA1 via1 cont2 via12 + cut m3c Via2 VIA2 via2 cont3 via23 + cut via3 Via3 VIA3 via3 cont4 via34 + cut via4 Via4 VIA4 via4 cont5 via45 + + obs obsm1 Metal1 + obs obsm2 Metal2 + obs obsm3 Metal3 + obs obsm4 Metal4 + obs obsm5 Metal5 + + obs obsv1 Via1 + obs obsv2 Via2 + +end + +#----------------------------------------------------- +# Device and Parasitic extraction +#----------------------------------------------------- + +extract + style ngspice variants (),(hrhc),(lrhc),(hrlc),(lrlc) + cscale 1 + lambda 5.0 + units microns + step 7 + sidehalo 8 + fringeshieldhalo 8 + + planeorder dwell 0 + planeorder well 1 + planeorder active 2 + planeorder metal1 3 + planeorder metal2 4 + planeorder metal3 5 + planeorder metal4 6 + planeorder metal5 7 + planeorder block 8 + planeorder comment 9 + + height dnwell -0.1 0.0 + height nwell,pwell 0.0 0.0145 + height alldiff 0.0145 0.30 + height allpoly 0.32 0.2 + height alldiffcont 0.3145 0.9155 + height pc 0.52 0.71 + height allm1 1.23 0.55 + height via 1.78 0.60 + height allm2 2.38 0.55 + height via2 2.93 0.60 + height allm3 3.53 0.55 + height via3 4.08 0.60 + height allm4 4.68 0.55 + height via4 5.23 0.60 + height allm5 5.83 1.0025 + + # Antenna check parameters + # (to be completed) + model partial + antenna poly sidewall 200 none + antenna allcont surface 10 none + antenna via1 surface 20 none + antenna via2 surface 20 none + antenna via3 surface 20 none + antenna via4 surface 20 none + antenna mimcc surface 20 none + antenna m1,m2 sidewall 400 none + antenna m3 sidewall 400 none + antenna m4 sidewall 400 none + antenna m5 sidewall 400 none + + tiedown alldiffnonfet + + substrate *ppdiff,*mvppdiff,space/w,pwell well $SUB -dnwell,isosub + +# Layer resistance + +variants () + +# Resistances are in milliohms per square +# Optional 3rd argument is the corner adjustment fraction +# See document 180MCU_YI-141-EP059-01_10.pdf + + resist (nwell,dnwell)/well 1000000 + resist (pwell)/well 3250000 + + resist (*ndiff,nsd)/active 6300 + resist (*pdiff,*psd)/active 7000 + resist (*mvndiff,mvnsd)/active 6300 + resist (*mvpdiff,*mvpsd)/active 7000 + + resist (allpolynonres)/active 7300 + + resist (rnw)/well 1000000 0.5 + resist (rnd)/active 60000 0.5 + resist (rpd)/active 185000 0.5 + resist (mvrnd)/active 60000 0.5 + resist (mvrpd)/active 185000 0.5 + resist (rnds)/active 6300 0.5 + resist (rpds)/active 7000 0.5 + resist (mvrnds)/active 6300 0.5 + resist (mvrpds)/active 7000 0.5 + resist (rnps)/active 6800 0.5 + resist (rpps)/active 7300 0.5 + resist (rpp)/active 350000 0.5 + resist (rnp)/active 310000 0.5 + resist (hires,mvhires)/active 1000000 0.5 + + resist (allm1)/metal1 90 + resist (allm2)/metal2 90 + resist (allm3)/metal3 90 + + resist (allm4)/metal4 90 + + resist (allm5)/metal5 60 + + + contact ndc,nsc 6300 + contact pdc,psc 5200 + contact mvndc,mvnsc 6300 + contact mvpdc,mvpsc 5200 + contact pc 8000 + contact m2c 4500 + contact m3c 4500 + contact via3 4500 + contact via4 4500 + contact mimcc 4500 + +variants (hrhc),(hrlc) + + # High-end corner resistances (milliohms per square) + + resist (nwell,dnwell)/well 1200000 + resist (pwell)/well 3250000 + + resist (*ndiff,nsd)/active 15000 + resist (*pdiff,*psd)/active 15000 + resist (*mvndiff,mvnsd)/active 15000 + resist (*mvpdiff,*mvpsd)/active 15000 + + resist (allpolynonres)/active 15000 + + resist (rnw)/well 1200000 0.5 + resist (rnd)/active 75000 0.5 + resist (rpd)/active 225000 0.5 + resist (mvrnd)/active 75000 0.5 + resist (mvrpd)/active 225000 0.5 + resist (rnds)/active 15000 0.5 + resist (rpds)/active 15000 0.5 + resist (mvrnds)/active 15000 0.5 + resist (mvrpds)/active 15000 0.5 + resist (rnps)/active 15000 0.5 + resist (rpps)/active 15000 0.5 + resist (rpp)/active 420000 0.5 + resist (rnp)/active 370000 0.5 + resist (hires,mvhires)/active 1200000 0.5 + + resist (allm1)/metal1 104 + resist (allm2)/metal2 104 + resist (allm3)/metal3 104 + + resist (allm4)/metal4 104 + + resist (allm5)/metal5 70 + + + contact ndc,nsc 15000 + contact pdc,psc 15000 + contact mvndc,mvnsc 15000 + contact mvpdc,mvpsc 15000 + contact pc 15000 + contact m2c 15000 + contact m3c 15000 + contact via3 15000 + contact via4 15000 + contact mimcc 15000 + +variants (lrhc),(lrlc) + + # Low-end corner resistances (milliohms per square) + + resist (nwell,dnwell)/well 800000 + resist (pwell)/well 3250000 + + resist (*ndiff,nsd)/active 1000 + resist (*pdiff,*psd)/active 1000 + resist (*mvndiff,mvnsd)/active 1000 + resist (*mvpdiff,*mvpsd)/active 1000 + + resist (allpolynonres)/active 1000 + + resist (rnw)/well 8000000 0.5 + resist (rnd)/active 45000 0.5 + resist (rpd)/active 145000 0.5 + resist (mvrnd)/active 45000 0.5 + resist (mvrpd)/active 145000 0.5 + resist (rnds)/active 1000 0.5 + resist (rpds)/active 1000 0.5 + resist (mvrnds)/active 1000 0.5 + resist (mvrpds)/active 1000 0.5 + resist (rnps)/active 1000 0.5 + resist (rpps)/active 1000 0.5 + resist (rpp)/active 280000 0.5 + resist (rnp)/active 250000 0.5 + resist (hires,mvhires)/active 800000 0.5 + + resist (allm1)/metal1 76 + resist (allm2)/metal2 76 + resist (allm3)/metal3 76 + + resist (allm4)/metal4 76 + + resist (allm5)/metal5 50 + + + contact ndc,nsc 0 + contact pdc,psc 0 + contact mvndc,mvnsc 0 + contact mvpdc,mvpsc 0 + contact pc 0 + contact m2c 0 + contact m3c 0 + contact via3 0 + contact via4 0 + contact mimcc 0 + +variants * + + # These types should not be considered as electrical nodes + resist comment None + +#------------------------------------------------------------------------- +# Parasitic capacitance values: +#------------------------------------------------------------------------- +# This uses the new "default" definitions that determine the intervening +# planes from the planeorder stack, take care of the reflexive sideoverlap +# definitions, and generally clean up the section and make it more readable. +# +# Also uses "units microns" statement, so all parasitic capacitance values +# are taken directly from the source document PDS_035_03, in units of +# aF/um^2 for area caps and aF/um for perimeter and sidewall caps. +#------------------------------------------------------------------------- +# Remember that device capacitances to substrate are taken care of by the +# models. Thus, active and poly definitions ignore all "fet" types. +# fet types are excluded when computing parasitic capacitance to +# active from layers above them because poly is a shield; fet types are +# included for parasitics from layers above to poly. Resistor types +# should be removed from all parasitic capacitance calculations, or else +# they just create floating caps. Technically, the capacitance probably +# should be split between the two terminals. Unsure of the correct model. +# Because rnw is on the well plane, "defaultareacap" does not work for +# planes above diffusion because rnw cannot be removed from types on the +# well plane. Because of this, use the normal "areacap" to specify cap +# to substrate, and "defaultoverlap" to specify cap to nwell (but not rnw). +#------------------------------------------------------------------------- + +variants () +# Nominal capacitances + +#n-well +defaultareacap nwell well 120 + +#n-active +# Rely on device models to capture *ndiff area cap +# Do not extract parasitics from resistors +# defaultareacap allnactivenonfet active 790 +# defaultperimeter allnactivenonfet active 280 + +#p-active +# Rely on device models to capture *pdiff area cap +# Do not extract parasitics from resistors +# defaultareacap allpactivenonfet active 810 +# defaultperimeter allpactivenonfet active 300 + +#poly +# Do not extract parasitics from resistors +# defaultsidewall allpolynonfet active 22 +# defaultareacap allpolynonfet active 105 +# defaultperimeter allpolynonfet active 57 + + defaultsidewall *poly active 16.46 + defaultareacap *poly active nwell,obswell,pwell well 110.677 + defaultperimeter *poly active nwell,obswell,pwell well 51.29 + +#metal1 + defaultsidewall allm1 metal1 28.01 + defaultareacap allm1 metal1 nwell,obswell,pwell well 29.3046 + defaultperimeter allm1 metal1 nwell,obswell,pwell well 25.783 + defaultoverlap allm1 metal1 nwell well 29.3046 + +#metal1->diff + defaultoverlap allm1 metal1 allactivenonfet active 39.2181 + defaultsideoverlap allm1 metal1 allactivenonfet active 30.683 + +#metal1->poly + defaultoverlap allm1 metal1 allpolynonres active 51.4351 + defaultsideoverlap allm1 metal1 allpolynonres active 35.602 + +#metal2 + defaultsidewall allm2 metal2 30.381 + defaultareacap allm2 metal2 nwell,obswell,pwell well 15.0162 + defaultperimeter allm2 metal2 nwell,obswell,pwell well 18.263 + defaultoverlap allm2 metal2 nwell well 15.0162 +#metal2->active + defaultoverlap allm2 metal2 allactivenonfet active 17.2506 + defaultsideoverlap allm2 metal2 allactivenonfet active 20.018 + +#metal2->poly + defaultoverlap allm2 metal2 allpolynonres active 19.2632 + defaultsideoverlap allm2 metal2 allpolynonres active 21.531 + +#metal2->metal1 + defaultoverlap allm2 metal2 allm1 metal1 59.0279 + defaultsideoverlap allm2 metal2 allm1 metal1 40.880 + +#metal3 + defaultsidewall allm3 metal3 30.774 + defaultareacap allm3 metal3 nwell,obswell,pwell well 10.0944 + defaultoverlap allm3 metal3 nwell well 10.0944 + defaultperimeter allm3 metal3 nwell,obswell,pwell well 13.52 + +#metal3->active + defaultoverlap allm3 metal3 allactive active 11.0571 + defaultsideoverlap allm3 metal3 allactive active 14.36 + +#metal3->poly + defaultoverlap allm3 metal3 allpolynonres active 11.8507 + defaultsideoverlap allm3 metal3 allpolynonres active 15.054 + +#metal3->metal1 + defaultoverlap allm3 metal3 allm1 metal1 20.2381 + defaultsideoverlap allm3 metal3 allm1 metal1 21.705 + +#metal3->metal2 + defaultoverlap allm3 metal3 allm2 metal2 59.0279 + defaultsideoverlap allm3 metal3 allm2 metal2 40.482 + +#metal4 + defaultsidewall allm4 metal4 30.994 + defaultareacap allm4 metal4 nwell,obswell,pwell well 7.6025 + defaultoverlap allm4 metal4 nwell well 7.6025 + defaultperimeter allm4 metal4 well 10.649 + +#metal4->active + defaultoverlap allm4 metal4 allactivenonfet active 8.1360 + defaultsideoverlap allm4 metal4 allactivenonfet active 11.129 + +#metal4->poly + defaultoverlap allm4 metal4 allpolynonres active 8.5577 + defaultsideoverlap allm4 metal4 allpolynonres active 11.505 + +#metal4->metal1 + defaultoverlap allm4 metal4 allm1 metal1 12.2127 + defaultsideoverlap allm4 metal4 allm1 metal1 14.829 + +#metal4->metal2 + defaultoverlap allm4 metal4 allm2 metal2 20.2381 + defaultsideoverlap allm4 metal4 allm2 metal2 21.025 + +#metal4->metal3 + defaultoverlap allm4 metal4 allm3 metal3 59.0279 + defaultsideoverlap allm4 metal4 allm3 metal3 39.786 + +#metal5 + defaultsidewall allm5 metal5 54.148 + + defaultareacap allm5 metal5 nwell,obswell,pwell well 5.7979 + defaultoverlap allm5 metal5 nwell well 5.7979 + defaultperimeter allm5 metal5 well 10.850 + +#metal5->active + defaultoverlap allm5 metal5 allactivenonfet active 6.1031 + defaultsideoverlap allm5 metal5 allactivenonfet active 11.258 + +#metal5->poly + defaultoverlap allm5 metal5 allpolynonres active 6.3374 + defaultsideoverlap allm5 metal5 allpolynonres active 11.570 + +#metal5->metal1 + defaultoverlap allm5 metal5 allm1 metal1 8.1418 + defaultsideoverlap allm5 metal5 allm1 metal1 13.958 + +#metal5->metal2 + defaultoverlap allm5 metal5 allm2 metal2 11.0677 + defaultsideoverlap allm5 metal5 allm2 metal2 17.640 + +#metal5->metal3 + defaultoverlap allm5 metal5 allm3 metal3 17.2765 + defaultsideoverlap allm5 metal5 allm3 metal3 24.286 + +#metal5->metal4 + defaultoverlap allm5 metal5 allm4 metal4 39.3519 + defaultsideoverlap allm5 metal5 allm4 metal4 39.586 + + +#------------------------------------------------------------------------- +# Parasitic capacitance values for maximum corner +#------------------------------------------------------------------------- + +variants (hrhc),(lrhc) +# Maximum corner capacitances + +#n-well +defaultareacap nwell well 120 + +#n-active +# Rely on device models to capture *ndiff area cap +# Do not extract parasitics from resistors +# defaultareacap allnactivenonfet active 790 +# defaultperimeter allnactivenonfet active 280 + +#p-active +# Rely on device models to capture *pdiff area cap +# Do not extract parasitics from resistors +# defaultareacap allpactivenonfet active 810 +# defaultperimeter allpactivenonfet active 300 + +#poly +# Do not extract parasitics from resistors +# defaultsidewall allpolynonfet active 22 +# defaultareacap allpolynonfet active 105 +# defaultperimeter allpolynonfet active 57 + + defaultsidewall *poly active 16.56 + defaultareacap *poly active nwell,obswell,pwell well 130.2 + defaultperimeter *poly active nwell,obswell,pwell well 54.018 + +#metal1 + defaultsidewall allm1 metal1 29.294 + defaultareacap allm1 metal1 nwell,obswell,pwell well 33.126 + defaultperimeter allm1 metal1 nwell,obswell,pwell well 25.790 + defaultoverlap allm1 metal1 nwell well 33.126 + +#metal1->diff + defaultoverlap allm1 metal1 allactivenonfet active 43.636 + defaultsideoverlap allm1 metal1 allactivenonfet active 30.445 + +#metal1->poly + defaultoverlap allm1 metal1 allpolynonres active 60.321 + defaultsideoverlap allm1 metal1 allpolynonres active 36.440 + +#metal2 + defaultsidewall allm2 metal2 31.727 + defaultareacap allm2 metal2 nwell,obswell,pwell well 16.472 + defaultperimeter allm2 metal2 nwell,obswell,pwell well 18.124 + defaultoverlap allm2 metal2 nwell well 16.472 +#metal2->active + defaultoverlap allm2 metal2 allactivenonfet active 18.713 + defaultsideoverlap allm2 metal2 allactivenonfet active 19.744 + +#metal2->poly + defaultoverlap allm2 metal2 allpolynonres active 21.231 + defaultsideoverlap allm2 metal2 allpolynonres active 21.467 + +#metal2->metal1 + defaultoverlap allm2 metal2 allm1 metal1 73.632 + defaultsideoverlap allm2 metal2 allm1 metal1 43.788 + +#metal3 + defaultsidewall allm3 metal3 32.049 + defaultoverlap allm3 metal3 nwell well 11.910 + defaultareacap allm3 metal3 nwell,obswell,pwell well 10.961 + defaultperimeter allm3 metal3 nwell,obswell,pwell well 13.270 + +#metal3->active + defaultoverlap allm3 metal3 allactive active 11.910 + defaultsideoverlap allm3 metal3 allactive active 14.034 + +#metal3->poly + defaultoverlap allm3 metal3 allpolynonres active 12.883 + defaultsideoverlap allm3 metal3 allpolynonres active 14.812 + +#metal3->metal1 + defaultoverlap allm3 metal3 allm1 metal1 22.675 + defaultsideoverlap allm3 metal3 allm1 metal1 21.903 + +#metal3->metal2 + defaultoverlap allm3 metal3 allm2 metal2 73.632 + defaultsideoverlap allm3 metal3 allm2 metal2 43.400 + +#metal4 + defaultsidewall allm4 metal4 32.265 + defaultareacap allm4 metal4 nwell,obswell,pwell well 8.213 + defaultoverlap allm4 metal4 nwell well 8.213 + defaultperimeter allm4 metal4 well 10.397 + +#metal4->active + defaultoverlap allm4 metal4 allactivenonfet active 8.735 + defaultsideoverlap allm4 metal4 allactivenonfet active 10.831 + +#metal4->poly + defaultoverlap allm4 metal4 allpolynonres active 9.247 + defaultsideoverlap allm4 metal4 allpolynonres active 11.247 + +#metal4->metal1 + defaultoverlap allm4 metal4 allm1 metal1 13.400 + defaultsideoverlap allm4 metal4 allm1 metal1 14.625 + +#metal4->metal2 + defaultoverlap allm4 metal4 allm2 metal2 22.674 + defaultsideoverlap allm4 metal4 allm2 metal2 21.263 + +#metal4->metal3 + defaultoverlap allm4 metal4 allm3 metal3 73.63 + defaultsideoverlap allm4 metal4 allm3 metal3 42.64 + +#metal5 + defaultsidewall allm5 metal5 57.012 + defaultareacap allm5 metal5 nwell,obswell,pwell well 6.241 + defaultoverlap allm5 metal5 nwell well 6.241 + defaultperimeter allm5 metal5 well 10.676 + +#metal5->active + defaultoverlap allm5 metal5 allactivenonfet active 6.537 + defaultsideoverlap allm5 metal5 allactivenonfet active 11.074 + +#metal5->poly + defaultoverlap allm5 metal5 allpolynonres active 6.820 + defaultsideoverlap allm5 metal5 allpolynonres active 11.431 + +#metal5->metal1 + defaultoverlap allm5 metal5 allm1 metal1 8.841 + defaultsideoverlap allm5 metal5 allm1 metal1 13.909 + +#metal5->metal2 + defaultoverlap allm5 metal5 allm2 metal2 12.108 + defaultsideoverlap allm5 metal5 allm2 metal2 17.722 + +#metal5->metal3 + defaultoverlap allm5 metal5 allm3 metal3 19.207 + defaultsideoverlap allm5 metal5 allm3 metal3 24.724 + +#metal5->metal4 + defaultoverlap allm5 metal5 allm4 metal4 46.18 + defaultsideoverlap allm5 metal5 allm4 metal4 41.628 + + +#------------------------------------------------------------------------- +# Parasitic capacitance values for minimum corner +#------------------------------------------------------------------------- + +variants (hrlc),(lrlc) +# Minimum corner capacitances + +#n-well +defaultareacap nwell well 120 + +#n-active +# Rely on device models to capture *ndiff area cap +# Do not extract parasitics from resistors +# defaultareacap allnactivenonfet active 790 +# defaultperimeter allnactivenonfet active 280 + +#p-active +# Rely on device models to capture *pdiff area cap +# Do not extract parasitics from resistors +# defaultareacap allpactivenonfet active 810 +# defaultperimeter allpactivenonfet active 300 + +#poly +# Do not extract parasitics from resistors +# defaultsidewall allpolynonfet active 22 +# defaultareacap allpolynonfet active 105 +# defaultperimeter allpolynonfet active 57 + + defaultsidewall *poly active 16.388 + defaultareacap *poly active nwell,obswell,pwell well 96.241 + defaultperimeter *poly active nwell,obswell,pwell well 48.943 + +#metal1 + defaultsidewall allm1 metal1 26.630 + defaultareacap allm1 metal1 nwell,obswell,pwell well 26.274 + defaultperimeter allm1 metal1 nwell,obswell,pwell well 25.666 + defaultoverlap allm1 metal1 nwell well 26.274 + +#metal1->diff + defaultoverlap allm1 metal1 allactivenonfet active 35.612 + defaultsideoverlap allm1 metal1 allactivenonfet active 30.684 + +#metal1->poly + defaultoverlap allm1 metal1 allpolynonres active 44.831 + defaultsideoverlap allm1 metal1 allpolynonres active 34.738 + +#metal2 + defaultsidewall allm2 metal2 29.023 + defaultareacap allm2 metal2 nwell,obswell,pwell well 13.797 + defaultperimeter allm2 metal2 nwell,obswell,pwell well 18.332 + defaultoverlap allm2 metal2 nwell well 13.797 +#metal2->active + defaultoverlap allm2 metal2 allactivenonfet active 16.000 + defaultsideoverlap allm2 metal2 allactivenonfet active 20.206 + +#metal2->poly + defaultoverlap allm2 metal2 allpolynonres active 17.629 + defaultsideoverlap allm2 metal2 allpolynonres active 21.511 + +#metal2->metal1 + defaultoverlap allm2 metal2 allm1 metal1 49.258 + defaultsideoverlap allm2 metal2 allm1 metal1 38.718 + +#metal3 + defaultsidewall allm3 metal3 28.809 + defaultoverlap allm3 metal3 nwell well 9.355 + defaultareacap allm3 metal3 nwell,obswell,pwell well 9.355 + defaultperimeter allm3 metal3 nwell,obswell,pwell well 13.710 + +#metal3->active + defaultoverlap allm3 metal3 allactive active 10.318 + defaultsideoverlap allm3 metal3 allactive active 14.637 + +#metal3->poly + defaultoverlap allm3 metal3 allpolynonres active 10.972 + defaultsideoverlap allm3 metal3 allpolynonres active 15.232 + +#metal3->metal1 + defaultoverlap allm3 metal3 allm1 metal1 18.275 + defaultsideoverlap allm3 metal3 allm1 metal1 21.483 + +#metal3->metal2 + defaultoverlap allm3 metal3 allm2 metal2 49.258 + defaultsideoverlap allm3 metal3 allm2 metal2 38.221 + +#metal4 + defaultsidewall allm4 metal4 29.629 + defaultareacap allm4 metal4 nwell,obswell,pwell well 7.076 + defaultoverlap allm4 metal4 nwell well 7.076 + defaultperimeter allm4 metal4 well 10.870 + +#metal4->active + defaultoverlap allm4 metal4 allactivenonfet active 7.614 + defaultsideoverlap allm4 metal4 allactivenonfet active 11.375 + +#metal4->poly + defaultoverlap allm4 metal4 allpolynonres active 7.964 + defaultsideoverlap allm4 metal4 allpolynonres active 11.720 + +#metal4->metal1 + defaultoverlap allm4 metal4 allm1 metal1 11.219 + defaultsideoverlap allm4 metal4 allm1 metal1 14.805 + +#metal4->metal2 + defaultoverlap allm4 metal4 allm2 metal2 18.275 + defaultsideoverlap allm4 metal4 allm2 metal2 20.801 + +#metal4->metal3 + defaultoverlap allm4 metal4 allm3 metal3 49.258 + defaultsideoverlap allm4 metal4 allm3 metal3 37.616 + +#metal5 + defaultsidewall allm5 metal5 51.169 + defaultareacap allm5 metal5 nwell,obswell,pwell well 5.414 + defaultoverlap allm5 metal5 nwell well 5.414 + defaultperimeter allm5 metal5 well 10.992 + +#metal5->active + defaultoverlap allm5 metal5 allactivenonfet active 5.723 + defaultsideoverlap allm5 metal5 allactivenonfet active 11.430 + +#metal5->poly + defaultoverlap allm5 metal5 allpolynonres active 5.919 + defaultsideoverlap allm5 metal5 allpolynonres active 11.703 + +#metal5->metal1 + defaultoverlap allm5 metal5 allm1 metal1 7.545 + defaultsideoverlap allm5 metal5 allm1 metal1 13.985 + +#metal5->metal2 + defaultoverlap allm5 metal5 allm2 metal2 10.192 + defaultsideoverlap allm5 metal5 allm2 metal2 17.610 + +#metal5->metal3 + defaultoverlap allm5 metal5 allm3 metal3 15.699 + defaultsideoverlap allm5 metal5 allm3 metal3 23.834 + +#metal5->metal4 + defaultoverlap allm5 metal5 allm4 metal4 34.153 + defaultsideoverlap allm5 metal5 allm4 metal4 37.782 + + +#------------------------------------------------------------------------- + +variants * + +# Devices: +# All devices except diodes are modeled as subcircuits + +# device list: +# nmos_3p3 mosfet +# pmos_3p3 mosfet +# nmos_6p0 mosfet (thick oxide) +# pmos_6p0 mosfet (thick oxide) +# nmos_6p0_nat mosfet (native Vt) +# nmos_3p3_sab mosfet (unsalicided drain) +# pmos_3p3_sab mosfet (unsalicided drain) +# nmos_6p0_sab mosfet (unsalicided drain, thick oxide) +# pmos_6p0_sab mosfet (unsalicided drain, thick oxide) +# nmos_10p0_asym LDNMOS (extended drain) +# pmos_10p0_asym LDPMOS (extended drain) +# +# np_3p3 diode (N+/pwell) +# pn_3p3 diode (P+/nwell) +# np_6p0 diode (N+/pwell, high voltage) +# pn_6p0 diode (P+/nwell, high voltage) +# nwp_3p3 diode (nwell/pwell) +# nwp_6p0 diode (nwell/pwell, high voltage) +# dnwpw diode (pwell/dnwell) +# dnwps diode (dnwll/substrate) +# sc_diode diode (Schottky) +# +# vpnp_WxL BJT (10x10, 5x5, 0.42x10, 0.42x5 emitter sizes) +# vnpn_WxL BJT (10x10, 5x5, 0.54x16, 0.54x8, 0.54x4, 0.54x2) +# +# npolyf_u resistor (N+ poly, unsalicided) +# ppolyf_u resistor (P+ poly, unsalicided) +# ppolyf_u_1k resistor (high res resistor)* +# ppolyf_u_1k_6p0 resistor (high res resistor, high voltage)* +# nplus_u resistor (N+ diffusion, unsalicided) +# pplus_u resistor (P+ diffusion, unsalicided) +# npolyf_s resistor (N+ poly, salicided) +# ppolyf_s resistor (P+ poly, salicided) +# nplus_s resistor (N+ diffusion, salicided) +# pplus_s resistor (N+ diffusion, salicided) +# nwell resistor (N-well resistor) +# rm1 resistor (metal1) +# rm2 resistor (metal2) +# rm3 resistor (metal3) +# rm4 resistor (metal4) +# rm5 resistor (metal5) +# tm6k resistor (top metal, 0.6um thick (standard))** +# tm9k resistor (top metal, 0.9um thick) +# tm11k resistor (top metal, 1.1um thick) +# tm30k resistor (top metal, 3.0um thick) +# +# nmoscap_3p3 mosfet (source-drain tied) +# pmoscap_3p3 mosfet (source-drain tied) +# nmoscap_6p0 mosfet (source-drain tied, high voltage) +# pmoscap_6p0 mosfet (source-drain tied, high voltage) +# nmoscap_3p3_b mosfet (n-varactor) +# pmoscap_3p3_b mosfet (p-varactor) +# nmoscap_6p0_b mosfet (n-varactor, high voltage) +# pmoscap_6p0_b mosfet (p-varactor, high voltage) +# +# mim_2p0fF capacitor (MiM)* + +# +# *Note that there are multiple mutually exclusive process options for the +# high sheet rho resistor and MiM capacitor. This tech file assumes the +# options 1kOhm/sq for the resistor and 2fF/um for the MiM capacitor. +# +# **The top metal may be any of metal3 to metaltp, depending on the stackup + + device msubcircuit pmos_3p3 pfet pdiff,pdc pdiff,pdc allnwell error l=l w=w + device msubcircuit nmos_3p3 nfet ndiff,ndc ndiff,ndc allpsub error l=l w=w + device msubcircuit pmos_6p0 mvpfet mvpdiff,mvpdc mvpdiff,mvpdc allnwell error l=l w=w + device msubcircuit nmos_6p0 mvnfet mvndiff,mvndc mvndiff,mvndc allpsub error l=l w=w + device msubcircuit pmos_3p3_sab pfet pdiffres pdiffres allnwell error l=l w=w + device msubcircuit nmos_3p3_sab nfet ndiffres ndiffres allpsub error l=l w=w + device msubcircuit pmos_6p0_sab mvpfet mvpdiffres mvpdiffres allnwell error l=l w=w + device msubcircuit nmos_6p0_sab mvnfet mvndiffres mvndiffres allpsub error l=l w=w + device msubcircuit nmos_6p0_nat mvnnfet mvndiff,mvndiffres,mvndc \ + mvndiff,mvndiffres,mvndc allpsub error l=l w=w + device subcircuit nmoscap_3p3 varactor *nndiff allnwell error l=l w=w + device subcircuit nmoscap_6p0 mvvaractor *mvnndiff allnwell error l=l w=w + + device rsubcircuit rm1 rm1 *m1 l=r_length w=r_width + device rsubcircuit rm2 rm2 *m2 l=r_length w=r_width + device rsubcircuit rm3 rm3 *m3 l=r_length w=r_width + device rsubcircuit rm4 rm4 *m4 l=r_length w=r_width + + device rsubcircuit tm9k rm5 *m5 l=r_length w=r_width + + device rsubcircuit ppolyf_s rpps *poly allpsub error l=r_length w=r_width + device rsubcircuit npolyf_s rnps *poly allpsub error l=r_length w=r_width + device rsubcircuit ppolyf_u rpp *poly allpsub error l=r_length w=r_width + device rsubcircuit npolyf_u rnp *poly allpsub error l=r_length w=r_width + device rsubcircuit ppolyf_u_1k hires *poly allpsub error l=r_length w=r_width + device rsubcircuit ppolyf_u_1k_6p0 mvhires *poly allpsub error l=r_length w=r_width + device rsubcircuit pplus_u rpd *pdiff allnwell error l=r_length w=r_width + device rsubcircuit nplus_u rnd *ndiff allpsub error l=r_length w=r_width + device rsubcircuit pplus_s rpds *pdiff allnwell error l=r_length w=r_width + device rsubcircuit nplus_s rnds *ndiff allpsub error l=r_length w=r_width + device rsubcircuit pplus_u mvpdiffres *mvpdiff allnwell error l=r_length w=r_width + device rsubcircuit nplus_u mvndiffres *mvndiff allpsub error l=r_length w=r_width + device rsubcircuit nwell rnw nwell allpsub error l=r_length w=r_width + + device pdiode pn_3p3 *pdiode allnwell a=area p=pj + device ndiode np_3p3 *ndiode allpsub a=area p=pj + device pdiode pn_6p0 *mvpdiode allnwell a=area p=pj + device ndiode np_6p0 *mvndiode allpsub a=area p=pj + device ndiode np_6p0_nat *mvnndiode allpsub a=area p=pj + + device csubcircuit mim_2p0fF *mimcap *m4 l=c_length w=c_width + +end + +#----------------------------------------------------- +# Wiring tool definitions +#----------------------------------------------------- + +wiring + scalefactor 50 + + contact v1 200 m1 5 45 m2 0 45 + contact v2 200 m2 0 45 m3 0 45 + contact v3 200 m3 0 45 m4 0 45 + contact v4 200 m4 0 45 m5 0 45 + + contact pdc 160 pdiff 70 70 m1 45 0 + contact ndc 160 ndiff 70 70 m1 45 0 + contact psc 160 ppdiff 70 70 m1 45 0 + contact nsc 160 nndiff 70 70 m1 45 0 + contact pc 160 poly 70 70 m1 45 0 + contact mvpdc 160 mvpdiff 70 70 m1 45 0 + contact mvndc 160 mvndiff 70 70 m1 45 0 + contact mvpsc 160 mvppdiff 70 70 m1 45 0 + contact mvnsc 160 mvnndiff 70 70 m1 45 0 + +end + +#----------------------------------------------------- +# Plain old router. . . +#----------------------------------------------------- + +router +end + +#------------------------------------------------------------ +# Plowing (restored in magic 8.2, need to fill this section) +#------------------------------------------------------------ + +plowing +end + +#----------------------------------------------------------------- +# No special plot layers defined (use default PNM color choices) +#----------------------------------------------------------------- + +plot + style pnm + default + draw fillblock no_color_at_all + draw nwell cwell + draw pwell cwell + draw dnwell cwell +end + diff --git a/Tech.GF180MCU/nmos.sp b/Tech.GF180MCU/nmos.sp new file mode 100644 index 00000000..bed9be42 --- /dev/null +++ b/Tech.GF180MCU/nmos.sp @@ -0,0 +1 @@ +w=1.83u l=0.5u diff --git a/Tech.GF180MCU/pmos.sp b/Tech.GF180MCU/pmos.sp new file mode 100644 index 00000000..6c9e09fe --- /dev/null +++ b/Tech.GF180MCU/pmos.sp @@ -0,0 +1 @@ +w=1.32u l=0.6u diff --git a/Tech.GF180MCU/template.lef b/Tech.GF180MCU/template.lef new file mode 100755 index 00000000..6aea0f8d --- /dev/null +++ b/Tech.GF180MCU/template.lef @@ -0,0 +1,177 @@ +# LEF file generated by lefgen from LibreSilicon version 0.1 +# + +VERSION 5.4 ; +NAMESCASESENSITIVE ON ; +BUSBITCHARS "[]" ; +DIVIDERCHAR "/" ; +UNITS + DATABASE MICRONS 1000 ; +END UNITS + +USEMINSPACING OBS ON ; +USEMINSPACING PIN OFF ; +CLEARANCEMEASURE EUCLIDEAN ; + + +MANUFACTURINGGRID 0.005 ; + +LAYER nwell + TYPE MASTERSLICE ; +END nwell + +LAYER nactive + TYPE MASTERSLICE ; +END nactive + +LAYER pactive + TYPE MASTERSLICE ; +END pactive + +LAYER poly + TYPE MASTERSLICE ; +END poly + +LAYER cc + TYPE CUT ; + SPACING 0.9 ; +END cc + +LAYER metal1 + TYPE ROUTING ; + DIRECTION HORIZONTAL ; + PITCH 3 ; + OFFSET 1.5 ; + WIDTH 0.9 ; + SPACING 0.9 ; + RESISTANCE RPERSQ 0.09 ; + CAPACITANCE CPERSQDIST 3.2e-05 ; +END metal1 + +LAYER via + TYPE CUT ; + SPACING 0.9 ; +END via + +LAYER metal2 + TYPE ROUTING ; + DIRECTION VERTICAL ; + PITCH 2.4 ; + OFFSET 1.2 ; + WIDTH 0.9 ; + SPACING 0.9 ; + RESISTANCE RPERSQ 0.09 ; + CAPACITANCE CPERSQDIST 1.6e-05 ; +END metal2 + +LAYER via2 + TYPE CUT ; + SPACING 0.9 ; +END via2 + +LAYER metal3 + TYPE ROUTING ; + DIRECTION HORIZONTAL ; + PITCH 3 ; + OFFSET 1.5 ; + WIDTH 1.5 ; + SPACING 0.9 ; + RESISTANCE RPERSQ 0.05 ; + CAPACITANCE CPERSQDIST 1e-05 ; +END metal3 + +SPACING + SAMENET cc via 0.150 ; + SAMENET via via2 0.150 ; +END SPACING + +VIA M2_M1 DEFAULT + LAYER metal1 ; + RECT -0.600 -0.600 0.600 0.600 ; + LAYER via ; + RECT -0.300 -0.300 0.300 0.300 ; + LAYER metal2 ; + RECT -0.600 -0.600 0.600 0.600 ; +END M2_M1 + +VIA M3_M2 DEFAULT + LAYER metal2 ; + RECT -0.600 -0.600 0.600 0.600 ; + LAYER via2 ; + RECT -0.300 -0.300 0.300 0.300 ; + LAYER metal3 ; + RECT -0.900 -0.900 0.900 0.900 ; +END M3_M2 + + +VIARULE viagen21 GENERATE + LAYER metal1 ; + DIRECTION HORIZONTAL ; + WIDTH 1.2 TO 120 ; + OVERHANG 0.3 ; + METALOVERHANG 0 ; + LAYER metal2 ; + DIRECTION VERTICAL ; + WIDTH 1.2 TO 120 ; + OVERHANG 0.3 ; + METALOVERHANG 0 ; + LAYER via ; + RECT -0.3 -0.3 0.3 0.3 ; + SPACING 1.5 BY 1.5 ; +END viagen21 + +VIARULE viagen32 GENERATE + LAYER metal3 ; + DIRECTION HORIZONTAL ; + WIDTH 1.8 TO 180 ; + OVERHANG 0.6 ; + METALOVERHANG 0 ; + LAYER metal2 ; + DIRECTION VERTICAL ; + WIDTH 1.2 TO 120 ; + OVERHANG 0.6 ; + METALOVERHANG 0 ; + LAYER via2 ; + RECT -0.3 -0.3 0.3 0.3 ; + SPACING 2.1 BY 2.1 ; +END viagen32 + +VIARULE TURN1 GENERATE + LAYER metal1 ; + DIRECTION HORIZONTAL ; + LAYER metal1 ; + DIRECTION VERTICAL ; +END TURN1 + +VIARULE TURN2 GENERATE + LAYER metal2 ; + DIRECTION HORIZONTAL ; + LAYER metal2 ; + DIRECTION VERTICAL ; +END TURN2 + +VIARULE TURN3 GENERATE + LAYER metal3 ; + DIRECTION HORIZONTAL ; + LAYER metal3 ; + DIRECTION VERTICAL ; +END TURN3 + +SITE corner + CLASS PAD ; + SYMMETRY R90 Y ; + SIZE 300.000 BY 300.000 ; +END corner + +SITE IO + CLASS PAD ; + SYMMETRY Y ; + SIZE 90.000 BY 300.000 ; +END IO + +SITE core + CLASS CORE ; + SYMMETRY Y ; + SIZE 2.400 BY 30.000 ; +END core + From fb67368f1de7befec23969cfe63b3747d5d9cd92 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Nov 2022 08:51:21 -0500 Subject: [PATCH 431/673] Display missing filenames Disable errorneous LVS errors --- Tools/perl/buildreport.pl | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index b92504e8..40caf5e1 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -70,13 +70,12 @@ print OUT "

Input files: PDK, DRC, layer definitions, process parameters:

"; print OUT "These input files are necessary to define the rules and parameters for the standard cell library. All those files are collected in the Tech directory.
"; -my %inputs=("drc.lydrc"=>"DRC rules for KLayout GDS Viewer","librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transistor BSIM model SPICE parameters (*.lib files in other tools)","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","nmos.sp"=>"Transistor size template for SPICE models","pmos.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); +my %inputs=("drc.lydrc"=>"DRC rules for KLayout GDS Viewer", + "librecell_tech.py"=>"Cell layouting rules, DRC","libresilicon.m"=>"Transistor BSIM model SPICE parameters (*.lib files in other tools)","libresilicon.tech"=>"Magic Layers and Parasitic extraction parameters","nmos.sp"=>"Transistor size template for SPICE models","pmos.sp"=>"Transistor size template for SPICE models","template.lef"=>"Template for the header of Library Exchange Format LEF Files"); print OUT "
FilenameDescription
$b1$file$b2".(-f $file ? "":"X")."".(-f $magfile ? "":"X")."".(-f $svgfile ? "":"X")."".(-f $schfile ? "":"X")."".(-f $logfile ? "":"X")."".(-f $errfile ? "":"X")."".(-f $drcfile ? " $drccount":"X")."".(-f $libfile ? "":"X")."".(-f $leffile ? "":"X")."".(-f $gdsfile ? "":"X")."".(-f $spfile?"":"X")."".(-f $spicefile?"":"X")."".(-f $file ? "":"X")."".(-f $magfile ? "":"X")."".(-f $svgfile ? "":"X")."".(-f $schfile ? "":"X")."".(-f $logfile ? "":"X")."".(-f $errfile ? "":"X")."".(-f $drcfile ? " $drccount":"X")."".(-f $libfile ? "":"X")."".(-f $leffile ? "":"X")."".(-f $gdsfile ? "":"X")."".(-f $spfile?"":"X")."".(-f $spicefile?"":"X")."
"; foreach(sort keys %inputs) { - # print OUT ""; - print OUT ""; - + print OUT ""; } print OUT "
FilenameDescription
$_$inputs{$_}
".(-f "../Tech/$_"? "$_":"X")."$inputs{$_}
".(-f "../Tech/$_"? "$_":"X$_")."$inputs{$_}
"; @@ -165,6 +164,7 @@ } $layouttime=~s/\.\d+$//; $lvs=~s/FAILED/FAILED<\/font>/; + $lvs=""; # LVS engine is currently broken $errors.=" This cell is currently building..." if(-f $runfile); $errors.=" This cell has been excluded from building " if(-f $dontlayoutfile); From f41df478fa0c624a1581b3b30a71ba6616c44128 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Nov 2022 22:17:46 -0500 Subject: [PATCH 432/673] Analyzing memory usage and time Atomic creation of buildreport --- Tools/perl/buildreport.pl | 34 +++++++++++++++++++++++++++++++--- 1 file changed, 31 insertions(+), 3 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 40caf5e1..07112203 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -2,7 +2,7 @@ # This tool generates a HTML build report -my $report="buildreport.html"; +my $report="buildreportnew.html"; open OUT,">$report"; print OUT ""; @@ -85,6 +85,10 @@ print OUT ""; print OUT ""; + +my $maxmem=0; +my $maxtime=0; + foreach my $file (<*.cell>) { my $errfile=$file; $errfile=~s/\.cell$/.err/; @@ -93,6 +97,7 @@ my $drcfile=$file; $drcfile=~s/\.cell$/.drc/; my $libfile=$file; $libfile=~s/\.cell$/.lib/; my $svgfile=$file; $svgfile=~s/\.cell$/.svg/; + my $usagefile=$file; $usagefile=~s/\.cell$/.usage/; my $schfile="doc/".$file; $schfile=~s/\.cell$/_svg.png/; my $pngfile=$file; $pngfile=~s/\.cell$/.png/; my $leffile="outputlib/".$file; $leffile=~s/\.cell$/.lef/; @@ -113,6 +118,7 @@ my $b1=(-f $runfile)?"":""; my $b2=(-f $runfile)?"":""; my $drccount=0; + my $thistime=0; if(open DRC,"<$drcfile") { @@ -162,6 +168,25 @@ } close LIB; } + if(open USG,"<$usagefile") + { + while() + { + if(m/Elapsed \(wall clock\) time \(h:mm:ss or m:ss\): ([\d:]+)/) + { + my @ar=split ":",$1; + my $sec=$ar[-1]+$ar[-2]*60+$ar[-3]*60*60; + print "$1 -> $sec\n"; + $thistime+=$sec; + $maxtime=$thistime if($thistime > $maxtime); + } + if(m/Maximum resident set size \(kbytes\): (\d+)/) + { + $maxmem=$1 if($1 > $maxmem); + } + } + close USG; + } $layouttime=~s/\.\d+$//; $lvs=~s/FAILED/FAILED<\/font>/; $lvs=""; # LVS engine is currently broken @@ -169,7 +194,7 @@ $errors.=" This cell is currently building..." if(-f $runfile); $errors.=" This cell has been excluded from building " if(-f $dontlayoutfile); - print OUT ""; + print OUT ""; print OUT ""; @@ -188,9 +213,12 @@ } print OUT "
CellcellMagicLayoutSchematicLogErrDRCLibertyLEFGDSSPICEParasiticAreaLVSEulerLayoutTimeNetsPortsIterat.Errors
$area$lvs$euler$layouttime$nets$ports$routing$errors$area$lvs$euler$layouttime ".int($thistime/60)."$nets$ports$routing$errors
"; +print OUT "Stats: Max memory per cell: ".int($maxmem/1024)."MB , Max time per cell: ".sprintf("%d:%02d:%02d",int($maxtime/60/60),int(($maxtime%3600)/60), $maxtime %60)."

"; + print OUT "If you want to build your own standard cell library, you can try our Online Standard Cell Library Generator or download the generator software and run it yourself.
\n"; print OUT ""; -print "firefox $report\n"; close OUT; +rename "buildreportnew.html","buildreport.html"; +print "firefox $report\n"; From 24d424bebd2fbbe8a261c6a9152e84f654bb77a8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 26 Nov 2022 22:18:48 -0500 Subject: [PATCH 433/673] Logging resource usage --- Tools/perl/librecells.pl | 20 +++++++++++--------- 1 file changed, 11 insertions(+), 9 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 2705ce06..5e23ed73 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -39,6 +39,8 @@ open OUT,">$cellname.running"; close OUT; + my $usage="/usr/bin/time -v -a -o $cellname.usage"; + sub step($) { print "$_[0]\n"; @@ -72,7 +74,7 @@ foreach my $deb(1,0) # We dont want to overwrite the good output files with debug output files { next if($deb && !$debug); - my $cmd="lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.log 2>>$cellname.err"; + my $cmd="$usage lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.log 2>>$cellname.err"; print "$cmd\n"; system $cmd; } @@ -131,6 +133,7 @@ elsif(! -f $gdsfile) { print STDERR "Error: lclayout has not generated Magic or GDS2.\n"; + unlink "$cellname.running"; next; } @@ -144,10 +147,10 @@ unlink "$cellname.drclog"; step("NEXT STEP: DRC Check with Magic"); - system "../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; + system "$usage ../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; step("NEXT STEP: DRC Fix"); - system "../Tools/perl/drcfix.pl $cellname.mag ../Tech/libresilicon.tech >>$cellname.log 2>>$cellname.err"; + system "$usage ../Tools/perl/drcfix.pl $cellname.mag ../Tech/libresilicon.tech >>$cellname.log 2>>$cellname.err"; if(-f "corr_$cellname.mag") { unlink "$cellname.predrc.mag"; @@ -164,8 +167,7 @@ step("NEXT STEP: Final DRC check"); print "DRC errors in $cellname corrected. Now running final DRC check:\n"; - system "../Tools/perl/drccheck.pl $cellname.mag"; - + system "$usage ../Tools/perl/drccheck.pl $cellname.mag"; } step("DRC Fixing done."); @@ -182,7 +184,7 @@ rename "$cellname.gds","outputlib/$cellname.gds"; step("NEXT STEP: magic2"); - open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; + open OUT,"|$usage magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; print OUT <>$cellname.log 2>>$cellname.err"; + open OUT,"|$usage magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; print OUT <$cellname.libtemplate 2>>$cellname.err"; step("NEXT STEP: Characterization"); - $cmd="lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics + $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics #print "$cmd\n"; system($cmd); - $cmd="lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics + $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics print "$cmd\n"; system($cmd); step("NEXT STEP: Visualisation"); From 4ca51861e1cd3d122fcf9e914a0247a2b40668f3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 27 Nov 2022 08:48:52 -0500 Subject: [PATCH 434/673] Removed warnings and debug code --- Tools/perl/buildreport.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 07112203..69efb4b6 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -175,8 +175,8 @@ if(m/Elapsed \(wall clock\) time \(h:mm:ss or m:ss\): ([\d:]+)/) { my @ar=split ":",$1; - my $sec=$ar[-1]+$ar[-2]*60+$ar[-3]*60*60; - print "$1 -> $sec\n"; + my $sec=$ar[-1]+$ar[-2]*60+($ar[-3]||0)*60*60; + #print "$1 -> $sec\n"; $thistime+=$sec; $maxtime=$thistime if($thistime > $maxtime); } From d528d7e212055dc067979c1435425aff1d130818 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 27 Nov 2022 13:05:58 -0500 Subject: [PATCH 435/673] Fixed report filenames --- Tools/perl/buildreport.pl | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 69efb4b6..9cd34dcf 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -2,9 +2,10 @@ # This tool generates a HTML build report -my $report="buildreportnew.html"; +my $reportnew="buildreportnew.html"; +my $report="buildreport.html"; -open OUT,">$report"; +open OUT,">$reportnew"; print OUT ""; print OUT '' if(!-f ".done"); print OUT <"; close OUT; -rename "buildreportnew.html","buildreport.html"; +rename $reportnew,$report; print "firefox $report\n"; From 8f85d068ebf6faed20d20290e29551a45e96fc3f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 27 Nov 2022 23:42:15 -0500 Subject: [PATCH 436/673] Adding a generator for CharLib characterization --- Tools/python/gen_CharLib.py | 231 ++++++++++++++++++++++++++++++++++++ 1 file changed, 231 insertions(+) create mode 100644 Tools/python/gen_CharLib.py diff --git a/Tools/python/gen_CharLib.py b/Tools/python/gen_CharLib.py new file mode 100644 index 00000000..838f6c61 --- /dev/null +++ b/Tools/python/gen_CharLib.py @@ -0,0 +1,231 @@ +#!/bin/python3 +import glob +import re + +def main(): + cmd_file = 'CharLib.cmd' + gen_lib_common("GF180MCUC", cmd_file) + gen_char_cond("5", cmd_file) + for cell in glob.glob('*.cell'): + sp= re.sub('\.cell$', '.sp', cell) + cellname = re.sub('\.cell$','',cell) + inputs=['A','B'] + outputs=['Y'] + functions=[] + cellfh = open(cell, 'r') + for line in cellfh.readlines(): + line=line.rstrip() + if '.inputs ' in line: + inputs=line[8:].split(' ') + if '.outputs ' in line: + outputs=line[9:].split(' ') + cellfh.close() + verilogfh = open( re.sub('\.cell$', '.truthtable.v', cell)) + for line in verilogfh.readline().split(':')[1:]: + functions.append(re.sub(' ','',re.sub('\|\|','|',re.sub('\&\&','&',re.sub('function','',line))))) + verilogfh.close() + gen_comb("GF180MCUC", cmd_file, cellname, cellname, inputs, outputs, functions, '1', sp) +# gen_comb("OSU350", cmd_file, "NAND2X1", "NAND2", ['A','B'], ['Y'], ['Y=!(A&B)'], '1', 'NETLIST/NAND2X1.spi') +# gen_comb("OSU350", cmd_file, "NAND3X1", "NAND3", ['A','B','C'], ['Y'], ['Y=!(A&B&C)'], '1', 'NETLIST/NAND3X1.spi') +# gen_comb("OSU350", cmd_file, "NAND4X1", "NAND4", ['A','B','C','D'], ['Y'], ['Y=!(A&B&C&D)'], '1', 'NETLIST/NAND4X1.spi') +# gen_comb("OSU350", cmd_file, "NOR2X1", "NOR2", ['A','B'], ['Y'], ['Y=!(A|B)'], '1', 'NETLIST/NOR2X1.spi') +# gen_comb("OSU350", cmd_file, "NOR3X1", "NOR3", ['A','B','C'], ['Y'], ['Y=!(A|B|C)'], '1', 'NETLIST/NOR3X1.spi') +# gen_comb("OSU350", cmd_file, "NOR4X1", "NOR4", ['A','B','C','D'], ['Y'], ['Y=!(A|B|C|D)'], '1', 'NETLIST/NOR4X1.spi') +# gen_comb("OSU350", cmd_file, "AND2X1", "AND2", ['A','B'], ['Y'], ['Y=(A&B)'], '1', 'NETLIST/AND2X1.spi') +# gen_comb("OSU350", cmd_file, "AND3X1", "AND3", ['A','B','C'], ['Y'], ['Y=(A&B&C)'], '1', 'NETLIST/AND3X1.spi') +# gen_comb("OSU350", cmd_file, "AND4X1", "AND4", ['A','B','C','D'], ['Y'], ['Y=(A&B&C&D)'], '1', 'NETLIST/AND4X1.spi') +# gen_comb("OSU350", cmd_file, "OR2X1", "OR2", ['A','B'], ['Y'], ['Y=(A|B)'], '1', 'NETLIST/OR2X1.spi') +# gen_comb("OSU350", cmd_file, "OR3X1", "OR3", ['A','B','C'], ['Y'], ['Y=(A|B|C)'], '1', 'NETLIST/OR3X1.spi') +# gen_comb("OSU350", cmd_file, "OR4X1", "OR4", ['A','B','C','D'], ['Y'], ['Y=(A|B|C|D)'], '1', 'NETLIST/OR4X1.spi') +# gen_comb("OSU350", cmd_file, "AOI21X1", "AOI21", ['A','B','C'], ['Y'], ['Y=!(C|(A&B))'], '1', 'NETLIST/AOI21X1.spi') +# gen_comb("OSU350", cmd_file, "AOI22X1", "AOI22", ['A','B','C','D'], ['Y'], ['Y=!((C&D)|(A&B))'],'1', 'NETLIST/AOI22X1.spi') +# gen_comb("OSU350", cmd_file, "OAI21X1", "OAI21", ['A1','A2','B'], ['YB'], ['YB=!(B&(A1|A2))'], '1', 'NETLIST/OAI21X1.spi') +# gen_comb("OSU350", cmd_file, "OAI22X1", "OAI22", ['A1','A2','B1','B2'], ['YB'], ['YB=!((B1|B2)&(A1|A2))'],'1', 'NETLIST/OAI22X1.spi') +# gen_comb("OSU350", cmd_file, "AO21X1", "AO21", ['A1','A2','B'], ['Y'], ['Y=(B|(A1&A2))'], '1', 'NETLIST/AO21X1.spi') +# gen_comb("OSU350", cmd_file, "AO22X1", "AO22", ['A1','A2','B1','B2'], ['Y'], ['Y=((B1&B2)|(A1&A2))'], '1', 'NETLIST/AO22X1.spi') +# gen_comb("OSU350", cmd_file, "OA21X1", "OA21", ['A1','A2','B'], ['Y'], ['Y=(B&(A1|A2))'], '1', 'NETLIST/OA21X1.spi') +# gen_comb("OSU350", cmd_file, "OA22X1", "OA22", ['A1','A2','B1','B2'], ['Y'], ['Y=((B1|B2)&(A1|A2))'], '1', 'NETLIST/OA22X1.spi') +# gen_comb("OSU350", cmd_file, "XOR2X1", "XOR2", ['A','B'], ['Y'], ['Y=((A&!B)&(!A&B))'], '1', 'NETLIST/XOR2X1.spi') +# gen_comb("OSU350", cmd_file, "XNOR2X1", "XNOR2", ['A','B'], ['Y'], ['Y=((!A&!B)&(A&B))'], '1', 'NETLIST/XNOR2X1.spi') +# gen_seq ("OSU350", cmd_file, "DFFX1", "DFF_PCPU", ['DATA','CLK'], ['Q'], ['Q','QN'], ['Q=IQ','QN=IQN'], '1', 'NETLIST/DFFX1.spi') +# gen_seq ("OSU350", cmd_file, "DFFSR", "DFF_PCPU_NRNS", ['DATA','CLK','NSET','NRST'], ['Q'], ['IQ','IQN'], ['Q=IQ','QN=IQN'], '1', 'NETLIST/DFFARASX1.spi') + exit_CharLib(cmd_file) + +def gen_lib_common(name, cmd_file): + with open(cmd_file,'w') as f: + outlines = [] + outlines.append("# common settings for library\n") + outlines.append("set_lib_name "+str(name)+"\n") + outlines.append("set_dotlib_name "+str(name)+".lib\n") + outlines.append("set_verilog_name "+str(name)+".v\n") + outlines.append("set_cell_name_suffix "+str(name)+"_\n") + outlines.append("set_cell_name_prefix _V1\n") + outlines.append("set_voltage_unit V\n") + outlines.append("set_capacitance_unit pF\n") + outlines.append("set_resistance_unit Ohm\n") + outlines.append("set_current_unit mA\n") + outlines.append("set_leakage_power_unit pW \n") + outlines.append("set_energy_unit fJ \n") + outlines.append("set_time_unit ns\n") + outlines.append("set_vdd_name VDD\n") + outlines.append("set_vss_name VSS\n") + outlines.append("set_pwell_name VPW\n") + outlines.append("set_nwell_name VNW\n") + f.writelines(outlines) + f.close() + +def gen_char_cond(vdd, cmd_file): + with open(cmd_file,'a') as f: + outlines = [] + outlines.append("# characterization conditions \n") + outlines.append("set_process typ\n") + outlines.append("set_temperature 25\n") + outlines.append("set_vdd_voltage "+str(vdd)+"\n") + outlines.append("set_vss_voltage 0\n") + outlines.append("set_pwell_voltage 0\n") + outlines.append("set_nwell_voltage "+str(vdd)+"\n") + outlines.append("set_logic_threshold_high 0.8\n") + outlines.append("set_logic_threshold_low 0.2\n") + outlines.append("set_logic_high_to_low_threshold 0.5\n") + outlines.append("set_logic_low_to_high_threshold 0.5\n") + outlines.append("set_work_dir work\n") + outlines.append("set_simulator /usr/bin/ngspice \n") +# outlines.append("set_simulator /cad/synopsys/hspice/P-2019.06-1/hspice/bin/hspice -CC -port 2990wx:25000 -i \n") + outlines.append("set_run_sim true\n") +# outlines.append("set_run_sim false\n") + outlines.append("set_mt_sim true\n") + outlines.append("set_supress_message false\n") + outlines.append("set_supress_sim_message false\n") + outlines.append("set_supress_debug_message true\n") + outlines.append("set_energy_meas_low_threshold 0.01\n") + outlines.append("set_energy_meas_high_threshold 0.99\n") + outlines.append("set_energy_meas_time_extent 10\n") + outlines.append("set_operating_conditions PVT_3P5V_25C\n") + outlines.append("# initialize workspace\n") + outlines.append("initialize\n") + f.writelines(outlines) + f.close() + +def gen_comb(target, cmd_file, cell_name, logic, inports, outports, funcs, area, netlist): + with open(cmd_file,'a') as f: + outlines = [] + outlines.append("\n") + outlines.append("## add circuit\n") + line_add_cell = 'add_cell -n '+str(cell_name)+' -l '+str(logic)+' -i ' + for w1 in inports: + line_add_cell += str(w1)+' ' + line_add_cell += '-o ' + for w1 in outports: + line_add_cell += str(w1)+' ' + line_add_cell += '-f ' + for w1 in funcs: + line_add_cell += str(w1)+' ' + line_add_cell += '\n' + outlines.append(line_add_cell) +# outlines.append("add_slope {0.1 0.4 1.6 6.4} \n") +# outlines.append("add_load {0.01 0.04 0.16 0.64} \n") + if(target == "ROHM180"): + outlines.append("add_slope {0.1 0.7 4.9} \n") + outlines.append("add_load {0.01 0.1 1.0} \n") + #outlines.append("add_slope {0.1 } \n") + #outlines.append("add_load {0.01 } \n") + elif(target == "OSU350"): + #outlines.append("add_slope {0.1 0.7 4.9} \n") + #outlines.append("add_load {0.01 0.07 0.49} \n") + outlines.append("add_slope {0.1 4.9} \n") + outlines.append("add_load {0.01 0.49} \n") + elif(target == "GF180MCUC"): + #outlines.append("add_slope {0.1 0.7 4.9} \n") + #outlines.append("add_load {0.01 0.07 0.49} \n") + outlines.append("add_slope {0.1 4.9} \n") + outlines.append("add_load {0.01 0.49} \n") + else: + print("target is not registered!\n") + line_add_area = 'add_area '+str(area)+'\n' + outlines.append(line_add_area) + line_add_netlist = 'add_netlist '+str(netlist)+'\n' + outlines.append(line_add_netlist) + if(target == "ROHM180"): + outlines.append("add_model rohmlib/model_rohm180.sp\n") + elif(target == "OSU350"): + outlines.append("add_model NETLIST/model.sp\n") + elif(target == "GF180MCUC"): + outlines.append("add_model NETLIST/model.sp\n") + else: + print("target is not registered!\n") + outlines.append("add_simulation_timestep auto\n") + outlines.append("characterize\n") + outlines.append("export\n") + outlines.append("\n") + f.writelines(outlines) + f.close() + +def gen_seq(target, cmd_file, cell_name, logic, inports, outports, storage, funcs, area, netlist): + with open(cmd_file,'a') as f: + outlines = [] + outlines.append("## add circuit\n") + line_add_flop = 'add_flop -n '+str(cell_name)+' -l '+str(logic) + if((logic == 'DFF_PCPU_NRNS')or(logic == 'DFF_PCNU_NRNS')or(logic == 'DFF_NCPU_NRNS')or(logic == 'DFF_NCNU_NRNS')): + line_add_flop += ' -i '+str(inports[0])+' -c '+str(inports[1])+' -s '+str(inports[2])+' -r '+str(inports[3]) + elif((logic == 'DFF_PCPU_NR')or(logic == 'DFF_PCNU_NR')or(logic == 'DFF_NCPU_NR')or(logic == 'DFF_NCNU_NR')): + line_add_flop += ' -i '+str(inports[0])+' -c '+str(inports[1])+' -r '+str(inports[2]) + elif((logic == 'DFF_PCPU_NS')or(logic == 'DFF_PCNU_NS')or(logic == 'DFF_NCPU_NS')or(logic == 'DFF_NCNU_NS')): + line_add_flop += ' -i '+str(inports[0])+' -c '+str(inports[1])+' -s '+str(inports[2]) + elif((logic == 'DFF_PCPU')or(logic == 'DFF_PCNU')or(logic == 'DFF_NCPU')or(logic == 'DFF_NCNU')): + line_add_flop += ' -i '+str(inports[0])+' -c '+str(inports[1]) + else: + print("function not matched!\n") + line_add_flop += ' -o ' + for w1 in outports: + line_add_flop += str(w1)+' ' + line_add_flop += '-q ' + for w1 in storage: + line_add_flop += str(w1)+' ' + line_add_flop += '-f ' + for w1 in funcs: + line_add_flop += str(w1)+' ' + line_add_flop += '\n' + outlines.append(line_add_flop) + if(target == "ROHM180"): + outlines.append("add_slope {0.1 0.7 4.9} \n") + outlines.append("add_load {0.01 0.1 1.0} \n") + #outlines.append("add_slope {0.1 } \n") + #outlines.append("add_load {0.01 } \n") + elif(target == "OSU350"): + #outlines.append("add_slope {0.1 0.7 4.9} \n") + #outlines.append("add_load {0.01 0.07 0.49} \n") + outlines.append("add_slope {0.1 4.9} \n") + outlines.append("add_load {0.01 0.49} \n") + else: + print("target is not registered!\n") + outlines.append("add_clock_slope auto \n") + line_add_area = 'add_area '+str(area)+'\n' + outlines.append(line_add_area) + line_add_netlist = 'add_netlist '+str(netlist)+'\n' + outlines.append(line_add_netlist) + if(target == "ROHM180"): + outlines.append("add_model rohmlib/model_rohm180.sp\n") + elif(target == "OSU350"): + outlines.append("add_model NETLIST/model.sp\n") + else: + print("target is not registered!\n") + outlines.append("add_simulation_timestep auto\n") + outlines.append("add_simulation_setup_auto\n") + outlines.append("add_simulation_hold_auto\n") + #outlines.append("add_simulation_setup 0.1\n") + #outlines.append("add_simulation_hold 0.1\n") + outlines.append("characterize\n") + outlines.append("export\n") + f.writelines(outlines) + f.close() + +def exit_CharLib(cmd_file): + with open(cmd_file,'a') as f: + outlines = [] + outlines.append("exit\n") + f.writelines(outlines) + f.close() + +if __name__ == '__main__': + main() + From eda2c4270a6a621f0b866bfd66c74a9461d20f02 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 27 Nov 2022 23:59:43 -0500 Subject: [PATCH 437/673] Adding support for CharLib --- Tools/perl/librecells.pl | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 5e23ed73..683277e7 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -21,6 +21,9 @@ system "../Tools/perl/cell2spice.pl"; +mkdir "work"; +system "rm -rf work/*"; + open IN,"<$sp" || die "Could not open file $sp: $!\n"; while() { @@ -232,13 +235,20 @@ step("NEXT STEP: Generating Liberty Template"); system "../Tools/perl/libgen.pl >$cellname.libtemplate 2>>$cellname.err"; - step("NEXT STEP: Characterization"); + step("NEXT STEP: Characterization with lctime:"); $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics #print "$cmd\n"; system($cmd); $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics print "$cmd\n"; system($cmd); + + step("NEXT STEP: Characterization with CharLib:"); + $cmd="python3 ../Tools/python/gen_CharLib.py"; + print "$cmd\n"; system($cmd); + $cmd="python3 CharLib.py -b CharLib.cmd"; # Which Path should we use for CharLib? + + step("NEXT STEP: Visualisation"); print "Visualisation:\nlibertyviz -l $cellname.lib --cell $cellname --pin Y --related-pin A --table cell_rise\n"; From b988334ff813613007adedd6cd07459e6b0539ca Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 28 Nov 2022 19:12:48 -0500 Subject: [PATCH 438/673] Fixed typos --- Tools/perl/truthtable.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index 22cd4d37..a7d22552 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -60,7 +60,7 @@ sub truth verb "i2: ".($i2||"")."\n"; if((defined($i1) && defined($i2)) && (($i1=~m/vdd/i && $i2=~m/gnd/i) || ($i1=~m/vdd/i && $i2=~m/gnd/i))) { - die "ERROR: Short cirtuit detected: $n1->$i1->$iv{$n1} $n2->$i2->$iv{$2}!\n"; + die "ERROR: Short circuit detected: $n1->$i1->$iv{$n1} $n2->$i2->$iv{$2}!\n"; } if(defined($i1)) { @@ -99,7 +99,7 @@ sub truth if((defined($ig) && defined($id)) && (($ig=~m/vdd/i && $id=~m/gnd/i) || ($ig=~m/vdd/i && $id=~m/gnd/i))) { - die "ERROR: Short cirtuit detected: $g->$ig->$iv{$g} $d->$id->$iv{$d}!\n"; + die "ERROR: Short circuit detected: $g->$ig->$iv{$g} $d->$id->$iv{$d}!\n"; } if(defined($ig)) { From 4741ddd78fb00764737e46238444fa389424db4f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 30 Nov 2022 22:06:32 -0500 Subject: [PATCH 439/673] Reduced update frequency of buildreport to 2 minutes --- Tools/perl/buildreport.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 9cd34dcf..ab1dba94 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -7,7 +7,7 @@ open OUT,">$reportnew"; print OUT ""; -print OUT '' if(!-f ".done"); +print OUT '' if(!-f ".done"); print OUT < body From 89d9cdae92dc7ce9724f321dfe92f0a31d46cf87 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 30 Nov 2022 22:18:41 -0500 Subject: [PATCH 440/673] Adding tool to divide and conquer the cells on several test-chips --- Tools/perl/divimp.pl | 36 ++++++++++++++++++++++++++++++++++++ 1 file changed, 36 insertions(+) create mode 100644 Tools/perl/divimp.pl diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl new file mode 100644 index 00000000..34130975 --- /dev/null +++ b/Tools/perl/divimp.pl @@ -0,0 +1,36 @@ +#!/usr/bin/perl -w + +my $maxios=38+128-2; +my $usedios=0; +my $totalios=0; +my $group=0; +foreach my $cell(<*.cell>) +#foreach my $cell(qw(AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell AAAOI333.cell AAOAOI33111.cell AAOI22.cell AAOOAAOI2224.cell AOAAOI2124.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND2.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell)) +{ + my $thisios=0; + my $cn=$cell; $cn=~s/\.cell$//; + if(-f "outputlib/$cn.gds") + { + open IN,"<$cell"; + while() + { + if(m/^\.(inputs|outputs) (.*)$/) + { + @ins=split(" ",$2); + $thisios+=@ins; + } + } + close IN; + $totalios+=$thisios; + if($usedios+$thisios>$maxios) + { + $usedios=0; + $group++; + print "NEXT GROUP\n"; + } + $usedios+=$thisios; + print "$group $cn $thisios\n"; + + } + +} From 4b482646b232811e2f2f3b68108026d6bffa9c05 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 1 Dec 2022 12:08:13 -0500 Subject: [PATCH 441/673] Adding tools for Caravel deployment --- Tools/caravel/cells.pl | 65 ++++++ Tools/caravel/deploy2caravel.sh | 69 ++++++ Tools/caravel/drcexpander.pl | 374 ++++++++++++++++++++++++++++++++ Tools/caravel/feedback2mag.pl | 31 +++ Tools/caravel/fixup_lef.pl | 144 ++++++++++++ Tools/caravel/fixup_mag.pl | 110 ++++++++++ Tools/caravel/fixup_sp.pl | 26 +++ Tools/caravel/generator.pl | 135 ++++++++++++ Tools/caravel/gitpush.sh | 5 + Tools/caravel/placement.pl | 30 +++ Tools/caravel/removeDRCcells.pl | 36 +++ Tools/caravel/removenl.pl | 15 ++ Tools/caravel/testgen.pl | 64 ++++++ Tools/caravel/viewer.pl | 13 ++ 14 files changed, 1117 insertions(+) create mode 100755 Tools/caravel/cells.pl create mode 100755 Tools/caravel/deploy2caravel.sh create mode 100755 Tools/caravel/drcexpander.pl create mode 100755 Tools/caravel/feedback2mag.pl create mode 100644 Tools/caravel/fixup_lef.pl create mode 100755 Tools/caravel/fixup_mag.pl create mode 100644 Tools/caravel/fixup_sp.pl create mode 100755 Tools/caravel/generator.pl create mode 100755 Tools/caravel/gitpush.sh create mode 100755 Tools/caravel/placement.pl create mode 100755 Tools/caravel/removeDRCcells.pl create mode 100644 Tools/caravel/removenl.pl create mode 100755 Tools/caravel/testgen.pl create mode 100755 Tools/caravel/viewer.pl diff --git a/Tools/caravel/cells.pl b/Tools/caravel/cells.pl new file mode 100755 index 00000000..70b8fa97 --- /dev/null +++ b/Tools/caravel/cells.pl @@ -0,0 +1,65 @@ +#!/usr/bin/perl -w + +my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; + + + +print <) +{ + next if((-s $mag)<=50); + #print `ls -la $mag`; + my $cell=$mag; $cell=~s/\.mag$/.cell/; + my $lib=$mag; $lib=~s/\.mag$/.lib/; + my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/); + next unless(-f $cell); + next unless(-f $lib); + next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); + + open CELL,"<$cell"; + print "module $name(\n"; + + while() + { + if(m/^\.inputs (.*)/) + { + foreach my $inp(sort split " ",$1) + { + my $io=$nextio++; + print " inout $inp, // input\n"; + } + } + if(m/^\.outputs (.*)/) + { + foreach my $outp(sort split " ",$1) + { + my $io=$nextio++; + print " inout $outp, // output\n"; + } + } + + } + close CELL; + print " \`ifdef USE_POWER_PINS\n"; + print " inout VPWR, // cell power supply\n"; + print " inout VGND // cell ground supply\n"; + print " \`endif\n"; + print ");\n"; + print "endmodule\n\n"; +} + diff --git a/Tools/caravel/deploy2caravel.sh b/Tools/caravel/deploy2caravel.sh new file mode 100755 index 00000000..7fac3d24 --- /dev/null +++ b/Tools/caravel/deploy2caravel.sh @@ -0,0 +1,69 @@ +#!/bin/bash +#CARAVEL=/media/philipp/Daten/skywater/caravel-stdcelllib-stdcells + +if [ -z "$CARAVEL" ] +then + echo "Environment variables not found, please run '. env.sh' to define them." + source env.sh || source ../env.sh +fi + +if [ -z "$CARAVEL" ] +then + echo "Environment variables still not found, please run '. env.sh' to define them." + exit +fi + + +mkdir $CARAVEL/cells{,/lib,/lef,/lef/orig,/gds,/mag} 2>/dev/null + +echo "Cleaning up old files" +rm -f $CARAVEL/cells/lib/*.lib $CARAVEL/cells/lef/orig/*.lef $CARAVEL/cells/lef/*.lef $CARAVEL/cells/gds/*.gds $CARAVEL/cells/mag/*.mag + +cd $STDCELLLIB/Catalog + +# The DRC Expander and the DRC Fixer need to run earlier in the StdCellLib instead, this would be too late: +#perl $CARAVEL/scripts/drcexpander.pl $PDK_ROOT/sky130A/libs.tech/magic/sky130A.tech >$CARAVEL/sky130Aexpanded.tech +#perl ../Tools/perl/drcfixall.pl $CARAVEL/sky130Aexpanded.tech + +echo "Copying files that were created by StdCellLib" +cp *.mag $CARAVEL/cells/mag/ +cp *.lib $CARAVEL/cells/lib/ +cp *.cell $CARAVEL/cells/cell/ +cp *.sp $CARAVEL/cells/sp/ +cp outputlib/*.lef $CARAVEL/cells/lef/orig/ +cp outputlib/*.gds $CARAVEL/cells/gds/ +rm $CARAVEL/cells/mag/demoboard.mag + +echo "Removing cells with DRC issues left" +cd $CARAVEL/cells/mag +rm -f corr.*.mag +perl $CARAVEL/scripts/removeDRCcells.pl + +echo "Now cleaning up the files for Sky130" +cd $CARAVEL/cells/lef +perl fixup.pl + +cd $CARAVEL/cells/mag +perl fixup.pl + +cd $CARAVEL/cells/sp +perl fixup.pl + +cd $CARAVEL/cells/lib +rm -f libresilicon.lib new.lib +libertymerge -b $STDCELLLIB/Catalog/libresilicon.libtemplate -o libresilicon.lib -u *.lib +perl removenl.pl >new.lib +mv new.lib libresilicon.lib + +echo "Now generating the demo wafer, the macro placement and the test-bench" + +perl $CARAVEL/scripts/generator.pl >$CARAVEL/verilog/rtl/user_proj_example.v +perl $CARAVEL/scripts/cells.pl >$CARAVEL/verilog/rtl/user_proj_cells.v +perl $CARAVEL/scripts/placement.pl >$CARAVEL/openlane/user_proj_example/macro_placement.cfg + +cd $CARAVEL +#bash my.sh +echo "Now building the Caravel user-project" +make user_proj_example && make user_project_wrapper + +echo "Deployment done."; diff --git a/Tools/caravel/drcexpander.pl b/Tools/caravel/drcexpander.pl new file mode 100755 index 00000000..997be2c5 --- /dev/null +++ b/Tools/caravel/drcexpander.pl @@ -0,0 +1,374 @@ +print STDERR "DRC Expander - expands the DRC rules in MAGIC tech files and annotates the layers that might cause the DRC issues\n"; + +# Original tech file: +my $tech=$ARGV[0] || "/usr/local/lib/magic/sys/sky130A.tech"; +my $expand=0; +my $debug=0; +my $createrules=0; # Create code for rules instead of expanding the tech file + +print STDERR "Processing the original tech file: $tech\n"; + +#our %contacts=(); +our %alias=(); + +# Required DRC rules for SKY130: area,cifmaxwidth,edge4way,exact_overlap,extend,overhang,rect_only,spacing,surround,width +my $rules=< \$l$_\n\" if(\$debug);\n" if($types[$_] eq "type-list"); + print " my \$text=\$$_;\n" if($types[$_] eq "why"); + push @typelists,$_ if($types[$_] eq "type-list"); + } + print " print \" "; + foreach(1 .. scalar(@types)-1) + { + print "\$$_ " if($types[$_] eq "other"); + print "\$vl$_ " if($types[$_] eq "type-list"); + if($types[$_] eq "why") + { + print "\\\"\$$_ "; + #print "[erase ".join(",",@typelists)."]"; + foreach my $tl (@typelists) + { + print "[erase \$l$tl]"; + } + print "\\\""; + } + } + print "\\n\";\n"; + print " }\n"; + print "\n"; + # $1 $vl1 $vl2 $4 $5 \"$6 [erase $l1]".(($vl1 eq $vl2)?"":"[erase $l2]")."\"\n"; + + +} + +exit if($createrules); + +#magic: tech layers allli +# At first we are reading through the original tech file to search for all the virtual layers that need to be expanded +open IN,"<$tech"; +undef $/; +my $content=; +$/="\n"; +$content=~s/\\\n//sg; + +foreach(split("\n",$content)) +{ + s/\\//g; + if(m/^\s*(spacing|surround)\s+(\S+)\s+(\S+)\s+/) + { + my $a2=$2; + my $a3=$3; + $alias{$a2}=1; + $alias{$a3}=1; + foreach(split(",",$a2)) + { + $alias{$_}=1; + $alias{$1}=1 if(m/^([^\/]+)/); + } + foreach(split(",",$a3)) + { + $alias{$_}=1; + $alias{$1}=1 if(m/^([^\/]+)/); + } + } + # Rule: width type-list width error + if(m/^\s*(width)\s+(\S+)\s+(\S+)\s+"([^"]*)"\s*$/) + { + $alias{$2}=1; + foreach(split(",",$2)) + { + $alias{$_}=1; + } + } +} +close IN; + + +if($debug) +{ + print STDERR "BEFORE:\n"; + foreach(sort keys %alias) + { + print STDERR "$_ -> $alias{$_}\n"; + } +} + +# No we are asking magic what those virtual layers actually mean + print STDERR "Running magic -T $tech ...\n"; + if(open OUT,"|magic -dnull -noconsole -T $tech >magic.layers.out") + { + print OUT "puts \"CUT HERE -------- CUT HERE\"\n"; + foreach(sort keys %alias) + { + s/\(// unless(m/\)/); + s/\)// unless(m/\(/); + #print STDERR "[tech layers $_]\n"; + print OUT "puts \"EXPANDING: $_\"\n"; + print OUT "puts [tech layers $_]\n"; + } + print OUT "puts \"CUT HERE -------- CUT HERE\"\n"; + print OUT "quit -noprompt\n"; + close OUT; + + # Now we are retrieving the answer from Magic: + if(open IN,") + { + if(m/^EXPANDING: (\S+)/) + { + my $next=; + chomp $next; + $alias{$1}=$next; + } + } + close IN; + } + else + { + die "Could not get the results from magic!\n"; + } + } + else + { + die "Could not run magic!\n"; + } + print STDERR "Done with magic.\n"; + + +if($debug) +{ + print STDERR "\n\nAFTER:\n"; + foreach(sort keys %alias) + { + print STDERR "$_ -> $alias{$_}\n"; + } + exit; +} + +open IN,"<$tech"; + +# This function expands a single layer-list into all the physical layers it means +sub allLayers($) +{ + #if($_[0] !~ m/\//) # If we do not look for "images" (which are signalled by / in the typelist and are currently not supported by magic), then we can just use the whole typelist which is more efficient. + #{ + #return split " ",$alias{$_[0]} ; + #} + + my @a=split ",",$_[0]; + my %b=(); + foreach(@a) + { + print STDERR "piece: $_\n" if($debug); + if(m/(\/\S+)/ && defined($alias{$_}) && $alias{$_}) + { + print STDERR "WE FOUND A SLASH AND AN ALIAS\n" if($debug); + my $image=$1; + foreach my $part(split " ",$alias{$_}) + { + print STDERR "PART: $part\n" if($debug); + $b{$part.(($part =~ m/\//)?"":$image)}=1; + } + } + elsif(m/^([^\/]+)(\/\S+)/) # This is a workaround for bugs in magic that hopefully get fixed + { + print STDERR "WE FOUND A SLASH BUT NO ALIAS\n" if($debug); + my $image=$2; + my $short=$1; + foreach my $part(split " ",$alias{$short}) + { + print STDERR "PART: $part\n" if($debug); + $b{$part.$image}=1; + } + } + else + { + print STDERR "NO SLASH OR ALIAS\n" if($debug); + foreach my $part(split " ",$alias{$_}) + { + $b{$part}=1; + } + } + } + return sort keys %b; +} + +my $section=""; +our %drckind=(); +# Finally we are processing the whole tech file and annotating the rules: +while() +{ + while($_=~m/\\$/) + { + $_.=; + } + if(m/^(\w+)/) + { + $section=$1; + } + # if($section eq "contact" && m/^\s+(\w+)/) + #{ + # my $a=$_; + # $a=~s/^\s+//; $a=~s/\s+$//; + # my @a=split(/\s+/,$a); + # my $cont=shift(@a); + # foreach my $cnt(@a) + # { + # print STDERR "CONTACT: $cont -> $cnt\n"; + # $contacts{$cont}{$cnt}=1; + # $contacts{$cnt}{$cont}=1; + # } + #} + #if($section eq "aliases" && m/^\s+(\w+)/) + #{ + # my $a=$_; + # $a=~s/^\s+//; $a=~s/\s+$//; + # my @a=split(/\s+/,$a); + # my $cont=shift(@a); + # foreach my $cnt(@a) + # { + # print STDERR "ALIAS: $cont -> $cnt\n"; + # $alias{$cont}=$cnt; + # } + #} + my $oneline=$_; + $oneline=~s/\n//sg; + tr/\\//; + if($section eq "drc") + { + if(m/^\s*(\w+)/) + { + $drckind{$1}=1; + } + } + + + if(m/^\s*(spacing|surround)\s+(\S+)\s+(\S+)\s+(\d+)\s+\\?\s*(\w+)\s+\\?\s*"([^"]+)"/) + { + #print " # ORIGINAL RULE:$oneline\n"; + my $vl1=$2; + my $vl2=$3; + my $text=$6; + my $l1=join " ",allLayers($vl1); + print STDERR "L1: $vl1 -> $l1\n" if($debug); + my $l2=join " ",allLayers($vl2); + print STDERR "L2: $vl2 -> $l2\n" if($debug); + + if($expand) + { + foreach my $layer1(allLayers($vl1)) + { + foreach my $layer2(allLayers($vl2)) + { + #print " $1 $layer1 $layer2 $4 $5 \"$6 [erase $layer1]".(($layer1 eq $layer2)?"":"[erase $layer2]")."\"\n"; + } + } + } + else + { + print " $1 $vl1 $vl2 $4 $5 \"$6 [erase $l1".(($vl1 eq $vl2)?"":",$l2")."]\"\n"; + } + #print "\n"; + } + # Rule: width type-list width error + elsif(m/^\s*(width)\s+(\S+)\s+(\S+)\s+"([^"]*)"\s*$/) + { + print " # ORIGINAL RULE:$oneline\n"; + my $vl2=$2; + print STDERR "ALLLAYERS $vl2\n" if($debug); + my $l2=join ",",allLayers($vl2); # For painting we need a different strategy to try each layer individually + print STDERR "INFO: vl2=$vl2 l2=$l2\n" if($debug); + my $text=$4; + print " $1 $vl2 $3 \"$4 [paint $l2]\"\n"; + } + + + else + { + print $_; + } +} +close IN; + +print STDERR "".join(",", sort keys %drckind)."\n"; diff --git a/Tools/caravel/feedback2mag.pl b/Tools/caravel/feedback2mag.pl new file mode 100755 index 00000000..036e154a --- /dev/null +++ b/Tools/caravel/feedback2mag.pl @@ -0,0 +1,31 @@ +my $example=<>\n"; +while() +{ + if(m/box (-?\d+) (-?\d+) (-?\d+) (-?\d+)/) + { + print "rect ".int($1/1)." ".int($2/1)." ".int($3/1)." ".int($4/1)."\n"; + } + if(m/(\d+\.?\d*)um (\d+\.?\d*)um (\d+\.\d*)um (\d+\.?\d*)um/) + { + print "rect ".int($1*200)." ".int($2*200)." ".int($3*200)." ".int($4*200)."\n"; + } +} +print "<< end >>\n"; diff --git a/Tools/caravel/fixup_lef.pl b/Tools/caravel/fixup_lef.pl new file mode 100644 index 00000000..add71a06 --- /dev/null +++ b/Tools/caravel/fixup_lef.pl @@ -0,0 +1,144 @@ +#!/usr/bin/perl -w +use strict; + +my %layersToDo=("li1"=>1,"mcon"=>1,"locali"=>1,"metal1"=>1); + +sub readfile($) +{ + if(open MYRIN,"<$_[0]") + { + my $old=$/; + undef $/; + my $content=; + close MYRIN; + $/=$old; + return $content; + } + return undef; +} + + +foreach my $origlef () +{ + my $lef=$origlef; $lef=~s/^orig\///; + my $mag="../mag/$lef"; $mag=~s/\.lef$/\.mag/; + print STDERR "origlef: $origlef\n lef: $lef\n mag:$mag\n"; + my $obs=""; + + + + if(open(MAGIN,"<$mag")) + { + print "Extracting Obstruction information from $mag\n"; + my $active=0; + my $factor=100.0; + while() + { + if(m/magscale (\d+) (\d+)/) + { + $factor=100.0*$2; + } + if(m/<< (\w+) >>/) + { + $active=defined($layersToDo{$1}); + $obs.=" LAYER $1 ;\n" if($active); + } + if(m/rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/ && $active) + { + $obs.=" RECT ( ".($1/$factor)." ".($2/$factor)." ) ( ".($3/$factor)." ".($4/$factor)." ) ;\n"; + } + } + close MAGIN; + } + else + { + print "ERROR: Could not open magic file $mag : $!\n"; + } + + #print "$mag\n$obs\n"; + #next; + + my $content=readfile("orig/$lef"); + $content=~s/\sOBS\s.*?\sEND\s//sg; + open OUT,">$lef.temp"; + print OUT $content; + close OUT; + + my $cell=$lef; $cell=~s/\.lef//; + print "$lef\n"; + # open LEFIN,"$lef"; + our $pin=""; + my $filled=0; + our $macro="NOTTOBEFOUND"; + + #print "$obs\n"; + foreach(split "\n",$content) # while() + { + $_.="\n"; + $macro=$1 if(m/MACRO\s+(\w+)/); + $pin=$1 if(m/PIN\s+(\w+)/); + #s/SYMMETRY X Y R90/SITE unit/; + #s/SITE CORE/SYMMETRY X Y R90/; + #s/SITE unit.*//; + s/metal2/met1/; + s/VDD/VPWR/; + s/GND/VGND/; + s/USE SIGNAL/USE POWER/ if($pin eq "VDD" || $pin eq "VPWR"); + s/USE POWER/USE GROUND/ if($pin eq "GND" || $pin eq "VGND"); # GND braucht USE GROUND statt USE POWER + + if(m/^\s*END\s+$macro/ && !$filled) # Inject the obstructions here + { + print LEFOUT "\n OBS\n$obs\n end\n"; + $filled=1; + } + #print $_; + print LEFOUT $_; + #print "$macro\n"; + #print $_; + if(m/FOREIGN/) + { + if(open(MAG,"<$mag")) + { + my $layer=""; + while() + { + if(m/<< (\w+) >>/) + { + $layer=$1; + } + if(m/rect 0 0 (\d+) (\d+)/) + { + next if($layer eq "checkpaint"); + my $h=$1; + my $w=$2; + my $factor=0.01; + #print "$lef -> ".($1*$factor)." ".($2*$factor)."\n"; + #print LEFOUT " SIZE ".($1*$factor)." BY ".($2*$factor)." ;\n"; + } + if(m/string FIXED_BBOX 0 0 (\d+) (\d+)/) + { + my $h=$1; + my $w=$2; + my $factor=0.01; + #print "$lef -> ".($1*$factor)." ".($2*$factor)."\n"; + #print LEFOUT " SIZE ".($1*$factor)." BY ".($2*$factor)." ;\n"; + } + + } + close MAG; + } + } + } + close LEFOUT; + #close LEFIN; + + system "cp $lef $lef.beforemagic"; + + open MAGIC,"|magic -dnull -noconsole -T sky130A"; + print MAGIC "lef read $lef\n"; + print MAGIC "load $cell\n"; + print MAGIC "lef write $lef\n"; + print MAGIC "quit\n"; + close MAGIC; +} diff --git a/Tools/caravel/fixup_mag.pl b/Tools/caravel/fixup_mag.pl new file mode 100755 index 00000000..07d573f4 --- /dev/null +++ b/Tools/caravel/fixup_mag.pl @@ -0,0 +1,110 @@ +#!/usr/bin/perl -w +use strict; + +foreach my $mag (<*.mag>) +{ + my $name=$mag; $name=~s/\.mag$//; + next if($mag=~m/^sky130_/); + next if($mag=~m/^demo/); + system "cp $mag $mag.beforemagic"; + + my $width=150; + my $min=100; + my $max=200; + + open IN,"<$mag.beforemagic"; + open OUT,">$mag"; + my $currentlayer=""; + while() + { + if(m/<< (\w+) >>/) + { + $currentlayer=$1; + } + if(m/rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/ && $currentlayer eq "viali") + { + if($2<0 || $2>640) + { + print OUT "<< locali >>\n$_"; + print OUT "<< metal1 >>\n$_"; + print OUT "<< viali >>\n"; + next; + } + } + s/\bVDD\b/VPWR/g; + s/\bGND\b/VGND/g; + print OUT $_; + if(m/string FIXED_BBOX 0 0 (\d+) (\d+)/) + { + $width=$1; $min=$1-31; $max=$min+31; + print "min: $min max: $max\nmagic $mag\nbox $min 17 $max 649\n"; + print OUT "<< viali >>\n"; + foreach(0 .. ($max /96)-3) + { + print OUT "rect ".(127+$_*96)." -17 ".(161+$_*96)." 17\n"; + print OUT "rect ".(127+$_*96)." 649 ".(161+$_*96)." 683\n"; + } + } + + } + + close IN; + close OUT; + +my $cmd=<; + close MYRIN; + $/=$old; + return $content; + } + return undef; +} + +foreach my $sp (<*.sp>) +{ + my $content=readfile($sp); + $content=~s/\bvdd\b/VPWR/g; + $content=~s/\bgnd\b/VGND/g; + open OUT,">$sp"; + print OUT $content; + close OUT; +} diff --git a/Tools/caravel/generator.pl b/Tools/caravel/generator.pl new file mode 100755 index 00000000..47885d00 --- /dev/null +++ b/Tools/caravel/generator.pl @@ -0,0 +1,135 @@ +#!/usr/bin/perl -w + +my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; + +print <) +{ + next if((-s $mag)<=50); + #print `ls -la $mag`; + my $cell=$mag; $cell=~s/\.mag$/.cell/; + my $lib=$mag; $lib=~s/\.mag$/.lib/; + + my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/); + next unless(-f $cell); + next unless(-f $lib); + next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); + + open CELL,"<$cell"; + print "$name $name(\n"; + print " `ifdef USE_POWER_PINS\n"; + print " \.VPWR(vccd1),\n"; # ??? Should we do 3.3V or 1.8V ? + print " \.VGND(vssd1),\n"; + print " `endif\n"; + + + while() + { + if(m/^\.inputs (.*)/) + { + foreach my $inp(sort split " ",$1) + { + my $io=$nextio++; + if($io<$MPRJ_IO_PADS) + { + print " \.$inp(io_in[$io]),\n"; + $conf.="assign io_oeb[$io] = 1'b1;\n"; + $inout{"io$io"}="ioin"; + } + else + { + my $la=$io-$MPRJ_IO_PADS; + print " \.$inp(la_data_in[$la]),\n"; + $inout{"io$io"}="lain"; + } + } + } + if(m/^\.outputs (.*)/) + { + foreach my $outp(sort split " ",$1) + { + my $io=$nextio++; + if($io<$MPRJ_IO_PADS) + { + print " \.$outp(io_out[$io]),\n"; + $conf.="assign io_oeb[$io] = 1'b0;\n"; + $inout{"io$io"}="ioout"; + } + else + { + my $la=$io-$MPRJ_IO_PADS; + print " \.$outp(la_data_out[$la]),\n"; + $inout{"io$io"}="laout"; + } + } + } + + } + close CELL; + print ");\n"; +} +print $conf; +print "endmodule\n"; +print "`default_nettype wire\n"; diff --git a/Tools/caravel/gitpush.sh b/Tools/caravel/gitpush.sh new file mode 100755 index 00000000..4a276233 --- /dev/null +++ b/Tools/caravel/gitpush.sh @@ -0,0 +1,5 @@ +git add cells/ def/user_proj_example.def lef/user_proj_example.lef openlane/user_proj_example/config.tcl openlane/user_proj_example/macro_placement.cfg signoff/user_proj_example/final_summary_report.csv verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v +git add gds/user_proj_example.gds.gz +git add gds/user_project_wrapper.gds.gz +git add mag/user_project_wrapper.mag +git add maglef/user_proj_example.mag spi/lvs/user_proj_example.spice verilog/gl/user_proj_example.v verilog/dv diff --git a/Tools/caravel/placement.pl b/Tools/caravel/placement.pl new file mode 100755 index 00000000..fa64fb44 --- /dev/null +++ b/Tools/caravel/placement.pl @@ -0,0 +1,30 @@ +#!/usr/bin/perl -w + +my $width=0.48; +my $height=3.33; + + +my $row=8; + +my $xpos=($row%2)? $width*80 : 44.640+0.480; + +our $nextla=$height*$row; + + + +my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; + +foreach my $mag(sort <$STDCELLLIB/Catalog/*.mag>) +{ + next if((-s $mag)<=50); + #print `ls -la $mag`; + my $cell=$mag; $cell=~s/\.mag$/.cell/; + next unless(-f $cell); + my $lib=$mag; $lib=~s/\.mag$/.lib/; + next unless(-f $lib); + my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/); + next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); + + print "$name $xpos $nextla N\n"; + $nextla+=$height*2; +} diff --git a/Tools/caravel/removeDRCcells.pl b/Tools/caravel/removeDRCcells.pl new file mode 100755 index 00000000..53599cff --- /dev/null +++ b/Tools/caravel/removeDRCcells.pl @@ -0,0 +1,36 @@ +#!/usr/bin/perl -w + +foreach my $mag (<*.mag>) +{ + my $cell=$mag; $cell=~s/\.mag$//; + #print "Checking $cell\n"; + my $STDCELLLIB=$ENV{'STDCELLLIB'}; + my $drc=0; + if(open(IN,"<$STDCELLLIB/Catalog/$cell.drc")) + { + while() + { + $drc=$1 if(m/Number of DRC errors: (\d+)/); + } + close IN; + } + else + { + print "Warning: Could not find DRC: $STDCELLLIB/$cell.drc $!\n"; + $drc=1; + } + $drc=1 if(!-f "$STDCELLLIB/Catalog/$cell.mag"); + if($drc) + { + print "Removing cell with $drc DRC issues: $cell\n"; + unlink $mag; + unlink "../lef/orig/$cell.lef"; + unlink "../lef/$cell.lef"; + unlink "../gds/$cell.gds"; + unlink "../lib/$cell.lib"; + unlink "../cell/$cell.cell"; + unlink "../sp/$cell.sp"; + } + +} + diff --git a/Tools/caravel/removenl.pl b/Tools/caravel/removenl.pl new file mode 100644 index 00000000..5e49e779 --- /dev/null +++ b/Tools/caravel/removenl.pl @@ -0,0 +1,15 @@ +#!/usr/bin/perl -w + +open IN,"; +$content=~s/area/pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area/g; + +#$content=~s/"\s*\n/"/gs; +#$content=~s/;\s*\n/;/gs; +$content=~s/\n//gs; +$content=~s/\\//gs; + + + +print $content; diff --git a/Tools/caravel/testgen.pl b/Tools/caravel/testgen.pl new file mode 100755 index 00000000..2af77d51 --- /dev/null +++ b/Tools/caravel/testgen.pl @@ -0,0 +1,64 @@ +#!/usr/bin/perl -w +open IN,"<$ARGV[0]"; + +print <; +my @l=split "->",$header; +my @ins=sort split " ",$l[0]; +my @outs=sort split " ",$l[1]; +my %map=(); + +my $reg=0; +my @io=(); +print " printf(\"Initializing the Inputs of the cell:\\n\");\n"; +foreach(@ins) +{ + print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_OUTPUT; // $_\n"; + $io[$reg>>5]|=1<<($reg&31); + $reg++; +} +print " printf(\"Initializing the Outputs of the cell:\\n\");\n"; +foreach(@outs) +{ + $map{$_}=$reg++; + + print " reg_mprj_io_$reg = GPIO_MODE_USER_STD_OUTPUT; // $_\n"; +} + +print " reg_mprj_xfer=1;\n"; +print " while (reg_mprj_xfer == 1);\n"; + +foreach(0 .. 3) +{ + print "reg_la".$_."_ena=".sprintf("0x%08X",$io[$_]).";\n" if(defined($io[$_])); +} + +my $counter=0; +print " printf(\"Starting the tests:\\n\");\n"; + +while() +{ + last if(m/^function:/); + @l=split " ",$_; + my $if=0; + foreach(@l) + { + if(m/(\w+)=(\d)/) + { + print " assert(reg_la".$map{$1}."_data==$2); //$1\n"; + } + else + { + print " reg_la".$if."_data=$_; //$ins[$if]\n"; + } + $if++; + } + print " printf(\"Test $counter successful\\n\");\n\n"; + + $counter++; +} diff --git a/Tools/caravel/viewer.pl b/Tools/caravel/viewer.pl new file mode 100755 index 00000000..ee60497d --- /dev/null +++ b/Tools/caravel/viewer.pl @@ -0,0 +1,13 @@ +#!/usr/bin/perl -w + +my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; +my $CARAVEL=$ENV{'CARAVEL'} || "/media/philipp/Daten/skywater/caravel-stdcelllib-stdcells"; + +open OUT,"|magic -noconsole -T sky130A"; +foreach(<$CARAVEL/cells/lef/*.lef>) +{ + print OUT "lef read $_\n"; +} +print OUT "def read ".$ARGV[0]."\n"; +#print OUT "select top\n"; +close OUT; From dda8c9cfcff15272c035146e355a7a98cebc74ba Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 1 Dec 2022 12:28:11 -0500 Subject: [PATCH 442/673] Made the PDK parameterizable --- Tools/caravel/fixup_lef.pl | 6 +++++- Tools/caravel/fixup_mag.pl | 4 +++- Tools/caravel/fixup_sp.pl | 0 Tools/caravel/removenl.pl | 0 Tools/caravel/viewer.pl | 4 +++- 5 files changed, 11 insertions(+), 3 deletions(-) mode change 100644 => 100755 Tools/caravel/fixup_lef.pl mode change 100644 => 100755 Tools/caravel/fixup_sp.pl mode change 100644 => 100755 Tools/caravel/removenl.pl diff --git a/Tools/caravel/fixup_lef.pl b/Tools/caravel/fixup_lef.pl old mode 100644 new mode 100755 index add71a06..7cf9cb1a --- a/Tools/caravel/fixup_lef.pl +++ b/Tools/caravel/fixup_lef.pl @@ -1,8 +1,12 @@ #!/usr/bin/perl -w use strict; +my $magictech=$ARGV[0] || "sky130A"; + my %layersToDo=("li1"=>1,"mcon"=>1,"locali"=>1,"metal1"=>1); + + sub readfile($) { if(open MYRIN,"<$_[0]") @@ -135,7 +139,7 @@ ($) system "cp $lef $lef.beforemagic"; - open MAGIC,"|magic -dnull -noconsole -T sky130A"; + open MAGIC,"|magic -dnull -noconsole -T $magictech"; print MAGIC "lef read $lef\n"; print MAGIC "load $cell\n"; print MAGIC "lef write $lef\n"; diff --git a/Tools/caravel/fixup_mag.pl b/Tools/caravel/fixup_mag.pl index 07d573f4..ce84d714 100755 --- a/Tools/caravel/fixup_mag.pl +++ b/Tools/caravel/fixup_mag.pl @@ -1,6 +1,8 @@ #!/usr/bin/perl -w use strict; +my $magictech=$ARGV[0] || "sky130A"; + foreach my $mag (<*.mag>) { my $name=$mag; $name=~s/\.mag$//; @@ -101,7 +103,7 @@ EOF ; #print $cmd; - open MAGIC,"|magic -dnull -noconsole -T sky130A $mag"; + open MAGIC,"|magic -dnull -noconsole -T $magictech $mag"; print MAGIC $cmd; close MAGIC; system "mv $name.gds ../gds/$name.gds"; diff --git a/Tools/caravel/fixup_sp.pl b/Tools/caravel/fixup_sp.pl old mode 100644 new mode 100755 diff --git a/Tools/caravel/removenl.pl b/Tools/caravel/removenl.pl old mode 100644 new mode 100755 diff --git a/Tools/caravel/viewer.pl b/Tools/caravel/viewer.pl index ee60497d..321e1726 100755 --- a/Tools/caravel/viewer.pl +++ b/Tools/caravel/viewer.pl @@ -2,8 +2,10 @@ my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; my $CARAVEL=$ENV{'CARAVEL'} || "/media/philipp/Daten/skywater/caravel-stdcelllib-stdcells"; +my $magictech=$ARGV[0] || "sky130A"; -open OUT,"|magic -noconsole -T sky130A"; + +open OUT,"|magic -noconsole -T $magictech"; foreach(<$CARAVEL/cells/lef/*.lef>) { print OUT "lef read $_\n"; From fc9f7fc16688360cbadfc35d084b87ff5f75c7d5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 1 Dec 2022 12:31:32 -0500 Subject: [PATCH 443/673] Made building a single cell template possible, to avoid error messages from other cells --- Tools/perl/libgen.pl | 13 ++++++++++++- 1 file changed, 12 insertions(+), 1 deletion(-) diff --git a/Tools/perl/libgen.pl b/Tools/perl/libgen.pl index 2e799c2c..e6dc4a22 100755 --- a/Tools/perl/libgen.pl +++ b/Tools/perl/libgen.pl @@ -153,7 +153,18 @@ ($$) return ($_[0]>$_[1])?$_[0]:$_[1]; } -foreach my $mag(<*.mag>) + +my @liste=(); +if(scalar(@ARGV)) +{ + @liste=@ARGV; +} +else +{ + @liste=<*.mag>; +} + +foreach my $mag(@liste) { my $cellname=$mag; $cellname=~s/\.mag$//; next if(defined($ENV{'CELL'}) && $ENV{'CELL'} ne $cellname); From d08db7926db4fa9f1c9e6c0f7ed6d8732a32af60 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 1 Dec 2022 12:32:23 -0500 Subject: [PATCH 444/673] Building the liberty templates just for a single cell --- Tools/perl/librecells.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 683277e7..f0f3c60f 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -233,7 +233,7 @@ step("NEXT STEP: Generating Liberty Template"); - system "../Tools/perl/libgen.pl >$cellname.libtemplate 2>>$cellname.err"; + system "../Tools/perl/libgen.pl $cellname.mag >$cellname.libtemplate 2>>$cellname.err"; step("NEXT STEP: Characterization with lctime:"); $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics From e03be1cfa5b14133d03604c6b60af1cfbf0a94ac Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 1 Dec 2022 12:33:08 -0500 Subject: [PATCH 445/673] Various updates --- Tools/perl/divimp.pl | 87 +++++++++++++++++++++++++++++++++++++++++--- 1 file changed, 82 insertions(+), 5 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 34130975..b7d93977 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -3,10 +3,81 @@ my $maxios=38+128-2; my $usedios=0; my $totalios=0; -my $group=0; -foreach my $cell(<*.cell>) -#foreach my $cell(qw(AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell AAAOI333.cell AAOAOI33111.cell AAOI22.cell AAOOAAOI2224.cell AOAAOI2124.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND2.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell)) +my $group=1; + +our $githubuser="thesourcerer8"; +our $CARAVEL=""; +our @repos=(); + +my $magictech="gf180mcuC"; + +sub nextgroup($) +{ + $CARAVEL="gf180_stdcelllib_$_[0]"; + system "git clone git\@github.com:$githubuser/$CARAVEL.git" unless(-d $CARAVEL); + return(undef) unless(-d $CARAVEL); + push @repos,$CARAVEL; + return $CARAVEL; +} + +sub addcell($$) { + my ($group,$cn)=@_; + print "Adding cell $cn to group $group\n"; + mkdir "$CARAVEL/cells"; + mkdir "$CARAVEL/cells/mag"; + mkdir "$CARAVEL/cells/lib"; + mkdir "$CARAVEL/cells/cell"; + mkdir "$CARAVEL/cells/sp"; + mkdir "$CARAVEL/cells/lef"; + mkdir "$CARAVEL/cells/lef/orig"; + mkdir "$CARAVEL/cells/gds"; + system "cp $cn.mag $CARAVEL/cells/mag/"; + system "cp $cn.lib $CARAVEL/cells/lib/" if(-f "$cn.lib"); + system "cp $cn.cell $CARAVEL/cells/cell/"; + system "cp $cn.sp $CARAVEL/cells/sp/"; + system "cp outputlib/$cn.lef $CARAVEL/cells/lef/orig/"; + system "cp outputlib/$cn.gds $CARAVEL/cells/gds/"; +} + +sub endgroup($) +{ + my $CARAVEL=$_[0]; + chdir "$CARAVEL/cells/lef"; + system "perl ../../../../Tools/caravel/fixup_lef.pl $magictech"; + chdir "../../../"; + chdir "$CARAVEL/cells/mag"; + system "perl ../../../../Tools/caravel/fixup_mag.pl $magictech"; + chdir "../../../"; + chdir "$CARAVEL/cells/sp"; + system "perl ../../../../Tools/caravel/fixup_sp.pl $magictech"; + chdir "../../../"; + + chdir "$CARAVEL/cells/lib"; + system "libertymerge -b ../../../libresilicon.libtemplate -o libresilicon.lib -u *.lib"; + system "perl ../../../../Tools/caravel/removenl.pl >new.lib"; + system "mv newlib libresilicon.lib"; + chdir "../../../"; + system "perl ../Tools/caravel/generator.pl >$CARAVEL/verilog/rtl/user_proj_example.v"; + system "perl ../Tools/caravel/cells.pl >$CARAVEL/verilog/rtl/user_proj_cells.v"; + system "perl ../Tools/caravel/placement.pl >$CARAVEL/openlane/user_proj_example/macro_placement.cfg"; + chdir $CARAVEL; + system "make user_proj_example && make user_project_wrapper"; + system "make dist"; + chdir ".."; +} + +print "Selecting first group:\n"; +nextgroup($group); +my @cells=qw(AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell AAAOI333.cell AAOAOI33111.cell AAOI22.cell AAOOAAOI2224.cell AOAAOI2124.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND2.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell); +push @cells,<*.cell>; +my %seen=(); + +print "Adding all the cells onboard the Caravels:\n"; +foreach my $cell (@cells) +{ + next if(defined($seen{$cell})); + $seen{$cell}=1; my $thisios=0; my $cn=$cell; $cn=~s/\.cell$//; if(-f "outputlib/$cn.gds") @@ -22,15 +93,21 @@ } close IN; $totalios+=$thisios; - if($usedios+$thisios>$maxios) + if(($usedios+$thisios)>$maxios) { $usedios=0; $group++; print "NEXT GROUP\n"; + my $res=nextgroup($group); + last unless($res); } $usedios+=$thisios; + $totalios+=$thisios; print "$group $cn $thisios\n"; - + addcell($group,$cn); } } +print "Now shipping all the Caravels\n"; +endgroup($_) foreach(@repos); +print "All Caravels are done.\n"; From 6677226b970f0725025a998a7917d1d017b9ff63 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 1 Dec 2022 13:19:52 -0500 Subject: [PATCH 446/673] Added more verbose output Disabled Sky130A fixup for other process nodes --- Tools/perl/divimp.pl | 17 ++++++++++++++++- 1 file changed, 16 insertions(+), 1 deletion(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index b7d93977..f65d48d8 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -11,6 +11,12 @@ my $magictech="gf180mcuC"; +sub step($) +{ + print "$_[0]\n"; + print STDERR "$_[0]\n"; +} + sub nextgroup($) { $CARAVEL="gf180_stdcelllib_$_[0]"; @@ -44,24 +50,33 @@ ($) { my $CARAVEL=$_[0]; chdir "$CARAVEL/cells/lef"; + step("fixup_lef $CARAVEL"); system "perl ../../../../Tools/caravel/fixup_lef.pl $magictech"; chdir "../../../"; chdir "$CARAVEL/cells/mag"; - system "perl ../../../../Tools/caravel/fixup_mag.pl $magictech"; + step("fixup_mag $CARAVEL"); + system "perl ../../../../Tools/caravel/fixup_mag.pl $magictech" if($magictech eq "sky130A"); chdir "../../../"; chdir "$CARAVEL/cells/sp"; + step("fixup_sp $CARAVEL"); system "perl ../../../../Tools/caravel/fixup_sp.pl $magictech"; chdir "../../../"; chdir "$CARAVEL/cells/lib"; + step("libertymerge"); system "libertymerge -b ../../../libresilicon.libtemplate -o libresilicon.lib -u *.lib"; + step("removenl"); system "perl ../../../../Tools/caravel/removenl.pl >new.lib"; system "mv newlib libresilicon.lib"; chdir "../../../"; + step("generator"); system "perl ../Tools/caravel/generator.pl >$CARAVEL/verilog/rtl/user_proj_example.v"; + step("cells"); system "perl ../Tools/caravel/cells.pl >$CARAVEL/verilog/rtl/user_proj_cells.v"; + step("placement"); system "perl ../Tools/caravel/placement.pl >$CARAVEL/openlane/user_proj_example/macro_placement.cfg"; chdir $CARAVEL; + step("make user_proj_example"); system "make user_proj_example && make user_project_wrapper"; system "make dist"; chdir ".."; From 6a116e762d968c24b1b30252140f788eb82071bc Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 1 Dec 2022 13:24:30 -0500 Subject: [PATCH 447/673] Added warning message if environment variable is missing --- Tools/caravel/generator.pl | 3 +++ 1 file changed, 3 insertions(+) diff --git a/Tools/caravel/generator.pl b/Tools/caravel/generator.pl index 47885d00..b2f13244 100755 --- a/Tools/caravel/generator.pl +++ b/Tools/caravel/generator.pl @@ -2,6 +2,9 @@ my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; +print STDERR "Warning: environment variable CARAVEL not defined! Please define it.\n" unless (-d $ENV{'CARAVEL'}."/cells/mag/"); + + print < Date: Thu, 1 Dec 2022 20:23:58 -0500 Subject: [PATCH 448/673] Updated environment variables for newer Caravel --- Tools/perl/divimp.pl | 14 +++++++++++++- 1 file changed, 13 insertions(+), 1 deletion(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index f65d48d8..e7dc1268 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -48,7 +48,18 @@ ($$) sub endgroup($) { - my $CARAVEL=$_[0]; + my $CARAVEL=$_[0]; + + #$ENV{'STD_CELL_LIBRARY'}='sky130_fd_sc_ls'; + $ENV{'STDCELLLIB'}='../'; # /home/philipp/libresilicon/StdCellLib + $ENV{'OPENLANE_ROOT'}=$ENV{'PWD'}."/$CARAVEL/dependencies/openlane_src"; # =$(readlink -f $(pwd)/../openlane ) + #$ENV{'OPENLANE_TAG'}="gfmpw-0c"; + $ENV{'CARAVEL'}=$ENV{'PWD'}."/$CARAVEL"; # =$(pwd) + $ENV{'CARAVEL_ROOT'}=$ENV{'PWD'}."/$CARAVEL/caravel"; + $ENV{'PDK_ROOT'}=$ENV{'PWD'}."/$CARAVEL/dependencies/pdks"; # =$(readlink -f $(pwd)/../pdk ) + $ENV{'PDK'}="gf180mcuC"; + #$ENV{'PATH'}.=#export PATH=$PATH:$(readlink -f $(pwd)../openlane_summary/ ) + mkdir "$CARAVEL/dependencies",0777; chdir "$CARAVEL/cells/lef"; step("fixup_lef $CARAVEL"); system "perl ../../../../Tools/caravel/fixup_lef.pl $magictech"; @@ -77,6 +88,7 @@ ($) system "perl ../Tools/caravel/placement.pl >$CARAVEL/openlane/user_proj_example/macro_placement.cfg"; chdir $CARAVEL; step("make user_proj_example"); + system "make setup"; system "make user_proj_example && make user_project_wrapper"; system "make dist"; chdir ".."; From df2aebd7e53b24af68bfdc41206f9f2b17989cf3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 2 Dec 2022 10:18:31 -0500 Subject: [PATCH 449/673] Configuration generator for caravel config.json files --- Tools/caravel/configgen.pl | 58 ++++++++++++++++++++++++++++++++++++++ 1 file changed, 58 insertions(+) create mode 100644 Tools/caravel/configgen.pl diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl new file mode 100644 index 00000000..ec6b9a0e --- /dev/null +++ b/Tools/caravel/configgen.pl @@ -0,0 +1,58 @@ +#!/usr/bin/perl -w +use strict; + +print < Date: Fri, 2 Dec 2022 10:19:17 -0500 Subject: [PATCH 450/673] Config generator, filename fix --- Tools/perl/divimp.pl | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index e7dc1268..c3afd164 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -60,6 +60,7 @@ ($) $ENV{'PDK'}="gf180mcuC"; #$ENV{'PATH'}.=#export PATH=$PATH:$(readlink -f $(pwd)../openlane_summary/ ) mkdir "$CARAVEL/dependencies",0777; + system "perl ../Tools/caravel/configgen.pl >$CARAVEL/openlane/user_proj_example/config.json"; chdir "$CARAVEL/cells/lef"; step("fixup_lef $CARAVEL"); system "perl ../../../../Tools/caravel/fixup_lef.pl $magictech"; @@ -78,7 +79,7 @@ ($) system "libertymerge -b ../../../libresilicon.libtemplate -o libresilicon.lib -u *.lib"; step("removenl"); system "perl ../../../../Tools/caravel/removenl.pl >new.lib"; - system "mv newlib libresilicon.lib"; + system "mv new.lib libresilicon.lib"; chdir "../../../"; step("generator"); system "perl ../Tools/caravel/generator.pl >$CARAVEL/verilog/rtl/user_proj_example.v"; From 35596efd6e43de2dc932799d915eca1dfd63cf96 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 4 Dec 2022 15:47:29 -0500 Subject: [PATCH 451/673] Expanded LEF and GDS files, since Openlane doesn't seem to be capable for that anymore --- Tools/caravel/configgen.pl | 17 ++++++++++++----- 1 file changed, 12 insertions(+), 5 deletions(-) diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl index ec6b9a0e..98db21eb 100644 --- a/Tools/caravel/configgen.pl +++ b/Tools/caravel/configgen.pl @@ -1,16 +1,23 @@ #!/usr/bin/perl -w use strict; + +my $lefs=join(" ",map { $ENV{'PWD'}."/".$_ } ); +my $gds=join(" ",map { $ENV{'PWD'}."/".$_ } ); +my $libs=join(" ",map { $ENV{'PWD'}."/".$_ } ); +my $verilog=$ENV{'PWD'}."/verilog/rtl/user_proj_cells.v"; +# "EXTRA_LIBS": ["dir::../../cells/lib/libres*.lib"], + print < Date: Sun, 4 Dec 2022 15:56:07 -0500 Subject: [PATCH 452/673] Adding environment file for debugging Running the config generator from the correct directory Automatically adding and commiting files --- Tools/perl/divimp.pl | 18 ++++++++++++++++-- 1 file changed, 16 insertions(+), 2 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index c3afd164..4b8e95c9 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -59,9 +59,21 @@ ($) $ENV{'PDK_ROOT'}=$ENV{'PWD'}."/$CARAVEL/dependencies/pdks"; # =$(readlink -f $(pwd)/../pdk ) $ENV{'PDK'}="gf180mcuC"; #$ENV{'PATH'}.=#export PATH=$PATH:$(readlink -f $(pwd)../openlane_summary/ ) + print "Writing Environment file for easy debugging, just \"source env.sh\" when you need it:\n"; + open OUT,">$CARAVEL/env.sh"; + foreach(qw(STDCELLLIB OPENLANE_ROOT CARAVEL CARAVEL_ROOT PDK_ROOT PDK)) + { + print OUT "export $_=\"".$ENV{$_}."\"\n"; + } + close OUT; + mkdir "$CARAVEL/dependencies",0777; - system "perl ../Tools/caravel/configgen.pl >$CARAVEL/openlane/user_proj_example/config.json"; - chdir "$CARAVEL/cells/lef"; + chdir "$CARAVEL"; + system "perl ../../Tools/caravel/configgen.pl >openlane/user_proj_example/config.json"; + + + + chdir "cells/lef"; step("fixup_lef $CARAVEL"); system "perl ../../../../Tools/caravel/fixup_lef.pl $magictech"; chdir "../../../"; @@ -92,6 +104,8 @@ ($) system "make setup"; system "make user_proj_example && make user_project_wrapper"; system "make dist"; + system "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/*"; + system "git commit -m \"Automatically generated files\""; chdir ".."; } From 1ac8a01e624b5f1ffd94a55abcfa186c586d60b6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 10:44:20 -0500 Subject: [PATCH 453/673] GPIO definitions --- Tools/caravel/configgen.pl | 1 + Tools/perl/divimp.pl | 2 ++ 2 files changed, 3 insertions(+) diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl index 98db21eb..da477dcd 100644 --- a/Tools/caravel/configgen.pl +++ b/Tools/caravel/configgen.pl @@ -16,6 +16,7 @@ "EXTRA_LEFS": ["$lefs"], "EXTRA_GDS_FILES": ["$gds"], "VERILOG_FILES_BLACKBOX": ["$verilog"], + "PLACE_SITE": "GF018hv5v_green_sc9", "GPL_CELL_PADDING": 0, "SYNTH_READ_BLACKBOX_LIB": 0, "CLOCK_TREE_SYNTH": 0, diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 4b8e95c9..625714af 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -70,6 +70,8 @@ ($) mkdir "$CARAVEL/dependencies",0777; chdir "$CARAVEL"; system "perl ../../Tools/caravel/configgen.pl >openlane/user_proj_example/config.json"; + system "perl ../../Tools/caravel/iogenerator.pl >verilog/rtl/user_defines.v"; + From 11b339fe5b172e0080a3f8a01ac7da951b5688f3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 10:44:33 -0500 Subject: [PATCH 454/673] GPIO definitions --- Tools/caravel/iogenerator.pl | 119 +++++++++++++++++++++++++++++++++++ 1 file changed, 119 insertions(+) create mode 100755 Tools/caravel/iogenerator.pl diff --git a/Tools/caravel/iogenerator.pl b/Tools/caravel/iogenerator.pl new file mode 100755 index 00000000..3f4332df --- /dev/null +++ b/Tools/caravel/iogenerator.pl @@ -0,0 +1,119 @@ +#!/usr/bin/perl -w + +my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; + +print STDERR "Warning: environment variable CARAVEL not defined! Please define it.\n" unless (-d $ENV{'CARAVEL'}."/cells/mag/"); + + +print <) +{ + next if((-s $mag)<=50); + #print `ls -la $mag`; + my $cell=$mag; $cell=~s/\.mag$/.cell/; $cell=~s/\/mag\//\/cell\//; + my $lib=$mag; $lib=~s/\.mag$/.lib/; $lib=~s/\/mag\//\/lib\//; + + my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/); + print STDERR "$mag $cell $lib\n"; + next unless(-f $cell); + #next unless(-f $lib); + #next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); + + open CELL,"<$cell"; + + while() + { + if(m/^\.inputs (.*)/) + { + foreach my $inp(sort split " ",$1) + { + my $io=$nextio++; + if($io<$MPRJ_IO_PADS) + { + $setting{$io}="GPIO_MODE_MGMT_STD_INPUT_PULLDOWN"; + } + else + { + # Logic Analyzer does not need IO pins to be configured + } + } + } + if(m/^\.outputs (.*)/) + { + foreach my $outp(sort split " ",$1) + { + my $io=$nextio++; + if($io<$MPRJ_IO_PADS) + { + $setting{$io}="GPIO_MODE_MGMT_STD_OUTPUT"; + } + else + { + # Logic Analyzer... + } + } + } + + } + close CELL; +} + +foreach(5 .. 37) +{ + print "`define USER_CONFIG_GPIO_$_"."_INIT `".($setting{$_}||"GPIO_MODE_MGMT_STD_INPUT_NOPULL")."\n"; +} + +print "`endif // __USER_DEFINES_H\n"; From f48abebe9ab89bbf3c4143ba6166399b1253e4fe Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 11:00:35 -0500 Subject: [PATCH 455/673] Fixed User/MGMT GPIO definition --- Tools/caravel/iogenerator.pl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Tools/caravel/iogenerator.pl b/Tools/caravel/iogenerator.pl index 3f4332df..5faa9b8f 100755 --- a/Tools/caravel/iogenerator.pl +++ b/Tools/caravel/iogenerator.pl @@ -83,7 +83,7 @@ my $io=$nextio++; if($io<$MPRJ_IO_PADS) { - $setting{$io}="GPIO_MODE_MGMT_STD_INPUT_PULLDOWN"; + $setting{$io}="GPIO_MODE_USER_STD_INPUT_PULLDOWN"; } else { @@ -98,7 +98,7 @@ my $io=$nextio++; if($io<$MPRJ_IO_PADS) { - $setting{$io}="GPIO_MODE_MGMT_STD_OUTPUT"; + $setting{$io}="GPIO_MODE_USER_STD_OUTPUT"; } else { @@ -113,7 +113,7 @@ foreach(5 .. 37) { - print "`define USER_CONFIG_GPIO_$_"."_INIT `".($setting{$_}||"GPIO_MODE_MGMT_STD_INPUT_NOPULL")."\n"; + print "`define USER_CONFIG_GPIO_$_"."_INIT `".($setting{$_}||"GPIO_MODE_USER_STD_INPUT_NOPULL")."\n"; } print "`endif // __USER_DEFINES_H\n"; From 14e79834057d3f15ccae8820baf8ddd10191ca49 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 11:52:54 -0500 Subject: [PATCH 456/673] New directory structure --- Tools/caravel/generator.pl | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/Tools/caravel/generator.pl b/Tools/caravel/generator.pl index b2f13244..a6d999b2 100755 --- a/Tools/caravel/generator.pl +++ b/Tools/caravel/generator.pl @@ -68,17 +68,17 @@ our $conf=""; my $MPRJ_IO_PADS=38; -foreach my $mag(<$STDCELLLIB/Catalog/*.mag>) +foreach my $mag() { next if((-s $mag)<=50); #print `ls -la $mag`; - my $cell=$mag; $cell=~s/\.mag$/.cell/; - my $lib=$mag; $lib=~s/\.mag$/.lib/; + my $cell=$mag; $cell=~s/\.mag$/.cell/; $cell=~s/\/mag\//\/cell\//; + my $lib=$mag; $lib=~s/\.mag$/.lib/; $lib=~s/\/mag\//\/lib\//; my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/); next unless(-f $cell); - next unless(-f $lib); - next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); + #next unless(-f $lib); + #next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); open CELL,"<$cell"; print "$name $name(\n"; From 47d8b873990272f7d20a3e5e78020cc60f90a98c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 11:56:36 -0500 Subject: [PATCH 457/673] New cells directory layout --- Tools/caravel/cells.pl | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/Tools/caravel/cells.pl b/Tools/caravel/cells.pl index 70b8fa97..8a5894af 100755 --- a/Tools/caravel/cells.pl +++ b/Tools/caravel/cells.pl @@ -20,16 +20,16 @@ our $nextla=0; our $nextio=0; -foreach my $mag(sort <$STDCELLLIB/Catalog/*.mag>) +foreach my $mag(sort ) { next if((-s $mag)<=50); #print `ls -la $mag`; - my $cell=$mag; $cell=~s/\.mag$/.cell/; - my $lib=$mag; $lib=~s/\.mag$/.lib/; + my $cell=$mag; $cell=~s/\.mag$/.cell/; $cell=~s/\/mag\//\/cell\//; + my $lib=$mag; $lib=~s/\.mag$/.lib/; $lib=~s/\/mag\//\/lib\//; my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/); next unless(-f $cell); - next unless(-f $lib); - next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); + #next unless(-f $lib); + #next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); open CELL,"<$cell"; print "module $name(\n"; From 8f80ebbf170af2b748d77c070555804b1994ec2b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 23:40:18 -0500 Subject: [PATCH 458/673] Scaling tool --- Tools/caravel/scale10.py | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100644 Tools/caravel/scale10.py diff --git a/Tools/caravel/scale10.py b/Tools/caravel/scale10.py new file mode 100644 index 00000000..75dab9d6 --- /dev/null +++ b/Tools/caravel/scale10.py @@ -0,0 +1,9 @@ +import glob +import gdsfactory as gf + + +for a in glob.glob("*.gds"): + print(a) + b=gf.read.import_gds(a,read_metadata=True) + b.write_gds(a,unit=1e-07) + From 0c9a9592cd84db337fe73f4fdd2862c8fb2fd327 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 23:40:46 -0500 Subject: [PATCH 459/673] Corrected standard cell library --- Tools/caravel/configgen.pl | 2 ++ 1 file changed, 2 insertions(+) diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl index da477dcd..3bb474f6 100644 --- a/Tools/caravel/configgen.pl +++ b/Tools/caravel/configgen.pl @@ -17,7 +17,9 @@ "EXTRA_GDS_FILES": ["$gds"], "VERILOG_FILES_BLACKBOX": ["$verilog"], "PLACE_SITE": "GF018hv5v_green_sc9", + "STD_CELL_LIBRARY_OPT": "gf180mcu_fd_sc_mcu9t5v0", "GPL_CELL_PADDING": 0, + "DPL_CELL_PADDING": 4, "SYNTH_READ_BLACKBOX_LIB": 0, "CLOCK_TREE_SYNTH": 0, "DESIGN_IS_CORE": 0, From 775bdc94c3a5f99649083be141fb6c7f46458615 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 23:41:13 -0500 Subject: [PATCH 460/673] New cells directory structure --- Tools/caravel/placement.pl | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Tools/caravel/placement.pl b/Tools/caravel/placement.pl index fa64fb44..41f8f93e 100755 --- a/Tools/caravel/placement.pl +++ b/Tools/caravel/placement.pl @@ -14,14 +14,14 @@ my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; -foreach my $mag(sort <$STDCELLLIB/Catalog/*.mag>) +foreach my $mag(sort ) { next if((-s $mag)<=50); #print `ls -la $mag`; - my $cell=$mag; $cell=~s/\.mag$/.cell/; + my $cell=$mag; $cell=~s/\.mag$/.cell/; $cell=~s/\/mag\//\/cell\//; next unless(-f $cell); - my $lib=$mag; $lib=~s/\.mag$/.lib/; - next unless(-f $lib); + my $lib=$mag; $lib=~s/\.mag$/.lib/; $lib=~s/\/mag\//\/lib\//; + #next unless(-f $lib); my $name=""; $name=$1 if($mag=~m/([\w\-\.]+)\.mag$/); next unless(-f $ENV{'CARAVEL'}."/cells/mag/$name.mag"); From 2d58978840262f6edf2535d73a90fd76a434b3b4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 5 Dec 2022 23:41:41 -0500 Subject: [PATCH 461/673] Added .dontuse support for standard cells --- Tools/perl/divimp.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 625714af..4ea6a6be 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -124,6 +124,7 @@ ($) $seen{$cell}=1; my $thisios=0; my $cn=$cell; $cn=~s/\.cell$//; + next if(-f "cn.dontuse"); if(-f "outputlib/$cn.gds") { open IN,"<$cell"; From fac56517be09909fc1ffecace29b1270477e5670 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 8 Dec 2022 11:38:05 -0500 Subject: [PATCH 462/673] Change lclayout call to only use the spice file for that cell --- Tools/perl/librecells.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index f0f3c60f..4fdf669c 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -77,7 +77,7 @@ foreach my $deb(1,0) # We dont want to overwrite the good output files with debug output files { next if($deb && !$debug); - my $cmd="$usage lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.log 2>>$cellname.err"; + my $cmd="$usage lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $cellname.sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.log 2>>$cellname.err"; print "$cmd\n"; system $cmd; } From 83f3c4a61f44c9fdcc00c1e037e933fe9bf78df0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 8 Dec 2022 14:51:42 -0500 Subject: [PATCH 463/673] Power Pins for GF180 --- Tools/caravel/cells.pl | 16 ++++++++++++++-- 1 file changed, 14 insertions(+), 2 deletions(-) diff --git a/Tools/caravel/cells.pl b/Tools/caravel/cells.pl index 8a5894af..216280c3 100755 --- a/Tools/caravel/cells.pl +++ b/Tools/caravel/cells.pl @@ -56,8 +56,20 @@ } close CELL; print " \`ifdef USE_POWER_PINS\n"; - print " inout VPWR, // cell power supply\n"; - print " inout VGND // cell ground supply\n"; + if($ENV{'PDK'}=~m/^gf180mcu/i) + { + print " inout vdd, // cell power supply\n"; + print " inout vss // cell ground supply\n"; + } + elsif($ENV{'PDK'}=~m/^sky130/i) + { + print " inout VPWR, // cell power supply\n"; + print " inout VGND // cell ground supply\n"; + } + else + { + print STDERR "WARNING: Environment variable \$PDK is not defined, therefore we cannot guess the names of the power pins!\n"; + } print " \`endif\n"; print ");\n"; print "endmodule\n\n"; From d76abf2b31f758fe46d8635b8f8920cb9f361c1f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 8 Dec 2022 14:57:01 -0500 Subject: [PATCH 464/673] Power pins update for GF180 --- Tools/caravel/cells.pl | 4 +++- Tools/caravel/generator.pl | 27 +++++++++++++++++++++++++++ 2 files changed, 30 insertions(+), 1 deletion(-) diff --git a/Tools/caravel/cells.pl b/Tools/caravel/cells.pl index 216280c3..60141a12 100755 --- a/Tools/caravel/cells.pl +++ b/Tools/caravel/cells.pl @@ -68,7 +68,9 @@ } else { - print STDERR "WARNING: Environment variable \$PDK is not defined, therefore we cannot guess the names of the power pins!\n"; + print STDERR "WARNING: Environment variable \$PDK is not defined, therefore we can only guess the names of the power pins to be vdd/vss!\n"; + print " inout vdd, // cell power supply\n"; + print " inout vss // cell ground supply\n"; } print " \`endif\n"; print ");\n"; diff --git a/Tools/caravel/generator.pl b/Tools/caravel/generator.pl index a6d999b2..fb0975ce 100755 --- a/Tools/caravel/generator.pl +++ b/Tools/caravel/generator.pl @@ -19,6 +19,12 @@ parameter BITS = 32 )( `ifdef USE_POWER_PINS +EOF +; + +if($ENV{'PDK'}=~m/^sky130/i) +{ + print < Date: Thu, 8 Dec 2022 14:57:39 -0500 Subject: [PATCH 465/673] Made the tools executable --- Tools/caravel/configgen.pl | 0 Tools/caravel/scale10.py | 0 2 files changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 Tools/caravel/configgen.pl mode change 100644 => 100755 Tools/caravel/scale10.py diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl old mode 100644 new mode 100755 diff --git a/Tools/caravel/scale10.py b/Tools/caravel/scale10.py old mode 100644 new mode 100755 From 9d4c427aa58671bef0cbdd45691a058be84c91ba Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 10 Dec 2022 23:42:45 -0500 Subject: [PATCH 466/673] Fixed wrong variable name --- Tools/perl/divimp.pl | 41 +++++++++++++++++++++++++++++++++++++++-- 1 file changed, 39 insertions(+), 2 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 4ea6a6be..8e78499f 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -10,6 +10,7 @@ our @repos=(); my $magictech="gf180mcuC"; +my $branch="gfmpw-0d"; sub step($) { @@ -20,8 +21,11 @@ ($) sub nextgroup($) { $CARAVEL="gf180_stdcelllib_$_[0]"; - system "git clone git\@github.com:$githubuser/$CARAVEL.git" unless(-d $CARAVEL); - return(undef) unless(-d $CARAVEL); + unless(-d $CARAVEL) + { + system "git clone git\@github.com:efabless/caravel_user_project.git -b $branch $CARAVEL"; + return(undef) unless(-d $CARAVEL); + } push @repos,$CARAVEL; return $CARAVEL; } @@ -67,8 +71,41 @@ ($) } close OUT; + + my $pdk=$ENV{'PDK'}; + my $foundry=($pdk=~m/^sky/)?"SkyWater":($pdk=~m/^gf/)?"GlobalFoundries":($pdk=~m/^ls/)?"LibreSilicon":($pdk=~m/^tsmc/i)?"TSMC":"Unknown foundry"; + open OUT,">$CARAVEL/info.yaml"; + print OUT <openlane/user_proj_example/config.json"; system "perl ../../Tools/caravel/iogenerator.pl >verilog/rtl/user_defines.v"; From d073d618aa227696342031ee90e663380f4c7754 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 12 Dec 2022 10:03:05 -0500 Subject: [PATCH 467/673] Added a maximum limit for designs --- Tools/perl/divimp.pl | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 8e78499f..0e610c21 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -1,6 +1,7 @@ #!/usr/bin/perl -w my $maxios=38+128-2; +my $maxdesigns=5; my $usedios=0; my $totalios=0; my $group=1; @@ -11,6 +12,7 @@ my $magictech="gf180mcuC"; my $branch="gfmpw-0d"; +our $ngroups=0; sub step($) { @@ -21,12 +23,18 @@ ($) sub nextgroup($) { $CARAVEL="gf180_stdcelllib_$_[0]"; + if($ngroups>=$maxdesigns) + { + print STDERR "Stopping at the defined limit of maximum $maxdesign designs.\n"; + return(undef); + } unless(-d $CARAVEL) { system "git clone git\@github.com:efabless/caravel_user_project.git -b $branch $CARAVEL"; return(undef) unless(-d $CARAVEL); } push @repos,$CARAVEL; + $ngroups++; return $CARAVEL; } From 939247b083aae79ed4640a9c7c82df1a18fa3e90 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 18 Dec 2022 16:03:40 -0500 Subject: [PATCH 468/673] Added automatic rescaling for GDS --- Tools/perl/divimp.pl | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 0e610c21..96e53f59 100644 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -1,7 +1,7 @@ #!/usr/bin/perl -w my $maxios=38+128-2; -my $maxdesigns=5; +my $maxdesigns=2; my $usedios=0; my $totalios=0; my $group=1; @@ -25,7 +25,7 @@ ($) $CARAVEL="gf180_stdcelllib_$_[0]"; if($ngroups>=$maxdesigns) { - print STDERR "Stopping at the defined limit of maximum $maxdesign designs.\n"; + print STDERR "Stopping at the defined limit of maximum $maxdesigns designs.\n"; return(undef); } unless(-d $CARAVEL) @@ -132,6 +132,11 @@ ($) step("fixup_sp $CARAVEL"); system "perl ../../../../Tools/caravel/fixup_sp.pl $magictech"; chdir "../../../"; + chdir "$CARAVEL/cells/gds"; + step("fixup_gds $CARAVEL"); + system "python3 ../../../../Tools/caravel/scale10.py"; + chdir "../../../"; + chdir "$CARAVEL/cells/lib"; step("libertymerge"); From 48dce4df96ca9050ac3ba422c30b56f2863912bd Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 18 Dec 2022 16:04:15 -0500 Subject: [PATCH 469/673] Added GF180 and Sky130 support for the Caravel IO --- Tools/caravel/iogenerator.pl | 24 +++++++++++++++++++++++- 1 file changed, 23 insertions(+), 1 deletion(-) diff --git a/Tools/caravel/iogenerator.pl b/Tools/caravel/iogenerator.pl index 5faa9b8f..fa62cb42 100755 --- a/Tools/caravel/iogenerator.pl +++ b/Tools/caravel/iogenerator.pl @@ -33,6 +33,28 @@ // Authoritive source of these MODE defs is: caravel/verilog/rtl/user_defines.v // Useful GPIO mode values. These match the names used in defs.h. // +EOF +; +if($ENV{'PDK'}=~m/^gf/) +{ + print < Date: Sun, 18 Dec 2022 16:05:02 -0500 Subject: [PATCH 470/673] Added counters for *.cell and *.svg files --- Tools/perl/buildreport.pl | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index ab1dba94..e6fb309b 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -89,6 +89,8 @@ my $maxmem=0; my $maxtime=0; +my $ncells=0; +my $nsvgs=0; foreach my $file (<*.cell>) { @@ -128,6 +130,8 @@ $drccount=$1 if(m/Number of DRC errors: (\d+)/); } } + $ncells++ if(-f $file); + $nsvgs++ if(-f $svgfile); print OUT "$b1$file$b2"; print OUT "".(-f $file ? "":"X").""; @@ -214,7 +218,7 @@ } print OUT ""; -print OUT "Stats: Max memory per cell: ".int($maxmem/1024)."MB , Max time per cell: ".sprintf("%d:%02d:%02d",int($maxtime/60/60),int(($maxtime%3600)/60), $maxtime %60)."

"; +print OUT "Stats: Max memory per cell: ".int($maxmem/1024)."MB , Max time per cell: ".sprintf("%d:%02d:%02d",int($maxtime/60/60),int(($maxtime%3600)/60), $maxtime %60)." Cells: $ncells SVGs: $nsvgs

"; print OUT "If you want to build your own standard cell library, you can try our Online Standard Cell Library Generator or download the generator software and run it yourself.
\n"; From d6723e15aa0a84a762ade42d213c6d289562571d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 18 Dec 2022 21:32:00 -0500 Subject: [PATCH 471/673] Adding a new dummy characterization engine to please yosys --- Tools/perl/dummychar.pl | 115 ++++++++++++++++++++++++++++++++++++++++ 1 file changed, 115 insertions(+) create mode 100755 Tools/perl/dummychar.pl diff --git a/Tools/perl/dummychar.pl b/Tools/perl/dummychar.pl new file mode 100755 index 00000000..163608a4 --- /dev/null +++ b/Tools/perl/dummychar.pl @@ -0,0 +1,115 @@ +#!/usr/bin/perl -w +use strict; + +my $pdk=$ENV{'PDK'}; + +print <; +foreach my $cell(@cells) +{ + $cell=~s/\.cell$//; + my $area=123456; + my @inputs=(); + my @outputs=(); + + open IN,"<$cell.cell"; + $/="\n"; + foreach my $line() + { + @inputs=split(" ",$1) if($line=~m/^\.inputs (\w.*)/i); + @outputs=split(" ",$1) if($line=~m/^\.outputs (\w.*)/i) + } + close IN; + open IN,"<$cell.truthtable.v"; + undef $/; + my %funcs=(); + while() + { + if(m/(\w+) = ((.*))$/) + { + $funcs{$1}=" function: \"".$2."\";"; + } + } + close IN; + + print < Date: Sun, 18 Dec 2022 21:33:14 -0500 Subject: [PATCH 472/673] Adding README generator, added documentation, ... --- Tools/perl/divimp.pl | 50 +++++++++++++++++++++++++++++++++----------- 1 file changed, 38 insertions(+), 12 deletions(-) mode change 100644 => 100755 Tools/perl/divimp.pl diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl old mode 100644 new mode 100755 index 96e53f59..370e9c6b --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -1,18 +1,20 @@ #!/usr/bin/perl -w -my $maxios=38+128-2; -my $maxdesigns=2; +my $maxios=38+128-2; # How many IOs does one Caravel have? +my $maxdesigns=1; # How many Caravels do you want to use maximum? +our $githubuser="thesourcerer8"; # GitHub Username for the Repository URL +my $magictech="gf180mcuC"; # MAGIC Technology name (.tech filename) +my $branch="gfmpw-0d"; # Git Branch for the Caravel User Project + +our $CARAVEL=""; +our @repos=(); +our %assigned=(); +our $ngroups=0; my $usedios=0; my $totalios=0; my $group=1; -our $githubuser="thesourcerer8"; -our $CARAVEL=""; -our @repos=(); -my $magictech="gf180mcuC"; -my $branch="gfmpw-0d"; -our $ngroups=0; sub step($) { @@ -42,6 +44,7 @@ ($$) { my ($group,$cn)=@_; print "Adding cell $cn to group $group\n"; + $assigned{$group}{$cn}=1; mkdir "$CARAVEL/cells"; mkdir "$CARAVEL/cells/mag"; mkdir "$CARAVEL/cells/lib"; @@ -52,6 +55,7 @@ ($$) mkdir "$CARAVEL/cells/gds"; system "cp $cn.mag $CARAVEL/cells/mag/"; system "cp $cn.lib $CARAVEL/cells/lib/" if(-f "$cn.lib"); + system "perl ../Tools/perl/dummychar.pl $cn >$CARAVEL/cells/lib/$cn.lib" unless(-f "$cn.lib"); system "cp $cn.cell $CARAVEL/cells/cell/"; system "cp $cn.sp $CARAVEL/cells/sp/"; system "cp outputlib/$cn.lef $CARAVEL/cells/lef/orig/"; @@ -111,6 +115,21 @@ ($) ; close OUT; + + open OUT,">$CARAVEL/README.md"; + print OUT <$CARAVEL/verilog/rtl/user_proj_example.v"; + chdir $CARAVEL; + system "perl ../../Tools/caravel/generator.pl >verilog/rtl/user_proj_example.v"; step("cells"); - system "perl ../Tools/caravel/cells.pl >$CARAVEL/verilog/rtl/user_proj_cells.v"; + system "perl ../../Tools/caravel/cells.pl >verilog/rtl/user_proj_cells.v"; step("placement"); - system "perl ../Tools/caravel/placement.pl >$CARAVEL/openlane/user_proj_example/macro_placement.cfg"; - chdir $CARAVEL; + system "perl ../../Tools/caravel/placement.pl >openlane/user_proj_example/macro_placement.cfg"; + step("make user_proj_example"); system "make setup"; system "make user_proj_example && make user_project_wrapper"; system "make dist"; system "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/*"; system "git commit -m \"Automatically generated files\""; + system "git add -u ."; + system "git add gds/*"; + system "git commit -m \"Openlane generated files\""; + system "git remote remove origin"; + system "git remote add origin git\@github.com:$githubuser/$CARAVEL.git"; + system "git push -u origin main"; chdir ".."; } From def439c8f7cf5932b4da58b3c81488de8bf3dd47 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 18 Dec 2022 22:51:14 -0500 Subject: [PATCH 473/673] Libraries added --- Tools/caravel/configgen.pl | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl index 3bb474f6..853344db 100755 --- a/Tools/caravel/configgen.pl +++ b/Tools/caravel/configgen.pl @@ -1,12 +1,13 @@ #!/usr/bin/perl -w use strict; - my $lefs=join(" ",map { $ENV{'PWD'}."/".$_ } ); -my $gds=join(" ",map { $ENV{'PWD'}."/".$_ } ); -my $libs=join(" ",map { $ENV{'PWD'}."/".$_ } ); +my $gds =join(" ",map { $ENV{'PWD'}."/".$_ } ); +my $libs=join(" ",map { $ENV{'PWD'}."/".$_ } ); my $verilog=$ENV{'PWD'}."/verilog/rtl/user_proj_cells.v"; # "EXTRA_LIBS": ["dir::../../cells/lib/libres*.lib"], +# +print STDERR "lefs: $lefs\ngds: $gds\nlibs: $libs\nverilog: $verilog\n"; print < Date: Sun, 18 Dec 2022 22:52:33 -0500 Subject: [PATCH 474/673] Overridable PDK_ROOT --- Tools/perl/divimp.pl | 11 ++++------- 1 file changed, 4 insertions(+), 7 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 370e9c6b..47a3f4a7 100755 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -44,7 +44,7 @@ ($$) { my ($group,$cn)=@_; print "Adding cell $cn to group $group\n"; - $assigned{$group}{$cn}=1; + $assigned{$CARAVEL}{$cn}=1; mkdir "$CARAVEL/cells"; mkdir "$CARAVEL/cells/mag"; mkdir "$CARAVEL/cells/lib"; @@ -72,7 +72,7 @@ ($) #$ENV{'OPENLANE_TAG'}="gfmpw-0c"; $ENV{'CARAVEL'}=$ENV{'PWD'}."/$CARAVEL"; # =$(pwd) $ENV{'CARAVEL_ROOT'}=$ENV{'PWD'}."/$CARAVEL/caravel"; - $ENV{'PDK_ROOT'}=$ENV{'PWD'}."/$CARAVEL/dependencies/pdks"; # =$(readlink -f $(pwd)/../pdk ) + $ENV{'PDK_ROOT'}=$ENV{'PDK_ROOT'} || ($ENV{'PWD'}."/$CARAVEL/dependencies/pdks"); # =$(readlink -f $(pwd)/../pdk ) $ENV{'PDK'}="gf180mcuC"; #$ENV{'PATH'}.=#export PATH=$PATH:$(readlink -f $(pwd)../openlane_summary/ ) print "Writing Environment file for easy debugging, just \"source env.sh\" when you need it:\n"; @@ -132,13 +132,10 @@ ($) mkdir "$CARAVEL/dependencies",0777; chdir "$CARAVEL"; - system "make setup"; system "perl ../../Tools/caravel/configgen.pl >openlane/user_proj_example/config.json"; system "perl ../../Tools/caravel/iogenerator.pl >verilog/rtl/user_defines.v"; - - chdir "cells/lef"; step("fixup_lef $CARAVEL"); system "perl ../../../../Tools/caravel/fixup_lef.pl $magictech"; @@ -176,14 +173,14 @@ ($) system "make setup"; system "make user_proj_example && make user_project_wrapper"; system "make dist"; - system "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/*"; + system "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/* info.yaml"; system "git commit -m \"Automatically generated files\""; system "git add -u ."; system "git add gds/*"; system "git commit -m \"Openlane generated files\""; system "git remote remove origin"; system "git remote add origin git\@github.com:$githubuser/$CARAVEL.git"; - system "git push -u origin main"; + system "echo git push -u origin main"; chdir ".."; } From 84433856d2d1002262d4ed92b46759382f6335a0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 14:08:33 -0500 Subject: [PATCH 475/673] Fixed DRC issues, removed unnecessary layers --- Tech.GF180MCU/librecell_tech.py | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index 3ddb98f3..56338318 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -104,8 +104,8 @@ l_poly_contact: 'polycont', l_pdiff_contact: 'pdiffc', l_ndiff_contact: 'ndiffc', - l_nplus: 'allnactivetap', - l_pplus: 'allpactivetap' + #l_nplus: 'nplus_s', + #l_pplus: 'pplus_s' } @@ -281,8 +281,8 @@ # Syntax: {(outer layer, inner layer): minimum enclosure, ...} minimum_enclosure = { # Via enclosure - (l_ndiffusion, l_ndiff_contact): 60*nm, # (licon.5a) - (l_pdiffusion, l_pdiff_contact): 60*nm, # (licon.5a) + (l_ndiffusion, l_ndiff_contact): 65*nm, # (CO.4) + (l_pdiffusion, l_pdiff_contact): 65*nm, # (CO.4) (l_poly, l_poly_contact): 80*nm, # (licon.8a) !!! OR (licon.4) ? (l_metal1, l_pdiff_contact): 80*nm, # (li.5) (l_metal1, l_ndiff_contact): 80*nm, # (li.5) @@ -291,8 +291,8 @@ (l_metal2, l_via1): 60*nm, # Vn.4 # l_*well must overlap l_*diffusion -# (l_nwell, l_pdiffusion): 180*nm+130*nm, # (difftap.8) # This causes notches, I am trying to get rid of them - (l_pwell, l_ndiffusion): 180*nm, # (difftap.8) + (l_nwell, l_pdiffusion): 430*nm, # (DF.7) # This causes notches, I am trying to get rid of them + (l_pwell, l_ndiffusion): 430*nm, # (DF.7) (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment (l_abutment_box, l_pwell): 0, (l_nplus, l_ndiff_contact): 230*nm, # NP.5a Implicitly encodes the size of well taps. From ace35fc438cb65c2854997d0b6ec71d87cb79089 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 14:09:28 -0500 Subject: [PATCH 476/673] Environment variable support added Verbosity for git commands TCL Config generator --- Tools/perl/divimp.pl | 104 +++++++++++++++++++++++++++++++++++++------ 1 file changed, 91 insertions(+), 13 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 47a3f4a7..bc6cb6cc 100755 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -16,6 +16,22 @@ +open IN,"<../Tech/env.sh"; +while() +{ + if(m/^export (\w+)="([^"]+)"/) + { + $ENV{$1}=$2; + } +} +close IN; + +sub system_v($) +{ + print "$_[0]\n"; + return system($_[0]); +} + sub step($) { print "$_[0]\n"; @@ -32,7 +48,7 @@ ($) } unless(-d $CARAVEL) { - system "git clone git\@github.com:efabless/caravel_user_project.git -b $branch $CARAVEL"; + system_v "git clone git\@github.com:efabless/caravel_user_project.git -b $branch $CARAVEL"; return(undef) unless(-d $CARAVEL); } push @repos,$CARAVEL; @@ -55,7 +71,7 @@ ($$) mkdir "$CARAVEL/cells/gds"; system "cp $cn.mag $CARAVEL/cells/mag/"; system "cp $cn.lib $CARAVEL/cells/lib/" if(-f "$cn.lib"); - system "perl ../Tools/perl/dummychar.pl $cn >$CARAVEL/cells/lib/$cn.lib" unless(-f "$cn.lib"); + system_v "perl ../Tools/perl/dummychar.pl $cn >$CARAVEL/cells/lib/$cn.lib" unless(-f "$cn.lib"); system "cp $cn.cell $CARAVEL/cells/cell/"; system "cp $cn.sp $CARAVEL/cells/sp/"; system "cp outputlib/$cn.lef $CARAVEL/cells/lef/orig/"; @@ -132,8 +148,70 @@ ($) mkdir "$CARAVEL/dependencies",0777; chdir "$CARAVEL"; - system "perl ../../Tools/caravel/configgen.pl >openlane/user_proj_example/config.json"; - system "perl ../../Tools/caravel/iogenerator.pl >verilog/rtl/user_defines.v"; + system_v "perl ../../Tools/caravel/configgen.pl >openlane/user_proj_example/config.json"; + + +open OUT,">openlane/user_proj_example/config.tcl"; +print OUT <verilog/rtl/user_defines.v"; chdir "cells/lef"; @@ -156,7 +234,7 @@ ($) chdir "$CARAVEL/cells/lib"; step("libertymerge"); - system "libertymerge -b ../../../libresilicon.libtemplate -o libresilicon.lib -u *.lib"; + system_v "libertymerge -b ../../../libresilicon.libtemplate -o libresilicon.lib -u *.lib"; step("removenl"); system "perl ../../../../Tools/caravel/removenl.pl >new.lib"; system "mv new.lib libresilicon.lib"; @@ -173,14 +251,14 @@ ($) system "make setup"; system "make user_proj_example && make user_project_wrapper"; system "make dist"; - system "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/* info.yaml"; - system "git commit -m \"Automatically generated files\""; - system "git add -u ."; - system "git add gds/*"; - system "git commit -m \"Openlane generated files\""; - system "git remote remove origin"; - system "git remote add origin git\@github.com:$githubuser/$CARAVEL.git"; - system "echo git push -u origin main"; + system_v "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/* info.yaml"; + system_v "git commit -m \"Automatically generated files\""; + system_v "git add -u ."; + system_v "git add gds/*"; + system_v "git commit -m \"Openlane generated files\""; + system_v "git remote remove origin"; + system_v "git remote add origin git\@github.com:$githubuser/$CARAVEL.git"; + system_v "echo git push -u origin main"; chdir ".."; } From 082b0315c069ed92440142be36adf487abf87db8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 14:10:46 -0500 Subject: [PATCH 477/673] Warning and explanation for changed GDS files added --- Tools/perl/librecells.pl | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 4fdf669c..7a6db129 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -175,6 +175,7 @@ step("DRC Fixing done."); step("NEXT STEP: mag2gds"); + print "The outputlib/$cellname.gds is being overwritten by the GDS file converted by Magic from the .mag file, to propagate the DRC corrections to GDS.\n"; unlink "outputlib/$cellname.gds"; unlink "$cellname.gds"; open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; @@ -186,7 +187,7 @@ close OUT; rename "$cellname.gds","outputlib/$cellname.gds"; - step("NEXT STEP: magic2"); + step("NEXT STEP: magic extraction"); open OUT,"|$usage magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; print OUT < Date: Tue, 20 Dec 2022 14:12:01 -0500 Subject: [PATCH 478/673] Adding wildcards back in --- Tools/caravel/configgen.pl | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl index 853344db..69e0f2db 100755 --- a/Tools/caravel/configgen.pl +++ b/Tools/caravel/configgen.pl @@ -12,17 +12,18 @@ print < Date: Tue, 20 Dec 2022 14:12:34 -0500 Subject: [PATCH 479/673] Including the project cells, somehow the VERILOG files dont seem to be loaded --- Tools/caravel/generator.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/caravel/generator.pl b/Tools/caravel/generator.pl index fb0975ce..313ae47b 100755 --- a/Tools/caravel/generator.pl +++ b/Tools/caravel/generator.pl @@ -7,6 +7,7 @@ print < Date: Tue, 20 Dec 2022 14:13:12 -0500 Subject: [PATCH 480/673] Input/Output confusion --- Tools/caravel/testgen.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/caravel/testgen.pl b/Tools/caravel/testgen.pl index 2af77d51..8bd6f867 100755 --- a/Tools/caravel/testgen.pl +++ b/Tools/caravel/testgen.pl @@ -18,7 +18,7 @@ print " printf(\"Initializing the Inputs of the cell:\\n\");\n"; foreach(@ins) { - print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_OUTPUT; // $_\n"; + print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_INPUT; // $_\n"; $io[$reg>>5]|=1<<($reg&31); $reg++; } From a909cb057b9c233c02afd913a1ee4c8a5449567a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 14:18:53 -0500 Subject: [PATCH 481/673] New config file with environment parameters for Caravel --- Tech.GF180MCU/caravel-env.sh | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100644 Tech.GF180MCU/caravel-env.sh diff --git a/Tech.GF180MCU/caravel-env.sh b/Tech.GF180MCU/caravel-env.sh new file mode 100644 index 00000000..eb40e9ca --- /dev/null +++ b/Tech.GF180MCU/caravel-env.sh @@ -0,0 +1,9 @@ +export STDCELLLIB="../" +#e#xport OPENLANE_ROOT="/home/philipp/libresilicon/StdCellLib/Catalog/gf180_stdcelllib_1/dependencies/openlane_src" +#e#xport CARAVEL="/home/philipp/libresilicon/StdCellLib/Catalog/gf180_stdcelllib_1" +#e#xport CARAVEL_ROOT="/home/philipp/libresilicon/StdCellLib/Catalog/gf180_stdcelllib_1/caravel" +export PDK_ROOT="/home/philipp/libresilicon/volare-pdks" +export PDK="gf180mcuC" +export STD_CELL_LIBRARY="gf180mcu_fd_sc_mcu9t5v0" +export STD_CELL_LIBRARY_OPT="gf180mcu_fd_sc_mcu9t5v0" +export CARAVEL_BRANCH="gfmpw-0d" From 48b2953d79f5f7d324025a5235c38be78135bb09 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 14:19:42 -0500 Subject: [PATCH 482/673] Changed Caravel Config Filename --- Tools/perl/divimp.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index bc6cb6cc..81f9f8d2 100755 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -16,7 +16,7 @@ -open IN,"<../Tech/env.sh"; +open IN,"<../Tech/caravel-env.sh"; while() { if(m/^export (\w+)="([^"]+)"/) From fc7a665cc080d5a0979078a3438e345549f2c6be Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 15:15:42 -0500 Subject: [PATCH 483/673] Adding Inverter cell --- Catalog/popcorn/INV.cell | 22 ++++++++++++++++++++++ 1 file changed, 22 insertions(+) create mode 100644 Catalog/popcorn/INV.cell diff --git a/Catalog/popcorn/INV.cell b/Catalog/popcorn/INV.cell new file mode 100644 index 00000000..f862f6f5 --- /dev/null +++ b/Catalog/popcorn/INV.cell @@ -0,0 +1,22 @@ +Not (or Inverter) gate +.cell INV +.inputs A +.outputs Y +# ^ Vdd +# | +# | +-' +# A --o| | g +# | +-. +# | +# | +# *---- Y +# | +# | +# | +-' +# A ---| | 1 +# | +-. +# | +# _|_ Gnd +pmos A Y vdd vdd g 1 1 +1 +nmos A Y gnd gnd 1 1 1 -1 +.end From ec2531609ac58025e8bf7a2ea52f673c830cdcc4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 16:11:30 -0500 Subject: [PATCH 484/673] Removed old Sky130 file --- Catalog/librecell.lyp | 259 ------------------------------------------ 1 file changed, 259 deletions(-) delete mode 100644 Catalog/librecell.lyp diff --git a/Catalog/librecell.lyp b/Catalog/librecell.lyp deleted file mode 100644 index 257511af..00000000 --- a/Catalog/librecell.lyp +++ /dev/null @@ -1,259 +0,0 @@ - - - - #ff80a8 - #ff80a8 - 0 - 0 - I9 - - true - true - false - - false - false - 0 - active - 1/0@1 - - - #c080ff - #c080ff - 0 - 0 - I5 - - true - true - false - - false - false - 0 - nwell - 2/0@1 - - - #c080ff - #c080ff - 0 - 0 - I9 - - true - true - false - - false - false - 0 - pwell - 2/7@1 - - - #9580ff - #9580ff - 0 - 0 - I5 - - true - true - false - - false - false - 0 - poly - 3/0@1 - - - #8086ff - #8086ff - 0 - 0 - I9 - - true - true - false - - false - false - 0 - polycontact - 4/200@1 - - - #ff0000 - #ff0000 - 0 - 0 - I5 - - true - true - false - - false - false - 0 - metal1 - 6/0@1 - - - #ff0080 - #ff0080 - 0 - 0 - I9 - - true - true - false - - false - false - 0 - via1 - 7/200@1 - - - #ff00ff - #ff00ff - 0 - 0 - I5 - - true - true - false - - false - false - 0 - metal2 - 8/0@1 - - - #afff80 - #afff80 - 0 - 0 - I9 - - true - true - false - - false - false - 0 - abutment - 200/0@1 - - - #c080ff - #c080ff - 0 - 0 - I5 - - true - true - false - 1 - false - false - 0 - nwell2 - 2/1@1 - - - #9580ff - #9580ff - 0 - 0 - I9 - - true - true - false - 1 - false - false - 0 - poly_debug - 3/200@1 - - - #8086ff - #8086ff - 0 - 0 - I5 - - true - true - false - 1 - false - false - 0 - poly_conact - 4/0@1 - - - #80a8ff - #80a8ff - 0 - 0 - I9 - - true - true - false - 1 - false - false - 0 - diff_contact - 5/0@1 - - - #ff0080 - #ff0080 - 0 - 0 - I5 - - true - true - false - 1 - false - false - 0 - via1 - 7/0@1 - - - #8000ff - #8000ff - 0 - 0 - I9 - - true - true - false - 1 - false - false - 0 - - 9/200@1 - - - From 95239a41a113da98dfc710fe7672091f4df77c29 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 16:39:16 -0500 Subject: [PATCH 485/673] Added new file types to cleaning --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index ec6ed59e..cb0c434a 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -96,7 +96,7 @@ clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) $(CELLS) $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc + $(RM) *.usage *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc *.done # ---------------------------------------------------------------- # CELL TARGETS From 5c07c02d8420d4a76b8e650f64dbdbf0a78345b1 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 20 Dec 2022 17:25:38 -0500 Subject: [PATCH 486/673] Scaling correction --- Tech.GF180MCU/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index 56338318..5a6a9106 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -113,7 +113,7 @@ output_writers = [ MagWriter( tech_name='gf180mcuC', - scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). + scale_factor=0.02, # Scale all coordinates by this factor (rounded down to next integer). output_map=output_map_magic ), From 9b08195963a862cdbde9f0bcff1bd3315212a42a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Dec 2022 21:33:16 -0500 Subject: [PATCH 487/673] Removed Min-Area rule for Metal2 since those are false positives for Standard Cell DRC checks --- Tech.GF180MCU/libresilicon.tech | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.GF180MCU/libresilicon.tech b/Tech.GF180MCU/libresilicon.tech index 59332cd6..821d5891 100644 --- a/Tech.GF180MCU/libresilicon.tech +++ b/Tech.GF180MCU/libresilicon.tech @@ -2404,7 +2404,7 @@ variants * # ORIGINAL RULE: width *m2,rm2 280 "Metal2 width < %d (M2.1)" width *m2,rm2 280 "Metal2 width < %d (M2.1) [paint m2contact,m3contact,metal2,padl,rmetal2]" spacing allm2,obsm2 allm2,obsm2 280 touching_ok "Metal2 spacing < %d (M2.2a) [erase m2contact m3contact metal2 obsm2 padl rmetal2]" - area allm2,obsm2 144400 280 "Metal2 minimum area < %a (M2.3)" + #area allm2,obsm2 144400 280 "Metal2 minimum area < %a (M2.3)" THIS RULE IS DISABLED FOR STANDARD CELLS SINCE THEY PROVIDE THE PADS ON LAYER METAL2 BUT THERE IS NOT ENOUGH METAL THERE TO FULFILL THE RULE variants (fast),(full) widespacing allm2,obsm2 10000 allm2,obsm2 300 touching_ok \ From 3c85b0f53cf3d1d245574617edb926ab93367323 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Dec 2022 23:27:23 -0500 Subject: [PATCH 488/673] Added verbosity --- Tools/perl/drccheck.pl | 2 ++ 1 file changed, 2 insertions(+) diff --git a/Tools/perl/drccheck.pl b/Tools/perl/drccheck.pl index 0d622eb6..eafe0f53 100755 --- a/Tools/perl/drccheck.pl +++ b/Tools/perl/drccheck.pl @@ -24,6 +24,7 @@ set drcresult [lsort -stride 2 -index 0 [drc listall why]] set fout [open \"$outfile\" w] set countall 0 +puts "DRC start, writing to $outfile" foreach {errtype coordlist} \$drcresult { puts \$fout \$errtype puts \$fout "----------------------------------------" @@ -42,6 +43,7 @@ puts \$fout "Number of DRC errors: \$countall" close \$fout +puts "DRC done." quit -noprompt EOF ; From 8dad8133bef39882dabf844fc0db163d3198b42a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Dec 2022 23:27:35 -0500 Subject: [PATCH 489/673] New bisecting tool for finding errors in many changed lines to the tech file --- Tools/perl/bisect.pl | 61 ++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 61 insertions(+) create mode 100644 Tools/perl/bisect.pl diff --git a/Tools/perl/bisect.pl b/Tools/perl/bisect.pl new file mode 100644 index 00000000..685dfbd6 --- /dev/null +++ b/Tools/perl/bisect.pl @@ -0,0 +1,61 @@ +#!/usr/bin/perl -w +use strict; + +my $cellname=$ARGV[0] || "INV"; +my $placer=""; +my $deb=0; + +open IN,"<../Tech/librecell_tech.py.bad"; +my @bad=; +close IN; + +open IN,"<../Tech/librecell_tech.py.good"; +my @good=; +close IN; + +my $ndiffs=0; + +foreach(0 .. scalar(@bad)-1) +{ + $ndiffs++ if($bad[$_] ne $good[$_]); +} + +print "Different lines between librecell_tech.py.good and librecell_tech.py.bad: $ndiffs\n"; + +my $prev=undef; + +my %badlist=(); + +foreach my $variant (0 .. $ndiffs+1) +{ + open OUT,">../Tech/librecell_tech.$variant.py"; + my $counter=0; + foreach(0 .. scalar(@bad)-1) + { + if($bad[$_] ne $good[$_]) + { + $counter++; + print OUT defined($badlist{$counter})?$good[$_]:($counter>$variant)?$good[$_]:$bad[$_]; + } + else + { + print OUT $good[$_]; + } + + } + close OUT; + my $ret=system "lclayout --output-dir debuglib --tech ../Tech/librecell_tech.$variant.py --netlist $cellname.sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.$variant.log 2>>$cellname.$variant.err "; + print "Variant: $variant Return: $ret\n"; + + if($ret != 0) # indicates an error + { + print "Bad Line found: \n"; + system "diff ../Tech/librecell_tech.$variant.py ../Tech/librecell_tech.".($variant-1).".py"; + $badlist{$variant}=1; + } + +} + +print "Activating the best option:\n"; +system "cp -f ../Tech/librecell_tech.".($ndiffs+1).".py ../Tech/librecell_tech.py"; + From 66120bb5eb7f3ceabf053553bcd8eb32b93e8d01 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Dec 2022 23:28:28 -0500 Subject: [PATCH 490/673] Improved variable handling for supporting PDKs Disabled 10x scaling that got fixed in librecells.pl --- Tools/perl/divimp.pl | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 81f9f8d2..3b1aa97b 100755 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -2,10 +2,7 @@ my $maxios=38+128-2; # How many IOs does one Caravel have? my $maxdesigns=1; # How many Caravels do you want to use maximum? -our $githubuser="thesourcerer8"; # GitHub Username for the Repository URL -my $magictech="gf180mcuC"; # MAGIC Technology name (.tech filename) -my $branch="gfmpw-0d"; # Git Branch for the Caravel User Project - +our $githubuser=$ENV{'GITHUB_USER'} || "thesourcerer8"; # GitHub Username for the Repository URL our $CARAVEL=""; our @repos=(); our %assigned=(); @@ -14,18 +11,21 @@ my $totalios=0; my $group=1; - - open IN,"<../Tech/caravel-env.sh"; +print "Loading while() { if(m/^export (\w+)="([^"]+)"/) { $ENV{$1}=$2; + print "Setting Caravel variable $1 to $2\n"; } } close IN; +my $magictech=$ENV{'PDK'} || "gf180mcuC"; # MAGIC Technology name (.tech filename) +my $branch=$ENV{'CARAVEL_BRANCH'} || "gfmpw-0d"; # Git Branch for the Caravel User Project + sub system_v($) { print "$_[0]\n"; @@ -228,7 +228,7 @@ ($) chdir "../../../"; chdir "$CARAVEL/cells/gds"; step("fixup_gds $CARAVEL"); - system "python3 ../../../../Tools/caravel/scale10.py"; + #system "python3 ../../../../Tools/caravel/scale10.py"; chdir "../../../"; From a39660748e9a2490b73fa4c7d5178e1149493e2c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Dec 2022 23:29:50 -0500 Subject: [PATCH 491/673] Rearranged some steps to avoid unnecessary steps that cost time --- Tools/perl/librecells.pl | 29 ++++++++++++----------------- 1 file changed, 12 insertions(+), 17 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 7a6db129..87ada843 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -84,7 +84,13 @@ my $magfile="outputlib/$cellname.mag"; my $gdsfile="outputlib/$cellname.gds"; - if(-f $magfile && (-s $magfile) > 51) # Has lclayout exported magic directly? + if(-f "$cellname.fixed") + { + print "We found a manually fixed $cellname.fixed magic file for testing so we are using that one instead.\n"; + step("NEXT STEP: Fixing file $cellname.fixed -> $cellname.mag"); + system "cp -f $cellname.fixed $cellname.mag"; + } + elsif(-f $magfile && (-s $magfile) > 51) # Has lclayout exported magic directly? { # Then we dont have to convert it open MAGIN,">$cellname.log 2>>$cellname.err"; print OUT < $cellname.mag"); - system "cp $cellname.fixed $cellname.mag"; } - elsif(! -f $gdsfile) + + if(!-f $magfile) { - print STDERR "Error: lclayout has not generated Magic or GDS2.\n"; + print STDERR "Error: lclayout has not generated Magic or GDS2, or we could not convert GDS2 to magic.\n"; unlink "$cellname.running"; next; } @@ -176,7 +171,7 @@ step("NEXT STEP: mag2gds"); print "The outputlib/$cellname.gds is being overwritten by the GDS file converted by Magic from the .mag file, to propagate the DRC corrections to GDS.\n"; - unlink "outputlib/$cellname.gds"; + rename "outputlib/$cellname.gds","outputlib/$cellname.lclayout.gds"; unlink "$cellname.gds"; open OUT,"|magic -dnull -noconsole -T ../Tech/libresilicon.tech $cellname.mag >>$cellname.log 2>>$cellname.err"; print OUT < Date: Wed, 21 Dec 2022 23:30:48 -0500 Subject: [PATCH 492/673] Made it executable --- Tools/perl/bisect.pl | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 Tools/perl/bisect.pl diff --git a/Tools/perl/bisect.pl b/Tools/perl/bisect.pl old mode 100644 new mode 100755 From 52f3532422acf39afbec5980cdff8db8fe73af8a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 21 Dec 2022 23:31:15 -0500 Subject: [PATCH 493/673] Various changes to DRC rules, based on the DRC results from magic --- Tech.GF180MCU/librecell_tech.py | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index 5a6a9106..c99827f2 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -166,12 +166,12 @@ #(l_poly, l_outline): 210/2*nm, # (poly.2) (l_poly, l_pdiff_contact): 155*nm, # 55*nm # (licon.11) (l_poly, l_ndiff_contact): 155*nm, # 55*nm # (licon.11) - (l_pdiff_contact, l_pdiff_contact): 280*nm, # CO.2b + (l_pdiff_contact, l_pdiff_contact): 250*nm, # CO.2a-CO.6 #(l_pdiff_contact, l_outline): 270/2*nm, # (difftap.3) - (l_ndiff_contact, l_ndiff_contact): 280*nm, # CO.2b + (l_ndiff_contact, l_ndiff_contact): 250*nm, # CO.2a-CO.6 #(l_ndiff_contact, l_outline): 270/2*nm, # (difftap.3) - (l_pdiff_contact, l_ndiff_contact): 280*nm, # CO.2b - (l_metal1, l_metal1): 230*nm, # Mn.2a ! WARNING: Spacing to huge_met1 (>=10um) needs to be 300nm ! + (l_pdiff_contact, l_ndiff_contact): 250*nm, # CO.2a-CO.6 + (l_metal1, l_metal1): 250*nm, # Mn.2a ! WARNING: Spacing to huge_met1 (>=10um) needs to be 300nm ! #(l_metal1, l_outline): 170/2*nm, # (li.3) # !!!! WARNING: Spacing to huge_met1 (>=?nm) needs to be 280nm ! # (l_metal1, l_border_vertical): 190*nm, # To move the VIAs at the right place # (l_metal2, l_border_vertical): 190*nm, # To move the VIAs at the right place @@ -259,7 +259,7 @@ # Side lengths of vias (square shaped). via_size = { l_poly_contact: 220*nm, # CO.1 - l_ndiff_contact: 220*nm, # CO.1 + l_ndiff_contact: 250*nm, # CO.1 + 2*CO.6 l_pdiff_contact: 220*nm, # CO.1 l_via1: 260*nm, # Vn.1 #l_via2: 260*nm # Vn.1 @@ -272,7 +272,7 @@ l_poly: gate_length, l_metal1: 230*nm, # Mn.1 l_metal2: 280*nm, # Mn.1 - l_nwell: 860*nm, # NW.1a + l_nwell: 900*nm, # NW.1a l_pwell: 740*nm, # LPW.1 l_nplus: 400*nm # NP.1 } @@ -288,7 +288,7 @@ (l_metal1, l_ndiff_contact): 80*nm, # (li.5) (l_metal1, l_poly_contact): 80*nm, # (li.5) (l_metal1, l_via1): 60*nm, # Vn.3 - (l_metal2, l_via1): 60*nm, # Vn.4 + (l_metal2, l_via1): 100*nm, # V1.4i # l_*well must overlap l_*diffusion (l_nwell, l_pdiffusion): 430*nm, # (DF.7) # This causes notches, I am trying to get rid of them From 7f6be0c430af356c29706b0bfc2d1ce9a9e4644a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 22 Dec 2022 17:45:05 -0500 Subject: [PATCH 494/673] More verbose for executed commands --- Tools/perl/librecells.pl | 36 +++++++++++++++++++++--------------- 1 file changed, 21 insertions(+), 15 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 87ada843..62636d84 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -18,8 +18,15 @@ close IN; } +sub system_v($) +{ + print "$_[0]\n"; + print STDERR "$_[0]\n"; + return system($_[0]); +} + -system "../Tools/perl/cell2spice.pl"; +system_v "../Tools/perl/cell2spice.pl"; mkdir "work"; system "rm -rf work/*"; @@ -57,12 +64,12 @@ step("NEXT CELL: $cellname"); - system "../Tools/perl/truthtable.pl --format=text $cellname.cell >$cellname.truthtable.txt"; - system "../Tools/perl/truthtable.pl --format=html $cellname.cell >$cellname.truthtable.html"; - system "../Tools/perl/truthtable.pl --format=verilog $cellname.cell >$cellname.truthtable.v"; + system_v "../Tools/perl/truthtable.pl --format=text $cellname.cell >$cellname.truthtable.txt"; + system_v "../Tools/perl/truthtable.pl --format=html $cellname.cell >$cellname.truthtable.html"; + system_v "../Tools/perl/truthtable.pl --format=verilog $cellname.cell >$cellname.truthtable.v"; - my $placer=""; $placer="--placer=hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); + my $placer=""; $placer="--placer hierarchical" if($cellname=~m/^(CLK|DFF|FAX|HAX)/); if(-f "$cellname.dontlayout") { print STDERR "TODO: $cellname is disabled by $cellname.dontlayout this is likely because it takes too much time to generate it\n"; @@ -71,15 +78,14 @@ } unlink "outputlib/$cellname.mag"; step("NEXT STEP: Running cell2spice"); - system "../Tools/perl/cell2spice.pl $cellname >>$cellname.log 2>>$cellname.err"; + system_v "../Tools/perl/cell2spice.pl $cellname >>$cellname.log 2>>$cellname.err"; step("NEXT STEP: Running lclayout"); foreach my $deb(1,0) # We dont want to overwrite the good output files with debug output files { next if($deb && !$debug); - my $cmd="$usage lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $cellname.sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." >>$cellname.log 2>>$cellname.err"; - print "$cmd\n"; - system $cmd; + my $cmd="$usage lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $cellname.sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." --route-max-iter 100 >>$cellname.log 2>>$cellname.err"; + system_v $cmd; } my $magfile="outputlib/$cellname.mag"; @@ -148,7 +154,7 @@ system "$usage ../Tools/perl/drccheck.pl $cellname.mag |tee $cellname.mag.drc"; step("NEXT STEP: DRC Fix"); - system "$usage ../Tools/perl/drcfix.pl $cellname.mag ../Tech/libresilicon.tech >>$cellname.log 2>>$cellname.err"; + system_v "$usage ../Tools/perl/drcfix.pl $cellname.mag ../Tech/libresilicon.tech >>$cellname.log 2>>$cellname.err"; if(-f "corr_$cellname.mag") { unlink "$cellname.predrc.mag"; @@ -165,7 +171,7 @@ step("NEXT STEP: Final DRC check"); print "DRC errors in $cellname corrected. Now running final DRC check:\n"; - system "$usage ../Tools/perl/drccheck.pl $cellname.mag"; + system_v "$usage ../Tools/perl/drccheck.pl $cellname.mag"; } step("DRC Fixing done."); @@ -229,19 +235,19 @@ step("NEXT STEP: Generating Liberty Template"); - system "../Tools/perl/libgen.pl $cellname.mag >$cellname.libtemplate 2>>$cellname.err"; + system_v "../Tools/perl/libgen.pl $cellname.mag >$cellname.libtemplate 2>>$cellname.err"; step("NEXT STEP: Characterization with lctime:"); $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics #print "$cmd\n"; system($cmd); $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics - print "$cmd\n"; system($cmd); + print "$cmd\n"; system_v($cmd); step("NEXT STEP: Characterization with CharLib:"); $cmd="python3 ../Tools/python/gen_CharLib.py"; - print "$cmd\n"; system($cmd); + print "$cmd\n"; system_v($cmd); $cmd="python3 CharLib.py -b CharLib.cmd"; # Which Path should we use for CharLib? @@ -260,6 +266,6 @@ if(!defined($ENV{'CELL'})) { - system "python3 ../Tools/python/concat4gds.py outputlib/*.gds"; + system_v "python3 ../Tools/python/concat4gds.py outputlib/*.gds"; } From 309c0e1996f89f3d4c246565fd04a0ef756f70cd Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 22 Dec 2022 17:45:39 -0500 Subject: [PATCH 495/673] Adding tool to display the grid usage --- Tools/perl/paintgridusage.pl | 63 ++++++++++++++++++++++++++++++++++++ 1 file changed, 63 insertions(+) create mode 100644 Tools/perl/paintgridusage.pl diff --git a/Tools/perl/paintgridusage.pl b/Tools/perl/paintgridusage.pl new file mode 100644 index 00000000..2b3d7171 --- /dev/null +++ b/Tools/perl/paintgridusage.pl @@ -0,0 +1,63 @@ +#!/usr/bin/perl -w + +print "This tool analyzes the available, used and unused grid:\n"; +my $cellname=$ARGV[0] || "INV"; +open MAGIN,"<$cellname.mag"; +open REPORT,"<$cellname.err"; +open REPIN,"<$cellname.log"; +open MAGOUT,">$cellname.grid.mag"; +my $fac=50; +my $s=1; +my $t=2; +while() +{ + if(/<< labels >>/) + { + # Unused tracks + while (my $line=) + { + if($line=~m/Unused tracks \(x coordinates\): \[(.*?)\]/) + { + foreach(split(",",$1)) + { + $_=int($_/$fac); + print MAGOUT "<< met1 >>\nrect $_ -10 ".($_+$s)." $t\n"; + } + } + if($line=~m/Unused tracks \(y coordinates\): \[(.*?)\]/) + { + foreach(split(",",$1)) + { + $_=int($_/$fac); + print MAGOUT "<< met1 >>\nrect -10 $_ $t ".($_+$s)."\n"; + } + } + } + # All tracks: + while (my $line=) + { + if($line=~m/x_grid_after: \[(.*?)\]/) + { + foreach(split(",",$1)) + { + $_=int($_/$fac); + print MAGOUT "<< met2 >>\nrect $_ -20 ".($_+$s)." -11\n"; + } + } + if($line=~m/y_grid_after: \[(.*?)\]/) + { + foreach(split(",",$1)) + { + $_=int($_/$fac); + print MAGOUT "<< met2 >>\nrect -20 $_ -10 ".($_+$s)."\n"; + } + } + } + } + print MAGOUT $_; +} +close MAGIN; +close REPORT; +close REPIN; +close MAGOUT; +print "Writing to $cellname.grid.mag\n"; From a5862a2d959272786929fbb7766e288c208e491a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 11:59:23 -0500 Subject: [PATCH 496/673] Added multiple-cell support --- Tools/perl/testgen.pl | 107 +++++++++++++++++++++++++----------------- 1 file changed, 63 insertions(+), 44 deletions(-) diff --git a/Tools/perl/testgen.pl b/Tools/perl/testgen.pl index bd117061..02a8c725 100755 --- a/Tools/perl/testgen.pl +++ b/Tools/perl/testgen.pl @@ -1,64 +1,83 @@ #!/usr/bin/perl -w -open IN,"<$ARGV[0]"; + +my @cells=map { s/\.cell$//; s/\.truthtable\.v$//; $_; } (defined($ARGV[0]) && -f $ARGV[0])?[$ARGV[0]]:<*.cell>; + print <; -my @l=split "->",$header; -my @ins=split " ",$l[0]; -my @outs=split " ",$l[1]; -my %map=(); -my $reg=0; -my @io=(); -print " printf(\"Initializing the Inputs of the cell:\\n\");\n"; -foreach(@ins) -{ - print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_OUTPUT; // $_\n"; - $io[$reg>>5]|=1<<($reg&31); - $reg++; -} -print " printf(\"Initializing the Outputs of the cell:\\n\");\n"; -foreach(@outs) +foreach my $cell (@cells) { - $map{$_}=$reg++; + next unless(-f "$cell.truthtable.txt"); + + open IN,"<$cell.truthtable.txt"; - print " reg_mprj_io_$reg = GPIO_MODE_USER_STD_OUTPUT; // $_\n"; -} + my $header=; $header=~s/\s$//s; + print "// Cell: $cell ($header)\n"; -print " reg_mprj_xfer=1;\n"; -print " while (reg_mprj_xfer == 1);\n"; + #print STDERR $header; + my @l=split "->",$header; + my @ins=split " ",$l[0]; + my @outs=split " ",$l[1]; + my %map=(); -foreach(0 .. 3) -{ - print "reg_la".$_."_ena=".sprintf("0x%08X",$io[$_]).";\n" if(defined($io[$_])); -} + my $reg=0; + my @io=(); + print " printf(\"Connecting Inputs of the cell $cell with the management core:\\n\");\n"; + foreach(@ins) + { + print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_INPUT; // $_\n"; + $io[$reg>>5]|=1<<($reg&31); + $reg++; + } + print " printf(\"Connecting the Outputs of the cell $cell with the management core:\\n\");\n"; + foreach(@outs) + { + $map{$_}=$reg++; + print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_OUTPUT; // $_\n"; + } -my $counter=0; -print " printf(\"Starting the tests:\\n\");\n"; + print " reg_mprj_xfer=1;\n"; + print " while (reg_mprj_xfer == 1);\n"; -while() -{ - last if(m/^function:/); - @l=split " ",$_; - my $if=0; - foreach(@l) + foreach(0 .. 3) { - if(m/(\w+)=(\d)/) - { - print " assert(reg_la".$map{$1}."_data==$2); //$1\n"; - } - else + print " reg_la".$_."_ena=".sprintf("0x%08X",$io[$_]).";\n" if(defined($io[$_])); + } + + my $counter=0; + print " printf(\"Starting the tests:\\n\");\n"; + + while() + { + last if(m/^function:/); + @l=split " ",$_; + my $if=0; + foreach(@l) { - print " reg_la".$if."_data=$_; //$ins[$if]\n"; + if(m/(\w+)=(\d)/) + { + print " assert(reg_la".$map{$1}."_data==$2); //$1\n"; + } + else + { + print " reg_la".$if."_data=$_; //$ins[$if]\n"; + } + $if++; } - $if++; + print " printf(\"Test $counter for cell $cell successful\\n\");\n\n"; + + $counter++; } - print " printf(\"Test $counter successful\\n\");\n\n"; - - $counter++; + print "printf(\"Tests for $cell successful.\\n\");\n\n"; + close IN; } + +print "printf(\"All standard cells have been tested successfully.\\n\")\n\n"; + From 6fb082c30a8e2482c87151633665325f7502475e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 11:59:41 -0500 Subject: [PATCH 497/673] Added x support --- Tools/perl/paintgridusage.pl | 12 ++++-------- 1 file changed, 4 insertions(+), 8 deletions(-) diff --git a/Tools/perl/paintgridusage.pl b/Tools/perl/paintgridusage.pl index 2b3d7171..038fa8ff 100644 --- a/Tools/perl/paintgridusage.pl +++ b/Tools/perl/paintgridusage.pl @@ -4,7 +4,7 @@ my $cellname=$ARGV[0] || "INV"; open MAGIN,"<$cellname.mag"; open REPORT,"<$cellname.err"; -open REPIN,"<$cellname.log"; +#open REPIN,"<$cellname.log"; open MAGOUT,">$cellname.grid.mag"; my $fac=50; my $s=1; @@ -32,11 +32,7 @@ print MAGOUT "<< met1 >>\nrect -10 $_ $t ".($_+$s)."\n"; } } - } - # All tracks: - while (my $line=) - { - if($line=~m/x_grid_after: \[(.*?)\]/) + if($line=~m/grid_xs: \[(.*?)\]/) { foreach(split(",",$1)) { @@ -44,7 +40,7 @@ print MAGOUT "<< met2 >>\nrect $_ -20 ".($_+$s)." -11\n"; } } - if($line=~m/y_grid_after: \[(.*?)\]/) + if($line=~m/grid_ys: \[(.*?)\]/) { foreach(split(",",$1)) { @@ -58,6 +54,6 @@ } close MAGIN; close REPORT; -close REPIN; +#close REPIN; close MAGOUT; print "Writing to $cellname.grid.mag\n"; From be46078ba298d8cee8cfa986d6609a23e1bc2170 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 12:00:15 -0500 Subject: [PATCH 498/673] Added support for the new debug-routing-graph of lclayout Cleaned up the output --- Tools/perl/librecells.pl | 16 +++++----------- 1 file changed, 5 insertions(+), 11 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 62636d84..981803e9 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -21,7 +21,7 @@ sub system_v($) { print "$_[0]\n"; - print STDERR "$_[0]\n"; + #print STDERR "$_[0]\n"; return system($_[0]); } @@ -81,12 +81,7 @@ ($) system_v "../Tools/perl/cell2spice.pl $cellname >>$cellname.log 2>>$cellname.err"; step("NEXT STEP: Running lclayout"); - foreach my $deb(1,0) # We dont want to overwrite the good output files with debug output files - { - next if($deb && !$debug); - my $cmd="$usage lclayout --output-dir ".($deb?"debug":"output")."lib --tech ../Tech/librecell_tech.py --netlist $cellname.sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs ".($deb?"--debug-routing-graph ":"")." --route-max-iter 100 >>$cellname.log 2>>$cellname.err"; - system_v $cmd; - } + system_v "$usage lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $cellname.sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs --debug-routing-graph --route-max-iter 100 >>$cellname.log 2>>$cellname.err"; my $magfile="outputlib/$cellname.mag"; my $gdsfile="outputlib/$cellname.gds"; @@ -239,15 +234,14 @@ ($) step("NEXT STEP: Characterization with lctime:"); $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.spice --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for fully extracted parasitics - #print "$cmd\n"; system($cmd); + #system_v($cmd); $cmd="$usage lctime ".($debug?"--debug":"")." --diff %_p,%_n --liberty $cellname.libtemplate --include ../Tech/libresilicon.m --spice $cellname.sp --cell $cellname --output $cellname.lib $lctimeparams >>$cellname.log 2>>$cellname.err"; # This is for pure spice files without parasitics - print "$cmd\n"; system_v($cmd); + system_v($cmd); step("NEXT STEP: Characterization with CharLib:"); - $cmd="python3 ../Tools/python/gen_CharLib.py"; - print "$cmd\n"; system_v($cmd); + system_v("python3 ../Tools/python/gen_CharLib.py"); $cmd="python3 CharLib.py -b CharLib.cmd"; # Which Path should we use for CharLib? From 06467aa7f6a706cb3967e3294a505f832312f58a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 12:21:29 -0500 Subject: [PATCH 499/673] Adding MCW_ROOT for simulation --- Tools/perl/divimp.pl | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index 3b1aa97b..d78f5265 100755 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -90,10 +90,11 @@ ($) $ENV{'CARAVEL_ROOT'}=$ENV{'PWD'}."/$CARAVEL/caravel"; $ENV{'PDK_ROOT'}=$ENV{'PDK_ROOT'} || ($ENV{'PWD'}."/$CARAVEL/dependencies/pdks"); # =$(readlink -f $(pwd)/../pdk ) $ENV{'PDK'}="gf180mcuC"; + $ENV{'MCW_ROOT'}=$ENV{'PWD'}."/$CARAVEL/mgmt_core_wrapper"; #$ENV{'PATH'}.=#export PATH=$PATH:$(readlink -f $(pwd)../openlane_summary/ ) print "Writing Environment file for easy debugging, just \"source env.sh\" when you need it:\n"; open OUT,">$CARAVEL/env.sh"; - foreach(qw(STDCELLLIB OPENLANE_ROOT CARAVEL CARAVEL_ROOT PDK_ROOT PDK)) + foreach(qw(STDCELLLIB OPENLANE_ROOT CARAVEL CARAVEL_ROOT PDK_ROOT PDK MCW_ROOT)) { print OUT "export $_=\"".$ENV{$_}."\"\n"; } From e5093586ef48a530dd368644670f50aaf28e94c0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 17:36:14 -0500 Subject: [PATCH 500/673] Made it run on Sky130 --- Tools/perl/testgen.pl | 97 ++++++++++++++++++++++++++++++++++++------- 1 file changed, 81 insertions(+), 16 deletions(-) diff --git a/Tools/perl/testgen.pl b/Tools/perl/testgen.pl index 02a8c725..f3c3c09c 100755 --- a/Tools/perl/testgen.pl +++ b/Tools/perl/testgen.pl @@ -1,16 +1,63 @@ #!/usr/bin/perl -w -my @cells=map { s/\.cell$//; s/\.truthtable\.v$//; $_; } (defined($ARGV[0]) && -f $ARGV[0])?[$ARGV[0]]:<*.cell>; +print STDERR "Usage: perl ../Tools/perl/testgen.pl [] >sky130_stdcelllib_test/verilog/dv/stdcells/stdcells.c\n"; +my @cells=map { s/\.cell$//; s/\.truthtable\.v$//; $_; } (defined($ARGV[0]) && -f $ARGV[0])?[$ARGV[0]]:<*.cell>; +my $useassert=0; print < +#include +#include + +char *current_cell=""; +int current_test=0; +EOF +; + +if($useassert) +{ + print <>num)&1); + if(num<64) return ((reg_la1_data>>(num-32))&1); + if(num<96) return ((reg_la2_data>>(num-64))&1); + return ((reg_la3_data>>(num-96))&1); +} +void write_la(int num, int value) +{ + if(num<32) reg_la0_data=reg_la0_data&(0xffffffff-(1<\; $header=~s/\s$//s; print "// Cell: $cell ($header)\n"; + print " current_cell=\"$cell\";\n"; #print STDERR $header; my @l=split "->",$header; @@ -27,57 +75,74 @@ my @outs=split " ",$l[1]; my %map=(); - my $reg=0; my @io=(); - print " printf(\"Connecting Inputs of the cell $cell with the management core:\\n\");\n"; + print " print(\"Connecting Inputs of the cell $cell with the management core:\\n\");\n"; foreach(@ins) { - print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_INPUT; // $_\n"; + $map{$_}=$reg; + print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_OUTPUT; // $_\n"; $io[$reg>>5]|=1<<($reg&31); $reg++; } - print " printf(\"Connecting the Outputs of the cell $cell with the management core:\\n\");\n"; + print " print(\"Connecting the Outputs of the cell $cell with the management core:\\n\");\n"; foreach(@outs) { - $map{$_}=$reg++; + $map{$_}=$reg; print " reg_mprj_io_$reg = GPIO_MODE_MGMT_STD_OUTPUT; // $_\n"; + $reg++; } + # Transferring the register values: print " reg_mprj_xfer=1;\n"; print " while (reg_mprj_xfer == 1);\n"; - foreach(0 .. 3) + foreach(0 .. 100) { - print " reg_la".$_."_ena=".sprintf("0x%08X",$io[$_]).";\n" if(defined($io[$_])); + print " reg_la".$_."_iena=".sprintf("0x%08X",$io[$_]).";\n" if(defined($io[$_])); } my $counter=0; - print " printf(\"Starting the tests:\\n\");\n"; + print " print(\"Starting the tests:\\n\");\n"; while() { last if(m/^function:/); + print " current_test=$counter;\n"; @l=split " ",$_; my $if=0; foreach(@l) { if(m/(\w+)=(\d)/) { - print " assert(reg_la".$map{$1}."_data==$2); //$1\n"; + print " assert(get_la(".$map{$1}.")==$2); //$1\n" if($useassert); + if(!$useassert) + { + print " if(get_la(".$map{$1}.")!=$2) //$1\n"; + print " {\n"; + print " print(\"Assertion failed in cell $cell in test #$counter : $1 should be $2\\n\");\n"; + print " reg_mprj_datal = 0xAB51EEEE; // Signal that the simulation has failed\n"; + print " return(-1);\n"; + print " }\n"; + } } else { - print " reg_la".$if."_data=$_; //$ins[$if]\n"; + print " write_la(".$map{$ins[$if]}.",$_); //$ins[$if]\n"; } $if++; } - print " printf(\"Test $counter for cell $cell successful\\n\");\n\n"; + print " print(\"Test $counter for cell $cell successful\\n\");\n\n"; $counter++; } - print "printf(\"Tests for $cell successful.\\n\");\n\n"; + print "print(\"Tests for $cell successful.\\n\");\n\n"; close IN; } -print "printf(\"All standard cells have been tested successfully.\\n\")\n\n"; +print "print(\"All standard cells have been tested successfully.\\n\");\n\n"; +print "reg_mprj_datal = 0xAB51FEFE; // Signal that the simulation is done\n"; + + +print "}\n"; +print STDERR "Run the test by running: make verify-stdcells-rtl\n"; From e48aa0ed233c1316515846cbcfebb49c94448970 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 17:38:30 -0500 Subject: [PATCH 501/673] Do not delete essential cells anymore --- Catalog/GNUmakefile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index cb0c434a..6b7377f3 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -95,8 +95,8 @@ help: clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) $(CELLS) - $(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.usage *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc *.done + #$(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell + $(RM) *.usage *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc *.done *.lef *_debug.oas *_debug.gds # ---------------------------------------------------------------- # CELL TARGETS From 35940a642ff8aa8e9accb46b9d26a2f3dbf3e9c0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 17:42:03 -0500 Subject: [PATCH 502/673] Proper sizing of the gates --- Catalog/INV.cell | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/Catalog/INV.cell b/Catalog/INV.cell index c3112845..f862f6f5 100644 --- a/Catalog/INV.cell +++ b/Catalog/INV.cell @@ -1,11 +1,11 @@ -.DESCRIPTION a Not (or Inverter) gate +Not (or Inverter) gate .cell INV .inputs A .outputs Y # ^ Vdd # | # | +-' -# A --o| | pMOS +# A --o| | g # | +-. # | # | @@ -13,10 +13,10 @@ # | # | # | +-' -# A ---| | nMOS +# A ---| | 1 # | +-. # | # _|_ Gnd -pmos A Y vdd vdd 1 1 1 -nmos A Y gnd gnd 1 1 -1 +pmos A Y vdd vdd g 1 1 +1 +nmos A Y gnd gnd 1 1 1 -1 .end From 34bedec297fd16fe777d0d4ddaded2cb4d318202 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 19:16:35 -0500 Subject: [PATCH 503/673] Adding automatic verification support --- Tools/perl/divimp.pl | 23 +++++++++++++++++++---- 1 file changed, 19 insertions(+), 4 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index d78f5265..a3ed6d7c 100755 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -1,5 +1,6 @@ #!/usr/bin/perl -w +my $doverification=1; my $maxios=38+128-2; # How many IOs does one Caravel have? my $maxdesigns=1; # How many Caravels do you want to use maximum? our $githubuser=$ENV{'GITHUB_USER'} || "thesourcerer8"; # GitHub Username for the Repository URL @@ -12,7 +13,7 @@ my $group=1; open IN,"<../Tech/caravel-env.sh"; -print "Loading +print "Loading Caravel environment variables.\n"; while() { if(m/^export (\w+)="([^"]+)"/) @@ -248,10 +249,24 @@ ($) step("placement"); system "perl ../../Tools/caravel/placement.pl >openlane/user_proj_example/macro_placement.cfg"; + step("verification"); + mkdir "verilog/dv/stdcells",0755; + system "cp ../../Tools/caravel/stdcells_tb.v verilog/dv/stdcells/"; + system "cp verilog/dv/io_ports/Makefile verilog/dv/stdcells/"; + chdir ".."; + system_v "perl ../Tools/perl/testgen.pl >$CARAVEL/verilog/dv/stdcells/stdcells.c"; + chdir $CARAVEL; + step("make user_proj_example"); - system "make setup"; - system "make user_proj_example && make user_project_wrapper"; - system "make dist"; + system_v "make setup"; + system_v "make user_proj_example && make user_project_wrapper"; + if($doverification) + { + system_v "make simenv"; + system_v "make verify-stdcells-rtl"; + } + system_v "make dist"; + system_v "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/* info.yaml"; system_v "git commit -m \"Automatically generated files\""; system_v "git add -u ."; From e343ee35b914ef15cd7c286e09bc1a78867685b3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 19:17:18 -0500 Subject: [PATCH 504/673] Trying to make the test successful --- Tools/perl/testgen.pl | 35 ++++++++++++++++++++++++++++++++--- 1 file changed, 32 insertions(+), 3 deletions(-) diff --git a/Tools/perl/testgen.pl b/Tools/perl/testgen.pl index f3c3c09c..92378cf0 100755 --- a/Tools/perl/testgen.pl +++ b/Tools/perl/testgen.pl @@ -77,6 +77,27 @@ my @io=(); print " print(\"Connecting Inputs of the cell $cell with the management core:\\n\");\n"; +print < Date: Fri, 23 Dec 2022 19:17:46 -0500 Subject: [PATCH 505/673] Adding testbench for Caravel --- Tools/caravel/stdcells_tb.v | 268 ++++++++++++++++++++++++++++++++++++ 1 file changed, 268 insertions(+) create mode 100644 Tools/caravel/stdcells_tb.v diff --git a/Tools/caravel/stdcells_tb.v b/Tools/caravel/stdcells_tb.v new file mode 100644 index 00000000..75d4e3c7 --- /dev/null +++ b/Tools/caravel/stdcells_tb.v @@ -0,0 +1,268 @@ +// SPDX-FileCopyrightText: 2020 Efabless Corporation +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// SPDX-License-Identifier: Apache-2.0 + +`default_nettype none + +`timescale 1 ns / 1 ps + +module stdcells_tb; + reg clock; + reg RSTB; + reg CSB; + reg power1, power2; + reg power3, power4; + + wire gpio; + wire [37:0] mprj_io; + wire [7:0] mprj_io_0; + + assign mprj_io_0 = mprj_io[7:0]; + // assign mprj_io_0 = {mprj_io[8:4],mprj_io[2:0]}; + + assign mprj_io[3] = (CSB == 1'b1) ? 1'b1 : 1'bz; + // assign mprj_io[3] = 1'b1; + + // External clock is used by default. Make this artificially fast for the + // simulation. Normally this would be a slow clock and the digital PLL + // would be the fast clock. + + always #12.5 clock <= (clock === 1'b0); + + initial begin + clock = 0; + end + + + `ifdef ENABLE_SDF + initial begin + $sdf_annotate("../../../sdf/user_proj_example.sdf", uut.mprj) ; + $sdf_annotate("../../../sdf/user_project_wrapper.sdf", uut.mprj.mprj) ; + $sdf_annotate("../../../mgmt_core_wrapper/sdf/DFFRAM.sdf", uut.soc.DFFRAM_0) ; + $sdf_annotate("../../../mgmt_core_wrapper/sdf/mgmt_core.sdf", uut.soc.core) ; + $sdf_annotate("../../../caravel/sdf/housekeeping.sdf", uut.housekeeping) ; + $sdf_annotate("../../../caravel/sdf/chip_io.sdf", uut.padframe) ; + $sdf_annotate("../../../caravel/sdf/mprj_logic_high.sdf", uut.mgmt_buffers.mprj_logic_high_inst) ; + $sdf_annotate("../../../caravel/sdf/mprj2_logic_high.sdf", uut.mgmt_buffers.mprj2_logic_high_inst) ; + $sdf_annotate("../../../caravel/sdf/mgmt_protect_hv.sdf", uut.mgmt_buffers.powergood_check) ; + $sdf_annotate("../../../caravel/sdf/mgmt_protect.sdf", uut.mgmt_buffers) ; + $sdf_annotate("../../../caravel/sdf/caravel_clocking.sdf", uut.clocking) ; + $sdf_annotate("../../../caravel/sdf/digital_pll.sdf", uut.pll) ; + $sdf_annotate("../../../caravel/sdf/xres_buf.sdf", uut.rstb_level) ; + $sdf_annotate("../../../caravel/sdf/user_id_programming.sdf", uut.user_id_value) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_1[0] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_1[1] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_2[0] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_2[1] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_2[2] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[0] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[1] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[2] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[3] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[4] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[5] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[6] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[7] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[8] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[9] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[10] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[0] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[1] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[2] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[3] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[4] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[5] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[0] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[1] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[2] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[3] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[4] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[5] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[6] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[7] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[8] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[9] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[10] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[11] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[12] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[13] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[14] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[15] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_0[0] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_0[1] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_2[0] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_2[1] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_2[2] ) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_5) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_6) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_7) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_8) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_9) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_10) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_11) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_12) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_13) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_14) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_15) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_16) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_17) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_18) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_19) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_20) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_21) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_22) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_23) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_24) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_25) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_26) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_27) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_28) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_29) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_30) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_31) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_32) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_33) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_34) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_35) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_36) ; + $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_37) ; + end + `endif + + initial begin + $dumpfile("stdcells.vcd"); + $dumpvars(0, stdcells_tb); + + // Repeat cycles of 10000 clock edges as needed to complete testbench + repeat (50) begin + repeat (1000) @(posedge clock); + $display("+1000 cycles"); + end + $display("%c[1;31m",27); + `ifdef GL + $display ("Monitor: Timeout, Test Mega-Project IO Ports (GL) Failed"); + `else + $display ("Monitor: Timeout, Test Mega-Project IO Ports (RTL) Failed"); + `endif + $display("%c[0m",27); + $finish; + end + + initial begin + // Observe Output pins [7:0] + wait(mprj_io_0 == 8'hEE); + + `ifdef GL + $display("Monitor: Test 1 StdCellLib IO (GL) Failed"); + `else + $display("Monitor: Test 1 StdCellLib IO (RTL) Failed"); + `endif + $finish; + end + initial begin + // Observe Output pins [7:0] + wait(mprj_io_0 == 8'hFE); + + `ifdef GL + $display("Monitor: Test 1 StdCellLib IO (GL) Success"); + `else + $display("Monitor: Test 1 StdCellLib IO (RTL) Success"); + `endif + $finish; + end + + + initial begin + RSTB <= 1'b0; + CSB <= 1'b1; // Force CSB high + #2000; + RSTB <= 1'b1; // Release reset + #3_00_000; + CSB = 1'b0; // CSB can be released + end + + initial begin // Power-up sequence + power1 <= 1'b0; + power2 <= 1'b0; + power3 <= 1'b0; + power4 <= 1'b0; + #100; + power1 <= 1'b1; + #100; + power2 <= 1'b1; + #100; + power3 <= 1'b1; + #100; + power4 <= 1'b1; + end + + always @(mprj_io) begin + #1 $display("MPRJ-IO state = %b ", mprj_io[7:0]); + end + + wire flash_csb; + wire flash_clk; + wire flash_io0; + wire flash_io1; + + wire VDD3V3; + wire VDD1V8; + wire VSS; + + assign VDD3V3 = power1; + assign VDD1V8 = power2; + assign VSS = 1'b0; + + caravel uut ( + .vddio (VDD3V3), + .vddio_2 (VDD3V3), + .vssio (VSS), + .vssio_2 (VSS), + .vdda (VDD3V3), + .vssa (VSS), + .vccd (VDD1V8), + .vssd (VSS), + .vdda1 (VDD3V3), + .vdda1_2 (VDD3V3), + .vdda2 (VDD3V3), + .vssa1 (VSS), + .vssa1_2 (VSS), + .vssa2 (VSS), + .vccd1 (VDD1V8), + .vccd2 (VDD1V8), + .vssd1 (VSS), + .vssd2 (VSS), + .clock (clock), + .gpio (gpio), + .mprj_io (mprj_io), + .flash_csb(flash_csb), + .flash_clk(flash_clk), + .flash_io0(flash_io0), + .flash_io1(flash_io1), + .resetb (RSTB) + ); + + spiflash #( + .FILENAME("stdcells.hex") + ) spiflash ( + .csb(flash_csb), + .clk(flash_clk), + .io0(flash_io0), + .io1(flash_io1), + .io2(), // not used + .io3() // not used + ); + +endmodule +`default_nettype wire From b4bc32623e93f64740eed2ccb7a54c89b94164a7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 19:18:05 -0500 Subject: [PATCH 506/673] Adding CELL generators for missing cells and for essential cells --- Tools/perl/dorest.pl | 10 ++++++++++ Tools/perl/essential.pl | 16 ++++++++++++++++ 2 files changed, 26 insertions(+) create mode 100644 Tools/perl/dorest.pl create mode 100644 Tools/perl/essential.pl diff --git a/Tools/perl/dorest.pl b/Tools/perl/dorest.pl new file mode 100644 index 00000000..63e4dc81 --- /dev/null +++ b/Tools/perl/dorest.pl @@ -0,0 +1,10 @@ +foreach (<*.cell>) +{ + my $cellname=$_; + $cellname=~s/\.cell$//; + next if(-f "$cellname.mag"); + print "We should do $_\n"; + system "touch $_"; + $ENV{'CELL'}=$cellname; + system "make layout"; +} diff --git a/Tools/perl/essential.pl b/Tools/perl/essential.pl new file mode 100644 index 00000000..dd9ba988 --- /dev/null +++ b/Tools/perl/essential.pl @@ -0,0 +1,16 @@ +#!/usr/bin/perl -w + +my @cells=qw(AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell AAAOI333.cell AAOAOI33111.cell AAOI22.cell AAOOAAOI2224.cell AOAAOI2124.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND2.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell); + +foreach my $cell(@cells) +{ + my $CELL=$cell; $CELL=~s/\.cell$//; + $ENV{'CELL'}=$CELL; + if(!-f $cell) + { + print "Cell $CELL existiert nicht!\n"; + next; + } + system "touch $cell" if(-f $cell); + system "make layout"; +} From 9a71d9386859fdce6cff0da5b9f1aa34737a68f2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 20:41:16 -0500 Subject: [PATCH 507/673] Remove min_area for metal2 Various improvements for GF180 --- Tech.GF180MCU/librecell_tech.py | 30 ++++++++++++++++++------------ 1 file changed, 18 insertions(+), 12 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index c99827f2..cbbe90ab 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -4,10 +4,8 @@ from lclayout.writer.gds_writer import GdsWriter from lclayout.writer.oasis_writer import OasisWriter - # This Tech file was created for 5V transistors for GlobalFoundries GF180MCU. There might be one layer missing for them. We could create additional cells for 3.3V and 6V, but that would change a lot of the DRC rules - # Physical size of one data base unit in meters. # BUT GDS2 requires the database units to be in nanometers, and lclayout cannot convert to nanometers automatically yet db_unit = 1e-9 @@ -202,7 +200,8 @@ connectable_layers = {l_nwell, l_pwell, l_poly} # Width of the gate polysilicon stripe. # is reused as the minimum_width for the l_poly layer -gate_length = 500*nm # PL.2 +gate_length_nmos = 500*nm # PL.2 +gate_length_pmos = 600*nm # PL.2 # Minimum length a polysilicon gate must overlap the silicon. gate_extension = 220*nm # PL.4 @@ -220,7 +219,7 @@ # due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 # Routing pitch -routing_grid_pitch_x = unit_cell_width // 2 # // 4 +routing_grid_pitch_x = unit_cell_width // 8 # // 4 routing_grid_pitch_y = 135*nm # unit_cell_height // 8 // 2 # Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) @@ -260,7 +259,7 @@ via_size = { l_poly_contact: 220*nm, # CO.1 l_ndiff_contact: 250*nm, # CO.1 + 2*CO.6 - l_pdiff_contact: 220*nm, # CO.1 + l_pdiff_contact: 250*nm, # CO.1 + 2*CO.6 l_via1: 260*nm, # Vn.1 #l_via2: 260*nm # Vn.1 } @@ -269,7 +268,7 @@ minimum_width = { l_ndiffusion: 300*nm, # DF.1a l_pdiffusion: 300*nm, # DF.1a - l_poly: gate_length, + l_poly: 500*nm, # PL.2 l_metal1: 230*nm, # Mn.1 l_metal2: 280*nm, # Mn.1 l_nwell: 900*nm, # NW.1a @@ -316,7 +315,7 @@ l_ndiffusion: 0.2025 * um * um, l_pdiffusion: 0.2025 * um * um, l_metal1: 0.1444 * um * um ,# Mn.3 - l_metal2: 0.1444 * um * um ,# Mn.3 + #l_metal2: 0.1444 * um * um ,# Mn.3 - We don't need to enforce it here since that will be done by Openlane l_nplus: 0.35 * um * um, #NP.8a l_pplus: 0.35 * um * um, #PP.8a } @@ -351,8 +350,8 @@ (l_metal1, l_pdiffusion): 15000*viafactor, # LICON (l_metal1, l_poly): 15000*viafactor, # LICON (l_metal1, l_metal2): 152000*viafactor, # MCON -# (l_metal1, l_nplus): 1, # Contact to Well Taps, the value doesn't matter -# (l_metal1, l_pplus): 1, + (l_metal1, l_nplus): 15000*viafactor, # Contact to Well Taps, the value doesn't matter + (l_metal1, l_pplus): 15000*viafactor, } @@ -422,9 +421,9 @@ print("grid_offset_x: "+str(grid_offset_x)) print("routing_grid_pitch_x: "+str(routing_grid_pitch_x)) - grid_ys = list(range(grid_offset_y, grid_offset_y + unit_cell_height, routing_grid_pitch_y)) -#print("grid_before: "+str(grid_ys)) + +#print("y_grid_before: "+str(grid_ys)) #grid_ys[2] += 110*nm #grid_ys[-3] -= 110*nm #grid_ys[14] -= 10*nm @@ -432,7 +431,13 @@ #grid_ys[-2] = unit_cell_height #grid_ys.pop(-1) #grid_ys.pop(0) -#print("grid_after: "+str(grid_ys)) +#print("y_grid_after: "+str(grid_ys)) + +#grid_xs = list(range(grid_offset_x, grid_offset_x + unit_cell_width, routing_grid_pitch_x)) +#print("x_grid_after: "+str(grid_xs)) +#print("grid_offset_x"+str(grid_offset_x)) +#print("unit_cell_width"+str(unit_cell_width)) +#print("routing_grid_pitch_x"+str(routing_grid_pitch_x)) @@ -440,3 +445,4 @@ # return list(range(240*nm,unit_cell_width,480*nm)) #power_vias=powervias + From fca27fd6763298dd0e05a1672828d9040a2b844f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 23:18:57 -0500 Subject: [PATCH 508/673] Moved code out of the loop --- Tools/perl/testgen.pl | 42 +++++++++++++++++++++--------------------- 1 file changed, 21 insertions(+), 21 deletions(-) diff --git a/Tools/perl/testgen.pl b/Tools/perl/testgen.pl index 92378cf0..58417c89 100755 --- a/Tools/perl/testgen.pl +++ b/Tools/perl/testgen.pl @@ -55,6 +55,27 @@ { EOF ; +print < Date: Fri, 23 Dec 2022 23:39:26 -0500 Subject: [PATCH 509/673] HIGH-Z support for sequential cells --- Tools/perl/testgen.pl | 12 ++++++++---- 1 file changed, 8 insertions(+), 4 deletions(-) diff --git a/Tools/perl/testgen.pl b/Tools/perl/testgen.pl index 58417c89..fbd1e0b1 100755 --- a/Tools/perl/testgen.pl +++ b/Tools/perl/testgen.pl @@ -82,9 +82,9 @@ foreach my $cell (@cells) { - next unless(-f "$cell.truthtable.txt"); - - open IN,"<$cell.truthtable.txt"; + print "* $cell\n"; + next unless(-f "../truthtable/$cell.truthtable.txt"); + open IN,"<../truthtable/$cell.truthtable.txt"; my $header=; $header=~s/\s$//s; print "// Cell: $cell ($header)\n"; @@ -141,7 +141,11 @@ my $if=0; foreach(@l) { - if(m/(\w+)=(\d)/) + if(m/(\w+)=HIGH-Z/) + { + print " //We expect HIGH-Z Output on Output $1 here\n"; + } + elsif(m/(\w+)=(\d|HIGH-Z)/) { print " assert(get_la(".$map{$1}.")==$2); //$1\n" if($useassert); if(!$useassert) From 5a22ea53a2557aa8996392d3778de549ec95a243 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Dec 2022 23:39:54 -0500 Subject: [PATCH 510/673] Adding truthtable support --- Tools/perl/divimp.pl | 12 +++++++----- 1 file changed, 7 insertions(+), 5 deletions(-) diff --git a/Tools/perl/divimp.pl b/Tools/perl/divimp.pl index a3ed6d7c..24cfadbc 100755 --- a/Tools/perl/divimp.pl +++ b/Tools/perl/divimp.pl @@ -70,11 +70,13 @@ ($$) mkdir "$CARAVEL/cells/lef"; mkdir "$CARAVEL/cells/lef/orig"; mkdir "$CARAVEL/cells/gds"; + mkdir "$CARAVEL/cells/truthtable"; system "cp $cn.mag $CARAVEL/cells/mag/"; system "cp $cn.lib $CARAVEL/cells/lib/" if(-f "$cn.lib"); system_v "perl ../Tools/perl/dummychar.pl $cn >$CARAVEL/cells/lib/$cn.lib" unless(-f "$cn.lib"); system "cp $cn.cell $CARAVEL/cells/cell/"; system "cp $cn.sp $CARAVEL/cells/sp/"; + system "cp $cn.truthtable.txt $CARAVEL/cells/truthtable/"; system "cp outputlib/$cn.lef $CARAVEL/cells/lef/orig/"; system "cp outputlib/$cn.gds $CARAVEL/cells/gds/"; } @@ -253,9 +255,9 @@ ($) mkdir "verilog/dv/stdcells",0755; system "cp ../../Tools/caravel/stdcells_tb.v verilog/dv/stdcells/"; system "cp verilog/dv/io_ports/Makefile verilog/dv/stdcells/"; - chdir ".."; - system_v "perl ../Tools/perl/testgen.pl >$CARAVEL/verilog/dv/stdcells/stdcells.c"; - chdir $CARAVEL; + chdir "cells/cell"; + system_v "perl ../../../Tools/perl/testgen.pl >$CARAVEL/verilog/dv/stdcells/stdcells.c"; + chdir "../../"; step("make user_proj_example"); system_v "make setup"; @@ -267,14 +269,14 @@ ($) } system_v "make dist"; - system_v "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/* info.yaml"; + system_v "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/* info.yaml verilog/dv/stdcells"; system_v "git commit -m \"Automatically generated files\""; system_v "git add -u ."; system_v "git add gds/*"; system_v "git commit -m \"Openlane generated files\""; system_v "git remote remove origin"; system_v "git remote add origin git\@github.com:$githubuser/$CARAVEL.git"; - system_v "echo git push -u origin main"; + system_v "echo git push origin HEAD:main -f"; chdir ".."; } From 8613f260c9188d1c4940e178f7c33453422aadcc Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Sat, 7 Jan 2023 20:19:43 +0100 Subject: [PATCH 511/673] Create LICENSE --- LICENSE | 201 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 201 insertions(+) create mode 100644 LICENSE diff --git a/LICENSE b/LICENSE new file mode 100644 index 00000000..261eeb9e --- /dev/null +++ b/LICENSE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS + + APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. From 77aac99dd9049906da611790c23799a354b4be20 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 8 Jan 2023 00:34:56 +0100 Subject: [PATCH 512/673] Adding routing grid debugging --- Tools/perl/dorest.pl | 0 Tools/perl/essential.pl | 0 Tools/perl/librecells.pl | 6 ++++++ Tools/perl/paintgridusage.pl | 0 4 files changed, 6 insertions(+) mode change 100644 => 100755 Tools/perl/dorest.pl mode change 100644 => 100755 Tools/perl/essential.pl mode change 100644 => 100755 Tools/perl/paintgridusage.pl diff --git a/Tools/perl/dorest.pl b/Tools/perl/dorest.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/essential.pl b/Tools/perl/essential.pl old mode 100644 new mode 100755 diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 981803e9..d96a0f5f 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -83,6 +83,12 @@ ($) system_v "$usage lclayout --output-dir outputlib --tech ../Tech/librecell_tech.py --netlist $cellname.sp --cell $cellname -v $placer --placement-file $cellname.place --ignore-lvs --debug-routing-graph --route-max-iter 100 >>$cellname.log 2>>$cellname.err"; + + if(-f "$cellname.mag") + { + system_v("../Tools/perl/paintgridusage.pl $cellname >>$cellname.log 2>>$cellname.err"); + } + my $magfile="outputlib/$cellname.mag"; my $gdsfile="outputlib/$cellname.gds"; if(-f "$cellname.fixed") diff --git a/Tools/perl/paintgridusage.pl b/Tools/perl/paintgridusage.pl old mode 100644 new mode 100755 From 383da1d5b7f4e34eee81acb3248e7c395bf0b7ad Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 15 Feb 2023 22:39:22 +0100 Subject: [PATCH 513/673] New conversion tool --- Tools/perl/mag2siliwiz.pl | 76 +++++++++++++++++++++++++++++++++++++++ 1 file changed, 76 insertions(+) create mode 100644 Tools/perl/mag2siliwiz.pl diff --git a/Tools/perl/mag2siliwiz.pl b/Tools/perl/mag2siliwiz.pl new file mode 100644 index 00000000..6765b77f --- /dev/null +++ b/Tools/perl/mag2siliwiz.pl @@ -0,0 +1,76 @@ +#!/usr/bin/perl -w + +# Converting MAGIC files to JSON for https://app.siliwiz.com/ + +my $scale=3; # Scale 1 would be technically correct, but the result is so small that you cannot see much, so Scale 3 is usually better +my $movex=15; +my $movey=25; + +print <; +my $layer="undef"; +my $count=0; +foreach(@lines) +{ + if(m/^<< (\w+) >>/) + { + $layer=layername($1); + } + if(m/^rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/) + { + print ", " if($count); + print " { \"x\": ".($1*$scale+$movex).", \"y\": ".($2*$scale+$movey).", \"width\": ".(($3-$1)*$scale).", \"height\": ".(($4-$2)*$scale).", \"layer\": \"$layer\" }\n"; + $count++; + } + if(m/^rlabel (\w+) (-?\d+) (-?\d+) (-?\d+) (-?\d+) (-?\d+) (\w+)/) + { + print ", " if($count); + print " { \"x\": ".($2*$scale+$movex).", \"y\": ".($3*$scale+$movey).", \"width\": ".(($4-$2)*$scale).", \"height\": ".(($5-$3)*$scale).", \"layer\": \"".layername($1)."\", \"label\": \"".ioname($7)."\" }\n"; + $count++; + } +} + +print < Date: Wed, 15 Feb 2023 23:48:18 +0100 Subject: [PATCH 514/673] Fixed the via1 mapping, now it works --- Tools/perl/mag2siliwiz.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/mag2siliwiz.pl b/Tools/perl/mag2siliwiz.pl index 6765b77f..1a3736b3 100644 --- a/Tools/perl/mag2siliwiz.pl +++ b/Tools/perl/mag2siliwiz.pl @@ -22,7 +22,7 @@ ($) $d=~s/^met(\d+)$/metal$1/; $d=~s/^([np])diffusion$/$1 diffusion/; $d=~s/^([np])well$/$1 well/; - $d=~s/^via(\d+)$/metal$1 via/; + $d=~s/^via1$/metal2 via/; $d=~s/^poly$/polysilicon/; $d=~s/^polycont$/metal1 via/; $d=~s/^[np]diffc$/metal1 via/; From 045c7e44d0e510ba56387353977a9490db6eb0c7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 16 Feb 2023 00:12:50 +0100 Subject: [PATCH 515/673] Adding siliwiz to the flow --- Tools/perl/librecells.pl | 4 ++++ Tools/perl/mag2siliwiz.pl | 0 2 files changed, 4 insertions(+) mode change 100644 => 100755 Tools/perl/mag2siliwiz.pl diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index d96a0f5f..1059e32f 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -260,6 +260,10 @@ ($) step("NEXT STEP: mag2svg"); system "../Tools/perl/mag2svg.pl $cellname.mag $cellname.svg" if(-f "$cellname.mag"); + step("NEXT STEP: mag2siliwiz"); + system "../Tools/perl/mag2siliwiz.pl <$cellname.mag >$cellname.json" if(-f "$cellname.mag"); + + unlink "$cellname.running"; } } diff --git a/Tools/perl/mag2siliwiz.pl b/Tools/perl/mag2siliwiz.pl old mode 100644 new mode 100755 From 3e8dc1ed63e380c1c9b550ce827f36c9f3cf5fb0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 19 Feb 2023 13:15:16 +0100 Subject: [PATCH 516/673] Adding KLayout home variable --- Tech.GF180MCU/caravel-env.sh | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Tech.GF180MCU/caravel-env.sh b/Tech.GF180MCU/caravel-env.sh index eb40e9ca..73a6df43 100644 --- a/Tech.GF180MCU/caravel-env.sh +++ b/Tech.GF180MCU/caravel-env.sh @@ -2,8 +2,9 @@ export STDCELLLIB="../" #e#xport OPENLANE_ROOT="/home/philipp/libresilicon/StdCellLib/Catalog/gf180_stdcelllib_1/dependencies/openlane_src" #e#xport CARAVEL="/home/philipp/libresilicon/StdCellLib/Catalog/gf180_stdcelllib_1" #e#xport CARAVEL_ROOT="/home/philipp/libresilicon/StdCellLib/Catalog/gf180_stdcelllib_1/caravel" -export PDK_ROOT="/home/philipp/libresilicon/volare-pdks" +export PDK_ROOT=/home/philipp/.volare export PDK="gf180mcuC" export STD_CELL_LIBRARY="gf180mcu_fd_sc_mcu9t5v0" export STD_CELL_LIBRARY_OPT="gf180mcu_fd_sc_mcu9t5v0" export CARAVEL_BRANCH="gfmpw-0d" +export KLAYOUT_HOME=/home/philipp/.volare/gf180mcuC/libs.tech/klayout From a984644f51cbb2b4e28d870a61135431d8311d3d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 12 Apr 2023 21:26:45 +0200 Subject: [PATCH 517/673] Fix DRC issues with Vias near the power rails, hopefully no sideeffects --- Tech.GF180MCU/librecell_tech.py | 73 +++++++++++++++++---------------- 1 file changed, 37 insertions(+), 36 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index cbbe90ab..a0e8e29e 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -111,8 +111,9 @@ output_writers = [ MagWriter( tech_name='gf180mcuC', - scale_factor=0.02, # Scale all coordinates by this factor (rounded down to next integer). - output_map=output_map_magic + scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). + output_map=output_map_magic, + magscale=[1,10] ), LefWriter( @@ -148,23 +149,23 @@ # Minimum spacing rules for layer pairs. min_spacing = { - (l_ndiffusion, l_ndiffusion): 270*nm, # (difftap.3) - #(l_ndiffusion, l_outline): 270/2*nm, # (difftap.3) - (l_pdiffusion, l_ndiffusion): 270*nm, # (difftap.3) - #(l_pdiffusion, l_outline): 270/2*nm, # (difftap.3) - (l_pdiffusion, l_pdiffusion): 270*nm, # (difftap.3) - (l_ndiffusion, l_poly_contact): 190*nm, # (licon.14) - (l_pdiffusion, l_poly_contact): 190*nm, # (licon.14) + (l_ndiffusion, l_ndiffusion): 360*nm, # DF.3a for 5V + #(l_ndiffusion, l_outline): 360/2*nm, # DF.3a for 5V + (l_pdiffusion, l_ndiffusion): 360*nm, # DF.3a for 5V + #(l_pdiffusion, l_outline): 360/2*nm, # DF.3a for 5V + (l_pdiffusion, l_pdiffusion): 360*nm, # DF.3a for 5V + (l_ndiffusion, l_poly_contact): 170*nm, # (CO.8) + (l_pdiffusion, l_poly_contact): 170*nm, # (CO.8) (l_nwell, l_nwell): 740*nm, # NW.2a - (l_nwell, l_pwell): 250*nm, # p_well not needed for SKY130, but lclayout uses it for sizing the wells! - (l_pwell, l_pwell): 1700*nm, # LPW.2a # If it would be the same potential, we could go down to 860*nm according to LPW.2b - (l_poly, l_ndiffusion): 75*nm, # (poly.4) - (l_poly, l_pdiffusion): 75*nm, # (poly.4) + (l_nwell, l_pwell): 0*nm, # NW.4 + (l_pwell, l_pwell): 860*nm, # LPW.2b # If it would be the same potential, we could go down to 860*nm according to LPW.2b, if it is different potential we would have to go up to 1.7 + #(l_poly, l_ndiffusion): 300*nm, # PL.5b This is only needed when the poly isn't rectangular, and it doesn't mean the poly that is directly on top of diffusion + #(l_poly, l_pdiffusion): 300*nm, # PL.5b (l_poly, l_poly): 240*nm, # PL.3a - #(l_poly, l_outline): 210/2*nm, # (poly.2) - (l_poly, l_pdiff_contact): 155*nm, # 55*nm # (licon.11) - (l_poly, l_ndiff_contact): 155*nm, # 55*nm # (licon.11) - (l_pdiff_contact, l_pdiff_contact): 250*nm, # CO.2a-CO.6 + #(l_poly, l_outline): 240/2*nm, # PL.3a + (l_poly, l_pdiff_contact): 150*nm, # CO.7 + (l_poly, l_ndiff_contact): 150*nm, # CO.7 + (l_pdiff_contact, l_pdiff_contact): 250*nm, # CO.2a-CO.6 #!!! HIER WEITERMACHEN #(l_pdiff_contact, l_outline): 270/2*nm, # (difftap.3) (l_ndiff_contact, l_ndiff_contact): 250*nm, # CO.2a-CO.6 #(l_ndiff_contact, l_outline): 270/2*nm, # (difftap.3) @@ -193,11 +194,11 @@ pin_layer = l_metal2 # lclayout.metal2 = sky130.metal1 # Power stripe layer -power_layer = l_metal1 # , l_metal2] # lclayout.metal2 = sky130.metal1 +power_layer = [l_metal1, l_metal2] # lclayout.metal2 = sky130.metal1 # Layers that can be connected/merged without changing the schematic. # This can be used to resolve spacing/notch violations by just filling the space. -connectable_layers = {l_nwell, l_pwell, l_poly} +connectable_layers = {l_nwell, l_pwell, l_poly, l_metal1} # Width of the gate polysilicon stripe. # is reused as the minimum_width for the l_poly layer gate_length_nmos = 500*nm # PL.2 @@ -219,7 +220,7 @@ # due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 # Routing pitch -routing_grid_pitch_x = unit_cell_width // 8 # // 4 +routing_grid_pitch_x = unit_cell_width // 2 # // 4 routing_grid_pitch_y = 135*nm # unit_cell_height // 8 // 2 # Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) @@ -257,9 +258,9 @@ # Side lengths of vias (square shaped). via_size = { - l_poly_contact: 220*nm, # CO.1 - l_ndiff_contact: 250*nm, # CO.1 + 2*CO.6 - l_pdiff_contact: 250*nm, # CO.1 + 2*CO.6 + l_poly_contact: 230*nm, # CO.1 + magic extensions 2*CO.6 + l_ndiff_contact: 230*nm, # CO.1 + magic extension 2*CO.6 + l_pdiff_contact: 230*nm, # CO.1 + magic extension 2*CO.6 l_via1: 260*nm, # Vn.1 #l_via2: 260*nm # Vn.1 } @@ -280,8 +281,8 @@ # Syntax: {(outer layer, inner layer): minimum enclosure, ...} minimum_enclosure = { # Via enclosure - (l_ndiffusion, l_ndiff_contact): 65*nm, # (CO.4) - (l_pdiffusion, l_pdiff_contact): 65*nm, # (CO.4) + (l_ndiffusion, l_ndiff_contact): 70*nm, # (CO.4) + (l_pdiffusion, l_pdiff_contact): 70*nm, # (CO.4) (l_poly, l_poly_contact): 80*nm, # (licon.8a) !!! OR (licon.4) ? (l_metal1, l_pdiff_contact): 80*nm, # (li.5) (l_metal1, l_ndiff_contact): 80*nm, # (li.5) @@ -290,7 +291,7 @@ (l_metal2, l_via1): 100*nm, # V1.4i # l_*well must overlap l_*diffusion - (l_nwell, l_pdiffusion): 430*nm, # (DF.7) # This causes notches, I am trying to get rid of them + (l_nwell, l_pdiffusion): 430*nm, # (DF.7) (l_pwell, l_ndiffusion): 430*nm, # (DF.7) (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment (l_abutment_box, l_pwell): 0, @@ -316,8 +317,8 @@ l_pdiffusion: 0.2025 * um * um, l_metal1: 0.1444 * um * um ,# Mn.3 #l_metal2: 0.1444 * um * um ,# Mn.3 - We don't need to enforce it here since that will be done by Openlane - l_nplus: 0.35 * um * um, #NP.8a - l_pplus: 0.35 * um * um, #PP.8a + #l_nplus: 0.35 * um * um, #NP.8a + #l_pplus: 0.35 * um * um, #PP.8a } # ROUTING # @@ -386,15 +387,15 @@ print("Minimum Spacing "+str(min_spacing[(l_poly_contact, l_poly_contact)])+" for poly_contact too small because of local interconnect, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+"+str(minimum_enclosure[(l_metal1, l_poly_contact)])+"+"+str(minimum_enclosure[(l_metal1, l_poly_contact)])+") Fixing minimum_spacing") min_spacing[(l_poly_contact, l_poly_contact)]=newmin -if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)]): - newmin=min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)] - print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of local interconnect, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+2*"+str(minimum_enclosure[(l_metal1, l_via1)])+") Fixing minimum_spacing") - min_spacing[(l_via1, l_via1)]=newmin +#if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)]): +# newmin=min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)] +# print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal1, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+2*"+str(minimum_enclosure[(l_metal1, l_via1)])+") Fixing minimum_spacing") +# min_spacing[(l_via1, l_via1)]=newmin -if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)]): - newmin=min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)] - print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal1, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal2,l_metal2)])+"+2*"+str(minimum_enclosure[(l_metal2, l_via1)])+") Fixing minimum_spacing") - min_spacing[(l_via1, l_via1)]=newmin +#if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)]): +# newmin=min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)] +# print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal2, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal2,l_metal2)])+"+2*"+str(minimum_enclosure[(l_metal2, l_via1)])+") Fixing minimum_spacing") +# min_spacing[(l_via1, l_via1)]=newmin From 2b9bef8fb8e8748bb8ecbe1f64352290042b3da6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 13 Apr 2023 00:12:45 +0200 Subject: [PATCH 518/673] Cleaning up DRC tcl files --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 6b7377f3..1e710043 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -96,7 +96,7 @@ clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) $(CELLS) #$(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.usage *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc *.done *.lef *_debug.oas *_debug.gds + $(RM) *.usage *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc *.done *.lef *_debug.oas *_debug.gds *.drc.tcl # ---------------------------------------------------------------- # CELL TARGETS From 853638ad7d29f324c0e81c2ffffd643976b3ccf5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 13 Apr 2023 00:13:29 +0200 Subject: [PATCH 519/673] Adding DRC Style support but then decided to change the default in the tech file Collission-free DRC TCL Script filenames Switching to debug mode to ensure correct working of DRC engine in magic --- Tools/perl/drcfix.pl | 27 ++++++++++++++++++++++----- 1 file changed, 22 insertions(+), 5 deletions(-) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 7eee5185..70db268c 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -2,7 +2,7 @@ if(scalar(@ARGV)<1) { - print "Usage: drcfix.pl problematic.mag [techfile.tech]\n"; + print "Usage: drcfix.pl problematic.mag [techfile.tech] [DRC rule deck]\n"; exit; } @@ -15,9 +15,10 @@ open IN,"<".$ARGV[0]; my $mag=$ARGV[0];$mag=~s/\.drc$/.mag/; $mag=~s/\.mag\.mag/\.mag/; my $output="corr_$mag"; +my $tcl=$mag; $tcl=~s/\.mag$/.drc.tcl/; my $mode=0; my $try=1; -my $debug=0; +my $debug=1; sub form($) { @@ -25,13 +26,15 @@ ($) } my $insert=""; - our $tech=$ARGV[1] || "../Tech/libresilicon.tech"; +our $drcstyle=$ARGV[2] || ""; #sub tryfix($) #{ print "Trying the fix on $mag:\nRuning magic ...\n"; + + my $todo=<magic-commands.tcl"; + open OUT,">$tcl"; print OUT $todo; close OUT; - system "magic -dnull -rcfile magic-commands.tcl -noconsole -T $tech"; + system "magic -dnull -rcfile $tcl -noconsole -T $tech"; } else { From 4a4ce06402fe1813c9c1dea7783e8d4bcac4d98d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 13 Apr 2023 00:15:35 +0200 Subject: [PATCH 520/673] Adding via cost displaying --- Tools/perl/paintgridusage.pl | 21 +++++++++++++++------ 1 file changed, 15 insertions(+), 6 deletions(-) diff --git a/Tools/perl/paintgridusage.pl b/Tools/perl/paintgridusage.pl index 038fa8ff..57aed763 100755 --- a/Tools/perl/paintgridusage.pl +++ b/Tools/perl/paintgridusage.pl @@ -6,8 +6,8 @@ open REPORT,"<$cellname.err"; #open REPIN,"<$cellname.log"; open MAGOUT,">$cellname.grid.mag"; -my $fac=50; -my $s=1; +my $fac=5; +my $s=5; my $t=2; while() { @@ -21,7 +21,7 @@ foreach(split(",",$1)) { $_=int($_/$fac); - print MAGOUT "<< met1 >>\nrect $_ -10 ".($_+$s)." $t\n"; + print MAGOUT "<< met1 >>\nrect $_ -100 ".($_+$s)." $t\n"; } } if($line=~m/Unused tracks \(y coordinates\): \[(.*?)\]/) @@ -29,7 +29,7 @@ foreach(split(",",$1)) { $_=int($_/$fac); - print MAGOUT "<< met1 >>\nrect -10 $_ $t ".($_+$s)."\n"; + print MAGOUT "<< met1 >>\nrect -100 $_ $t ".($_+$s)."\n"; } } if($line=~m/grid_xs: \[(.*?)\]/) @@ -37,7 +37,7 @@ foreach(split(",",$1)) { $_=int($_/$fac); - print MAGOUT "<< met2 >>\nrect $_ -20 ".($_+$s)." -11\n"; + print MAGOUT "<< met2 >>\nrect $_ -200 ".($_+$s)." -110\n"; } } if($line=~m/grid_ys: \[(.*?)\]/) @@ -45,9 +45,18 @@ foreach(split(",",$1)) { $_=int($_/$fac); - print MAGOUT "<< met2 >>\nrect -20 $_ -10 ".($_+$s)."\n"; + print MAGOUT "<< met2 >>\nrect -200 $_ -100 ".($_+$s)."\n"; } } + if($line=~m/via cost: (\d+) \((-?\d+),(-?\d+)\) (\w+)/) + { + my $x=int($2/$fac); + my $y=int($3/$fac); + next unless($4 eq "pdiff_contact"); + print "via cost $1 $2 $3 $4\n"; + print MAGOUT "<< ".($1?"via3":"met3")." >>\nrect $x $y ".($x+$s)." ".($y+$s)."\n"; + } + } } print MAGOUT $_; From ffe5cc464e90c5838d12118b08795b6e0b2b0eda Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 13 Apr 2023 00:16:37 +0200 Subject: [PATCH 521/673] Adding ERROR logfile visualisation tool --- Tools/perl/disp2svg.pl | 40 ++++++++++++++++++++++++++++++++++++++++ 1 file changed, 40 insertions(+) create mode 100644 Tools/perl/disp2svg.pl diff --git a/Tools/perl/disp2svg.pl b/Tools/perl/disp2svg.pl new file mode 100644 index 00000000..c20aebec --- /dev/null +++ b/Tools/perl/disp2svg.pl @@ -0,0 +1,40 @@ +#!/usr/bin/perl -w +use strict; + +my $data=<' + + +EOF +; +# +while($a=) #foreach my $a(split "\n",$data) +{ + if($a=~m/routing_nodes: \{(.*?)\}/) + { + foreach my $c (split('\), \(',$1)) + { + if($c=~m/(\d+), (\d+)/) + { + print "\n"; + } + } + } + if($a=~m/terminal_region: \((.*?)\)/) + { + my $d=$1; $d=~s/,/;/g; + my @l=split(';',$d); + print ""; + } +} + +print ""; From 247c106247c0e37b6edda88bb7c5bf883dcfc8ee Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 13 Apr 2023 00:18:35 +0200 Subject: [PATCH 522/673] Changing default DRC style to full --- Tech.GF180MCU/libresilicon.tech | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.GF180MCU/libresilicon.tech b/Tech.GF180MCU/libresilicon.tech index 821d5891..6bbe48ec 100644 --- a/Tech.GF180MCU/libresilicon.tech +++ b/Tech.GF180MCU/libresilicon.tech @@ -2202,7 +2202,7 @@ end drc - style drc variants (fast),(full),(routing) + style drc variants (full),(fast),(routing) scalefactor 50 From f28e8d814f696d2f18cc76e7fb6ac7ba1bfd758b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 13 Apr 2023 00:19:08 +0200 Subject: [PATCH 523/673] Correctly filling in resistances, using vertical poly routing to avoid DRC issues --- Tech.GF180MCU/librecell_tech.py | 52 ++++++++++++++++----------------- 1 file changed, 26 insertions(+), 26 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index a0e8e29e..38749f31 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -142,7 +142,7 @@ routing_layers = { l_ndiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. l_pdiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. - l_poly: '', + l_poly: 'v', l_metal1: 'hv', l_metal2: 'hv', } @@ -242,7 +242,7 @@ wire_width = { l_ndiffusion: 150*nm, # (difftap.1) l_pdiffusion: 150*nm, # (difftap.2) - l_poly: 150*nm, # (poly.1a) + l_poly: 390*nm, # (poly.1a) -> Magic requires 180nm -> But we want 390nm to avoid notches l_metal1: 230*nm, # Mn.1 l_metal2: 280*nm, # Mn.1 } @@ -304,7 +304,7 @@ minimum_notch = { l_ndiffusion: 130*nm, l_pdiffusion: 130*nm, - l_poly: 130*nm, + l_poly: 180*nm, l_metal1: 130*nm, l_metal2: 130*nm, l_nwell: 5*130*nm, @@ -329,30 +329,30 @@ # Routing edge weights per data base unit. weights_horizontal = { - l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" - l_pdiffusion: 197000, # (mohms/square) - l_poly: 48200*10, # (mohms/square) # 10 to avoid routing - l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) - l_metal2: 125, # SKY130_Metal1 + l_ndiffusion: 6300, # (mohms/square) + l_pdiffusion: 7000, # (mohms/square) + l_poly: 6300, # (mohms/square) + l_metal1: 90, # (mohms/square) + l_metal2: 90, # (mohms/square) } weights_vertical = { - l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" - l_pdiffusion: 197000, # (mohms/square) - l_poly: 48200*10, # (mohms/square) # 10 to avoid routing - l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) - l_metal2: 125, # SKY130_Metal1 + l_ndiffusion: 6300, # (mohms/square) + l_pdiffusion: 7000, # (mohms/square) + l_poly: 6300, # (mohms/square) + l_metal1: 90, # (mohms/square) + l_metal2: 90, # (mohms/square) } -viafactor = 1 +viafactor = 1000 # Via weights. via_weights = { - (l_metal1, l_ndiffusion): 15000*viafactor, # LICON - (l_metal1, l_pdiffusion): 15000*viafactor, # LICON - (l_metal1, l_poly): 15000*viafactor, # LICON - (l_metal1, l_metal2): 152000*viafactor, # MCON - (l_metal1, l_nplus): 15000*viafactor, # Contact to Well Taps, the value doesn't matter - (l_metal1, l_pplus): 15000*viafactor, + (l_metal1, l_ndiffusion): 6300*viafactor, # LICON + (l_metal1, l_pdiffusion): 5200*viafactor, # LICON + (l_metal1, l_poly): 5900*viafactor, # LICON + (l_metal1, l_metal2): 4500*viafactor, # MCON + (l_metal1, l_nplus): 6300*viafactor, # Contact to Well Taps, the value doesn't matter + (l_metal1, l_pplus): 5200*viafactor, } @@ -388,14 +388,14 @@ min_spacing[(l_poly_contact, l_poly_contact)]=newmin #if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)]): -# newmin=min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)] -# print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal1, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+2*"+str(minimum_enclosure[(l_metal1, l_via1)])+") Fixing minimum_spacing") -# min_spacing[(l_via1, l_via1)]=newmin +# newmin=min_spacing[(l_metal1,l_metal1)]+2*minimum_enclosure[(l_metal1, l_via1)] +# print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal1, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal1,l_metal1)])+"+2*"+str(minimum_enclosure[(l_metal1, l_via1)])+") Fixing minimum_spacing") +# min_spacing[(l_via1, l_via1)]=newmin #if( min_spacing[(l_via1, l_via1)] < min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)]): -# newmin=min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)] -# print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal2, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal2,l_metal2)])+"+2*"+str(minimum_enclosure[(l_metal2, l_via1)])+") Fixing minimum_spacing") -# min_spacing[(l_via1, l_via1)]=newmin +# newmin=min_spacing[(l_metal2,l_metal2)]+2*minimum_enclosure[(l_metal2, l_via1)] +# print("Minimum Spacing "+str(min_spacing[(l_via1, l_via1)])+" for via1 too small because of metal2, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_metal2,l_metal2)])+"+2*"+str(minimum_enclosure[(l_metal2, l_via1)])+") Fixing minimum_spacing") +# min_spacing[(l_via1, l_via1)]=newmin From b7966fd77944a772036bb39e0563b4151d90cc7b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 4 May 2023 13:01:53 +0200 Subject: [PATCH 524/673] Finalizing the buildreport for distribution --- GNUmakefile | 2 ++ 1 file changed, 2 insertions(+) diff --git a/GNUmakefile b/GNUmakefile index 3d2d7205..c1612fbb 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -90,6 +90,8 @@ help: .PHONY: dist dist: clean + echo 1 >Catalog/.done + cd Catalog && ../Tools/perl/buildreport.pl && cd .. $(ECHO) "---- build a tarball with all important files ----" $(TAR) -cvf $(PROJECT)_$(DATE).tgz $(DISTRIBUTION) echo $(PROJECT)_$(DATE).tgz has been written. From 7ded1733bf1563cc9e86936b31b535e9016e87af Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 May 2023 13:08:04 +0200 Subject: [PATCH 525/673] Removed errors for unavailable files --- Tools/perl/mag2svg.pl | 14 ++++++++------ 1 file changed, 8 insertions(+), 6 deletions(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 1d04eaca..f7724e75 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -18,16 +18,18 @@ sub initColors() { my $dir=$ENV{'MAGIC_DIR'} || "/usr/local/lib/magic/sys"; - open IN,"<$dir/mos.24bit.std.cmap"; - while() + if(open(IN,"<$dir/mos.24bit.std.cmap")) { - if(m/^(\d+)\s+(\d+)\s+(\d+)\s+(\d+)\s+(\w+)\s*$/) + while() { - $colors{$4}=sprintf("#%02X%02X%02X",$1,$2,$3); - #print "$4:$colors{$4}\n"; + if(m/^(\d+)\s+(\d+)\s+(\d+)\s+(\d+)\s+(\w+)\s*$/) + { + $colors{$4}=sprintf("#%02X%02X%02X",$1,$2,$3); + #print "$4:$colors{$4}\n"; + } } + close IN; } - close IN; for my $style (<$dir/mos.24bit.dstyle>) { open IN,"<$style"; From 2035468956005e179e79e9d24ad1239f5093d380 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 May 2023 14:08:18 +0200 Subject: [PATCH 526/673] Switched demoboard from bounding box to abutment --- Tools/perl/demoboard.pl | 9 ++++++++- 1 file changed, 8 insertions(+), 1 deletion(-) diff --git a/Tools/perl/demoboard.pl b/Tools/perl/demoboard.pl index 6b5479b3..4f598b29 100755 --- a/Tools/perl/demoboard.pl +++ b/Tools/perl/demoboard.pl @@ -45,6 +45,8 @@ ($$) our $magscale=undef; +our $usebbox=1; + foreach(@cells) { @@ -67,8 +69,13 @@ ($$) { $layer=$1; } + if(m/^string FIXED_BBOX (-?\d+) (-?\d+) (-?\d+) (-?\d+)$/ && $usebbox) + { + @mins=($2,$1); + @maxs=($4,$3); + } next if($layer eq "checkpaint"); - if(m/^rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/) + if(m/^rect (-?\d+) (-?\d+) (-?\d+) (-?\d+)/ && !$usebbox) { #print STDERR "$name min:@mins max:@maxs $_"; my @a=split " ",$_; From 2efdb6c562b24d4dd4c8d68999379080a4161d41 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 May 2023 14:08:43 +0200 Subject: [PATCH 527/673] Added nowindow to be safe --- Tools/perl/drcfix.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 70db268c..33d2a9f3 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -227,7 +227,7 @@ ($) } else { - open OUT,"|magic -dnull -noconsole -T $tech"; + open OUT,"|magic -dnull -noconsole -nowindow -T $tech"; print OUT $todo; close OUT; } From 171a1f9d679e49c9933757f23971bac002843ba0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 May 2023 14:09:18 +0200 Subject: [PATCH 528/673] Made it work without a X-Server --- Tools/perl/flatten.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/flatten.pl b/Tools/perl/flatten.pl index a9991e33..441bcf39 100755 --- a/Tools/perl/flatten.pl +++ b/Tools/perl/flatten.pl @@ -10,10 +10,10 @@ if(-f "$mag.mag") { - open MAGIC,"|magic -noconsole -nowindow -T $tech $mag"; + open MAGIC,"|magic -dnull -noconsole -nowindow -T $tech $mag"; unlink $flat; $flat=~s/\.mag$//i; # We need to remove the extension otherwise load will not work - print MAGIC "select\nexpand\nflatten $flat\nload $flat\nsave\n"; + print MAGIC "expand\nflatten $flat\nload $flat\nsave\n"; print MAGIC "exit\n"; close MAGIC; } From ec01dbd8676f66aa46d14f99269adaadfcbecf65 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 May 2023 14:10:28 +0200 Subject: [PATCH 529/673] Making it work without a X-Server --- Tools/perl/mag2svg.pl | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index f7724e75..49fcc08b 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -112,14 +112,15 @@ ($$) elsif(m/^use/ && !$usewarning) { close IN; # We dont need it anymore, we use a different approach now: - - open MAGIC,"|magic -noconsole -nowindow -T $tech $mag"; + #print STDERR "Running magic to flatten the file:\n"; + open MAGIC,"|magic -dnull -noconsole -nowindow -T $tech $mag"; my $flat="tmp".int(rand()*10000).".mag"; unlink $flat; $flat=~s/\.mag$//i; # We need to remove the extension otherwise load will not work - print MAGIC "select\nexpand\nflatten $flat\nload $flat\nsave\n"; + print MAGIC "expand\nflatten $flat\nload $flat\nsave\n"; print MAGIC "exit\n"; close MAGIC; + #print STDERR "magic flatten done.\n"; system "$0 $flat.mag $svg $tech"; unlink $flat; exit; From 86816cc7e1281f39db91fdda7f43a01a38c37841 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 May 2023 14:10:54 +0200 Subject: [PATCH 530/673] Added another output message for easing flow debugging --- Tools/perl/librecells.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 1059e32f..22024c85 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -270,6 +270,7 @@ ($) if(!defined($ENV{'CELL'})) { + print "WRAPUP STEP: Concatenating GDS files\n"; system_v "python3 ../Tools/python/concat4gds.py outputlib/*.gds"; } From ee4b671a474a124862ca9c65244c8ed7ae8b2740 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 May 2023 14:11:24 +0200 Subject: [PATCH 531/673] Fixed permission --- Tools/perl/disp2svg.pl | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 Tools/perl/disp2svg.pl diff --git a/Tools/perl/disp2svg.pl b/Tools/perl/disp2svg.pl old mode 100644 new mode 100755 From 9266744cca8db79ecb43f91089989b0a3e7b18b9 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 May 2023 15:56:42 +0200 Subject: [PATCH 532/673] Removing the wrong -nowindow option --- Tools/perl/flatten.pl | 4 ++-- Tools/perl/mag2svg.pl | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/Tools/perl/flatten.pl b/Tools/perl/flatten.pl index 441bcf39..4027f3dc 100755 --- a/Tools/perl/flatten.pl +++ b/Tools/perl/flatten.pl @@ -10,10 +10,10 @@ if(-f "$mag.mag") { - open MAGIC,"|magic -dnull -noconsole -nowindow -T $tech $mag"; + open MAGIC,"|magic -dnull -noconsole -T $tech $mag"; unlink $flat; $flat=~s/\.mag$//i; # We need to remove the extension otherwise load will not work - print MAGIC "expand\nflatten $flat\nload $flat\nsave\n"; + print MAGIC "select top cell\nexpand\nflatten $flat\nload $flat\nsave\n"; print MAGIC "exit\n"; close MAGIC; } diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 49fcc08b..59bc9226 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -113,11 +113,11 @@ ($$) { close IN; # We dont need it anymore, we use a different approach now: #print STDERR "Running magic to flatten the file:\n"; - open MAGIC,"|magic -dnull -noconsole -nowindow -T $tech $mag"; + open MAGIC,"|magic -dnull -noconsole -T $tech $mag"; my $flat="tmp".int(rand()*10000).".mag"; unlink $flat; $flat=~s/\.mag$//i; # We need to remove the extension otherwise load will not work - print MAGIC "expand\nflatten $flat\nload $flat\nsave\n"; + print MAGIC "select top cell\nexpand\nflatten $flat\nload $flat\nsave\n"; print MAGIC "exit\n"; close MAGIC; #print STDERR "magic flatten done.\n"; From bbf1b6b956b022099aada6c8e38a271e85008251 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 23 Jun 2023 19:36:58 +0200 Subject: [PATCH 533/673] Better checkmark --- Tools/perl/buildreport.pl | 28 ++++++++++++++-------------- 1 file changed, 14 insertions(+), 14 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index e6fb309b..32c29f30 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -76,7 +76,7 @@ print OUT ""; foreach(sort keys %inputs) { - print OUT ""; + print OUT ""; } print OUT "
FilenameDescription
".(-f "../Tech/$_"? "$_":"X$_")."$inputs{$_}
".(-f "../Tech/$_"? "$_":"X$_")."$inputs{$_}
"; @@ -134,18 +134,18 @@ $nsvgs++ if(-f $svgfile); print OUT "$b1$file$b2"; - print OUT "".(-f $file ? "":"X").""; - print OUT "".(-f $magfile ? "":"X").""; - print OUT "".(-f $svgfile ? "":"X").""; - print OUT "".(-f $schfile ? "":"X").""; - print OUT "".(-f $logfile ? "":"X").""; - print OUT "".(-f $errfile ? "":"X").""; - print OUT "".(-f $drcfile ? " $drccount":"X").""; - print OUT "".(-f $libfile ? "":"X").""; - print OUT "".(-f $leffile ? "":"X").""; - print OUT "".(-f $gdsfile ? "":"X").""; - print OUT "".(-f $spfile?"":"X").""; - print OUT "".(-f $spicefile?"":"X").""; + print OUT "".(-f $file ? "":"X").""; + print OUT "".(-f $magfile ? "":"X").""; + print OUT "".(-f $svgfile ? "":"X").""; + print OUT "".(-f $schfile ? "":"X").""; + print OUT "".(-f $logfile ? "":"X").""; + print OUT "".(-f $errfile ? "":"X").""; + print OUT "".(-f $drcfile ? " $drccount":"X").""; + print OUT "".(-f $libfile ? "":"X").""; + print OUT "".(-f $leffile ? "":"X").""; + print OUT "".(-f $gdsfile ? "":"X").""; + print OUT "".(-f $spfile?"":"X").""; + print OUT "".(-f $spicefile?"":"X").""; if(open LIB,"<$libfile") { @@ -214,7 +214,7 @@ print OUT ""; foreach(sort keys %outputs) { - print OUT ""; + print OUT ""; } print OUT "
FilenameDescription
".(-f $_? " $_":"X$_")."$outputs{$_}
".(-f $_? " $_":"X$_")."$outputs{$_}
"; From 9cf352de6311e0b4cc97677260df13d02ddd91ee Mon Sep 17 00:00:00 2001 From: thesourcerer8 Date: Mon, 24 Jul 2023 16:33:11 +0200 Subject: [PATCH 534/673] Update README.md Fixed the directoryname --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index fa28ba3c..1d09335d 100644 --- a/README.md +++ b/README.md @@ -72,7 +72,7 @@ While the generation is running you can continuously generate the build-report e bash ../Tools/reporter.sh ``` You can then view the build-report in the file buildreport.html -An example build report is available here: https://pdk.libresilicon.com/dist/StdCellLib_20200417/Catalog/buildreport.html +An example build report is available here: https://pdk.libresilicon.com/dist/StdCellLib_20200417_LS1U/Catalog/buildreport.html ### Generate Library Documentation From f2c5b677fc1a6269be597046bf88a9a5a9b7f52a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 10 Dec 2023 00:55:08 +0100 Subject: [PATCH 535/673] Adding documentation --- Tools/caravel/cells.pl | 2 ++ Tools/caravel/configgen.pl | 2 ++ Tools/caravel/deploy2caravel.sh | 5 +++++ Tools/caravel/feedback2mag.pl | 1 + Tools/caravel/fixup_lef.pl | 2 ++ Tools/caravel/fixup_mag.pl | 2 ++ Tools/caravel/fixup_sp.pl | 2 ++ Tools/caravel/generator.pl | 2 ++ Tools/caravel/iogenerator.pl | 3 +++ Tools/caravel/placement.pl | 2 ++ Tools/caravel/removeDRCcells.pl | 2 ++ Tools/caravel/removenl.pl | 2 ++ Tools/caravel/scale10.py | 1 + Tools/caravel/testgen.pl | 4 ++++ 14 files changed, 32 insertions(+) diff --git a/Tools/caravel/cells.pl b/Tools/caravel/cells.pl index 60141a12..5eb8b112 100755 --- a/Tools/caravel/cells.pl +++ b/Tools/caravel/cells.pl @@ -1,5 +1,7 @@ #!/usr/bin/perl -w +# Generates the Verilog code for a single caravel userspace module + my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl index 69e0f2db..af65079d 100755 --- a/Tools/caravel/configgen.pl +++ b/Tools/caravel/configgen.pl @@ -1,6 +1,8 @@ #!/usr/bin/perl -w use strict; +# Generates the configuration file for a single Caravel user space + my $lefs=join(" ",map { $ENV{'PWD'}."/".$_ } ); my $gds =join(" ",map { $ENV{'PWD'}."/".$_ } ); my $libs=join(" ",map { $ENV{'PWD'}."/".$_ } ); diff --git a/Tools/caravel/deploy2caravel.sh b/Tools/caravel/deploy2caravel.sh index 7fac3d24..1861a75e 100755 --- a/Tools/caravel/deploy2caravel.sh +++ b/Tools/caravel/deploy2caravel.sh @@ -1,6 +1,8 @@ #!/bin/bash #CARAVEL=/media/philipp/Daten/skywater/caravel-stdcelllib-stdcells +# Builds a single Caravel User Space + if [ -z "$CARAVEL" ] then echo "Environment variables not found, please run '. env.sh' to define them." @@ -66,4 +68,7 @@ cd $CARAVEL echo "Now building the Caravel user-project" make user_proj_example && make user_project_wrapper + +echo "Now we should git submit ..." + echo "Deployment done."; diff --git a/Tools/caravel/feedback2mag.pl b/Tools/caravel/feedback2mag.pl index 036e154a..9b4d689c 100755 --- a/Tools/caravel/feedback2mag.pl +++ b/Tools/caravel/feedback2mag.pl @@ -1,3 +1,4 @@ +print STDERR "Convert feedback to a .mag file\n"; my $example=<1,"mcon"=>1,"locali"=>1,"metal1"=>1); diff --git a/Tools/caravel/fixup_mag.pl b/Tools/caravel/fixup_mag.pl index ce84d714..9e614836 100755 --- a/Tools/caravel/fixup_mag.pl +++ b/Tools/caravel/fixup_mag.pl @@ -1,6 +1,8 @@ #!/usr/bin/perl -w use strict; +print STDERR "Fixing up .mag files\n"; + my $magictech=$ARGV[0] || "sky130A"; foreach my $mag (<*.mag>) diff --git a/Tools/caravel/fixup_sp.pl b/Tools/caravel/fixup_sp.pl index df2af344..cc1f1ea8 100755 --- a/Tools/caravel/fixup_sp.pl +++ b/Tools/caravel/fixup_sp.pl @@ -1,6 +1,8 @@ #!/usr/bin/perl -w use strict; +print STDERR "Fixing up .SP files for a Caravel\n"; + sub readfile($) { if(open MYRIN,"<$_[0]") diff --git a/Tools/caravel/generator.pl b/Tools/caravel/generator.pl index 313ae47b..99fe819b 100755 --- a/Tools/caravel/generator.pl +++ b/Tools/caravel/generator.pl @@ -2,6 +2,8 @@ my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; +print STDERR "Generates Verilog for user_proj_example\n"; + print STDERR "Warning: environment variable CARAVEL not defined! Please define it.\n" unless (-d $ENV{'CARAVEL'}."/cells/mag/"); diff --git a/Tools/caravel/iogenerator.pl b/Tools/caravel/iogenerator.pl index fa62cb42..4bf69448 100755 --- a/Tools/caravel/iogenerator.pl +++ b/Tools/caravel/iogenerator.pl @@ -1,4 +1,7 @@ #!/usr/bin/perl -w +use strict; + +print STDERR "Generating IO configuration\n"; my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; diff --git a/Tools/caravel/placement.pl b/Tools/caravel/placement.pl index 41f8f93e..bab0901e 100755 --- a/Tools/caravel/placement.pl +++ b/Tools/caravel/placement.pl @@ -1,5 +1,7 @@ #!/usr/bin/perl -w +print STDERR "Generates macro placement file for all cells - not needed\n"; + my $width=0.48; my $height=3.33; diff --git a/Tools/caravel/removeDRCcells.pl b/Tools/caravel/removeDRCcells.pl index 53599cff..dec9908d 100755 --- a/Tools/caravel/removeDRCcells.pl +++ b/Tools/caravel/removeDRCcells.pl @@ -1,5 +1,7 @@ #!/usr/bin/perl -w +print STDERR "Remove cells that have DRC errors from the Caravel so that we only have good cells on the Caravel\n"; + foreach my $mag (<*.mag>) { my $cell=$mag; $cell=~s/\.mag$//; diff --git a/Tools/caravel/removenl.pl b/Tools/caravel/removenl.pl index 5e49e779..c03d08f9 100755 --- a/Tools/caravel/removenl.pl +++ b/Tools/caravel/removenl.pl @@ -1,5 +1,7 @@ #!/usr/bin/perl -w +print STDERR "Fixups for the LIBERTY files\n"; + open IN,"; diff --git a/Tools/caravel/scale10.py b/Tools/caravel/scale10.py index 75dab9d6..57b2cca2 100755 --- a/Tools/caravel/scale10.py +++ b/Tools/caravel/scale10.py @@ -1,6 +1,7 @@ import glob import gdsfactory as gf +# Rescale GDS files for a in glob.glob("*.gds"): print(a) diff --git a/Tools/caravel/testgen.pl b/Tools/caravel/testgen.pl index 8bd6f867..641e3ab8 100755 --- a/Tools/caravel/testgen.pl +++ b/Tools/caravel/testgen.pl @@ -1,4 +1,8 @@ #!/usr/bin/perl -w +use strict; + +print STDERR "Generating testbench firmware file for Caravel to test all the functions (this is a ATPG)\n"; + open IN,"<$ARGV[0]"; print < Date: Sun, 10 Dec 2023 00:59:52 +0100 Subject: [PATCH 536/673] Rename tool --- Tools/perl/{divimp.pl => charter2caravel.pl} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename Tools/perl/{divimp.pl => charter2caravel.pl} (100%) diff --git a/Tools/perl/divimp.pl b/Tools/perl/charter2caravel.pl similarity index 100% rename from Tools/perl/divimp.pl rename to Tools/perl/charter2caravel.pl From 4b31abf6c26c03be6a629c96fb0b3b0f40b02109 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 10 Dec 2023 02:16:53 +0100 Subject: [PATCH 537/673] Improved Verilog format for multiple outputs --- Tools/perl/truthtable.pl | 1 + 1 file changed, 1 insertion(+) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index a7d22552..2e9817ca 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -385,6 +385,7 @@ sub truth print "!(".join($format eq "liberty"?"|":" || ",@list).")"; } print $format eq "liberty" ? "\";\n }":" "; + print $format eq "verilog" ? "\n":""; # TODO: We should try more functional representations like AOI, OAI, OR, NOR and see which one is the shortest representation } From 82d6a32d02c8b42cc46e9af595bca39f6c7c0437 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 10 Dec 2023 02:23:20 +0100 Subject: [PATCH 538/673] Added rules for truthtables --- Catalog/GNUmakefile | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 1e710043..6ffa5026 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -224,6 +224,15 @@ test2: %.svg : %.mag perl ../Tools/perl/mag2svg.pl $@ +%.truthtable.v : %.cell + perl ../Tools/perl/truthtable.pl --format=verilog $^ >$@ + +%.truthtable.txt : %.cell + perl ../Tools/perl/truthtable.pl --format=text $^ >$@ + +%.truthtable.html : %.cell + perl ../Tools/perl/truthtable.pl --format=html $^ >$@ + .PHONY: cell cell: $(CELL) From 3043ca349aca0862d12158fa131578b95a15c53d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 10 Dec 2023 14:22:27 +0100 Subject: [PATCH 539/673] Moved to gf180mcuD --- Tech.GF180MCU/Makefile | 4 ++-- Tech.GF180MCU/caravel-env.sh | 6 +++--- Tech.GF180MCU/librecell_tech.py | 2 +- Tech.GF180MCU/libresilicon.tech | 2 +- 4 files changed, 7 insertions(+), 7 deletions(-) diff --git a/Tech.GF180MCU/Makefile b/Tech.GF180MCU/Makefile index e1b946c0..f8ce621d 100644 --- a/Tech.GF180MCU/Makefile +++ b/Tech.GF180MCU/Makefile @@ -1,4 +1,4 @@ -libresilicon.tech: /usr/local/share/pdk/gf180mcuC/libs.tech/magic/gf180mcuC.tech - perl ../Tools/perl/drcexpander.pl /usr/local/share/pdk/gf180mcuC/libs.tech/magic/gf180mcuC.tech >libresilicon.tech +libresilicon.tech: /usr/local/share/pdk/gf180mcuD/libs.tech/magic/gf180mcuD.tech + perl ../Tools/perl/drcexpander.pl /usr/local/share/pdk/gf180mcuD/libs.tech/magic/gf180mcuD.tech >libresilicon.tech diff --git a/Tech.GF180MCU/caravel-env.sh b/Tech.GF180MCU/caravel-env.sh index 73a6df43..ebee37af 100644 --- a/Tech.GF180MCU/caravel-env.sh +++ b/Tech.GF180MCU/caravel-env.sh @@ -3,8 +3,8 @@ export STDCELLLIB="../" #e#xport CARAVEL="/home/philipp/libresilicon/StdCellLib/Catalog/gf180_stdcelllib_1" #e#xport CARAVEL_ROOT="/home/philipp/libresilicon/StdCellLib/Catalog/gf180_stdcelllib_1/caravel" export PDK_ROOT=/home/philipp/.volare -export PDK="gf180mcuC" +export PDK="gf180mcuD" export STD_CELL_LIBRARY="gf180mcu_fd_sc_mcu9t5v0" export STD_CELL_LIBRARY_OPT="gf180mcu_fd_sc_mcu9t5v0" -export CARAVEL_BRANCH="gfmpw-0d" -export KLAYOUT_HOME=/home/philipp/.volare/gf180mcuC/libs.tech/klayout +export CARAVEL_BRANCH="gfmpw-1c" +export KLAYOUT_HOME=/home/philipp/.volare/gf180mcuD/libs.tech/klayout diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index 38749f31..d1aaa10d 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -110,7 +110,7 @@ # Define a list of output writers. output_writers = [ MagWriter( - tech_name='gf180mcuC', + tech_name='gf180mcuD', scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). output_map=output_map_magic, magscale=[1,10] diff --git a/Tech.GF180MCU/libresilicon.tech b/Tech.GF180MCU/libresilicon.tech index 6bbe48ec..3e6afa97 100644 --- a/Tech.GF180MCU/libresilicon.tech +++ b/Tech.GF180MCU/libresilicon.tech @@ -11,7 +11,7 @@ #---------------------------------------------------------- tech format 34 - gf180mcuC + gf180mcuD end version From 825a8f2962238c254f13932f0ff4b63df34dd472 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 10 Dec 2023 14:23:20 +0100 Subject: [PATCH 540/673] Tech file improvements --- Tech.SKY130/librecell_tech.py | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 0d85de4a..8170a651 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -27,7 +27,7 @@ my_nwell_label = (64, 5) my_nwell_pin = (64, 16) # (64, 0) !!! both GDS definitions were found in the Skywater documentation, please check which are correct. -# my_pwell = (64, 13) # This layer is only used for resistors, which we dont need here +my_pwell = (64, 13) # This layer is only used for resistors, which we dont need here my_poly = (66, 20) # poly silicium for gates -> poly + ntransistor + ptransistor my_poly_gate = (66, 9) # poly gates? Why do we have a second layer for gates? @@ -59,7 +59,7 @@ l_ndiffusion: my_diffusion, l_pdiffusion: my_diffusion, l_nwell: my_nwell, # [my_nwell, my_nwell2], # Map l_nwell to two output layers. - #l_pwell: my_pwell, # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. + l_pwell: my_pwell, # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. l_poly: my_poly, l_poly_contact: my_licon1, l_pdiff_contact: my_licon1, @@ -91,6 +91,7 @@ output_map_magic = { l_nwell: 'nwell', + l_pwell: 'pwell', l_via1: 'viali', l_poly: 'poly', l_abutment_box: ['abutment'], @@ -195,7 +196,7 @@ pin_layer = l_metal2 # lclayout.metal2 = sky130.metal1 # Power stripe layer -power_layer = [l_metal1, l_metal2] # lclayout.metal2 = sky130.metal1 +power_layer = l_metal1 # , l_metal2] # lclayout.metal2 = sky130.metal1 # Layers that can be connected/merged without changing the schematic. # This can be used to resolve spacing/notch violations by just filling the space. From 3638f121c480c3aa6045bd5a914ae7c439027875 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 10 Dec 2023 14:23:56 +0100 Subject: [PATCH 541/673] New Caravel configuration for Sky130 --- Tech.SKY130/caravel-env.sh | 10 ++++++++++ 1 file changed, 10 insertions(+) create mode 100644 Tech.SKY130/caravel-env.sh diff --git a/Tech.SKY130/caravel-env.sh b/Tech.SKY130/caravel-env.sh new file mode 100644 index 00000000..2cfa5267 --- /dev/null +++ b/Tech.SKY130/caravel-env.sh @@ -0,0 +1,10 @@ +export STDCELLLIB="../" +#e#xport OPENLANE_ROOT="/home/philipp/libresilicon/StdCellLib/Catalog/sky130_stdcelllib_1/dependencies/openlane_src" +#e#xport CARAVEL="/home/philipp/libresilicon/StdCellLib/Catalog/sky130_stdcelllib_1" +#e#xport CARAVEL_ROOT="/home/philipp/libresilicon/StdCellLib/Catalog/sky130_stdcelllib_1/caravel" +export PDK_ROOT=/home/philipp/.volare +export PDK="sky130A" +export STD_CELL_LIBRARY="sky130_fd_sc_lp" +export STD_CELL_LIBRARY_OPT="sky130_fd_sc_lp" +export CARAVEL_BRANCH="skympw-8d" +export KLAYOUT_HOME=/home/philipp/.volare/sky130A/libs.tech/klayout From f018f8365606748df157ce064ce9b91be53b5b14 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 10 Dec 2023 14:24:43 +0100 Subject: [PATCH 542/673] Improved the cell selection --- Tools/perl/essential.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/essential.pl b/Tools/perl/essential.pl index dd9ba988..f80baf84 100755 --- a/Tools/perl/essential.pl +++ b/Tools/perl/essential.pl @@ -1,6 +1,6 @@ #!/usr/bin/perl -w -my @cells=qw(AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell AAAOI333.cell AAOAOI33111.cell AAOI22.cell AAOOAAOI2224.cell AOAAOI2124.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND2.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell); +my @cells=qw(AAAOI222.cell AAAOI333.cell AAOI22.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND2.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOI224.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell); foreach my $cell(@cells) { From 69b449328c9c210db46d677be46a922a64fea386 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 10 Dec 2023 14:25:01 +0100 Subject: [PATCH 543/673] Added warnings for empty truthtables --- Tools/perl/dummychar.pl | 9 ++++++++- 1 file changed, 8 insertions(+), 1 deletion(-) diff --git a/Tools/perl/dummychar.pl b/Tools/perl/dummychar.pl index 163608a4..126aa18d 100755 --- a/Tools/perl/dummychar.pl +++ b/Tools/perl/dummychar.pl @@ -96,7 +96,14 @@ direction: output; EOF ; - print $funcs{$_}."\n"; + if(!defined($funcs{$_})) + { + print STDERR "Warning: In the cell $cell the output $_ does not have a defined function in the $cell.truthtable.v ! Use this cell on your own risk.\n"; + } + else + { + print $funcs{$_}."\n"; + } print < Date: Sun, 10 Dec 2023 14:25:27 +0100 Subject: [PATCH 544/673] Updating to newer Tapeout configuration Moving to new EFabless git --- Tools/perl/charter2caravel.pl | 48 ++++++++++++++++++++++++++++++----- 1 file changed, 41 insertions(+), 7 deletions(-) diff --git a/Tools/perl/charter2caravel.pl b/Tools/perl/charter2caravel.pl index 24cfadbc..ee7cb0a5 100755 --- a/Tools/perl/charter2caravel.pl +++ b/Tools/perl/charter2caravel.pl @@ -4,6 +4,7 @@ my $maxios=38+128-2; # How many IOs does one Caravel have? my $maxdesigns=1; # How many Caravels do you want to use maximum? our $githubuser=$ENV{'GITHUB_USER'} || "thesourcerer8"; # GitHub Username for the Repository URL +our $efablessuser=$ENV{'EFABLESS_USER'} || "philippguehring"; # EFabless GIT username our $CARAVEL=""; our @repos=(); our %assigned=(); @@ -24,8 +25,19 @@ } close IN; -my $magictech=$ENV{'PDK'} || "gf180mcuC"; # MAGIC Technology name (.tech filename) -my $branch=$ENV{'CARAVEL_BRANCH'} || "gfmpw-0d"; # Git Branch for the Caravel User Project +my $magictech=$ENV{'PDK'} || "gf180mcuD"; # MAGIC Technology name (.tech filename) +$ENV{'PDK'}=$magictech; + +sub getCellLibrary($) +{ + return "gf180mcu_fd_sc_mcu9t5v0" if($_[0]=~m/gf180/i); + return "sky130_fd_sc_hd" if($_[0]=~m/sky130/i); + return ""; +} + +my $celllibrary=getCellLibrary($ENV{'PDK'}); + +my $branch=$ENV{'CARAVEL_BRANCH'} || "gfmpw-1c"; # Git Branch for the Caravel User Project sub system_v($) { @@ -42,6 +54,8 @@ ($) sub nextgroup($) { $CARAVEL="gf180_stdcelllib_$_[0]"; + $CARAVEL="sky130_stdcelllib_$_[0]" if($ENV{'PDK'}=~m/sky130/i); + if($ngroups>=$maxdesigns) { print STDERR "Stopping at the defined limit of maximum $maxdesigns designs.\n"; @@ -92,7 +106,7 @@ ($) $ENV{'CARAVEL'}=$ENV{'PWD'}."/$CARAVEL"; # =$(pwd) $ENV{'CARAVEL_ROOT'}=$ENV{'PWD'}."/$CARAVEL/caravel"; $ENV{'PDK_ROOT'}=$ENV{'PDK_ROOT'} || ($ENV{'PWD'}."/$CARAVEL/dependencies/pdks"); # =$(readlink -f $(pwd)/../pdk ) - $ENV{'PDK'}="gf180mcuC"; + #$ENV{'PDK'}="gf180mcuD"; $ENV{'MCW_ROOT'}=$ENV{'PWD'}."/$CARAVEL/mgmt_core_wrapper"; #$ENV{'PATH'}.=#export PATH=$PATH:$(readlink -f $(pwd)../openlane_summary/ ) print "Writing Environment file for easy debugging, just \"source env.sh\" when you need it:\n"; @@ -105,7 +119,7 @@ ($) my $pdk=$ENV{'PDK'}; - my $foundry=($pdk=~m/^sky/)?"SkyWater":($pdk=~m/^gf/)?"GlobalFoundries":($pdk=~m/^ls/)?"LibreSilicon":($pdk=~m/^tsmc/i)?"TSMC":"Unknown foundry"; + my $foundry=($pdk=~m/^sky/i)?"SkyWater":($pdk=~m/^gf/i)?"GlobalFoundries":($pdk=~m/^ls/i)?"LibreSilicon":($pdk=~m/^tsmc/i)?"TSMC":"Unknown foundry"; open OUT,">$CARAVEL/info.yaml"; print OUT <$CARAVEL/verilog/dv/stdcells/stdcells.c"; chdir "../../"; @@ -269,6 +283,8 @@ ($) } system_v "make dist"; + if(0) + { system_v "git add cells env.sh verilog/rtl/user_proj_cells.v verilog/rtl/user_proj_example.v openlane/user_proj_example/* info.yaml verilog/dv/stdcells"; system_v "git commit -m \"Automatically generated files\""; system_v "git add -u ."; @@ -277,12 +293,25 @@ ($) system_v "git remote remove origin"; system_v "git remote add origin git\@github.com:$githubuser/$CARAVEL.git"; system_v "echo git push origin HEAD:main -f"; + } + else + { + #system_v "git clone ssh://git\@repositories.efabless.com/$efablessuser/$CARAVEL.git"; + system_v "git remote add origin ssh://git\@repositories.efabless.com/$efablessuser/$CARAVEL.git"; + #system_v "cd gf180_stdcelllib_1"; + #system_v "git checkout -b main"; + system_v "touch README.rst"; + system_v "git add README.rst"; + system_v "git commit -m \"Add README file\""; + system_v "echo git push -u origin main"; + + } chdir ".."; } print "Selecting first group:\n"; nextgroup($group); -my @cells=qw(AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell AAAOI333.cell AAOAOI33111.cell AAOI22.cell AAOOAAOI2224.cell AOAAOI2124.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND2.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell); +my @cells=qw(NAND2.cell AAAOI333.cell AAOAOI33111.cell AAOI22.cell AAOOAAOI2224.cell AOAAOI2124.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell); push @cells,<*.cell>; my %seen=(); @@ -294,6 +323,11 @@ ($) my $thisios=0; my $cn=$cell; $cn=~s/\.cell$//; next if(-f "cn.dontuse"); + if(! -s "$cell.truthtable.v"); + { + print "The cell $cell has an empty and unusable truthtable.\n"; + next; + } if(-f "outputlib/$cn.gds") { open IN,"<$cell"; From a42c08aad5c3d96ff38c6855c3b28d34d5ce8b21 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 14:35:34 +0100 Subject: [PATCH 545/673] Adding CharLib 1.0 support --- Tools/perl/gencharlibyml.pl | 82 +++++++++++++++++++++++++++++++++++++ 1 file changed, 82 insertions(+) create mode 100644 Tools/perl/gencharlibyml.pl diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl new file mode 100644 index 00000000..501a672d --- /dev/null +++ b/Tools/perl/gencharlibyml.pl @@ -0,0 +1,82 @@ +#!/usr/bin/perl -w + +open OUT,">libresilicon-charlib.yml"; + + +print OUT <) +{ + open IN,"<$cell"; + my $cn=$cell; $cn=~s/\.cell$//; + print OUT " $cn:\n"; + print OUT " netlist: $cn.sp\n"; + while() + { + if(/^\.inputs (.*?)\s*$/) + { + my $ins=$1; $ins=~s/ /,/g; + print OUT " inputs: [$ins]\n"; + } + if(/^\.outputs (.*?)\s$/) + { + my $outs=$1; $outs=~s/ /,/g; + print OUT " outputs: [$outs]\n"; + } + } + close IN; + + if(open(IN,") + { + if(m/SIZE\s+(\d+\.?\d*)\s+BY\s+(\d+\.\d*)/) + { + my $area=int($1*$2*100); + print OUT " area: $area\n"; + } + } + close IN; + } + if(open(IN,"<$cn.truthtable.v")) + { + print OUT " functions:\n"; + while() + { + s/function: //; s/\&\&/\&/g; s/\|\|/\|/g; + print OUT " - $_"; + } + close IN; + } + +} From 7b47b8531a4482ddded5ef6359e13b5ce8476f23 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 16:33:23 +0100 Subject: [PATCH 546/673] Improving the Liberty functions --- Tools/perl/dummychar.pl | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/Tools/perl/dummychar.pl b/Tools/perl/dummychar.pl index 126aa18d..7dab6d35 100755 --- a/Tools/perl/dummychar.pl +++ b/Tools/perl/dummychar.pl @@ -66,7 +66,9 @@ { if(m/(\w+) = ((.*))$/) { - $funcs{$1}=" function: \"".$2."\";"; + my ($pin,$func)=($1,$2); + $func=~s/ //g; $func=~s/\&\&/\&/g; $func=~s/\|\|/\|/g; + $funcs{$pin}=" function: \"".$func."\";"; } } close IN; From cabc0cd7b23d20f9b403fb2355ff6534183ccaad Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 16:33:50 +0100 Subject: [PATCH 547/673] Upgrading support for CharLib 1.0 --- Tools/perl/librecells.pl | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 22024c85..db0c7f80 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -247,8 +247,9 @@ ($) step("NEXT STEP: Characterization with CharLib:"); - system_v("python3 ../Tools/python/gen_CharLib.py"); - $cmd="python3 CharLib.py -b CharLib.cmd"; # Which Path should we use for CharLib? + # system_v("python3 ../Tools/python/gen_CharLib.py"); # Old CharLib and Libretto support + system_v("perl ../Tools/perl/gencharlibyml.pl"); + $cmd="charlib run ."; # Which Path should we use for CharLib? step("NEXT STEP: Visualisation"); From 357e31b817b2d4d776cb614577228cddffc3d5d8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 16:34:04 +0100 Subject: [PATCH 548/673] Making it executable --- Tools/perl/gencharlibyml.pl | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 Tools/perl/gencharlibyml.pl diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl old mode 100644 new mode 100755 From ff40bcd6abe8ef0fbfafef10959dc1b34e0e8876 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 16:34:27 +0100 Subject: [PATCH 549/673] Fixed the config.json/config.tcl confusion Fixed the EXTRA_LEFS issue --- Tools/perl/charter2caravel.pl | 78 +++++++++++++++++++++-------------- 1 file changed, 46 insertions(+), 32 deletions(-) diff --git a/Tools/perl/charter2caravel.pl b/Tools/perl/charter2caravel.pl index ee7cb0a5..6511002a 100755 --- a/Tools/perl/charter2caravel.pl +++ b/Tools/perl/charter2caravel.pl @@ -166,6 +166,39 @@ ($) mkdir "$CARAVEL/dependencies",0777; chdir "$CARAVEL"; + system_v "perl ../../Tools/caravel/iogenerator.pl >verilog/rtl/user_defines.v"; + + + chdir "cells/lef"; + step("fixup_lef $CARAVEL"); + system "perl ../../../../Tools/caravel/fixup_lef.pl ../../../../Tech/libresilicon.tech"; + chdir "../../../"; + chdir "$CARAVEL/cells/mag"; + step("fixup_mag $CARAVEL"); + system "perl ../../../../Tools/caravel/fixup_mag.pl ../../../../Tech/libresilicon.tech" if($magictech eq "sky130A"); + chdir "../../../"; + chdir "$CARAVEL/cells/sp"; + step("fixup_sp $CARAVEL"); + system "perl ../../../../Tools/caravel/fixup_sp.pl ../../../../Tech/libresilicon.tech"; + chdir "../../../"; + chdir "$CARAVEL/cells/gds"; + step("fixup_gds $CARAVEL"); + #system "python3 ../../../../Tools/caravel/scale10.py"; + chdir "../../../"; + + + chdir "$CARAVEL/cells/lib"; + step("libertymerge"); + system_v "libertymerge -b ../../../libresilicon.libtemplate -o libresilicon.lib -u *.lib"; + step("removenl"); + system "perl ../../../../Tools/caravel/removenl.pl >new.lib"; + rename "libresilicon.lib","libresilicon.lib.orig"; + rename "new.lib","libresilicon.lib"; + chdir "../../../"; + + step("config"); + chdir $CARAVEL; + system_v "perl ../../Tools/caravel/configgen.pl >openlane/user_proj_example/config.json"; @@ -191,6 +224,8 @@ ($) set ::env(DESIGN_NAME) user_proj_example +set ::env(EXTRA_LEFS) "\$::env(DESIGN_DIR)/../../cells/lef/*.lef" + set ::env(VERILOG_FILES) "\$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \$::env(DESIGN_DIR)/../../verilog/rtl/user_proj_example.v" set ::env(DESIGN_IS_CORE) 0 @@ -228,35 +263,10 @@ ($) EOF ; close OUT; + rename "openlane/user_proj_example/config.tcl","openlane/user_proj_example/config.tcl.old"; - system_v "perl ../../Tools/caravel/iogenerator.pl >verilog/rtl/user_defines.v"; - chdir "cells/lef"; - step("fixup_lef $CARAVEL"); - system "perl ../../../../Tools/caravel/fixup_lef.pl $magictech"; - chdir "../../../"; - chdir "$CARAVEL/cells/mag"; - step("fixup_mag $CARAVEL"); - system "perl ../../../../Tools/caravel/fixup_mag.pl $magictech" if($magictech eq "sky130A"); - chdir "../../../"; - chdir "$CARAVEL/cells/sp"; - step("fixup_sp $CARAVEL"); - system "perl ../../../../Tools/caravel/fixup_sp.pl $magictech"; - chdir "../../../"; - chdir "$CARAVEL/cells/gds"; - step("fixup_gds $CARAVEL"); - #system "python3 ../../../../Tools/caravel/scale10.py"; - chdir "../../../"; - - - chdir "$CARAVEL/cells/lib"; - step("libertymerge"); - system_v "libertymerge -b ../../../libresilicon.libtemplate -o libresilicon.lib -u *.lib"; - step("removenl"); - system "perl ../../../../Tools/caravel/removenl.pl >new.lib"; - system "mv new.lib libresilicon.lib"; - chdir "../../../"; step("generator"); chdir $CARAVEL; system "perl ../../Tools/caravel/generator.pl >verilog/rtl/user_proj_example.v"; @@ -267,14 +277,16 @@ ($) step("verification"); mkdir "verilog/dv/stdcells",0755; + mkdir "verilog/dv/cocotb",0755; system "cp ../../Tools/caravel/stdcells_tb.v verilog/dv/stdcells/"; system "cp verilog/dv/io_ports/Makefile verilog/dv/stdcells/" if(-f "verilog/dv/io_ports/Makefile"); chdir "cells/cell"; - system_v "perl ../../../Tools/perl/testgen.pl >$CARAVEL/verilog/dv/stdcells/stdcells.c"; + system_v "perl ../../../../Tools/perl/testgen.pl >../../verilog/dv/stdcells/stdcells.c"; chdir "../../"; - - step("make user_proj_example"); + + step("make setup"); system_v "make setup"; + step("make user_proj_example"); system_v "make user_proj_example && make user_project_wrapper"; if($doverification) { @@ -297,6 +309,7 @@ ($) else { #system_v "git clone ssh://git\@repositories.efabless.com/$efablessuser/$CARAVEL.git"; + system_v "git remote rename origin upstream"; system_v "git remote add origin ssh://git\@repositories.efabless.com/$efablessuser/$CARAVEL.git"; #system_v "cd gf180_stdcelllib_1"; #system_v "git checkout -b main"; @@ -311,8 +324,9 @@ ($) print "Selecting first group:\n"; nextgroup($group); -my @cells=qw(NAND2.cell AAAOI333.cell AAOAOI33111.cell AAOI22.cell AAOOAAOI2224.cell AOAAOI2124.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell); -push @cells,<*.cell>; +# Too complex cells:AAOAOI33111.cell AAOOAAOI2224.cell AOAAOI2124.cell OAAAOI2132.cell OAAOAOI21311.cell OAAOI224.cell OAOOAAOI21132.cell AAAAOI3322.cell AAAOAI3221.cell AAAOAOI33311.cell AAAOI222.cell +my @cells=qw(NAND2.cell AAAOI333.cell AAOI22.cell AOAI221.cell AOI21.cell ASYNC1.cell ASYNC2.cell ASYNC3.cell INV.cell MARTIN1989.cell MUX2.cell MUX3.cell MUX4.cell MUX8.cell NAND3.cell NAND4.cell NOR2.cell NOR3.cell NOR4.cell OAI41.cell OOOOAI3332.cell OR4.cell sutherland1989.cell vanberkel1991.cell ); +#push @cells,<*.cell>; my %seen=(); print "Adding all the cells onboard the Caravels:\n"; @@ -323,7 +337,7 @@ ($) my $thisios=0; my $cn=$cell; $cn=~s/\.cell$//; next if(-f "cn.dontuse"); - if(! -s "$cell.truthtable.v"); + if(! -s "$cn.truthtable.v") { print "The cell $cell has an empty and unusable truthtable.\n"; next; From 19fad969dd8c7044f67910d0f517593d7efcd4cd Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 16:35:11 +0100 Subject: [PATCH 550/673] Fixed the commas --- Tools/caravel/cells.pl | 19 +++++++++++-------- 1 file changed, 11 insertions(+), 8 deletions(-) diff --git a/Tools/caravel/cells.pl b/Tools/caravel/cells.pl index 5eb8b112..fc7b34d8 100755 --- a/Tools/caravel/cells.pl +++ b/Tools/caravel/cells.pl @@ -36,6 +36,7 @@ open CELL,"<$cell"; print "module $name(\n"; + my $counter=0; while() { if(m/^\.inputs (.*)/) @@ -43,7 +44,8 @@ foreach my $inp(sort split " ",$1) { my $io=$nextio++; - print " inout $inp, // input\n"; + print " ".($counter?",":"")."inout $inp // input $io\n"; + $counter++; } } if(m/^\.outputs (.*)/) @@ -51,7 +53,8 @@ foreach my $outp(sort split " ",$1) { my $io=$nextio++; - print " inout $outp, // output\n"; + print " ".($counter?",":"")."inout $outp // output $io\n"; + $counter++; } } @@ -60,19 +63,19 @@ print " \`ifdef USE_POWER_PINS\n"; if($ENV{'PDK'}=~m/^gf180mcu/i) { - print " inout vdd, // cell power supply\n"; - print " inout vss // cell ground supply\n"; + print " ,inout vdd // cell power supply\n"; + print " ,inout vss // cell ground supply\n"; } elsif($ENV{'PDK'}=~m/^sky130/i) { - print " inout VPWR, // cell power supply\n"; - print " inout VGND // cell ground supply\n"; + print " ,inout VPWR // cell power supply\n"; + print " ,inout VGND // cell ground supply\n"; } else { print STDERR "WARNING: Environment variable \$PDK is not defined, therefore we can only guess the names of the power pins to be vdd/vss!\n"; - print " inout vdd, // cell power supply\n"; - print " inout vss // cell ground supply\n"; + print " ,inout vdd // cell power supply\n"; + print " ,inout vss // cell ground supply\n"; } print " \`endif\n"; print ");\n"; From 447d2c6d934e69113c48cf4a979815f11f816b49 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 16:35:28 +0100 Subject: [PATCH 551/673] Fixed the EXTRA_LEFS --- Tools/caravel/configgen.pl | 13 +++++++------ 1 file changed, 7 insertions(+), 6 deletions(-) diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl index af65079d..75722455 100755 --- a/Tools/caravel/configgen.pl +++ b/Tools/caravel/configgen.pl @@ -3,22 +3,24 @@ # Generates the configuration file for a single Caravel user space -my $lefs=join(" ",map { $ENV{'PWD'}."/".$_ } ); -my $gds =join(" ",map { $ENV{'PWD'}."/".$_ } ); -my $libs=join(" ",map { $ENV{'PWD'}."/".$_ } ); +my $lefs=join("\",\"",map { $ENV{'PWD'}."/".$_ } ); +my $gds =join("\",\"",map { $ENV{'PWD'}."/".$_ } ); +my $libs=join("\",\"",map { $ENV{'PWD'}."/".$_ } ); my $verilog=$ENV{'PWD'}."/verilog/rtl/user_proj_cells.v"; # "EXTRA_LIBS": ["dir::../../cells/lib/libres*.lib"], # print STDERR "lefs: $lefs\ngds: $gds\nlibs: $libs\nverilog: $verilog\n"; +#"CLOCK_TREE_SYNTH": 0, + print < Date: Mon, 11 Dec 2023 16:35:49 +0100 Subject: [PATCH 552/673] Assigned unused IOs --- Tools/caravel/generator.pl | 43 +++++++++++++++++++++++++++++++------- 1 file changed, 36 insertions(+), 7 deletions(-) diff --git a/Tools/caravel/generator.pl b/Tools/caravel/generator.pl index 99fe819b..4e0dcb7c 100755 --- a/Tools/caravel/generator.pl +++ b/Tools/caravel/generator.pl @@ -19,7 +19,7 @@ */ module user_proj_example #( - parameter BITS = 32 + /* parameter BITS = 32 */ )( `ifdef USE_POWER_PINS EOF @@ -82,10 +82,17 @@ output [`MPRJ_IO_PADS-1:0] io_oeb, // IRQ - output [2:0] irq, + output [2:0] irq ); + wire [`MPRJ_IO_PADS-1:0] io_in_wire; + assign io_in_wire=io_in; + + wire [127:0] la_data_in_wire; + assign la_data_in_wire=la_data_in; + + // IRQ assign irq = 3'b000; // Unused @@ -98,6 +105,8 @@ our $conf=""; my $MPRJ_IO_PADS=38; +my %driven=(); + foreach my $mag() { next if((-s $mag)<=50); @@ -117,7 +126,7 @@ print " \.VGND(vssd1),\n"; print " `endif\n"; - + my $counter=0; while() { if(m/^\.inputs (.*)/) @@ -127,15 +136,17 @@ my $io=$nextio++; if($io<$MPRJ_IO_PADS) { - print " \.$inp(io_in[$io]),\n"; + print " ".($counter?', ':'')."\.$inp(io_in_wire[$io])\n"; $conf.="assign io_oeb[$io] = 1'b1;\n"; $inout{"io$io"}="ioin"; + $counter++; } else { my $la=$io-$MPRJ_IO_PADS; - print " \.$inp(la_data_in[$la]),\n"; + print " ".($counter?', ':'')."\.$inp(la_data_in_wire[$la])\n"; $inout{"io$io"}="lain"; + $counter++; } } } @@ -146,23 +157,41 @@ my $io=$nextio++; if($io<$MPRJ_IO_PADS) { - print " \.$outp(io_out[$io]),\n"; + print " ".($counter?', ':'')."\.$outp(io_out[$io])\n"; + $driven{"io_out[$io]"}=1; $conf.="assign io_oeb[$io] = 1'b0;\n"; $inout{"io$io"}="ioout"; + $counter++; } else { my $la=$io-$MPRJ_IO_PADS; - print " \.$outp(la_data_out[$la]),\n"; + print " ".($counter?', ':'')."\.$outp(la_data_out[$la])\n"; + $driven{"la_data_out[$la]"}=1; $inout{"io$io"}="laout"; + $counter++; } } } } close CELL; + print ");\n"; } + + foreach(0 .. 127) + { + print "assign la_data_out[$_] = 1'b0;\n" if(!defined($driven{"la_data_out[$_]"})); + } + foreach(0 .. $MPRJ_IO_PADS-1) + { + print "assign io_out[$_] = 1'b0;\nassign io_oeb[$_] =1'b0;\n" if(!defined($driven{"io_out[$_]"})); + } + + print "assign wbs_ack_o = 1'b1;\n"; + print "assign wbs_dat_o = 32'b0;\n"; + print $conf; print "endmodule\n"; print "`default_nettype wire\n"; From 22777d826b1465b153e30720270f2b6e35fddbd2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 16:36:48 +0100 Subject: [PATCH 553/673] We dont need to remove the newlines anymore --- Tools/caravel/removenl.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/caravel/removenl.pl b/Tools/caravel/removenl.pl index c03d08f9..a56fede2 100755 --- a/Tools/caravel/removenl.pl +++ b/Tools/caravel/removenl.pl @@ -9,7 +9,7 @@ #$content=~s/"\s*\n/"/gs; #$content=~s/;\s*\n/;/gs; -$content=~s/\n//gs; +#$content=~s/\n//gs; # Why did we remove the newlines? - it now works without removing them $content=~s/\\//gs; From 0266808c9d1cbec33dd654ea89f67ca76163b1c2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 21:07:56 +0100 Subject: [PATCH 554/673] Adding charter target --- Catalog/GNUmakefile | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 6ffa5026..6c86bf64 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -233,6 +233,10 @@ test2: %.truthtable.html : %.cell perl ../Tools/perl/truthtable.pl --format=html $^ >$@ +.PHONY: charter +charter: + perl ../Tools/perl/charter2caravel.pl + .PHONY: cell cell: $(CELL) From 31f07d5e032da20989822256a8e5e69341296e6c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 21:08:19 +0100 Subject: [PATCH 555/673] More die space for the IOs --- Tools/caravel/configgen.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/caravel/configgen.pl b/Tools/caravel/configgen.pl index 75722455..6a257083 100755 --- a/Tools/caravel/configgen.pl +++ b/Tools/caravel/configgen.pl @@ -33,7 +33,7 @@ "CLOCK_PORT": "wb_clk_i", "CLOCK_NET": "counter.clk", "FP_SIZING": "absolute", - "DIE_AREA": "0 0 400 400", + "DIE_AREA": "0 0 700 700", "FP_PIN_ORDER_CFG": "dir::pin_order.cfg", "PL_BASIC_PLACEMENT": 0, "PL_TARGET_DENSITY": 0.55, From e0496788adc0d147e8dea465031908a8147d4dfc Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 21:08:43 +0100 Subject: [PATCH 556/673] More diespace for the IOs --- Tools/perl/charter2caravel.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/charter2caravel.pl b/Tools/perl/charter2caravel.pl index 6511002a..25b6b8d5 100755 --- a/Tools/perl/charter2caravel.pl +++ b/Tools/perl/charter2caravel.pl @@ -235,7 +235,7 @@ ($) set ::env(CLOCK_PERIOD) "24.0" set ::env(FP_SIZING) absolute -set ::env(DIE_AREA) "0 0 400 400" +set ::env(DIE_AREA) "0 0 700 700" set ::env(FP_PIN_ORDER_CFG) \$::env(DESIGN_DIR)/pin_order.cfg From 29ad309b9a8b7560bb62ef02a471af7c258da940 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 21:09:15 +0100 Subject: [PATCH 557/673] Modularized the SPICE PDK definitions --- Tools/perl/gencharlibyml.pl | 13 +++++++++++-- 1 file changed, 11 insertions(+), 2 deletions(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index 501a672d..fec5e2ca 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -28,7 +28,16 @@ name: VNW voltage: 3.3 cell_defaults: - models: [gf180.ngspice] + models: +EOF +; +# This is PDK dependent! +print OUT <) { - s/function: //; s/\&\&/\&/g; s/\|\|/\|/g; + s/function: //; s/\&\&/\&/g; s/\|\|/\|/g; s/ //g; print OUT " - $_"; } close IN; From 9690b076a70a7b3bfe13f2e5bbafbe9c90575eaa Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Mon, 11 Dec 2023 21:17:32 +0100 Subject: [PATCH 558/673] Added a welcome message --- Tools/perl/charter2caravel.pl | 13 +++++++++++++ 1 file changed, 13 insertions(+) diff --git a/Tools/perl/charter2caravel.pl b/Tools/perl/charter2caravel.pl index 25b6b8d5..a2b35c4d 100755 --- a/Tools/perl/charter2caravel.pl +++ b/Tools/perl/charter2caravel.pl @@ -13,6 +13,8 @@ my $totalios=0; my $group=1; +print "Chartering one or more Caravels ...\n"; + open IN,"<../Tech/caravel-env.sh"; print "Loading Caravel environment variables.\n"; while() @@ -265,6 +267,17 @@ ($) close OUT; rename "openlane/user_proj_example/config.tcl","openlane/user_proj_example/config.tcl.old"; + if(open(OUT,">>openlane/user_proj_example/pin_order.cfg")) + { + foreach(16..37) + { + print OUT "io_in\\[$_\\]\n"; + print OUT "io_out\\[$_\\]\n"; + print OUT "io_oeb\\[$_\\]\n"; + } + close OUT; + } + step("generator"); From 7365184d3e961237bce754d7afa0c427b917a5a7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 Apr 2024 01:00:40 +0200 Subject: [PATCH 559/673] Replacing the Tech directory with a symlink --- Tech/.gitignore | 1 - Tech/drc.lydrc | 103 -- Tech/librecell_tech.py | 279 ----- Tech/libresilicon.m | 14 - Tech/libresilicon.tech | 2242 ---------------------------------------- Tech/template.lef | 177 ---- Tech/transistor.sp | 1 - 7 files changed, 2817 deletions(-) delete mode 100644 Tech/.gitignore delete mode 100644 Tech/drc.lydrc delete mode 100644 Tech/librecell_tech.py delete mode 100644 Tech/libresilicon.m delete mode 100644 Tech/libresilicon.tech delete mode 100755 Tech/template.lef delete mode 100644 Tech/transistor.sp diff --git a/Tech/.gitignore b/Tech/.gitignore deleted file mode 100644 index c18dd8d8..00000000 --- a/Tech/.gitignore +++ /dev/null @@ -1 +0,0 @@ -__pycache__/ diff --git a/Tech/drc.lydrc b/Tech/drc.lydrc deleted file mode 100644 index fdb6f87b..00000000 --- a/Tech/drc.lydrc +++ /dev/null @@ -1,103 +0,0 @@ - - - - - drc - - - - false - false - - true - drc_scripts - tools_menu.drc.end - dsl - drc-dsl-xml - # Read about DRC scripts in the User Manual under "Design Rule Check (DRC)" -# These are the design rules for the Libresilicon.com 1um Process node: - -report("Libresilicon DRC Rules") - -active = input(1) -nwell = input(2) -pwell = input(2,7) -poly = input(3) -polycontact = input(4) -diffcontact = input(5) -contact = polycontact | diffcontact -metal1 = input(6) -via1 = input(7) -metal2 = input(8) -via2 = input(89) # TODO: CORRECT THE LAYER NUMBER WHEN THE LAYER EXISTS -metal3 = input(99) # TODO: CORRECT THE LAYER NUMBER WHEN THE LAYER EXISTS -abutment = input(200) -gate = poly & active -polyoveractive = poly & active -polyoverother = poly - active - -l=0.5.micron - -# Chapter 3: -pwell.width(10*l).output("pwell_min_width", "PWELL width violations") -nwell.width(10*l).output("nwell_min_width", "NWELL width violations") -# THE DOCUMENTATION SAYS ACTIVE.WIDTH >= 3*l but I think 2*l is better for now. -active.width(2*l).output("active_min_width", "ACTIVE width violations") -poly.width(2*l).output("poly_min_width", "POLY width violations") -polycontact.width(2*l).output("polycontact_min_width", "POLY CONTACT width violations") -diffcontact.width(2*l).output("diffcontact_min_width", "DIFF CONTACT width violations") -metal1.width(4*l).output("metal1_min_width", "METAL1 width violations") -via1.width(2*l).output("via1_min_width", "VIA1 width violations") -metal2.width(4*l).output("metal2_min_width", "METAL2 width violations") -via2.width(2*l).output("via2_min_width", "VIA2 width violations") -metal3.width(6*l).output("metal3_min_width", "METAL3 width violations") - -pwell.space(10*l).output("pwell_min_space", "PWELL space violations") -nwell.space(10*l).output("nwell_min_space", "NWELL space violations") -active.space(3*l).output("active_min_space", "ACTIVE space violations") -poly.space(2*l).output("poly_min_space", "POLY space violations") -polycontact.space(2*l).output("polycontact_min_space", "POLY CONTACT space violations") -diffcontact.space(2*l).output("diffcontact_min_space", "DIFF CONTACT space violations") -metal1.space(4*l).output("metal1_min_space", "METAL1 space violations") -via1.space(3*l).output("via1_min_space", "VIA1 space violations") -metal2.space(4*l).output("metal2_min_space", "METAL2 space violations") -via2.space(3*l).output("via2_min_space", "VIA2 space violations") -metal3.space(4*l).output("metal3_min_space", "METAL3 space violations") - -# Chapter 4.1 PWELL rules -# Can we detect the potential and define DRC rules based on same or different potential? - -pwell.separation(nwell,12*l).output("pwell_nwell_separation", "PWELL<->NWELL separation violations") - -# Chapter 4.5 ACTIVE rules -# TODO: The PDF says 6*l, but 2*l is more reasonable. -pwell.enclosing(active,2*l).output("pwell_active_enclosing", "Minimum ACTIVE surround by PWELL") -nwell.enclosing(active,2*l).output("nwell_active_enclosing", "Minimum ACTIVE surround by NWELL") - -# Chapter 4.6 POLY rules -polyoveractive.space(2*l).output("poly_active_separation","Minimum Spacing to POLY over ACTIVE") -poly.overlap(active,2*l).output("poly_active_overlap","Minimum Gate extension beyond ACTIVE") -active.overlap(poly,3*l).output("active_poly_overlap","Minimum ACTIVE extension beyond POLY") -poly.separation(active,1*l).output("poly_active_separation","Minimum Spacing of POLY to ACTIVE") -nwell.enclosing(poly,1*l).output("nwell_poly_enclosing","Minimum POLY surround by NWELL") -pwell.enclosing(poly,1*l).output("pwell_poly_enclosing","Minimum POLY surround by PWELL") - -# Chapter 4.8 CONTACT Rules -contact.overlap(poly|active,1*l).output("contact_polyactive_overlap","Minimum Overlap by POLY or ACTIVE") -contact.separation(gate,2*l).output("contact_gate_separation","Minimum Spacing to Gate") -polycontact.separation(poly,4*l).output("polycontact_poly_separation","Minimum Spacing of POLY CONTACT other POLY") -(active&contact).separation((poly&contact),4*l).output("activecontact_polycontact_separation","Minimum Spacing of POLY CONTACT to other POLY") - -# Chapter 4.9 METAL1 Rules -metal1.overlap(contact|via1,1*l).output("metal1_contact_overlap") -# I dont have an idea how put 2.7.4 into a Klayout design rule - -# Chapter 4.10 -via1.separation(contact,2*l).output("via1_contact_separation","Minimum Spacing to CONTACT") -via1.separation(poly|active,2*l).output("via1_polyactive_separation","Minimum Spacing to POLY or ACTIVE") - -# Chapter 4.11 -metal2.overlap(via1,1*l).output("metal2_via1_overlap","Minimum Overlap to VIA1") - - - diff --git a/Tech/librecell_tech.py b/Tech/librecell_tech.py deleted file mode 100644 index ed8bee5d..00000000 --- a/Tech/librecell_tech.py +++ /dev/null @@ -1,279 +0,0 @@ -from lclayout.layout.layers import * -from lclayout.writer.magic_writer import MagWriter -from lclayout.writer.lef_writer import LefWriter -from lclayout.writer.gds_writer import GdsWriter - -# Physical size of one data base unit in meters. -# Libresilicon: We wanted to choose 100nm, so 1 lambda is 5 units of 1e-7, so every lambda value has to be multiplied by 5 -# BUT GDS2 requires the database units to be in nanometers, and lclayout cannot convert to nanometers automatically yet -db_unit = 1e-9 - -# Lambda - how many db_units is 1 lambda? -l = 500 -um = 1000 - -# Scale transistor width. -transistor_channel_width_sizing = 1 - -# GDS2 layer numbers for final output. -my_ndiffusion = (1, 0) -my_pdiffusion = (1, 7) -my_nwell = (2, 0) -#my_nwell2 = (2, 1) # a copy of the nwell layer due to limitations of other tools we don't need -my_pwell = (2, 7) -my_poly = (3, 0) # poly silicium for gates -> poly + ntransistor + ptransistor -my_poly_contact = (4, 0) # Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" -my_diff_contact = (5, 0) # Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" -my_metal1 = (6, 0) -my_metal1_label = (6, 1) -my_metal1_pin = (6, 2) -my_via1 = (7, 0) -my_metal2 = (8, 0) -my_metal2_label = (8, 1) -my_metal2_pin = (8, 2) -my_abutment_box = (200, 0) - -# lclayout internally uses its own layer numbering scheme. -# For the final output the layers can be remapped with a mapping -# defined in this dictioinary. -output_map = { - l_ndiffusion: my_ndiffusion, - l_pdiffusion: my_pdiffusion, - l_nwell: my_nwell, # [my_nwell, my_nwell2], # Map l_nwell to two output layers. - l_pwell: my_pwell, # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. - l_poly: my_poly, - l_poly_contact: my_poly_contact, - l_diff_contact: my_diff_contact, - l_metal1: my_metal1, - l_metal1_label: my_metal1_label, - l_metal1_pin: my_metal1_pin, - l_via1: my_via1, - l_metal2: my_metal2, - l_metal2_label: my_metal2_label, - l_metal2_pin: my_metal2_pin, - l_abutment_box: my_abutment_box -} - -# Define a list of output writers. -output_writers = [ - MagWriter( - tech_name='scmos', - scale_factor=0.002, # Scale all coordinates by this factor (rounded down to next integer). - output_map={ - l_via1: 'm2contact', - l_poly: 'polysilicon', - l_abutment_box: ['fence'], - l_metal1: 'metal1', - l_metal2: 'metal2', - l_metal1_label: 'metal1', - l_metal2_label: 'metal2', - l_ndiffusion: 'ndiffusion', - l_pdiffusion: 'pdiffusion', - l_metal2_pin: 'metal2', - l_poly_contact: 'polycontact', - l_diff_contact: 'pdcontact' - } - ), - - LefWriter( - db_unit=db_unit, - output_map=output_map - ), - - GdsWriter( - db_unit=db_unit, - output_map=output_map - ) -] - -# Define how layers can be used for routing. -# Example for a layer that can be used for horizontal and vertical tracks: {'MyLayer1' : 'hv'} -# Example for a layer that can be contacted but not used for routing: {'MyLayer2' : ''} -routing_layers = { - l_ndiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. - l_pdiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. - l_poly: '', - l_metal1: 'hv', - l_metal2: 'hv', -} - -# Minimum spacing rules for layer pairs. -min_spacing = { - (l_ndiffusion, l_ndiffusion): 3*l, # 3 -> 3l - (l_pdiffusion, l_ndiffusion): 3*l, # 3 -> 3l - (l_pdiffusion, l_pdiffusion): 3*l, # 3 -> 3l - (l_ndiffusion, l_poly_contact): 4*l, # 2.6.6 -> 4l - (l_pdiffusion, l_poly_contact): 4*l, # 2.6.6 -> 4l - (l_nwell, l_nwell): 10*l, # 3 -> 10l - (l_nwell, l_pwell): 12*l, # 2.2.4->12l - (l_pwell, l_pwell): 10*l, # 3 -> 10l - #(l_poly, l_nwell): 10, # No rule? - (l_poly, l_ndiffusion): 1*l, # 2.4.6 -> 1l - (l_poly, l_pdiffusion): 1*l, # 2.4.6 -> 1l - (l_poly, l_poly): 1*l, # 3 POLY -> 2l XXX: TODO: THIS NEEDS TO BE INCREASED TO 2l BUT AT THE MOMENT IT WOULD BREAK THE ROUTING - (l_poly, l_diff_contact): 2*l, # The maximum "minimum spacing" from poly to anything else is 2l - (l_diff_contact, l_diff_contact): 2*l, # 3 -> 2l - (l_metal1, l_metal1): 4*l, # 3 METAL1 -> 4l # !!!! WARNING: Spacing to BigMetal (>=10um) needs to be 6l ! - (l_metal2, l_metal2): 4*l, # 3 METAL2 -> 4l - (l_via1, l_via1): 3*l, # 3 VIA1 -> 3l - (l_via1, l_diff_contact): 2*l, # 2.8.3 -> 2l - (l_via1, l_ndiffusion): 2*l, # 2.8.4 -> 2l - (l_via1, l_pdiffusion): 2*l, # 2.8.4 -> 2l - (l_poly_contact, l_diff_contact): 4*l, -} - -# Layer for the pins. -pin_layer = l_metal2 - -# Power stripe layer -power_layer = l_metal1 # Was recommended by leviathanch due to lesser resistance - -# Layers that can be connected/merged without changing the schematic. -# This can be used to resolve spacing/notch violations by just filling the space. -connectable_layers = {l_nwell, l_pwell} -# Width of the gate polysilicon stripe. -# is reused as the minimum_width for the l_poly layer -gate_length = 2*l # 2.4.1 -> 2l - -# Minimum length a polysilicon gate must overlap the silicon. -gate_extension = 2*l # 2.4.4 -> 2l - -# Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. -transistor_offset_y = 12*l - -# Standard cell dimensions. -# A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. -# `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. -unit_cell_width = 16 * l -unit_cell_height = 64 * l # minimum 16um due to pwell width + nwell-pwell spacing -assert unit_cell_height >= 16*um, "minimum 16um due to pwell width + nwell-pwell spacing" -# due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 - -# Routing pitch -routing_grid_pitch_x = unit_cell_width // 2 // 1 -routing_grid_pitch_y = 2*l # unit_cell_height // 8 // 2 - -# Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) -grid_offset_x = routing_grid_pitch_x -grid_offset_y = (routing_grid_pitch_y // 2 ) -0 - -# Width of power rail. -power_rail_width = 6*l -# Between 2 and 3 um - -# Minimum width of polysilicon gate stripes. -# I think this should be (extension over active) + (minimum width of active) + (extension over active) -# No, it seems to be something else. -# It increases w and l from the spice netlist, so it must be width from the spice netlist -minimum_gate_width_nfet = 2*l -minimum_gate_width_pfet = 2*l - -# Minimum width for pins. -minimum_pin_width = 2*l # 2l said leviathanch - -# Width of routing wires. -wire_width = { - l_ndiffusion: 2*l, - l_pdiffusion: 2*l, - l_poly: 2*l, # 2.4.1 -> 2l - l_metal1: 4*l, # 2.7.1 -> 4l - l_metal2: 4*l, # 2.9.1 -> 4l -} - -# Width of horizontal routing wires (overwrites `wire_width`). -wire_width_horizontal = { - l_ndiffusion: 2*l, - l_pdiffusion: 2*l, - l_poly: 2*l, # 2.4.1 -> 2l - l_metal1: 4*l, # 2.7.1 -> 4l - l_metal2: 4*l, # 2.9.1 -> 4l -} - -# Side lengths of vias (square shaped). -via_size = { - l_poly_contact: 2*l, # 2.6.1 -> 2l - l_diff_contact: 2*l, # 2.6.1 -> 2l - l_via1: 2*l # 2.8.1 -> 2l -# l_via2: 10 # 2.10.1 -> 2l librecell only goes to metal2, via2 would go to metal3 -} - -# Minimum width rules. -minimum_width = { - l_ndiffusion: 2*l, # 4 l - l_pdiffusion: 2*l, # 4 l - l_poly: gate_length, # 2.4.1-> 2l - l_metal1: 4*l, # 2.7.1 -> 4l - l_metal2: 4*l, # 2.9.1 -> 4l -} - -# Minimum enclosure rules. -# Syntax: {(outer layer, inner layer): minimum enclosure, ...} -minimum_enclosure = { - # Via enclosure - (l_ndiffusion, l_diff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's - (l_pdiffusion, l_diff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's - (l_poly, l_poly_contact): 1*l, # 2.6.2 -> 1l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT - (l_metal1, l_diff_contact): 1*l, # 2.7.3 -> 1l - (l_metal1, l_poly_contact): 1*l, # 2.7.3 -> 1l - (l_metal1, l_via1): 1*l,# 2.7.3 -> 1l - (l_metal2, l_via1): 1*l,# 2.9.3 -> 1l - - # l_*well must overlap l_*diffusion - (l_nwell, l_pdiffusion): 2*l, # 2.3.3 -> 2l - (l_pwell, l_ndiffusion): 2*l, # 2.3.3 -> 2l - (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment - (l_abutment_box, l_pwell): 0, -} - -# Minimum notch rules. -minimum_notch = { - l_ndiffusion: 1*l, - l_pdiffusion: 1*l, - l_poly: 1*l, - l_metal1: 1*l, - l_metal2: 1*l, - l_nwell: 1*l, - l_pwell: 1*l, -} - -# Minimum area rules. -min_area = { -# l_metal1: 100 * 100, -# l_metal2: 100 * 100, -} - -# ROUTING # - -# Cost for changing routing direction (horizontal/vertical). -# This will avoid creating zig-zag routings. -orientation_change_penalty = 100 - -# Routing edge weights per data base unit. -weights_horizontal = { - l_ndiffusion: 10000, - l_pdiffusion: 10000, - l_poly: 10, - l_metal1: 1, - l_metal2: 2, -} -weights_vertical = { - l_ndiffusion: 10000, - l_pdiffusion: 10000, - l_poly: 10, - l_metal1: 1, - l_metal2: 2, -} - -# Via weights. -via_weights = { - (l_metal1, l_ndiffusion): 500, - (l_metal1, l_pdiffusion): 500, - (l_metal1, l_poly): 500, - (l_metal1, l_metal2): 400 -} - -# Enable double vias between layers. -multi_via = { - (l_metal1, l_poly): 1, - (l_metal1, l_metal2): 1, -} diff --git a/Tech/libresilicon.m b/Tech/libresilicon.m deleted file mode 100644 index 473e6e0a..00000000 --- a/Tech/libresilicon.m +++ /dev/null @@ -1,14 +0,0 @@ -* This is a template NMOS model that should be further improved - -.model NMOS_VTL nmos level = 54 - -.model PMOS_VTL pmos level = 54 - -.model nmos nmos level = 54 - -.model pmos pmos level = 54 - -.model nfet nmos level = 54 - -.model pfet pmos level = 54 - diff --git a/Tech/libresilicon.tech b/Tech/libresilicon.tech deleted file mode 100644 index 62f426fb..00000000 --- a/Tech/libresilicon.tech +++ /dev/null @@ -1,2242 +0,0 @@ -# 1 "scmos.tech.out" -# 1 "" -# 1 "" -# 1 "/usr/include/stdc-predef.h" 1 3 4 -# 1 "" 2 -# 1 "scmos.tech.out" -# 351 "scmos.tech.out" -tech - format 33 - scmos -end - - - -version - version 0.1 - description "LibreSilicon process (1um)" -# 382 "scmos.tech.out" -end - -planes - well,w - implant,i - active,a - metal1,m1 - metal2,m2 - - - - metal3,m3 - metal4,m4 - oxide,ox -end - -types - - - well pwell,pw - well nwell,nw - well capwell,cwell,cw - well highvoltnwell,hvnwell,hnwell,hnw - well highvoltpwell,hvpwell,hpwell,hpw - active polysilicon,red,poly,p - active pbasepolysilicon,pbpoly,pbp - active nbasepolysilicon,nbpoly,nbp - active electrode,poly2,el,p2 - active capacitor,polycap,pcap,cap - active wellcapacitor,wellcap,wcap - active ndiffusion,ndiff,green - active pdiffusion,pdiff,brown - active highvoltndiffusion,hvndiff,hndiff - active highvoltpdiffusion,hvpdiff,hpdiff - metal1 metal1,m1,blue - metal2 metal2,m2,purple - metal3 metal3,m3,cyan - metal4 metcap,mcap - - - - active sonosntransistor,snfet - active sonosptransistor,spfet - active pbsonostransistor,pbsfet - active nbsonostransistor,nbsfet - active ntransistor,nfet - active ptransistor,pfet - active entransistor,enfet - active eptransistor,epfet - active doublentransistor,nfloating-gate,nfloatg,nfg,nffet - active doubleptransistor,pfloating-gate,pfloatg,pfg,pffet - active highvoltntransistor,hvnfet,hnfet - active highvoltptransistor,hvpfet,hpfet - active rntransistor,rnfet - active rptransistor,rpfet - - - - - active collector,coll,col,co,cl - active emitter,emit,em - - - well pbase,pb - well nbase,nb - well pnbase,pnb - well nwpbase,nwpb - well nwpnbase,nwpnb - - active pbasecontact,pbcontact,pbc - active pbasendiffusion,pbndiff,pbnd - active pbasepdiffusion,pbpdiff,pbpd - active pbasendiffcontact,pbndcontact,pbnc - active pbasepdiffcontact,pbpdcontact,pbpc - - active nbasecontact,nbcontact,nbc - active nbasendiffusion,nbndiff,nbnd - active nbasepdiffusion,nbpdiff,nbpd - active nbasendiffcontact,nbndcontact,nbnc - active nbasepdiffcontact,nbpdcontact,nbpc - - - - implant bccdiffusion,bd - active nbccdiffusion,nbd - - - active rndiffusion,rndiff,rnd - active rpdiffusion,rpdiff,rpd - active rpoly,rp,resistor,res - active polycontact,pcontact,polycut,pc - active pdpolycontact,pdpcontact,pdpolycut,pdpc - active ndpolycontact,ndpcontact,ndpolycut,ndpc - active ndcontact,ndiffcut,ndc - active pdcontact,pdiffcut,pdc - active highvoltndcontact,hndiffcut,hndc - active highvoltpdcontact,hpdiffcut,hpdc - active capcontact,ccontact,capc,cc - active electrodecontact,econtact,ec,poly2contact,p2c - active collectorcontact,colcontact,colc,coc,clc - active emittercontact,emitcontact,emc - active nbccdiffcontact,nbdc - metal1 m2contact,m2cut,m2c,via,v - - - - metal2 m3contact,m3cut,m3c,via2,v2 - - - - - - - active psubstratepcontact,ppcontact,ppc,pwcontact,pwc,psc - active nsubstratencontact,nncontact,nnc,nwcontact,nwc,nsc - active psubstratepdiff,ppdiff,pohmic,ppd,psd - active nsubstratendiff,nndiff,nohmic,nnd,nsd - - active highvoltpsubcontact,hpwcontact,hpsc - active highvoltnsubcontact,hnwcontact,hnsc - active highvoltpsubdiff,hpohmic,hpsd - active highvoltnsubdiff,hnohmic,hnsd - - - active nplusdoping,ndoping,ndop - active pplusdoping,pdoping,pdop - metal1 genericcontact,gcontact,gc - - - oxide substrateopen,subopen,open - oxide pdiffusionstop,pdiffstop,pstop - - - metal2 pad - oxide glass - metal3 silk - - active polyndiff,plndiff - active polypdiff,plpdiff - -end - -contact - - ec poly2 metal1 - cc cap metal1 - pc poly metal1 - - ndc ndiff metal1 - pdc pdiff metal1 - nsc nsd metal1 - psc psd metal1 - hndc hndiff metal1 - hpdc hpdiff metal1 - hnsc hnsd metal1 - hpsc hpsd metal1 - - clc col metal1 - emc emit metal1 - - - - - - - - nbdc nbd metal1 - - m2c metal1 metal2 - - - - m3c metal2 metal3 - - - - # MAGIC: stackable! - stackable -end - -styles - styletype mos - - - cwell 10 - nwell 12 - pwell 13 - hnwell 18 - hpwell 11 - - poly 1 - poly2 14 - - ndiff 2 - pdiff 4 - psd 5 - nsd 3 - hndiff 2 - hndiff 11 - hpdiff 4 - hpdiff 18 - hpsd 5 - hpsd 11 - hnsd 3 - hnsd 18 - ndop 2 - ndop 38 - pdop 4 - pdop 38 - - rnfet 6 - rpfet 6 - snfet 6 - spfet 6 - nbsfet 6 - pbsfet 6 - nfet 6 - nfet 7 - rnfet 6 - rnfet 7 - pfet 8 - pfet 9 - rpfet 8 - rpfet 9 - - enfet 6 - enfet 30 - - epfet 8 - epfet 31 - - - nffet 6 - nffet 7 - - nffet 30 - pffet 8 - pffet 9 - - pffet 31 - - hnfet 6 - hnfet 7 - hnfet 30 - - hpfet 8 - hpfet 9 - hpfet 31 - - - pbase pdop_stripes - pbc 15 - pbc 20 - pbc 32 - pbnd 2 - pbpd 4 - pbnc 2 - pbpc 4 - pbnc 32 - pbpc 32 - - - nbase ndop_stripes - nbc 20 - nbc 32 - nbnd 2 - nbpd 4 - nbnc 2 - nbpc 4 - nbnc 32 - nbpc 32 - - - pnbase ndop_stripes - nwpnbase ndop_stripes - - nwpbase pdop_stripes - - - emit 16 - emc 16 - emc 20 - emc 32 - - col 3 - clc 3 - clc 20 - clc 32 - - cap 1 - cap 14 - wcap 6 - wcap 10 - cc 1 - cc 14 - cc 20 - cc 32 - - metal1 20 - metal2 21 - metal3 22 - metcap 23 - - gc 19 - - pcontact 26 - pcontact 32 - ec 14 - ec 20 - ec 32 - - ndpc 32 - pdpc 32 - ndc 2 - ndc 20 - ndc 32 - pdc 4 - pdc 20 - pdc 32 - psc 5 - psc 20 - psc 32 - nsc 3 - nsc 20 - nsc 32 - - hndc 2 - hndc 20 - hndc 32 - hndc 11 - hpdc 4 - hpdc 20 - hpdc 32 - hpdc 18 - hpsc 5 - hpsc 20 - hpsc 32 - hpsc 11 - hnsc 3 - hnsc 20 - hnsc 32 - hnsc 18 - - m2contact 20 - m2contact 21 - m2contact 33 - m3contact 21 - m3contact 22 - m3contact 37 - - pad 20 - pad 21 - pad 33 - pad 34 - glass 34 - - bd 17 - nbd 17 - nbd 3 - nbdc 3 - nbdc 17 - nbdc 20 - nbdc 32 - - open 2 - open 20 - pstop 8 - - error_p 42 - error_s 42 - error_ps 42 - res poly_resist poly_resist_stripes - - rnd ntransistor_stripes - rpd ptransistor_stripes - - rnfet polysilicon poly_resist_stripes - rpfet polysilicon ptransistor_stripes - - plpdiff polysilicon ptransistor_stripes - plndiff polysilicon ntransistor_stripes - pbpoly polysilicon ptransistor_stripes - nbpoly polysilicon ntransistor_stripes - silk 47 -end -compose - - compose nfet poly hndiff - compose pfet poly hpdiff - compose nfet poly ndiff - compose pfet poly pdiff - compose hnfet poly2 hndiff - compose hpfet poly2 hpdiff - compose enfet poly2 ndiff - compose epfet poly2 pdiff - compose nffet nfet poly2 - compose pffet pfet poly2 - compose nffet enfet poly - compose pffet epfet poly - compose cap poly poly2 - - - - - - paint clc col clc - paint emc emit emc - - paint emc pbase emc - paint pbnd pbase pbnd - paint pbpd pbase pbpd - paint snfet pbase pbsfet - paint spfet pbase pbsfet - paint pbsfet pbase pbsfet - paint poly pbase pbpoly - paint pbpoly pbase pbpoly - paint ndiff pbase pbnd - paint pdiff pbase pbpd - paint ndc pbase pbnc - paint pdc pbase pbpc - paint pbpc pbase pbpc - paint pbnc pbase pbnc - paint ppc pbase pbpc - paint nnc pbase pbnc - - paint nbnd pbase nbnd - paint nbpd pbase nbpd - paint nbnc pbase nbnc - paint nbpc pbase nbpc - paint nbpoly pbase nbpoly - - paint emc nwpbase emc - paint pbnd nwpbase pbnd - paint pbpd nwpbase pbpd - paint snfet nwpbase pbsfet - paint spfet nwpbase pbsfet - paint pbsfet nwpbase pbsfet - paint poly nwpbase pbpoly - paint pbpoly nwpbase pbpoly - paint ndiff nwpbase pbnd - paint pdiff nwpbase pbpd - paint ndc nwpbase pbnc - paint pdc nwpbase pbpc - paint pbpc nwpbase pbpc - paint pbnc nwpbase pbnc - paint ppc nwpbase pbpc - paint nnc nwpbase pbnc - - paint nbnd nwpbase nbnd - paint nbpd nwpbase nbpd - paint nbnc nwpbase nbnc - paint nbpc nwpbase nbpc - paint nbpoly nwpbase nbpoly - - - paint nbnd nbase nbnd - paint nbpd nbase nbpd - paint snfet nbase nbsfet - paint spfet nbase nbsfet - paint nbsfet nbase nbsfet - paint poly nbase nbpoly - paint nbpoly nbase nbpoly - paint ndiff nbase nbnd - paint pdiff nbase nbpd - paint ndc nbase nbnc - paint pdc nbase nbpc - paint nbpc nbase nbpc - paint nbnc nbase nbnc - paint ppc nbase nbpc - paint nnc nbase nbnc - - - paint nwpbase nbase nwpnbase - paint nbnd nbase nbnd - paint pbase nbase pnbase - - paint nwpbase nwell nwpbase - paint pnbase nwell nwpnbase - paint nwpnbase nwell nwpnbase - - paint nwell pbase nwpbase - paint nbase pbase pnbase - paint nwpbase pbase nwpbase - - paint nwpnbase nwpbase nwpnbase - - - paint pbase nwell nwpbase - - - paint poly2 poly cap - paint poly poly2 cap - paint poly cap cap - paint poly2 cap cap - paint cap poly cap - paint cap poly2 cap - - - paint ec poly cc - - - - paint pdc pwell ndc - paint pfet pwell nfet - paint epfet pwell enfet - paint pffet pwell nffet - paint pdiff pwell ndiff - paint nsd pwell psd - paint nsc pwell psc - paint ndc nwell pdc - paint nfet nwell pfet - paint enfet nwell epfet - paint nffet nwell pffet - paint ndiff nwell pdiff - paint psd nwell nsd - paint psc nwell nsc - - paint pdc hpwell hndc - paint epfet hpwell hnfet - paint pffet hpwell hnfet - paint pdiff hpwell hndiff - paint nsd hpwell hpsd - paint nsc hpwell hpsc - paint ndc hnwell hpdc - paint enfet hnwell hpfet - paint nffet hnwell hpfet - paint ndiff hnwell hpdiff - paint psd hnwell hnsd - paint psc hnwell hnsc -# 919 "scmos.tech.out" - paint nfet cwell wcap - paint poly wcap wcap - paint ndiff wcap wcap - paint wcap poly wcap - paint wcap ndiff wcap - erase wcap poly ndiff - erase wcap ndiff poly - erase wcap cwell nfet - paint cwell nfet wcap active - erase wcap nfet cwell well - - - paint gc m1 gc - - - paint pad m1 pad - paint pad m2 pad - paint pad m3 pad - paint pad m2c pad - - - - - paint hpdc hpwell hndc - paint hpfet hpwell hnfet - paint hpdiff hpwell hndiff - paint hnsd hpwell hpsd - paint hnsc hpwell hpsc - paint hndc hnwell hpdc - paint hnfet hnwell hpfet - paint hndiff hnwell hpdiff - paint hpsd hnwell hnsd - paint hpsc hnwell hnsc - - paint hpdc pwell ndc - paint hpfet pwell enfet - paint hpdiff pwell ndiff - paint hnsd pwell psd - paint hnsc pwell psc - paint hndc nwell pdc - paint hnfet nwell epfet - paint hndiff nwell pdiff - paint hpsd nwell nsd - paint hpsc nwell nsc - -end - -connect - - - - - - - - nwell,nsc,nsd nwell,nsc,nsd - pwell,psc,psd pwell,psc,psd - - hnwell,hnsc,hnsd hnwell,hnsc,hnsd - hpwell,hpsc,hpsd hpwell,hpsc,hpsd - - ndiff,ndc/a cwell - - pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc - m2,m2c/m2,m3c/m2,pad m2,m2c/m2,m3c/m2,pad - m3,m3c/m3 m3,m3c/m3 - - poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly - poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet - - - - ndiff,ndc/a,ndop psd,psc/a,pdop,pstop - pdiff,pdc/a,pdop,pstop nsd,nsc/a,ndop - hndiff,hndc/a,ndop hpsd,hpsc/a,pdop,pstop - hpdiff,hpdc/a,pdop,pstop hnsd,hnsc/a,ndop - ndiff ndc - pdiff pdc - hndiff hndc - hpdiff hpdc - - nbd nbdc - - pbase pbc - collector clc,nwell - emitter emc - - gc ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a,nsd,nsc/a,psd,psc/a,hndiff,hndc/a,hpdiff,hpdc/a,metal1 - gc poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly - gc poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet - - pad pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc - pad m2,m2c/m2,m3c/m2,pad - pad m3,m3c/m3 - -end -# 1024 "scmos.tech.out" -cifoutput - - - - - - -# 1 "cif_template/objs/LSACIFout" 1 - - - style lambda=0.5(gen)(libresilicon) - scalefactor 50 5 - - templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet,rnfet,rpfet - - templayer silicide_stop rpoly,rnfet,rpfet,rpdiffusion,rndiffusion - grow 100 - - templayer nimplant ndiff,nfet,ndc,nnd,nnc,pbnc,pbnd,nbnc,nbnd,snfet,pbsfet,hnfet,hndc,hndiff,rndiff,rpdiff,rpfet,rnfet - - templayer nimplant_only rnd,plndiff,ndpc - grow 100 - - templayer pimplant pdiff,pfet,pdc,ppd,ppc,pbpc,pbpd,nbpc,nbpd,spfet,nbsfet,hpfet,hpdc,hpdiff - - templayer pimplant_only rpd,plpdiff,pdpc - grow 100 - - templayer contacts pc,pdc,ndc,ppc,nnc,pbc,ndpc,pdpc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,pc,pdc,ndc,ppc,nnc,pbc,hpdc,hndc - - templayer first_metal m1,contacts,m2c - - templayer first_via m2c - shrink 50 - - templayer second_metal m2,m2c,m3c - - templayer second_via m3c - shrink 50 - - templayer third_metal m3,m3c,pad,silk - - templayer pbase_parts pb,pbnc,pbpc,pbnd,pbpd,pbpoly,pbsfet,nwpnbase,nwpbase,pnbase,pbase - - templayer nbase_parts nb,nbnc,nbpc,nbnd,nbpd,nbpoly,nbsfet,nwpnbase,pnbase,nbase - - templayer sonos_parts snfet,spfet,nbsfet,pbsfet - - templayer nwell_parts nwell,pnbase,nwpnbase,nwpbase - - templayer pwell_parts pwell - - templayer implant_stop_parts rpoly - grow 100 - - templayer fox_selects nimplant,pimplant - - templayer fox_nimplant nimplant - grow 100 - - templayer fox_pimplant pimplant - grow 100 - - templayer sti_wells nwell_parts,pwell_parts - shrink 50 - - templayer sti_contacts psc,nsc - grow 50 - - layer CWN nwell_parts - calma 2 0 - - layer CWP pwell_parts - calma 3 0 - - layer CWP pbase_parts - calma 4 0 - - layer CWP nbase_parts - calma 5 0 - - layer STI sti_wells,sti_contacts - calma 6 0 - - layer FOX fox_selects - calma 7 0 - - layer CPG sonos_parts - grow 50 - calma 8 0 - - layer CPG poly_parts - calma 9 0 - - layer CPG implant_stop_parts - calma 10 0 - - layer CSN fox_nimplant,nimplant_only - calma 11 0 - - layer CSP fox_pimplant,pimplant_only - calma 12 0 - - layer CRG silicide_stop - calma 13 0 - - layer CCA contacts - shrink 50 - calma 14 0 - - layer CMF first_metal - calma 15 0 - - layer CVA first_via - calma 16 0 - - layer CMS second_metal - calma 17 0 - - layer CVS second_via - calma 18 0 - - layer CMT third_metal - calma 19 0 - - layer COG glass - calma 20 0 -# 1032 "scmos.tech.out" 2 -# 1050 "scmos.tech.out" - style plot - scalefactor 100 50 - layer CM2 m2,m2c/m2,pad/m2 - labels m2 - layer CM1 pad - grow 100 - or m1,m2c/m1,pc/m1,ndc/m1,pdc/m1,ppcont/m1,nncont/m1 - labels m1,m2c/m1,pc/m1,ndc/m1,pdc/m1,ppcont/m1,nncont/m1,pad/m1 - layer CP poly,pc/active,nfet,pfet - labels poly,nfet,pfet - layer CND ndiff,ndc,nfet,pwc,psd - labels ndiff - layer CPD pdiff,pdc,pfet,nwc,nsd - labels pdiff - layer CNP - bloat-or nsd,nwc * 150 ndiff,pdiff,ndc/active,pdc/active,ppcont/active,nncont/active,pfet,nfet,psd,nsd 0 - layer CPP - bloat-or psd,pwc * 150 ndiff,pdiff,ndc/active,pdc/active,ppcont/active,nncont/active,pfet,nfet,psd,nsd 0 - layer CV m2c - squares 100 200 300 - layer CC ndc,pdc,pc,pwc,nwc - squares 200 - layer CNW nwell - grow 400 - shrink 400 - layer CG pad - shrink 600 - or glass - labels glass - - -end -# 1093 "scmos.tech.out" -cifinput -# The following section is defined to be able to import GDS2 cells generated by librecell -# 1097 "scmos.tech.out" - style generic - scalefactor 100 - - templayer poly_parts polysilicon,polycontact,nfet,pfet,rpoly,polypdiff,polyndiff,ndpolycontact,pdpolycontact,rpdiffusion,rndiffusion,nbsfet,pbsfet,spfet,snfet,pbpoly,nbpoly,hnfet,hpfet - templayer silicide_stop rpoly,rpdiffusion,rndiffusion - templayer nimplant ndiff,nfet,ndc,nnd,nnc,pbnc,pbnd,nbnc,nbnd,snfet,pbsfet,hnfet,hndc,hndiff - templayer nimplant_only rnd,plndiff,ndpc - grow 100 - templayer pimplant pdiff,pfet,pdc,ppd,ppc,pbpc,pbpd,nbpc,nbpd,spfet,nbsfet,hpfet,hpdc,hpdiff - templayer pimplant_only rpd,plpdiff,pdpc - grow 100 - templayer contacts pc,pdc,ndc,ppc,nnc,pbc,ndpc,pdpc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,pc,pdc,ndc,ppc,nnc,pbc,hpdc,hndc - templayer first_metal m1,contacts,m2c - templayer first_via m2c - shrink 50 - templayer second_metal m2,m2c,m3c - templayer second_via m3c - shrink 50 - templayer third_metal m3,m3c,pad,silk - templayer pbase_parts pb,pbnc,pbpc,pbnd,pbpd,pbpoly,pbsfet,nwpnbase,nwpbase,pnbase,pbase - templayer nbase_parts nb,nbnc,nbpc,nbnd,nbpd,nbpoly,nbsfet,nwpnbase,pnbase,nbase - templayer sonos_parts snfet,spfet,nbsfet,pbsfet - templayer nwell_parts nwell,pnbase,nwpnbase,nwpbase - templayer pwell_parts pwell - templayer implant_stop_parts rpoly - grow 100 - templayer fox_selects nimplant,pimplant - templayer fox_nimplant nimplant - templayer fox_pimplant pimplant - templayer sti_wells nwell_parts,pwell_parts - templayer sti_contacts psc,nsc - - - layer pdiffusion pdiffusion - calma pdiffusion 1 0 - - layer nwell nwell_parts - calma nwell 2 0 - - layer pwell pwell_parts - calma pwell 2 7 - - layer poly poly - calma poly 3 0 - - layer polycontact polycontact - calma polycontact 4 0 - - layer ndcontact ndcontact - calma ndcontact 5 0 - - layer metal1 metal1 - calma metal1 6 0 - calma metal1 6 1 - labels metal1 - - - layer m2contact m2contact - calma m2contact 7 0 - labels metal2 - - layer metal2 metal2 - calma metal2 8 0 - calma metal2 8 1 - calma metal2 8 2 - labels metal2 - - layer pdcontact pdcontact - calma pdcontact 5 0 - - templayer abutment - calma abutment 200 0 - boundary - - -# 1111 "scmos.tech.out" -end - -mzrouter - style irouter - layer m2 32 64 256 1 - layer m1 64 32 256 1 - layer poly 128 128 512 1 - contact m2contact metal1 metal2 1024 - contact pcontact metal1 poly 2056 - notactive poly pcontact - style garouter - layer m2 32 64 256 1 - layer m1 64 32 256 1 - contact m2contact metal1 metal2 1024 -end - - - -drc -# 1143 "scmos.tech.out" - edge4way (~nwell)/w nwell 10 nwell nwell 10\ - "N-Well width must be at least 10 (MOSIS rule #1.1)" - edge4way (~pwell)/w pwell 10 pwell pwell 10\ - "P-Well width must be at least 10 (MOSIS rule #1.1)" -# 1163 "scmos.tech.out" - edge4way nwell (~nwell)/w 9 (~nwell)/w (~nwell)/w 9\ - "N-Well spacing must be at least 9 (MOSIS rule #1.2)" - edge4way pwell (~pwell)/w 9 (~pwell)/w (~pwell)/w 9\ - "P-Well spacing must be at least 9 (MOSIS rule #1.2)" -# 1202 "scmos.tech.out" - width ndiff,ndc/a,nfet,enfet,nffet,wcap 2 \ - "N-type Diffusion width must be at least 2" - width pdiff,pdc/a,pfet,epfet,pffet 2 \ - "P-type Diffusion width must be at least 2" - width nsd,nsc/a,psd,psc/a 2 \ - "Ohmic diffusion width must be at least 2" -# 1218 "scmos.tech.out" - spacing ndiff,ndc/a,nfet,enfet,nffet,wcap ndiff,ndc/a,nfet,enfet,nffet,wcap 3 touching_ok \ - "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" - spacing pdiff,pdc/a,pfet,epfet,pffet pdiff,pdc/a,pfet,epfet,pffet 3 touching_ok \ - "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" - spacing nsd,nsc/a nsd,nsc/a 3 touching_ok \ - "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" - spacing psd,psc/a psd,psc/a 3 touching_ok \ - "Diffusion spacing must be at least 3 (MOSIS rule #2.2)" - - - - - - - spacing ndiff,ndc/a pdiff,pdc/a 10 touching_illegal \ - "P-type diffusion must be 10 away from N-type diffusion (MOSIS rule #2.3a)" -# 1243 "scmos.tech.out" - spacing ndiff,ndc/a nsd,nsc/a 8 touching_illegal \ - "N-type diffusion must be 8 away from N-substrate contact (MOSIS rule #2.3a,4a)" - spacing pdiff,pdc/a psd,psc/a 8 touching_illegal \ - "P-type diffusion must be 8 away from P-substrate contact (MOSIS rule #2.3a,4a)" - - - - spacing nsd,nsc/a psd,psc/a 6 touching_illegal \ - "Opposite well contacts must be separated by 6 (MOSIS rule #2.4)" -# 1260 "scmos.tech.out" - spacing ndiff,ndc/a,nfet,enfet,nffet,wcap nwell 5 touching_illegal \ - "N-diffusion and N-well must be separated by 5 (MOSIS rule #2.3a)" - spacing pdiff,pdc/a,pfet,epfet,pffet pwell 5 touching_illegal \ - "P-diffusion and P-well must be separated by 5 (MOSIS rule #2.3a)" - - - - spacing nsd,nsc/a pwell 3 touching_illegal \ - "N-substrate diffusion and P-well must be separated by 3 (MOSIS rule #2.4)" - spacing psd,psc/a nwell 3 touching_illegal \ - "P-substrate diffusion and N-well must be separated by 3 (MOSIS rule #2.4)" - - - - - spacing ndiff,ndc/a,nfet,enfet,nffet,wcap psd,psc/a 4 touching_ok \ - "Opposite diffusion spacing must be at least 4 (MOSIS extension rule)" - spacing pdiff,pdc/a,pfet,epfet,pffet nsd,nsc/a 4 touching_ok \ - "Opposite diffusion spacing must be at least 4 (MOSIS extension rule)" - - - - - - - width poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet 2 \ - "Polysilicon width must be at least 2 (MOSIS rule #3.1)" - - - - - - - spacing poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet 2 touching_ok \ - "Polysilicon spacing must be at least 2 (MOSIS rule #3.2a)" - - - - edge4way nfet,pfet poly,pc/act 2 poly,pc/act 0 0 \ - "Poly must overhang transistor by at least 2 (MOSIS rule #3.3)" - - - edge4way nfet,enfet ndiff,ndc/a 3 ndiff,ndc/a,nfet,enfet,nffet,wcap ndiff,ndc/a 3 \ - "Diffusion must overhang transistor by at least 3 (MOSIS rule #3.4)" - edge4way pfet,epfet pdiff,pdc/a 3 pdiff,pdc/a,pfet,epfet,pffet ndiff,ndc/a 3 \ - "Diffusion must overhang transistor by at least 3 (MOSIS rule #3.4)" - - - edge4way nfet,pfet space 1 poly 0 0 \ - "Transistor overhang is missing (MOSIS rule #3.3,4)" - edge4way enfet,epfet space 1 poly2 0 0 \ - "Transistor overhang is missing (MOSIS rule #3.3,4)" - edge4way nffet,pffet space 1 poly 0 0 \ - "Transistor overhang is missing (MOSIS rule #3.3,4)" - edge4way nffet,pffet space 1 poly2 0 0 \ - "Transistor overhang is missing (MOSIS rule #3.3,4)" - - - edge4way ndiff,ndc/a,pdiff,pdc/a,nsd,nsc/a,psd,psc/a poly,pc 1 space/a 0 1 \ - "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" - edge4way poly,pc ndiff,ndc/a,pdiff,pdc/a,nsd,nsc/a,psd,psc/a 1 space/a 0 1 \ - "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" - edge poly,pc space/a 1 space/a space/a 1 \ - "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" - edge nsd,nsc/a,psd,psc/a,ndiff,ndc/a,pdiff,pdc/a space/a 1 space/a space/a 1 \ - "Poly and diffusion must be separated by at least 1 (MOSIS rule #3.5)" -# 1349 "scmos.tech.out" - spacing pfet,epfet,pffet nsd,nsc/a 3 touching_illegal \ - "Transistors must be separated from substrate contacts by 3 (MOSIS rule #4.1.a)" - spacing nfet,enfet,nffet psd,psc/a 3 touching_illegal \ - "Transistors must be separated from substrate contacts by 3 (MOSIS rule #4.1.b)" - - edge4way psd,psc/a space/act 3 ~(nfet,enfet,nffet)/act psd,psc/a,ndiff,ndc/a 3 \ - "Transistors must be separated from selects(generated by well cont) by 3 (MOSIS rule #4.1.c)" - - edge4way nsd,nsc/a space/act 3 ~(pfet,epfet,pffet)/act nsd,nsc/a,pdiff,pdc/a 3 \ - "Transistors must be separated from selects(generated by well cont) by 3 (MOSIS rule #4.1.d)" - - edge4way psd,psc/a ~(ndiff,ndc,psc,psd)/act 4 ~(nfet,enfet)/act ~(ndiff,ndc,psc,psd)/act 4 \ - "Transistors must be separated from selects(generated by well cont) by 4 (MOSIS rule #4.1.e)" - - edge4way nsd,nsc/a ~(pdiff,pdc,nsc,nsd)/act 4 ~(pfet,epfet)/act ~(pdiff,pdc,nsc,nsd)/act 4 \ - "Transistors must be separated from selects(generated by well cont) by 4 (MOSIS rule #4.1.f)" - - - - edge4way ~(pdiff,pdc/a,pfet,epfet,pffet)/act pdiff,pdc,pfet 4 ~(nsd,nsc/a)/act pdiff,pdc/a,pfet,epfet,pffet 2 \ - "Backedge of diffusion must be 4 from substrate diff (MOSIS rule #4.2.a)" - edge4way ~(ndiff,ndc/a,nfet,enfet,nffet,wcap)/act ndiff,ndc,nfet 4 ~(psd,psc/a)/act ndiff,ndc/a,nfet,enfet,nffet,wcap 2 \ - "Backedge of diffusion must be 4 from substrate diff (MOSIS rule #4.2.b)" -# 1383 "scmos.tech.out" - width pc 2 \ - "Poly contact width must be at least 2 (MOSIS rule #5B.1,2,3)" - - - - - - - - edge4way poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet ~(poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet)/act 3 ~pc/act ~(poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet)/act 3 \ - "Poly contact must be at least 3 from other poly (MOSIS rule #5B.4,5)" - - - - - - spacing pc ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a 1 touching_illegal \ - "Poly contact must be 1 unit from diffusion (MOSIS rule #5B.6)" -# 1409 "scmos.tech.out" - width ndc,pdc 2 \ - "Diffusion contact width must be at least 2 (MOSIS rule #6B.1,2,3)" - width nsc,psc 4 \ - "Substrate contact width must be at least 4 (MOSIS rule #6B.1,2,3)" -# 1433 "scmos.tech.out" - edge4way ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a ~(ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a)/act 4 ~(ndc,pdc,nsc,psc)/act \ - ~(ndiff,ndc/a,nfet,enfet,nffet,wcap,pdiff,pdc/a,pfet,epfet,pffet,nsd,nsc/a,psd,psc/a)/act 4 \ - "Diffusion contacts must be 4 from other diffusions (MOSIS rule #6B.4,5)" - - - spacing pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc nfet,enfet,nffet,pfet,epfet,pffet 1 touching_illegal \ - "Diffusion contacts cannot touch transistors (MOSIS rule #6B.6)" - - - spacing pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc poly 1 touching_illegal \ - "Diffusion contact to field poly must be at least 1 (MOSIS rule #6B.7)" - - - - - spacing pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc pc/act 2 touching_illegal \ - "Poly contacts must be 2 away from diffusion contacts (MOSIS rule #6B.9)" -# 1458 "scmos.tech.out" - edge4way m3c/m3 ~m3c/m3 1 ~m3c/m3 (~m3c,m3c)/m3 1 \ - "Metal3 contacts must be rectangular (Magic rules)" - edge4way m2c/m2 ~m2c/m2 1 ~m2c/m2 (~m2c,m2c)/m2 1 \ - "Metal2 contacts must be rectangular (Magic rules)" - - edge4way ndc/m1 ~ndc/m1 1 ~ndc/m1 (~ndc,ndc)/m1 1 \ - "N-diffusion contacts must be rectangular (Magic rules)" - edge4way pdc/m1 ~pdc/m1 1 ~pdc/m1 (~pdc,pdc)/m1 1 \ - "P-diffusion contacts must be rectangular (Magic rules)" - edge4way psc/m1 ~psc/m1 1 ~psc/m1 (~psc,psc)/m1 1 \ - "P-substrate contacts must be rectangular (Magic rules)" - edge4way nsc/m1 ~nsc/m1 1 ~nsc/m1 (~nsc,nsc)/m1 1 \ - "N-substrate contacts must be rectangular (Magic rules)" - - edge4way pc/m1 ~pc/m1 1 ~pc/m1 (~pc,pc)/m1 1 \ - "Polysilicon contacts must be rectangular (Magic rules)" - edge4way ec/m1 ~ec/m1 1 ~ec/m1 (~ec,ec)/m1 1 \ - "Electrode contacts must be rectangular (Magic rules)" - edge4way cc/m1 ~cc/m1 1 ~cc/m1 (~cc,cc)/m1 1 \ - "Capacitor contacts must be rectangular (Magic rules)" - - edge4way emc/m1 ~emc/m1 1 ~emc/m1 (~emc,emc)/m1 1 \ - "Emitter contacts must be rectangular (Magic rules)" - edge4way clc/m1 ~clc/m1 1 ~clc/m1 (~clc,clc)/m1 1 \ - "Collector contacts must be rectangular (Magic rules)" - edge4way pbpc/m1 ~pbpc/m1 1 ~pbpc/m1 (~pbpc,pbpc)/m1 1 \ - "P-base Contacts must be rectangular (Magic rules)" - edge4way nbdc/m1 ~nbdc/m1 1 ~nbdc/m1 (~nbdc,nbdc)/m1 1 \ - "CCD-diffusion Contacts must be rectangular (Magic rules)" - - - - - - width pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 3 \ - "First-level metal width must be at least 3 (MOSIS rule #7.1)" - - - - - spacing pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc,pad/m1 3 touching_ok \ - "First-level metal spacing must be at least 3 (MOSIS rule #7.2)" -# 1511 "scmos.tech.out" - width m2c 2 \ - "Contact width must be at least 2 (MOSIS rule #8.1,2,3)" -# 1540 "scmos.tech.out" - width m2,m2c/m2,m3c/m2,pad 3 \ - "Second-level metal width must be at least 3 (MOSIS rule #9.1)" -# 1552 "scmos.tech.out" - spacing m2,m2c/m2,m3c/m2,pad m2,m2c/m2,m3c/m2,pad 4 touching_ok \ - "Second-level metal spacing must be at least 4 (MOSIS rule #9.2a)" -# 1610 "scmos.tech.out" - width cap,capc/a 2 \ - "Electrode capacitor width must be at least 3 (MOSIS rule #11.1)" - - - spacing poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet 3 touching_ok \ - "Second-level poly spacing must be at least 3 (MOSIS rule #11.2,12.2)" - - - edge4way cap,cc space 1 0 0 0 \ - "Cap must be overlapped by poly or poly2 (MOSIS rule #11.3)" - edge4way cap,cc poly 2 poly poly 2 \ - "Cap must be overlapped by poly or poly2 (MOSIS rule #11.3)" - edge4way cap,cc poly2 2 poly2 poly2 2 \ - "Cap must be overlapped by poly or poly2 (MOSIS rule #11.3)" - - - edge4way nw,pw,cw ~(nw,pw,cw)/w 2 ~(cap,cc)/a ~(nw,pw,cw)/w 2 \ - "Cap must be on a flat surface (MOSIS rule #11.4)" active - edge4way ~(nw,pw,cw)/w nw,pw,cw 2 ~(cap,cc)/a nw,pw,cw 2 \ - "Cap must be on a flat surface (MOSIS rule #11.4)" active - edge4way cap ~(cap)/a 2 nfet,enfet,nffet,pfet,epfet,pffet,poly,poly2,space/a,cc/a \ - ndiff,ndc/a,pdiff,pdc/a,poly 2 "Cap must be on a flat surface (MOSIS rule #11.4)" active -# 1641 "scmos.tech.out" - width poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet 2 \ - "Electrode width must be at least 2 (MOSIS rule #12.1)" - - - - - - edge4way enfet,epfet poly2,ec/a 2 poly2,ec/a 0 0 \ - "Poly2 must overhang transistor by at least 2 (MOSIS rule #12.3)" - edge4way nffet,pffet cap 2 cap 0 0 \ - "Cap must overhang transistor by at least 2 (MOSIS rule #12.3)" - edge4way nffet ~(cap,nffet,enfet,nfet)/a 2 cap 0 0 \ - "Cap must overhang doubletransistor by at least 2 (MOSIS rule #12.3)" - edge4way pffet ~(cap,pffet,epfet,pfet)/a 2 cap 0 0 \ - "Cap must overhang doubletransistor by at least 2 (MOSIS rule #12.3)" - - - edge4way ndiff,ndc/a,pdiff,pdc/a,nsd,nsc/a,psd,psc/a el 1 space/a 0 1 \ - "Poly2 and diffusion must be separated by at least 1 (MOSIS rule #12.4)" - - - - - spacing poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet pc,ndc,pdc 2 touching_illegal \ - "Poly2 spacing to poly or diffusion contact must be at least 3 (MOSIS rule #12.6)" -# 1682 "scmos.tech.out" - width ec,capc 4 \ - "Electrode contact width must be at least 4 (MOSIS rule #13.1)" - - - - - - edge4way ec/a space 1 poly2 poly2 1 \ - "Electrode contact must be overlaped by poly2 (MOSIS rule #13.4)" - edge4way ec/a poly2 1 poly2 poly2 1 \ - "Electrode contact must be overlaped by poly2 by 1 (MOSIS rule #13.4)" - - - edge4way ndiff,ndc/a,pdiff,pdc/a,nsd,nsc/a,psd,psc/a ec 2 space/a 0 2 \ - "Poly2 and diffusion contact must be separated by at least 2 (MOSIS rule #13.5)" - - - - - - - - width m3c 4 \ - "Third-level metal contact width must be at least 4 (MOSIS rule #14.1,2,3)" -# 1717 "scmos.tech.out" - width m3,m3c/m3 4 \ - "Third-level metal width must be at least 4" -# 1734 "scmos.tech.out" - spacing m3,m3c/m3 m3,m3c/m3 4 touching_ok \ - "Third-level metal spacing must be at least 4 from other third-level metal (MOSIS rule #15.2a)" - - - - edge4way m3c/m3 ~m3c/m3 1 m3 m3 1 \ - "Mimimum metal3 overlap of via must be at least 1 (MOSIS rule #15.3)" -# 1749 "scmos.tech.out" - width clc,pbc,emc 4 \ - "Transistor contact width must be at least 4 (MOSIS rule #16.1)" -# 1760 "scmos.tech.out" -# edge4way emc/a,emit pbase 4 pbase pbase 4 \ -# "Pbase overlap of emitter must be at least 4 (MOSIS rule #16.3)" - - - - spacing pbc emc/a,emit 7 touching_illegal \ - "Base must be 7 (4+2+1) away from emitter (MOSIS rule #16.3,4,11)" - - - - - - # edge4way pbc (~pbc)/a 3 pb,pbc/a pb,pbc/a 3 \ -# "Pbase overlap of base contact must be at least 3 (MOSIS rule #16.5)" -# 1782 "scmos.tech.out" - width col,clc/a 6 \ - "Collector width must be at least 6 (MOSIS rule #16.6)" - - - -# edge4way pbase space/a 6 nwell space/a 6 \ -# "Nwell overlap of Pbase must be at least 6 (MOSIS rule #16.7)" well - - -# edge4way pbase (~pbase)/a 4 ~(col,clc)/a ~(col,clc)/a 4 \ -# "Pbase must be at least 4 away from collector (MOSIS rule #16.8)" - - - edge4way clc (~clc)/a 1 col col 1 \ - "Collector overlap of contact must be at least 1 (MOSIS rule #16.9)" - - - - - - - edge4way nw ~(nw)/w 3 ~(col,clc)/a ~(nw)/w 3 \ - "N-well overlap of collector must be at least 3 (MOSIS rule #16.11)" active - edge4way ~(nw)/w nw 3 ~(col,clc)/a nw 3 \ - "N-well overlap of collector must be at least 3 (MOSIS rule #16.11)" active - - - width em,emc/a 4 \ - "Emitter width must be at least 4 (Magic Bipolar Transistor rule)" - - - - spacing em,emc/a em,emc/a 7 touching_ok \ - "Unrelated emitter must be at least 7 apart (Magic Bipolar transistor rule)" - - - - - -# width pbase,pbc/a 2 \ -# "Pbase width must be at least 2 (MOSIS extension rule)" - -# spacing pbase,pbc/a pbase,pbc/a 2 surround_ok \ -# "Pbase spacing must be at least 2 (MOSIS extension rule)" -# 1835 "scmos.tech.out" - width cwell 10 \ - "Cap-well width must be at least 10 (MOSIS rule #17.1)" - - - spacing cwell cwell 9 touching_ok \ - "Cap-well spacing must be at least 9 (MOSIS rule #17.2)" - spacing cwell nwell 9 touching_illegal \ - "Cap-well spacing must be at least 9 (MOSIS rule #17.2)" - - - edge4way cwell space 5 ~(ndiff,ndc/a,nfet,enfet,nffet,wcap)/a ~(ndiff,ndc/a,nfet,enfet,nffet,wcap)/w 5 \ - "Cap-well spacing to external active must be at least 5 (MOSIS rule #17.3)" active - edge4way cwell space 3 ~(psd,psc/a)/a ~(psd,psc/a)/w 3 \ - "P-substrate diffusion and Cap-well must be separated by 3 (MOSIS rule #17.3)" active - - - - - - edge4way space cwell 3 (space,poly,pc)/a 0 0 \ - "Cap-well overlap of diffusion must be at least 3 (MOSIS rule #17.4)" active -# 1865 "scmos.tech.out" - width wcap 3 \ - "Well-capacitor must be at least 3 (MOSIS rule #18.1)" - - - - - - edge4way wcap space 1 poly poly 1 \ - "Well-capacitor overhang is missing (MOSIS rule #18.3)" - - - edge4way wcap ndiff 3 ndiff ndiff 3 \ - "N-diffusion overlap of well-capacitor must be at least 3 (MOSIS rule #18.4)" - - - - spacing wcap pc 2 touching_illegal \ - "Well-capacitor spacing to poly contact must be at least 2 (MOSIS rule #18.5)" - - - - - spacing wcap ndc 4 touching_illegal \ - "Well-capacitor spacing to diffusion contact must be at least 4 (MOSIS rule #18.6)" - - - - - - - - width nbd,nbdc,bd/a 4 \ - "CCD channel width must be at least 4 (MOSIS rule #19.1)" - width nbdc 4 \ - "CCD contact width must be at least 4 (MOSIS rule #19.1)" -# 1910 "scmos.tech.out" - edge4way nbd,nbdc ~(bd,nbd,nbdc)/a 4 (bd,space)/i 0 0 \ - "CCD channel spacing must be at least 4 (MOSIS rule #19.2)" implant - edge4way nbd,nbdc ~(poly,nbd,nbdc)/a 4 ~(poly,nbd,nbdc)/a ~(poly,nbd,nbdc)/a 4 \ - "CCD channel spacing must be at least 4 (MOSIS rule #19.2)" active - - - - - - - edge4way bd space 2 nbd,poly,cap,el 0 0 \ - "CCD channel overhang is missing (MOSIS rule #19.6)" active - - - - - spacing nbdc poly,el 1 touching_illegal \ - "CCD-diffusion contact spacing to poly must be at least 1 (MOSIS CCD rule)" - edge4way nbd poly,el 1 bd 0 0 \ - "Missing Buried CCD Difussion layer (MOSIS CCD rule)" implant -# 1942 "scmos.tech.out" - edge (~hnwell)/w hnwell 10 hnwell hnwell 10\ - "High-Voltage N-Well width must be at least 10 (MOSIS rule #1.1)" - edge (~hpwell)/w hpwell 10 hpwell hpwell 10\ - "High-Voltage P-Well width must be at least 10 (MOSIS rule #1.1)" - - edge hnwell space,pw,hpw 9 space,pw,hpw space,pw,hpw 9\ - "High-Voltage N-Well spacing to N-Well must be at least 9 (MOSIS rule #1.2)" - edge hpwell space,nw,hnw 9 space,nw,hnw space,nw,hnw 9\ - "High-Voltage P-Well spacing to P-Well must be at least 9 (MOSIS rule #1.2)" - edge hnwell space,pw,hpw,nw 12 space,pw,hpw,nw space,pw,hpw,nw 12\ - "High-Voltage N-Well spacing must be at least 12 (MOSIS rule #20.1)" - edge hpwell space,nw,hnw,pw 12 space,nw,hnw,pw space,nw,hnw,pw 12\ - "High-Voltage P-Well spacing must be at least 12 (MOSIS rule #20.1)" - - - - - - - edge4way ~(hndiff,hndc/a,hpdiff,hpdc/a)/a hndiff,hndc/a,hpdiff,hpdc/a 3 hndiff,hndc/a,hpdiff,hpdc/a hndiff,hndc/a,hpdiff,hpdc/a 3\ - "High-Voltage Diffusion width must be at least 3 (MOSIS rule #2.1)" - spacing hndiff,hndc/a,hnfet hndiff,hndc/a,hnfet 5 touching_ok \ - "High-Voltage Diffusion spacing must be at least 5 (MOSIS rule #20.2)" - spacing hpdiff,hpdc/a,hpfet hpdiff,hpdc/a,hpfet 5 touching_ok \ - "High-Voltage Diffusion spacing must be at least 5 (MOSIS rule #20.2)" - - - - - - spacing hndiff,hndc/a hpdiff,hpdc/a 14 touching_illegal \ - "P-type diffusion must be 14 away from N-type diffusion (MOSIS rule #20.3)" - spacing hndiff,hndc/a pdiff,pdc/a 12 touching_illegal \ - "P-type diffusion must be 12 away from N-type diffusion (MOSIS rule #20.3+2.3)" - spacing hpdiff,hpdc/a ndiff,ndc/a 12 touching_illegal \ - "P-type diffusion must be 12 away from N-type diffusion (MOSIS rule #20.3+2.3)" - - - spacing hndiff,hnfet,hndc/a hnwell 7 touching_illegal \ - "HVN-diffusion and HVN-well must be separated by 7 (MOSIS rule #20.3)" - spacing hpdiff,hpfet,hpdc/a hpwell 7 touching_illegal \ - "HVP-diffusion and HVP-well must be separated by 7 (MOSIS rule #20.3)" - spacing nsd,nsc/a hpwell 3 touching_illegal \ - "N-substrate diffusion and HVP-well must be separated by 3 (MOSIS rule #2.4+20.3)" - spacing psd,psc/a hnwell 3 touching_illegal \ - "P-substrate diffusion and HVN-well must be separated by 3 (MOSIS rule #2.4+20.3)" -# 1996 "scmos.tech.out" - edge (~hndc)/a hndc/a 6 hndc/a hndc/a 6\ - "High-Voltage Diffusion contact width must be at least 6 (MOSIS rule #20.5)" - edge (~hpdc)/a hpdc/a 6 hpdc/a hpdc/a 6\ - "High-Voltage Diffusion contact width must be at least 6 (MOSIS rule #20.5)" - - - - edge hpdiff,hpdc/a hpfet 4 hpfet 0 0 \ - "High-Voltage transistor must be at least 4 units long (MOSIS rule #20.6)" - edge hndiff,hndc/a hnfet 4 hnfet 0 0 \ - "High-Voltage transistor must be at least 4 units long (MOSIS rule #20.6)" - - - - - - exact_overlap m3c,m2c,ndc,pdc,pc,psc,nsc,ec,capc,clc,emc,pbc,hndc,hpdc,hnsc,hpsc - no_overlap pfet,nfet pfet,nfet - no_overlap epfet,enfet epfet,enfet - no_overlap pffet,nffet pffet,nffet - no_overlap hpfet,hnfet hpfet,hnfet - -end - - -extract - - - - - -# 1 "./extract_template/scmosExt.tech.in" 1 -# 98 "./extract_template/scmosExt.tech.in" -# 1 "./extract_template/LibreSiliconExt1um.tech.in" 1 - - - - - - style lambda=lambda_v(libresilicon) - - - - - step 100 - sidehalo 6 - - - - - - - areacap nwell 35 - perimc nwell ~(nwell) 47 - - - areacap ndiff,nsd,ndc/a,nsc/a 0 - areacap pdiff,psd,pdc/a,psc/a 0 - - perimc ndiff,nsd,ndc/a,nsc/a space,pwell 0 - perimc pdiff,psd,pdc/a,psc/a space,nwell 0 -# 39 "./extract_template/LibreSiliconExt1um.tech.in" - areacap (poly,pc)/a 28 - overlap (poly,pc)/a nwell,pwell 28 - - perimc (poly,pc)/a ~(poly,pc)/a 38 - sideoverlap (poly,pc)/a ~(poly,pc)/a nwell,pwell 38 - sideoverlap (poly,pc)/a ~(poly,pc)/a (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 34 - sideoverlap (poly,pc)/a ~(poly,pc)/a (m2,m2c,m3c,pad)/m2 27 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 - - sidewall (poly,pc)/a ~(poly,pc)/a ~(poly,pc)/a (poly,pc)/a 15 - - - - areacap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 18 - overlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 nwell,pwell 18 (poly,pc)/a,(ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a - overlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a 46 - overlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (poly,pc)/a 46 - - perimc (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 33 - sideoverlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 nwell,pwell 33 ((poly,pc)/a,(ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a) - sideoverlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a 33 - sideoverlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (poly,pc)/a 33 - sideoverlap (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (m2,m2c,m3c,pad)/m2 28 - - sidewall (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 ~(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 27 - - - - - areacap (m2,m2c,m3c,pad)/m2 9 - overlap (m2,m2c,m3c,pad)/m2 nwell,pwell 9 (poly,pc)/a,(m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1,(ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a - overlap (m2,m2c,m3c,pad)/m2 (ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a 12 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 - overlap (m2,m2c,m3c,pad)/m2 (poly,pc)/a 14 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 - overlap (m2,m2c,m3c,pad)/m2 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 30 - - perimc (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 22 - sideoverlap (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 nwell,pwell 22 ((m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1,(poly,pc)/a,(ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a) - sideoverlap (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 (ndiff,pdiff,em,col,ppd,nnd,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc)/a 20 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 - sideoverlap (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 (poly,pc)/a 22 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 - sideoverlap (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 (m1,ndc,pdc,nwc,pwc,nbdc,capc,ec,clc,emc,pbc,pc,via)/m1 28 - - sidewall (m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 ~(m2,m2c,m3c,pad)/m2 (m2,m2c,m3c,pad)/m2 33 - - - - - - fet pfet pdiff,pdc 2 pfet Vdd! nwell 270 623 - fet nfet ndiff,ndc 2 nfet Gnd! pwell 90 623 - fet wcap ndiff,ndc 1 wcap Gnd! pwell 300 0 - - - fetresis nfet linear 9700 - fetresis pfet linear 35700 - - - - contact pc 4 16210 - contact ndc,nsc, 4 77000 - contact pdc,psc, 4 44260 - contact m2c 4 150 - - - resist ndiff,nsd,ndc/a,nsc/a 99630 - resist pdiff,psd,pdc/a,psc/a 120000 - resist (poly,pc/act,pfet,nfet) 25000 - resist (metal1,m2c/metal1) 60 - resist (metal2,via/m2,pad) 40 - resist nwell 1500000 - - - - planeorder implant 0 - planeorder well 1 - planeorder active 2 - planeorder metal1 3 - planeorder metal2 4 - planeorder metal3 5 - planeorder metal4 6 - planeorder oxide 7 - -# 99 "./extract_template/scmosExt.tech.in" 2 - - -# 1 "./extract_template/scmosExtDiag.tech.in" 1 - - - - - - - style check_nwell - - lambda 100 - step 100 - - resist nwell 2000000 - areacap nw,nwc,nsd 100 - - - noplaneordering - - style check_pwell - - lambda 100 - step 100 - - resist pwell 2000000 - areacap pw,pwc,psd 100 - - noplaneordering - - style check_psubstr - - - - - - lambda 50 - step 200 - - areacap psd,psc 1000 - - noplaneordering - - style check_nsubstr - - - - - - lambda 50 - step 200 - - areacap nsd,nsc 1000 - - noplaneordering -# 101 "./extract_template/scmosExt.tech.in" 2 -# 2028 "scmos.tech.out" 2 -# 2833 "scmos.tech.out" -end - - -wiring - contact pdcontact 4 pdiff 0 metal1 0 - contact ndcontact 4 ndiff 0 metal1 0 - contact pcontact 4 poly 0 metal1 0 - contact ec 6 poly2 0 metal1 0 - contact m2contact 4 metal1 0 metal2 0 - contact m3contact 5 metal2 0 metal3 0 -end - -router - layer1 metal1 3 pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc 3 - layer2 metal2 3 m2,m2c/m2,m3c/m2,pad 4 poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet,ndiff,ndc/a,pdiff,pdc/a 1 - contacts m2contact 4 - gridspacing 8 -end - -plowing - fixed nfet,enfet,nffet,pfet,epfet,pffet,glass,pad - covered nfet,enfet,nffet,pfet,epfet,pffet - drag nfet,enfet,nffet,pfet,epfet,pffet -end - -plot - - style colorversatec - - ndiff,ndc yellow \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA - - ndiff,ndc cyan \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 - - - nsd,nsc,col,clc yellow \ - 1515 2A2A 5151 A2A2 \ - 1515 2A2A 5151 A2A2 \ - 1515 2A2A 5151 A2A2 \ - 1515 2A2A 5151 A2A2 - - nsd,nsc,col,clc cyan \ - 0000 1515 0000 5151 \ - 0000 1515 0000 5151 \ - 0000 1515 0000 5151 \ - 0000 1515 0000 5151 - - - pdiff,pdc yellow \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA - - pdiff,pdc cyan \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 - - pdiff,pdc magenta \ - AAAA 0000 AAAA 0000 \ - AAAA 0000 AAAA 0000 \ - AAAA 0000 AAAA 0000 \ - AAAA 0000 AAAA 0000 - - - psd,psc yellow \ - 1515 2A2A 5151 A2A2 \ - 1515 2A2A 5151 A2A2 \ - 1515 2A2A 5151 A2A2 \ - 1515 2A2A 5151 A2A2 - - psd,psc cyan \ - 0000 1515 0000 5151 \ - 0000 1515 0000 5151 \ - 0000 1515 0000 5151 \ - 0000 1515 0000 5151 - - psd,psc magenta \ - 2A2A 0000 A2A2 0000 \ - 2A2A 0000 A2A2 0000 \ - 2A2A 0000 A2A2 0000 \ - 2A2A 0000 A2A2 0000 - - - poly,pc/a magenta \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA - - - poly2,ec/a yellow \ - FFFF FFFF FFFF FFFF \ - FFFF FFFF FFFF FFFF \ - FFFF FFFF FFFF FFFF \ - FFFF FFFF FFFF FFFF - - - nfet yellow \ - 0505 8282 1414 0A0A \ - 5050 2828 4141 A0A0 \ - 0505 8282 1414 0A0A \ - 5050 2828 4141 A0A0 - - nfet cyan \ - 0000 0505 0000 1414 \ - 0000 5050 0000 4141 \ - 0000 0505 0000 1414 \ - 0000 5050 0000 4141 - - nfet magenta \ - 5050 2828 4141 A0A0 \ - 0505 8282 1414 0A0A \ - 5050 2828 4141 A0A0 \ - 0505 8282 1414 0A0A - - - enfet yellow \ - BABA 7575 EAEA D5D5 \ - ABAB 5757 AEAE 5D5D \ - BABA 7575 EAEA D5D5 \ - ABAB 5757 AEAE 5D5D - - enfet cyan \ - 4141 0A0A 0505 2828 \ - 1414 A0A0 5050 8282 \ - 4141 0A0A 0505 2828 \ - 1414 A0A0 5050 8282 - - - nffet yellow \ - 8E8E 0707 8B8B D5D5 \ - E8E8 7070 B8B8 5D5D \ - 8E8E 0707 8B8B D5D5 \ - E8E8 7070 B8B8 5D5D - - nffet cyan \ - 0101 0808 1414 2828 \ - 1010 8080 4141 8282 \ - 0101 0808 1414 2828 \ - 1010 8080 4141 8282 - - nffet magenta \ - 5050 A0A0 4040 0202 \ - 0505 0A0A 0404 2020 \ - 5050 A0A0 4040 0202 \ - 0505 0A0A 0404 2020 - - - pfet yellow \ - 6363 A0A0 5050 2828 \ - 3636 0A0A 0505 8282 \ - 6363 A0A0 5050 2828 \ - 3636 0A0A 0505 8282 - - pfet cyan \ - 0000 5151 0000 5454 \ - 0000 1515 0000 1515 \ - 0000 5151 0000 5454 \ - 0000 1515 0000 1515 - - pfet magenta \ - 9494 0A0A 2525 8282 \ - 4949 A0A0 5252 2828 \ - 9494 0A0A 2525 8282 \ - 4949 A0A0 5252 2828 - - - epfet yellow \ - BCBC 4F4F 2F2F D3D3 \ - CBCB F4F4 F2F2 3D3D \ - BCBC 4F4F 2F2F D3D3 \ - CBCB F4F4 F2F2 3D3D - - epfet cyan \ - 0000 A0A0 0000 2828 \ - 0000 0A0A 0000 8282 \ - 0000 A0A0 0000 2828 \ - 0000 0A0A 0000 8282 - - epfet magenta \ - 4141 0000 5050 0000 \ - 1414 0000 0505 0000 \ - 4141 0000 5050 0000 \ - 1414 0000 0505 0000 - - - pffet yellow \ - 7B7B F0F0 F0F0 E9E9 \ - B7B7 0F0F 0F0F 9E9E \ - 7B7B F0F0 F0F0 E9E9 \ - B7B7 0F0F 0F0F 9E9E - - pffet cyan \ - 0000 0101 0000 1414 \ - 0000 1010 0000 4141 \ - 0000 0101 0000 1414 \ - 0000 1010 0000 4141 - - pffet magenta \ - 8484 0A0A 2525 8282 \ - 4848 A0A0 5252 2828 \ - 8484 0A0A 2525 8282 \ - 4848 A0A0 5252 2828 - - - cap,cc/a yellow \ - 3E3E 7777 E3E3 C1C1 \ - E3E3 7777 3E3E 1C1C \ - 3E3E 7777 E3E3 C1C1 \ - E3E3 7777 3E3E 1C1C - - cap,cc/a magenta \ - 4141 8888 1414 2A2A \ - 1414 8888 4141 A2A2 \ - 4141 8888 1414 2A2A \ - 1414 8888 4141 A2A2 - - - pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc cyan \ - AAAA 0000 AAAA 0000 \ - AAAA 0000 AAAA 0000 \ - AAAA 0000 AAAA 0000 \ - AAAA 0000 AAAA 0000 - - - m2,m2c/m2,m3c/m2,pad cyan \ - 0000 1111 0000 4444 \ - 0000 1111 0000 4444 \ - 0000 1111 0000 4444 \ - 0000 1111 0000 4444 - - m2,m2c/m2,m3c/m2,pad magenta \ - 0000 4444 0000 1111 \ - 0000 4444 0000 1111 \ - 0000 4444 0000 1111 \ - 0000 4444 0000 1111 - - - m2c/m1 black \ - 0000 6666 6666 0000 \ - 0000 9999 9999 0000 \ - 0000 6666 6666 0000 \ - 0000 9999 9999 0000 - - - pad,glass black \ - 0300 0700 0E00 1C00 \ - 3800 7000 E000 C000 \ - 00C0 00E0 0070 0038 \ - 001C 000E 0007 0003 - - - nwell yellow \ - 0800 1000 2000 4000 \ - 8000 0001 0002 0004 \ - 0008 0010 0020 0040 \ - 0080 0010 0200 0400 - - nwell cyan \ - 1000 2000 4000 8000 \ - 0001 0002 0004 0008 \ - 0010 0020 0040 0080 \ - 0100 0200 0400 0800 - - - pwell yellow \ - 1000 0400 0400 0100 \ - 0100 0040 0040 0010 \ - 0010 0004 0004 0001 \ - 0001 4000 4000 1000 - - pwell cyan \ - 0000 0800 0000 0200 \ - 0000 0080 0000 0020 \ - 0000 0008 0000 0002 \ - 0000 8000 0000 2000 - - pwell magenta \ - 0800 0000 0200 0000 \ - 0080 0000 0020 0000 \ - 0008 0000 0002 0000 \ - 8000 0000 2000 0000 - - - bd yellow \ - 4444 8888 4444 8888 \ - 4444 8888 4444 8888 \ - 4444 8888 4444 8888 \ - 4444 8888 4444 8888 - - bd cyan \ - 0000 4444 0000 4444 \ - 0000 4444 0000 4444 \ - 0000 4444 0000 4444 \ - 0000 4444 0000 4444 - - bd magenta \ - 8888 0000 8888 0000 \ - 8888 0000 8888 0000 \ - 8888 0000 8888 0000 \ - 8888 0000 8888 0000 - - - nbd,nbdc yellow \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA \ - 5555 AAAA 5555 AAAA - - nbd,nbdc cyan \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 \ - 0000 5555 0000 5555 - - nbd,nbdc magenta \ - 8888 0000 8888 0000 \ - 8888 0000 8888 0000 \ - 8888 0000 8888 0000 \ - 8888 0000 8888 0000 - - - em,emc yellow \ - 4444 8888 4444 8888 \ - 4444 8888 4444 8888 \ - 4444 8888 4444 8888 \ - 4444 8888 4444 8888 - - em,emc cyan \ - 0000 4444 0000 4444 \ - 0000 4444 0000 4444 \ - 0000 4444 0000 4444 \ - 0000 4444 0000 4444 - - - pbase,pbc yellow \ - 5555 AAAA 0000 0000 \ - 5555 AAAA 0000 0000 \ - 5555 AAAA 0000 0000 \ - 5555 AAAA 0000 0000 - - pbase,pbc cyan \ - 0000 5555 0000 0000 \ - 0000 5555 0000 0000 \ - 0000 5555 0000 0000 \ - 0000 5555 0000 0000 - - pbase,pbc magenta \ - AAAA 0000 0000 0000 \ - AAAA 0000 0000 0000 \ - AAAA 0000 0000 0000 \ - AAAA 0000 0000 0000 - - - m3,m3c/m3 black \ - 0100 0000 0000 0000 \ - 1010 0000 0000 0000 \ - 0001 0000 0000 0000 \ - 1010 0000 0000 0000 - - m3,m3c/m3 cyan \ - 0280 0000 0820 0000 \ - 2008 0000 8002 0000 \ - 8002 0000 2008 0000 \ - 0820 0000 0280 0000 - - m3,m3c/m3 magenta \ - 0100 06C0 0440 1830 \ - 1010 600C 4004 8003 \ - 0001 C006 4004 3018 \ - 1010 0C60 0440 0380 - - - m3c/m2 black \ - 0820 0820 0820 0FE0 \ - E00F 2008 2008 2008 \ - 2008 2008 2008 E00F \ - 0000 0FE0 0820 0820 - - - error_p,error_s,error_ps black \ - 0000 3C3C 4646 4A4A \ - 5252 6262 3C3C 0000 \ - 0000 3C3C 4646 4A4A \ - 5252 6262 3C3C 0000 - - - magnet yellow \ - AAAA 0000 5555 0000 \ - AAAA 0000 5555 0000 \ - AAAA 0000 5555 0000 \ - AAAA 0000 5555 0000 - - - fence magenta \ - FFFF 0000 0000 0000 \ - 0000 0000 0000 0000 \ - FFFF 0000 0000 0000 \ - 0000 0000 0000 0000 - - - rotate cyan \ - 0000 E0E0 E0E0 E0E0 \ - 0000 0000 0000 0000 \ - 0000 E0E0 E0E0 E0E0 \ - 0000 0000 0000 0000 - - - pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,hpsc,hnsc,pc,ec,capc,nbdc,clc,emc,pbc X - - - style versatec - - pfet \ - 07c0 0f80 1f00 3e00 \ - 7c00 f800 f001 e003 \ - c007 800f 001f 003e \ - 00c7 00f8 01f0 03e0 - - - nfet \ - 1f00 0f80 07c0 03e0 \ - 01f0 00f8 007c 003e \ - 001f 800f c007 e003 \ - f001 f800 7c00 3e00 - - - m2c \ - c3c3 c3c3 0000 0000 \ - 0000 0000 c3c3 c3c3 \ - c3c3 c3c3 0000 0000 \ - 0000 0000 c3c3 c3c3 - - - pwell \ - 2020 2020 2020 2020 \ - 2020 2020 2020 2020 \ - 0000 0000 0000 0000 \ - 0000 0000 0000 0000 - - - nwell \ - 0808 0404 0202 0101 \ - 0000 0000 0000 0000 \ - 0808 0404 0202 0101 \ - 0000 0000 0000 0000 - - - poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet \ - 0808 0400 0202 0101 \ - 8080 4000 2020 1010 \ - 0808 0004 0202 0101 \ - 8080 0040 2020 1010 - - - pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc \ - 8080 0000 0000 0000 \ - 0808 0000 0000 0000 \ - 8080 0000 0000 0000 \ - 0808 0000 0000 0000 - - - pad,glass \ - 0000 0000 1c1c 3e3e \ - 3636 3e3e 1c1c 0000 \ - 0000 0000 1c1c 3e3e \ - 3636 3e3e 1c1c 0000 - - - nsd,nsc,col,clc \ - 0808 1414 2222 4141 \ - 8080 4040 2020 1010 \ - 0808 1414 2222 4141 \ - 8080 4040 2020 1010 - - - m2,m2c/m2,m3c/m2,pad \ - 0000 1111 0000 0000 \ - 0000 1111 0000 0000 \ - 0000 1111 0000 0000 \ - 0000 1111 0000 0000 - - - pdiff,pdc,pfet \ - 0000 0808 5555 8080 \ - 0000 8080 5555 0808 \ - 0000 0808 5555 8080 \ - 0000 8080 5555 0808 - - - psd,psc \ - 1414 2222 0000 2222 \ - 4141 2222 0000 2222 \ - 1414 2222 0000 2222 \ - 4141 2222 0000 2222 - - - ndiff,nfet,ndc \ - 0808 1010 2020 4040 \ - 8080 4141 2222 1414 \ - 0808 1010 2020 4040 \ - 8080 4141 2222 1414 - - - poly2,ec/a,enfet,epfet,cap,capc/a,nffet,pffet,hnfet,hpfet \ - 0000 2020 5050 2020 \ - 0000 0202 0505 0202 \ - 0000 2020 5050 2020 \ - 0000 0202 0505 0202 - - - pdc,ndc,psc,nsc,pbnc,pbpc,nbnc,nbpc,hpdc,hndc,hpsc,hnsc,pc,ec,capc,nbdc,clc,emc,pbc X - - - style gremlin - pfet 9 - nfet 10 - m2c 11 - pwell 15 - nwell 16 - poly,pc/a,nfet,pfet,wcap,snfet,spfet,pbpoly,nbpoly,cap,capc/a,nffet,pffet 19 - pdc/m1,ndc/m1,psc/m1,nsc/m1,hpdc/m1,hndc/m1,hpsc/m1,hnsc/m1,pc/m1,ec/m1,capc/m1,clc/m1,emc/m1,nbdc/m1,m1,m2c/m1,gc 22 - pad,glass 23 - nsd,nsc 24 - m2,m2c/m2,m3c/m2,pad 28 - pdiff,pdc,pfet 29 - psd,psc 30 - ndiff,nfet,ndc 31 - m2c/m1,pc/m1,ndc/m1,pdc/m1,psc/m1,nsc/m1,pad/m1 X - - style postscript - - - - - 1 C0C0C0C0 C0C0C0C0 00000000 00000000 0C0C0C0C 0C0C0C0C 00000000 00000000 - 2 A0A0A0A0 0A0A0A0A A0A0A0A0 0A0A0A0A A0A0A0A0 0A0A0A0A A0A0A0A0 0A0A0A0A - 3 00030003 000C000C 00300030 00C000C0 03000300 0C000C00 30003000 C000C000 - 4 00000000 00000000 C0C0C0C0 00000000 00000000 00000000 0C0C0C0C 00000000 - 5 FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF FFFFFFFF - 6 07070707 0E0E0E0E 1C1C1C1C 38383838 70707070 E0E0E0E0 C1C1C1C1 83838383 - 7 18181818 30303030 60606060 C0C0C0C0 81818181 03030303 06060606 0C0C0C0C - 8 18181818 0C0C0C0C 06060606 03030303 81818181 C0C0C0C0 60606060 30303030 - 9 18181818 3C3C3C3C 3C3C3C3C 18181818 81818181 C3C3C3C3 C3C3C3C3 81818181 - 10 F0F0F0F0 60606060 06060606 0F0F0F0F 0F0F0F0F 06060606 60606060 F0F0F0F0 - 11 01000080 02000040 0C000030 F000000F 000FF000 00300C00 00400200 00800100 - 12 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 - 13 00000000 00000000 33333333 33333333 00000000 00000000 CCCCCCCC CCCCCCCC - - - - - 1 47 95 111 0 - 2 223 31 223 0 - 3 0 0 0 192 - 4 31 111 31 0 - 5 31 111 255 0 - 6 63 95 191 0 - 7 255 63 255 0 - 8 0 0 0 127 - 9 223 47 223 0 - 10 0 255 255 0 - 11 0 0 255 0 - 12 191 127 0 0 - 13 95 223 63 0 - 14 0 0 0 255 - 15 191 127 63 0 - 16 111 151 244 0 - 17 23 175 183 0 - - - - - cc,pc,ndc,pdc,psc,nsc 14 X - m2c,pad,glass 14 B - pad,glass 14 11 - m2c 14 13 - m2,m2c,pad 13 10 - pdc,ndc,psc,nsc,hpdc,hndc,hpsc,hnsc,pc,ec,capc,clc,emc,pbnd,pbpd,pbc,nbdc,m1,m2c,gc 12 9 - cap,cc,poly2 11 7 - nsd,nsc 7 1 - psd,psc 6 1 - nfet,nffet 9 8 - pfet,wcap,pffet 1 7 - poly,pc,cap,cc 10 5 - nfet 16 5 - pfet,wcap 17 5 - pdiff,pdc,pffet 1 5 - ndiff,ndc,nffet 9 5 - pwell 1 4 - nwell 2 4 - - silk 10 5 - - - - - style pnm - draw metal1 - draw metal2 - - draw metal3 - draw pwell - draw nwell - draw silk - map silk metal2 - - draw polysilicon - draw ndiffusion - draw pdiffusion - draw ntransistor - draw ptransistor - map psubstratepdiff pdiffusion - map nsubstratendiff ndiffusion - map polycontact polysilicon metal1 - map m2contact metal1 metal2 - map m3contact metal2 metal3 - map ndcontact ndiffusion metal1 - map pdcontact pdiffusion metal1 - map nsubstratencontact ndiffusion metal1 - map psubstratepcontact pdiffusion metal1 -end diff --git a/Tech/template.lef b/Tech/template.lef deleted file mode 100755 index d0c6b4fc..00000000 --- a/Tech/template.lef +++ /dev/null @@ -1,177 +0,0 @@ -# LEF file generated by lefgen from LibreSilicon version 0.1 -# - -VERSION 5.4 ; -NAMESCASESENSITIVE ON ; -BUSBITCHARS "[]" ; -DIVIDERCHAR "/" ; -UNITS - DATABASE MICRONS 1000 ; -END UNITS - -USEMINSPACING OBS ON ; -USEMINSPACING PIN OFF ; -CLEARANCEMEASURE EUCLIDEAN ; - - -MANUFACTURINGGRID 0.15 ; - -LAYER nwell - TYPE MASTERSLICE ; -END nwell - -LAYER nactive - TYPE MASTERSLICE ; -END nactive - -LAYER pactive - TYPE MASTERSLICE ; -END pactive - -LAYER poly - TYPE MASTERSLICE ; -END poly - -LAYER cc - TYPE CUT ; - SPACING 0.9 ; -END cc - -LAYER metal1 - TYPE ROUTING ; - DIRECTION HORIZONTAL ; - PITCH 3 ; - OFFSET 1.5 ; - WIDTH 0.9 ; - SPACING 0.9 ; - RESISTANCE RPERSQ 0.09 ; - CAPACITANCE CPERSQDIST 3.2e-05 ; -END metal1 - -LAYER via - TYPE CUT ; - SPACING 0.9 ; -END via - -LAYER metal2 - TYPE ROUTING ; - DIRECTION VERTICAL ; - PITCH 2.4 ; - OFFSET 1.2 ; - WIDTH 0.9 ; - SPACING 0.9 ; - RESISTANCE RPERSQ 0.09 ; - CAPACITANCE CPERSQDIST 1.6e-05 ; -END metal2 - -LAYER via2 - TYPE CUT ; - SPACING 0.9 ; -END via2 - -LAYER metal3 - TYPE ROUTING ; - DIRECTION HORIZONTAL ; - PITCH 3 ; - OFFSET 1.5 ; - WIDTH 1.5 ; - SPACING 0.9 ; - RESISTANCE RPERSQ 0.05 ; - CAPACITANCE CPERSQDIST 1e-05 ; -END metal3 - -SPACING - SAMENET cc via 0.150 ; - SAMENET via via2 0.150 ; -END SPACING - -VIA M2_M1 DEFAULT - LAYER metal1 ; - RECT -0.600 -0.600 0.600 0.600 ; - LAYER via ; - RECT -0.300 -0.300 0.300 0.300 ; - LAYER metal2 ; - RECT -0.600 -0.600 0.600 0.600 ; -END M2_M1 - -VIA M3_M2 DEFAULT - LAYER metal2 ; - RECT -0.600 -0.600 0.600 0.600 ; - LAYER via2 ; - RECT -0.300 -0.300 0.300 0.300 ; - LAYER metal3 ; - RECT -0.900 -0.900 0.900 0.900 ; -END M3_M2 - - -VIARULE viagen21 GENERATE - LAYER metal1 ; - DIRECTION HORIZONTAL ; - WIDTH 1.2 TO 120 ; - OVERHANG 0.3 ; - METALOVERHANG 0 ; - LAYER metal2 ; - DIRECTION VERTICAL ; - WIDTH 1.2 TO 120 ; - OVERHANG 0.3 ; - METALOVERHANG 0 ; - LAYER via ; - RECT -0.3 -0.3 0.3 0.3 ; - SPACING 1.5 BY 1.5 ; -END viagen21 - -VIARULE viagen32 GENERATE - LAYER metal3 ; - DIRECTION HORIZONTAL ; - WIDTH 1.8 TO 180 ; - OVERHANG 0.6 ; - METALOVERHANG 0 ; - LAYER metal2 ; - DIRECTION VERTICAL ; - WIDTH 1.2 TO 120 ; - OVERHANG 0.6 ; - METALOVERHANG 0 ; - LAYER via2 ; - RECT -0.3 -0.3 0.3 0.3 ; - SPACING 2.1 BY 2.1 ; -END viagen32 - -VIARULE TURN1 GENERATE - LAYER metal1 ; - DIRECTION HORIZONTAL ; - LAYER metal1 ; - DIRECTION VERTICAL ; -END TURN1 - -VIARULE TURN2 GENERATE - LAYER metal2 ; - DIRECTION HORIZONTAL ; - LAYER metal2 ; - DIRECTION VERTICAL ; -END TURN2 - -VIARULE TURN3 GENERATE - LAYER metal3 ; - DIRECTION HORIZONTAL ; - LAYER metal3 ; - DIRECTION VERTICAL ; -END TURN3 - -SITE corner - CLASS PAD ; - SYMMETRY R90 Y ; - SIZE 300.000 BY 300.000 ; -END corner - -SITE IO - CLASS PAD ; - SYMMETRY Y ; - SIZE 90.000 BY 300.000 ; -END IO - -SITE core - CLASS CORE ; - SYMMETRY Y ; - SIZE 2.400 BY 30.000 ; -END core - diff --git a/Tech/transistor.sp b/Tech/transistor.sp deleted file mode 100644 index 9e0758e0..00000000 --- a/Tech/transistor.sp +++ /dev/null @@ -1 +0,0 @@ -w=1.0u l=1.0u From 581e90abd64f047dc37d0192322ac9fd9eedf2b9 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 Apr 2024 01:01:15 +0200 Subject: [PATCH 560/673] Adding symlink, does it work? --- Tech | 1 + 1 file changed, 1 insertion(+) create mode 120000 Tech diff --git a/Tech b/Tech new file mode 120000 index 00000000..3d5e8b91 --- /dev/null +++ b/Tech @@ -0,0 +1 @@ +Tech.GF180MCU/ \ No newline at end of file From 64c358542a2a9e3901e6aa0f638f9246885acc29 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 10 Apr 2024 01:03:45 +0200 Subject: [PATCH 561/673] Tools installation --- tools.sh | 120 +++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 120 insertions(+) create mode 100644 tools.sh diff --git a/tools.sh b/tools.sh new file mode 100644 index 00000000..47e6da8b --- /dev/null +++ b/tools.sh @@ -0,0 +1,120 @@ +#!/bin/bash + +# To run this installer you can open a Terminal window and enter the following commands: + +# wget https://pdk.libresilicon.com/tools.sh +# bash tools.sh + + +echo "To install the LibreSilicon Standard Cell Generator toolchain, you need:" +echo "Debian/Ubuntu-18.04 or newer" +echo "at least 1.9 GB RAM" + +echo "If the installation interrupts due to a network or power outage, just run the installation script again." + +sudo apt-get update + +echo "The following packages are optional for documentation and visualisation, you can safely say N if you dont want to install them:" +sudo apt-get install npm blender inkscape iverilog +sudo apt-get install gtkwave lsb + +echo Installing required packages on Debian/Ubuntu: +sudo apt-get -y install qflow imagemagick libcairo2-dev tcllib tklib make g++ libreadline-dev python3-cairosvg python3 python3-numpy libblas-dev ngspice z3 tcl8.6-dev tk8.6-dev python3-scipy python3-matplotlib texlive-latex-recommended unzip glpk-utils libglpk-dev python3-pulp git wget gauche python3-toml python3-pytoml python3-pip mmv libglu1-mesa-dev libcurl4-gnutls-dev pdf2svg python3-yaml python3-cffi python3-pyparsing python3-certifi tcl magic libsqlite3-dev python3-setuptools python3-networkx python3-sympy + +sudo apt-get -y install libngspice0 libngspice0-dev python3-gdspy python3-kiwisolver +sudo apt-get -y install libopengl-dev opensta opensta-dev klayout + +#sudo apt-get install geda-gschem geda-gnetlist geda-doc geda-gattrib geda-gsymcheck +sudo apt-get -y install python-z3 +sudo apt-get -y install python3-z3 + +echo Installing required packages on FreeBSD: +pkg install qflow ImageMagick7 cairo tcllib tk87 gcc readline py37-cairosvg python37 py37-numpy blas ngspice_rework z3 py37-z3-solver tcl87 py37-scipy py37-matplotlib texlive-full unzip blender glpk py37-pulp git wget texlive-full gauche py37-toml py37-pytoml iverilog gtkwave py37-pip inkscape ngspice_rework-shlib + + +#echo "Installing Magic since we need magic >= 8.2.145 , as soon as the distribution package is newer than that and comes with cairo support we wont need to compile it ourselves anymore:" +#sudo rm -rf magic-*/ +#MAGICVERSION=8.3.27 +#wget -c http://opencircuitdesign.com/magic/archive/magic-$MAGICVERSION.tgz +#tar xvzf magic-$MAGICVERSION.tgz +#cd magic-$MAGICVERSION +#./configure --with-cairo +#make +#sudo make install +#cd .. + + + +# Due to problems with the KLayout packages we currently have to install it manually: +#wget https://pdk.libresilicon.com/klayout.egg-info +#sudo cp klayout.egg-info /usr/lib/python3/dist-packages/klayout.egg-info + +#git clone https://github.com/KLayout/klayout +#cd klayout +#python3 setup.py build --parallel 1 +#sudo python3 setup.py install +#cd .. + + +#echo Installing librecell +#sudo rm -rf librecell +#git clone https://codeberg.org/tok/librecell +#echo "Python >= 3.6 is needed!" +#python3 --version +#cd librecell/librecell-common +#sudo python3 setup.py install +#cd ../.. +#cd librecell/librecell-meta +#sudo python3 setup.py install +#cd ../.. +#cd librecell/librecell-lib +#sudo python3 setup.py install +#cd ../.. +#cd librecell/librecell-layout +#sudo python3 setup.py install +#cd ../.. + + + +echo Installing Circdia +wget -c http://www.taylorgruppe.de/circdia/circdia.zip +sudo mkdir -p /usr/share/texlive/texmf-dist/tex/circdia +sudo unzip -u -o -d /usr/share/texlive/texmf-dist/tex/circdia circdia.zip +sudo mktexlsr + +# We try to use libngspice0 and libngspice0-dev perhaps we dont need this code anymore: +#echo Installing ngspice +#wget -O ngspice-31.tar.gz https://sourceforge.net/projects/ngspice/files/ng-spice-rework/31/ngspice-31.tar.gz/download +#tar xvzf ngspice-31.tar.gz +#cd ngspice-31 +#./configure --with-ngshared --enable-shared +#make +#sudo make install +#cd .. + +#echo "Installing gdspy (GDS for Python), if it has not been installed already" +#sudo pip3 install gdspy + +echo "Installing PySpice (SPICE for Python)" +sudo pip3 install PySpice + +#echo "Installing Sphinx Verilog" +#sudo pip3 install sphinxcontrib-verilog-diagrams + +#echo "Installing netlistsvg" +#sudo npm install -g netlistsvg + +# If you do not want to generate a standard cell library, then uncomment the following line to stop here +#exit + +#echo Installing StdCellLib +#git clone https://github.com/thesourcerer8/StdCellLib +#cd StdCellLib/Catalog +#make catalog +#make importQflow + +echo "Installation of the StdCellLib is finished." +echo "To build a whole standard cell library you can now run:" +echo "make layout ; make doc ; perl ../Tools/perl/buildreport.pl ; cd .. ; make dist" +#sudo make qflow + From 36ef251e6b56f163af7264d1c15cdc37e94f4a16 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 20 Apr 2024 22:47:57 +0200 Subject: [PATCH 562/673] Adding documentation --- Tools/perl/gencharlibyml.pl | 3 +++ 1 file changed, 3 insertions(+) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index fec5e2ca..ff11fd40 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -1,5 +1,8 @@ #!/usr/bin/perl -w +# This tool is automatically used by the StdCellLib flow, it is called by librecells.pl +# If you want to run it manually, run it from the CATALOG directory and make sure that the PDK environment variable is set. + open OUT,">libresilicon-charlib.yml"; From 7e5600933dfb197250d3c51aceaa9a46c2f8e50e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 21 Apr 2024 20:56:36 +0200 Subject: [PATCH 563/673] Track variations calculation --- Tech.GF180MCU/tracks.txt | 14 ++++++++++++++ 1 file changed, 14 insertions(+) create mode 100644 Tech.GF180MCU/tracks.txt diff --git a/Tech.GF180MCU/tracks.txt b/Tech.GF180MCU/tracks.txt new file mode 100644 index 00000000..f4b4ed07 --- /dev/null +++ b/Tech.GF180MCU/tracks.txt @@ -0,0 +1,14 @@ +1 Track: 0.56 um + +Tracks per Cell 7 +Cell Height (um) 3.92 + +Tracks per Cell 9 +Cell Height (um) 5.04 + +Tracks per Cell 11 +Cell Height (um) 6.16 + +Tracks per Cell 13 +Cell Height (um) 7.28 + From 7651271c6cfc465663c20203d213d46e1616c995 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 21 Apr 2024 20:58:06 +0200 Subject: [PATCH 564/673] Adding spice file definitions. These are the modified files from CharLib, not from the original PDK! --- Tech.GF180MCU/design.ngspice | 76 + Tech.GF180MCU/sm141064.ngspice | 47638 +++++++++++++++++++++++++++++++ 2 files changed, 47714 insertions(+) create mode 100644 Tech.GF180MCU/design.ngspice create mode 100644 Tech.GF180MCU/sm141064.ngspice diff --git a/Tech.GF180MCU/design.ngspice b/Tech.GF180MCU/design.ngspice new file mode 100644 index 00000000..d2ee50bb --- /dev/null +++ b/Tech.GF180MCU/design.ngspice @@ -0,0 +1,76 @@ +* Copyright 2022 GlobalFoundries PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +************************************************************************* +** Global Parameter Settings +************************************************************************* +** NGSPICE include file for global switches, corner parameters +** and other user-defined settings +** ********************************************************************** +** ------------------------------------------- +** MonteCarlo and matching simulation setting: +** ------------------------------------------- +** sw_stat_global +** sw_stat_mismatch +** +** -------------------------------------------------------------------------- +** | setting | sw_stat_global=0 | sw_stat_global=1 | +** -------------------------------------------------------------------------- +** | sw_stat_mismatch=0 | No statistical | Global variation is on, | +** | | modeling | but mismatch is off. | +** -------------------------------------------------------------------------- +** | sw_stat_mismatch=1 | mismacth is on, | Most realistic | +** | | global variation off | distribution. | +** -------------------------------------------------------------------------- +** +** +** (default) - sw_stat_global=1 and sw_stat_mismatch=1 +** This setting provides the most complete representation of the +** statistical variations during chip manufacturing. +** Global process variations are determined by random distributions. +** Mismatch is differentiated from global variation in that mismatch only +** includes intra-die variation, and it is especially critical for analog matching applications. +** +** mc_skew is the monte-carlo simulation variation control. +** +** +** ------------------------------------------- +** Flicker noise corner setting: +** ------------------------------------------- +** +** "fnoicor" switch is added for user to select between the best- or worst-case +** flicker noise simulation options +** fnoicor = 0 : (default) as-extracted simulation +** fnoicor = 1 : worst case simulation +** +** ***************************************************************************** +** +** Switches +** +*********** Default mc switches ********** +** +.param ++ sw_stat_global = 1 ++ sw_stat_mismatch = 1 +** +********* Default mc skew value ********* +** ++ mc_skew = 3 ++ res_mc_skew = 3 ++ cap_mc_skew = 3 +** +****** Default flicker noise corner switch ***** +** ++ fnoicor = 0 +******************************************************************************** diff --git a/Tech.GF180MCU/sm141064.ngspice b/Tech.GF180MCU/sm141064.ngspice new file mode 100644 index 00000000..561e6345 --- /dev/null +++ b/Tech.GF180MCU/sm141064.ngspice @@ -0,0 +1,47638 @@ +* Copyright 2022 GlobalFoundries PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +******************************************************************************* +* Document No. : YI-141-SM064 +* Revision : 9 +* Process Name : 0.18um 3.3V/6V high voltage MCU process +* Process ID : TH18300G0A-PID007347 +* TH18300G1A-PID007352 +* TH183G0G6A-PID009507 +* TH18300G7A-PID009628 +* TH18300G4A-PID009792 +* TH18300G9A-PID010186 +* Wafer ID : GT3512K wf#02 (3.3V NMOS, 6.0V NMOS, 6.0V native NMOS and NMOSCAP) +* GT3512K wf#06 (3.3V PMOS, 6.0V PMOS, PMOSCAP and BJT) +* GT9755L wf#18 (PWELL/DNWELL and DNWELL/Psub diode, Vertical NPN) +* JT1042L01 (6V NMOS L=0.6um) +* TCXN39W20 W#4 schottky diode +************************************************************************************************ +* Models included in this release : +* +* ModelName Description +* --------- ----------- +* nmos_3p3 Subcircuit model for 3.3V NMOS +* pmos_3p3 Subcircuit model for 3.3V PMOS +* nmos_6p0 Subcircuit model for 6.0V NMOS +* pmos_6p0 Subcircuit model for 6.0V PMOS +* nmos_3p3_sab Subcircuit model for 3.3V NMOS with Drain side SAB +* pmos_3p3_sab Subcircuit model for 3.3V PMOS with Drain side SAB +* nmos_6p0_sab Subcircuit model for 6.0V NMOS with Drain side SAB +* pmos_6p0_sab Subcircuit model for 6.0V PMOS with Drain side SAB +* nmos_6p0_nat Subcircuit model for 6.0V native NMOS +* +* np_3p3 Model for 3.3V N+/Psub diode +* pn_3p3 Model for 3.3V P+/Nwell diode +* np_6p0 Model for 6.0V N+/Psub diode +* pn_6p0 Model for 6.0V P+/Nwell diode +* nwp_3p3 Model for 3.3V Nwell/Psub diode +* nwp_6p0 Model for 6.0V Nwell/Psub diode +* dnwpw Model for PWELL/DNWELL diode +* dnwps Model for DNWELL/Psub diode +* sc_diode Model for Schottky Diode +* +* vpnp_0p42x10 Subcircuit GP model for VPNP with emitter size of 10umx0.42um +* vpnp_0p42x5 Subcircuit GP model for VPNP with emitter size of 5umx0.42um +* vpnp_10x10 Subcircuit GP model for VPNP with emitter size of 10umx10um +* vpnp_5x5 Subcircuit GP model for VPNP with emitter size of 5umx5um +* vnpn_10x10 Subcircuit GP model for VNPN with emitter size of 10umx10um(four terminal) +* vnpn_5x5 Subcircuit GP model for VNPN with emitter size of 5umx5um(four terminal) +* vnpn_0p54x16 Subcircuit GP model for VNPN with emitter size of 0.54umx16um(four terminal) +* vnpn_0p54x8 Subcircuit GP model for VNPN with emitter size of 0.54umx8um(four terminal) +* vnpn_0p54x4 Subcircuit GP model for VNPN with emitter size of 0.54umx4um(four terminal) +* vnpn_0p54x2 Subcircuit GP model for VNPN with emitter size of 0.54umx2um(four terminal) +* +* nplus_u Subcircuit Model for 3-terminal unsalicided n+ diffusion resistor +* pplus_u Subcircuit Model for 3-terminal unsalicided P+ diffusion resistor +* nplus_s Subcircuit Model for 3-terminal salicided N+ diffusion resistor +* pplus_s Subcircuit Model for 3-terminal salicided P+ diffusion resistor +* nwell Subcircuit Model for 3-terminal nwell resistor under STI +* npolyf_u Subcircuit Model for 3-terminal unsalicided n+ poly resistor +* ppolyf_u Subcircuit Model for 3-terminal unsalicided p+ poly resistor +* npolyf_s Subcircuit Model for 3-terminal salicided n+ poly resistor +* ppolyf_s Subcircuit Model for 3-terminal salicided p+ poly resistor +* ppolyf_u_1k Subcircuit Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (3.3V area) +* ppolyf_u_2k Subcircuit Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (3.3V area) +* ppolyf_u_1k_6p0 Subcircuit Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (6.0V area) +* ppolyf_u_2k_6p0 Subcircuit Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (6.0V area) +* ppolyf_u_3k Subcircuit Model for 3-terminal 3k high-Rs p+ poly resistor on field oxide (both 3.3V & 6.0V area) +* rm1 Subcircuit Model for 2-terminal metal 1 resistor +* rm2 Subcircuit Model for 2-terminal metal 2 resistor +* rm3 Subcircuit Model for 2-terminal metal 3 resistor +* tm6k Subcircuit Model for 2-terminal top metal 6k resistor +* tm9k Subcircuit Model for 2-terminal top metal 9k resistor +* tm11k Subcircuit Model for 2-terminal top metal 11k resistor +* tm30k Subcircuit Model for 2-terminal top metal 30k resistor +* +* mim_1p5fF Subcircuit Model for 1.5fF/um2 MIM (*)-usable for Volt <=6V across capacitor +* mim_1p0fF Subcircuit Model for 1.0fF/um2 MIM (*)-usable for Volt <=20V across capacitor +* mim_2p0fF Subcircuit Model for 2fF/um2 MIM -usable for Volt <=6V across capacitor +* +* nmoscap_3p3 Subcircuit Model for 3.3v inversion-mode NMOS capacitor +* pmoscap_3p3 Subcircuit Model for 3.3v inversion-mode PMOS capacitor +* nmoscap_6p0 Subcircuit Model for 6.0V inversion-mode NMOS capacitor +* pmoscap_6p0 Subcircuit Model for 6.0V inversion-mode PMOS capacitor +* nmoscap_3p3_b Subcircuit Model for 3.3v NMOS in Nwell capacitor +* pmoscap_3p3_b Subcircuit Model for 3.3v PMOS in Pwell capacitor +* nmoscap_6p0_b Subcircuit Model for 6.0V NMOS in Nwell capacitor +* pmoscap_6p0_b Subcircuit Model for 6.0V PMOS in Pwell capacitor +* +* efuse Subcircuit model for 6V/(5V) efuse +************************************************************************************************ +* +.LIB typical + .lib 'sm141064.ngspice' nmos_3p3_t + .lib 'sm141064.ngspice' pmos_3p3_t +* + .param rsh_nplus_u_m=60 + .param rsh_pplus_u_m=185 + .param nmos_6p0_vsat = 1 + .param nmos_6p0_vth0 = 0 + .param nmos_6p0_xl = 0 + .param nmos_6p0_xw = 0 + .param nmos_6p0_tox = 0 + .param nmos_6p0_cgso = 1 + .param nmos_6p0_cgdo = 1 + .param nmos_6p0_nat_u0 = '0.070102' + .param nmos_6p0_nat_vth0 = '-0.039' + .param nmos_6p0_nat_xl = '0' + .param nmos_6p0_nat_xw = '0' + .param nmos_6p0_nat_tox = '1.52e-008' + .param nmos_6p0_nat_cgso = '1e-010' + .param nmos_6p0_nat_cgdo = '1e-010' + .param pmos_6p0_dvth0 = 0 + .param pmos_6p0_dxl = 0 + .param pmos_6p0_dxw = 0 + .param pmos_6p0_dtox = 0 + .param pmos_6p0_dcgdo = 1 + .param pmos_6p0_dcgso = 1 + + .lib 'sm141064.ngspice' nmos_6p0_t + .lib 'sm141064.ngspice' pmos_6p0_t + .lib 'sm141064.ngspice' nmos_6p0_nat_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +* +* +.LIB ff + .lib 'sm141064.ngspice' nmos_3p3_f + .lib 'sm141064.ngspice' pmos_3p3_f +* + .param rsh_nplus_u_m=45 + .param rsh_pplus_u_m=145 + .param nmos_6p0_vsat = 1.0846 + .param nmos_6p0_vth0 = -0.1298 + .param nmos_6p0_xl = -4.2E-8 + .param nmos_6p0_xw = 5E-8 + .param nmos_6p0_tox = -1E-9 + .param nmos_6p0_cgso = 0.9 + .param nmos_6p0_cgdo = 0.9 + .param nmos_6p0_nat_u0 = '0.118' + .param nmos_6p0_nat_vth0 = '-0.216' + .param nmos_6p0_nat_xl = '-2e-7' + .param nmos_6p0_nat_xw = '1e-7' + .param nmos_6p0_nat_tox = '1.42e-008' + .param nmos_6p0_nat_cgso = '9e-011' + .param nmos_6p0_nat_cgdo = '9e-011' + .param pmos_6p0_dvth0 = 0.1245 + .param pmos_6p0_dxl = -4.65E-8 + .param pmos_6p0_dxw = 5E-8 + .param pmos_6p0_dtox = -1E-9 + .param pmos_6p0_dcgdo = 0.9 + .param pmos_6p0_dcgso = 0.9 + + .lib 'sm141064.ngspice' nmos_6p0_t + .lib 'sm141064.ngspice' pmos_6p0_t + .lib 'sm141064.ngspice' nmos_6p0_nat_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +* +* +.LIB ss + .lib 'sm141064.ngspice' nmos_3p3_s + .lib 'sm141064.ngspice' pmos_3p3_s +* + .param rsh_nplus_u_m=75 + .param rsh_pplus_u_m=225 + .param nmos_6p0_vsat = 0.899 + .param nmos_6p0_vth0 = 0.1193 + .param nmos_6p0_xl = 7E-8 + .param nmos_6p0_xw = -5E-8 + .param nmos_6p0_tox = 1E-9 + .param nmos_6p0_cgso = 1.1 + .param nmos_6p0_cgdo = 1.1 + .param nmos_6p0_nat_u0 = '0.046' + .param nmos_6p0_nat_vth0 = '0.1417' + .param nmos_6p0_nat_xl = '2e-7' + .param nmos_6p0_nat_xw = '-1e-7' + .param nmos_6p0_nat_tox = '1.62e-008' + .param nmos_6p0_nat_cgso = '1.1e-010' + .param nmos_6p0_nat_cgdo = '1.1e-010' + .param pmos_6p0_dvth0 = -0.1225 + .param pmos_6p0_dxl = 6.9E-8 + .param pmos_6p0_dxw = -5E-8 + .param pmos_6p0_dtox = 1E-9 + .param pmos_6p0_dcgdo = 1.1 + .param pmos_6p0_dcgso = 1.1 + + .lib 'sm141064.ngspice' nmos_6p0_t + .lib 'sm141064.ngspice' pmos_6p0_t + .lib 'sm141064.ngspice' nmos_6p0_nat_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +* +* +.LIB fs + .lib 'sm141064.ngspice' nmos_3p3_fs + .lib 'sm141064.ngspice' pmos_3p3_fs +* + .param rsh_nplus_u_m=48 + .param rsh_pplus_u_m=219 + .param nmos_6p0_vsat = '0.0846*0.67+1' + .param nmos_6p0_vth0 = '-0.1298*0.75' + .param nmos_6p0_xl = '-4.2E-8*0.67' + .param nmos_6p0_xw = '5E-8*0.67' + .param nmos_6p0_tox = '-1E-9*0.75' + .param nmos_6p0_cgso = 0.93 + .param nmos_6p0_cgdo = 0.93 + .param nmos_6p0_nat_u0 = '0.102034' + .param nmos_6p0_nat_vth0 = '-0.157' + .param nmos_6p0_nat_xl = '-1.33e-7' + .param nmos_6p0_nat_xw = '6.7e-8' + .param nmos_6p0_nat_tox = '1.453e-008' + .param nmos_6p0_nat_cgso = '9.33e-011' + .param nmos_6p0_nat_cgdo = '9.33e-011' + .param pmos_6p0_dvth0 = -0.0829 + .param pmos_6p0_dxl = 4.1E-8 + .param pmos_6p0_dxw = -3.35E-8 + .param pmos_6p0_dtox = 6.7E-10 + .param pmos_6p0_dcgdo = 1.07 + .param pmos_6p0_dcgso = 1.07 + + .lib 'sm141064.ngspice' nmos_6p0_t + .lib 'sm141064.ngspice' pmos_6p0_t + .lib 'sm141064.ngspice' nmos_6p0_nat_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +* +* +.LIB sf + .lib 'sm141064.ngspice' nmos_3p3_sf + .lib 'sm141064.ngspice' pmos_3p3_sf +* + .param rsh_nplus_u_m=72 + .param rsh_pplus_u_m=150 + .param nmos_6p0_vsat = '1-(1-0.899)*0.67' + .param nmos_6p0_vth0 = '0.1193*0.75' + .param nmos_6p0_xl = '7E-8*0.67' + .param nmos_6p0_xw = '-5E-8*0.67' + .param nmos_6p0_tox = '1E-9*0.75' + .param nmos_6p0_cgso = 1.07 + .param nmos_6p0_cgdo = 1.07 + .param nmos_6p0_nat_u0 = '0.054034' + .param nmos_6p0_nat_vth0 = '0.08147' + .param nmos_6p0_nat_xl = '1.33e-7' + .param nmos_6p0_nat_xw = '-6.7e-8' + .param nmos_6p0_nat_tox = '1.587e-008' + .param nmos_6p0_nat_cgso = '1.067e-010' + .param nmos_6p0_nat_cgdo = '1.067e-010' + .param pmos_6p0_dvth0 = 0.0827 + .param pmos_6p0_dxl = -3.22E-8 + .param pmos_6p0_dxw = 3.35E-8 + .param pmos_6p0_dtox = -6.7E-10 + .param pmos_6p0_dcgdo = 0.93 + .param pmos_6p0_dcgso = 0.93 + + .lib 'sm141064.ngspice' nmos_6p0_t + .lib 'sm141064.ngspice' pmos_6p0_t + .lib 'sm141064.ngspice' nmos_6p0_nat_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +**************************************************** +* +.LIB bjt_typical +.param ++isa=1 bfa=1 rba=1 rea=1 ++rca=1 rbma=1 cjea=1 cjca=1 ++is_cor_npn =1 ++bf_cor_npn=1 ++rb_cor_npn=1 ++re_cor_npn=1 ++rc_cor_npn=1 ++rbm_cor_npn=1 ++cjc_cor_npn=1 ++cje_cor_npn=1 + ++ mc_xis_vnpn=0 ++ mc_xbf_vnpn=0 ++ mc_xrb_vnpn=0 ++ mc_xre_vnpn=0 ++ mc_xrc_vnpn=0 ++ mc_xcje_vnpn=0 ++ mc_xcjc_vnpn=0 ++ mc_xis_vpnp=0 ++ mc_xbf_vpnp=0 ++ mc_xrb_vpnp=0 ++ mc_xre_vpnp=0 ++ mc_xrc_vpnp=0 ++ mc_xcje_vpnp=0 ++ mc_xcjc_vpnp=0 +.lib 'sm141064.ngspice' bjt_mc +.ENDL +* +.LIB bjt_ss +.param ++isa=0.65 bfa=0.80 rba=1.2 rea=1.2 ++rca=1.2 rbma=1.2 cjea=1.15 cjca=1.15 ++is_cor_npn =0.4 ++bf_cor_npn=0.57 ++rb_cor_npn=1.2 ++re_cor_npn=1.2 ++rc_cor_npn=1.2 ++rbm_cor_npn=1.2 ++cjc_cor_npn=1.15 ++cje_cor_npn=1.15 + ++ mc_xis_vnpn=0 ++ mc_xbf_vnpn=0 ++ mc_xrb_vnpn=0 ++ mc_xre_vnpn=0 ++ mc_xrc_vnpn=0 ++ mc_xcje_vnpn=0 ++ mc_xcjc_vnpn=0 ++ mc_xis_vpnp=0 ++ mc_xbf_vpnp=0 ++ mc_xrb_vpnp=0 ++ mc_xre_vpnp=0 ++ mc_xrc_vpnp=0 ++ mc_xcje_vpnp=0 ++ mc_xcjc_vpnp=0 +.lib 'sm141064.ngspice' bjt_mc +.ENDL +* +.LIB bjt_ff +.param ++isa=1.35 bfa=1.2 rba=0.8 rea=0.8 ++rca=0.8 rbma=0.8 cjea=0.85 cjca=0.85 ++is_cor_npn =2.25 ++bf_cor_npn=1.31 ++rb_cor_npn=0.8 ++re_cor_npn=0.8 ++rc_cor_npn=0.8 ++rbm_cor_npn=0.8 ++cjc_cor_npn=0.85 ++cje_cor_npn=0.85 + ++ mc_xis_vnpn=0 ++ mc_xbf_vnpn=0 ++ mc_xrb_vnpn=0 ++ mc_xre_vnpn=0 ++ mc_xrc_vnpn=0 ++ mc_xcje_vnpn=0 ++ mc_xcjc_vnpn=0 ++ mc_xis_vpnp=0 ++ mc_xbf_vpnp=0 ++ mc_xrb_vpnp=0 ++ mc_xre_vpnp=0 ++ mc_xrc_vpnp=0 ++ mc_xcje_vpnp=0 ++ mc_xcjc_vpnp=0 +.lib 'sm141064.ngspice' bjt_mc +.ENDL +**************************************************** +* +.LIB diode_typical + .param + +jsa=1 rsa=1 cja=1 cjswa=1 + +jsa_sc = 0 vba_sc=0 rs_sc=1 jtuna_sc=0 cja_sc=1 + .lib 'sm141064.ngspice' dio +.ENDL +* +.LIB diode_ss + .param + +jsa=0.85 rsa=1.1 cja=1.1 cjswa=1.1 + +jsa_sc = -1.6 vba_sc=-7 rs_sc=1.1 jtuna_sc=-0.77 cja_sc=1.1 + .lib 'sm141064.ngspice' dio +.ENDL +* +.LIB diode_ff + .param + +jsa=1.15 rsa=0.9 cja=0.9 cjswa=0.9 + +jsa_sc = 1.6 vba_sc=7 rs_sc=0.9 jtuna_sc=0.77 cja_sc=0.9 + .lib 'sm141064.ngspice' dio +.ENDL +**************************************************** +* +.LIB res_typical +.param ++rsh_nplus_u=60 ++rsh_pplus_u=185 ++rsh_nplus_s=6.3 ++rsh_pplus_s=7 ++rsh_nwell=1000 ++rsh_npolyf_u=310 ++rsh_ppolyf_u=350 ++rsh_npolyf_s=6.8 ++rsh_ppolyf_s=7.3 +* ++rsh_ppolyf_u_1k=1000 ++rsh_ppolyf_u_2k=2000 ++rsh_ppolyf_u_1k_6p0=1000 ++rsh_ppolyf_u_2k_6p0=2000 ++rsh_ppolyf_u_3k=3000 ++rsh_rm1=0.09 ++rsh_rm2=0.09 ++rsh_rm3=0.09 ++rsh_tm6k=60e-3 ++rsh_tm9k=40e-3 ++rsh_tm11k=40e-3 ++rsh_tm30k=9.5e-3 +* +.lib 'sm141064.ngspice' res +.lib 'sm141064.ngspice' efuse +.lib 'sm141064.ngspice' res_statistical_par +.ENDL +* +.LIB res_ss +.param ++rsh_nplus_u=75 ++rsh_pplus_u=225 ++rsh_nplus_s=15 ++rsh_pplus_s=15 ++rsh_nwell=1200 ++rsh_npolyf_u=370 ++rsh_ppolyf_u=420 ++rsh_npolyf_s=15 ++rsh_ppolyf_s=15 +* ++rsh_ppolyf_u_1k='1000+200' ++rsh_ppolyf_u_2k='2000+400' ++rsh_ppolyf_u_1k_6p0='1000+200' ++rsh_ppolyf_u_2k_6p0='2000+400' ++rsh_ppolyf_u_3k='3000+750' ++rsh_rm1='0.09+0.012' ++rsh_rm2='0.09+0.012' ++rsh_rm3='0.09+0.012' ++rsh_tm6k='60e-3 + 10e-3' ++rsh_tm9k='40e-3 + 9e-3' ++rsh_tm11k='40e-3 + 9e-3' ++rsh_tm30k='9.5e-3 + 4.5e-3' +* +.lib 'sm141064.ngspice' res +.lib 'sm141064.ngspice' efuse +.lib 'sm141064.ngspice' res_statistical_par +.ENDL +* +.LIB res_ff +.param ++rsh_nplus_u=45 ++rsh_pplus_u=145 ++rsh_nplus_s=1 ++rsh_pplus_s=1 ++rsh_nwell=800 ++rsh_npolyf_u=250 ++rsh_ppolyf_u=280 ++rsh_npolyf_s=1 ++rsh_ppolyf_s=1 +* ++rsh_ppolyf_u_1k='1000-200' ++rsh_ppolyf_u_2k='2000-400' ++rsh_ppolyf_u_1k_6p0='1000-200' ++rsh_ppolyf_u_2k_6p0='2000-400' ++rsh_ppolyf_u_3k='3000-750' ++rsh_rm1='0.09-0.012' ++rsh_rm2='0.09-0.012' ++rsh_rm3='0.09-0.012' ++rsh_tm6k='60e-3 - 10e-3' ++rsh_tm9k='40e-3 - 9e-3' ++rsh_tm11k='40e-3 - 9e-3' ++rsh_tm30k='9.5e-3 - 3.5e-3' +* +.lib 'sm141064.ngspice' res +.lib 'sm141064.ngspice' efuse +.lib 'sm141064.ngspice' res_statistical_par +.ENDL +**************************************************** +* +.LIB mimcap_typical +.param mim_corner_1p5fF=1 +.param mim_corner_1p0fF=1 +.param mim_corner_2p0fF=1 + +.param mc_c_cox_1p0fF=0 +.param mc_c_cox_1p5fF=0 +.param mc_c_cox_2p0fF=0 + +.lib 'sm141064.ngspice' mim_cap +.ENDL +* +.LIB mimcap_ss +.param mim_corner_1p5fF=1.155 +.param mim_corner_1p0fF=1.1 +.param mim_corner_2p0fF=1.1 +.param mc_c_cox_1p0fF=0 +.param mc_c_cox_1p5fF=0 +.param mc_c_cox_2p0fF=0 + +.lib 'sm141064.ngspice' mim_cap +.ENDL +* +.LIB mimcap_ff +.param mim_corner_1p5fF=0.845 +.param mim_corner_1p0fF=0.9 +.param mim_corner_2p0fF=0.9 + +.param mc_c_cox_1p0fF=0 +.param mc_c_cox_1p5fF=0 +.param mc_c_cox_2p0fF=0 + +.lib 'sm141064.ngspice' mim_cap +.ENDL +**************************************************** +* +.lib moscap_typical +.param + + nmoscap_3p3_corner=1 + + pmoscap_3p3_corner=1 + + nmoscap_6p0_corner=1 + + pmoscap_6p0_corner=1 + + nmoscap_3p3_b_corner=1 + + pmoscap_3p3_b_corner=1 + + nmoscap_6p0_b_corner=1 + + pmoscap_6p0_b_corner=1 + +.lib 'sm141064.ngspice' moscap +.ENDL +* +.lib moscap_ff +.param + + nmoscap_3p3_corner=0.9 + + pmoscap_3p3_corner=0.9 + + nmoscap_6p0_corner=0.9 + + pmoscap_6p0_corner=0.9 + + nmoscap_3p3_b_corner=0.9 + + pmoscap_3p3_b_corner=0.9 + + nmoscap_6p0_b_corner=0.9 + + pmoscap_6p0_b_corner=0.9 + +.lib 'sm141064.ngspice' moscap +.ENDL +* +.lib moscap_ss +.param + + nmoscap_3p3_corner=1.1 + + pmoscap_3p3_corner=1.1 + + nmoscap_6p0_corner=1.1 + + pmoscap_6p0_corner=1.1 + + nmoscap_3p3_b_corner=1.1 + + pmoscap_3p3_b_corner=1.1 + + nmoscap_6p0_b_corner=1.1 + + pmoscap_6p0_b_corner=1.1 + +.lib 'sm141064.ngspice' moscap +.ENDL +**************************************************** +* +.LIB statistical + .param + +* MOSFET statistical parameters + + mc_sig_vth2 = agauss(0, 1, 3) + + mc_toxe2 = agauss(0, 1, 3) + + mc_xl2 = agauss(0, 1, 3) + + mc_xw2 = agauss(0, 1, 3) + + mc_xj2 = agauss(0, 1, 3) + + + mc_sig_vthN2 = agauss(0, 1, 3) + + mc_toxeN2 = agauss(0, 1, 3) + + mc_xlN2 = agauss(0, 1, 3) + + mc_xwN2 = agauss(0, 1, 3) + + mc_xjN2 = agauss(0, 1, 3) + + mc_rdswN2 = agauss(0, 1, 3) + + + mc_sig_vthP2 = agauss(0, 1, 3) + + mc_toxeP2 = agauss(0, 1, 3) + + mc_xlP2 = agauss(0, 1, 3) + + mc_xwP2 = agauss(0, 1, 3) + + mc_xjP2 = agauss(0, 1, 3) + + mc_rdswP2 = agauss(0, 1, 3) + + mc_rsh_nplus_u_temp=agauss(0, 3.85, 3) + + mc_rsh_pplus_u_temp=agauss(0, 10, 3) + + + + mc_sig_vth = mc_sig_vth2 + + mc_toxe = mc_toxe2 + + mc_xl = mc_xl2 + + mc_xw = mc_xw2 + + mc_xj = mc_xj2 + + + mc_sig_vthN = mc_sig_vthN2 + + mc_toxeN = mc_toxeN2 + + mc_xlN = mc_xlN2 + + mc_xwN = mc_xwN2 + + mc_xjN = mc_xjN2 + + mc_rdswN = mc_rdswN2 + + + mc_sig_vthP = mc_sig_vthP2 + + mc_toxeP = mc_toxeP2 + + mc_xlP = mc_xlP2 + + mc_xwP = mc_xwP2 + + mc_xjP = mc_xjP2 + + mc_rdswP = mc_rdswP2 + + mc_rsh_nplus_u=mc_rsh_nplus_u_temp + + mc_rsh_pplus_u=mc_rsh_pplus_u_temp + + + ****** 3.3V devices monte carlo parameters ****** + .param + + nmos_3p3_sig_vth1 = '(5e-3*mc_sig_vth+30e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nmos_3p3_sig_vth2 = '(5e-3*mc_sig_vth+25e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nmos_3p3_sig_vth3 = '(5e-3*mc_sig_vth+15e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nmos_3p3_tox = '8e-009 + (1.6e-10*mc_toxe+0.3e-10*mc_toxeN)*sw_stat_global*mc_skew' + + nmos_3p3_xl = '(7e-9*mc_xl+6e-9*mc_xlN)*sw_stat_global*mc_skew' + + nmos_3p3_xw = '(7e-9*mc_xw+3e-9*mc_xwN)*sw_stat_global*mc_skew' + + nmos_3p3_xj = '1e-7 + (0.3e-9*mc_xj+0.7e-9*mc_xjN)*sw_stat_global*mc_skew' + + nmos_3p3_rdsw = '530 * (1+0.15* mc_rdswN*sw_stat_global*mc_skew)' + + nmos_3p3_vth0_0 = '0.70837662 + nmos_3p3_sig_vth1' + + nmos_3p3_vth0_1 = '0.67781184 + nmos_3p3_sig_vth1' + + nmos_3p3_vth0_2 = '0.66097097 + nmos_3p3_sig_vth2' + + nmos_3p3_vth0_3 = '0.66064857 + nmos_3p3_sig_vth2' + + nmos_3p3_vth0_4 = '0.72356597 + nmos_3p3_sig_vth1' + + nmos_3p3_vth0_5 = '0.67504024 + nmos_3p3_sig_vth2' + + nmos_3p3_vth0_6 = '0.64923469 + nmos_3p3_sig_vth2' + + nmos_3p3_vth0_7 = '0.65055971 + nmos_3p3_sig_vth3' + + nmos_3p3_vth0_8 = '0.75419347 + nmos_3p3_sig_vth2' + + nmos_3p3_vth0_9 = '0.66260505 + nmos_3p3_sig_vth2' + + nmos_3p3_vth0_10 = '0.64815901 + nmos_3p3_sig_vth3' + + nmos_3p3_vth0_11 = '0.64889718 + nmos_3p3_sig_vth3' + + nmos_3p3_vth0_12 = '0.74840818 + nmos_3p3_sig_vth2' + + nmos_3p3_vth0_13 = '0.66297571 + nmos_3p3_sig_vth3' + + nmos_3p3_vth0_14 = '0.64787864 + nmos_3p3_sig_vth3' + + nmos_3p3_vth0_15 = '0.64857 + nmos_3p3_sig_vth3' + + .param + + pmos_3p3_sig_vth1 = '(-5e-3*mc_sig_vth-38e-3*mc_sig_vthP)*sw_stat_global*mc_skew' + + pmos_3p3_sig_vth2 = '(-5e-3*mc_sig_vth-30e-3*mc_sig_vthP)*sw_stat_global*mc_skew' + + pmos_3p3_sig_vth3 = '(-5e-3*mc_sig_vth-18e-3*mc_sig_vthP)*sw_stat_global*mc_skew' + + pmos_3p3_tox = '7.9e-009 + (1.6e-10*mc_toxe+0.3e-10*mc_toxeP)*sw_stat_global*mc_skew' + + pmos_3p3_xl = '(7e-9*mc_xl+4e-9*mc_xlP)*sw_stat_global*mc_skew' + + pmos_3p3_xw = '(7e-9*mc_xw+3e-9*mc_xwP)*sw_stat_global*mc_skew' + + pmos_3p3_xj = '1.0e-7 + (0.3e-9*mc_xj+0.7e-9*mc_xjP)*sw_stat_global*mc_skew' + + pmos_3p3_rdsw = '466 * (1+0.15*mc_rdswP*sw_stat_global*mc_skew)' + + pmos_3p3_vth0_0 = '-0.7506174 + pmos_3p3_sig_vth1' + + pmos_3p3_vth0_1 = '-0.78216327 + pmos_3p3_sig_vth1' + + pmos_3p3_vth0_2 = '-0.76745877 + pmos_3p3_sig_vth2' + + pmos_3p3_vth0_3 = '-0.76841429 + pmos_3p3_sig_vth2' + + pmos_3p3_vth0_4 = '-0.7710094 + pmos_3p3_sig_vth1' + + pmos_3p3_vth0_5 = '-0.77464237 + pmos_3p3_sig_vth2' + + pmos_3p3_vth0_6 = '-0.77376777 + pmos_3p3_sig_vth2' + + pmos_3p3_vth0_7 = '-0.77390514 + pmos_3p3_sig_vth3' + + pmos_3p3_vth0_8 = '-0.76226585 + pmos_3p3_sig_vth2' + + pmos_3p3_vth0_9 = '-0.76552347 + pmos_3p3_sig_vth2' + + pmos_3p3_vth0_10 = '-0.7677531 + pmos_3p3_sig_vth3' + + pmos_3p3_vth0_11 = '-0.7682 + pmos_3p3_sig_vth3' + + pmos_3p3_vth0_12 = '-0.76184364 + pmos_3p3_sig_vth2' + + pmos_3p3_vth0_13 = '-0.76642857 + pmos_3p3_sig_vth3' + + pmos_3p3_vth0_14 = '-0.76779091 + pmos_3p3_sig_vth3' + + pmos_3p3_vth0_15 = '-0.7682 + pmos_3p3_sig_vth3' + + ****** 6.0V devices monte carlo parameters ****** + .param + + nmos_6p0_vsat = '(1-0.063* mc_rdswN*sw_stat_global*mc_skew)' + + nmos_6p0_vth0 = '(8e-3*mc_sig_vth+28e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nmos_6p0_xl = '(2e-8*mc_xl+0*mc_xlN)*sw_stat_global*mc_skew' + + nmos_6p0_xw = '(1.5e-8*mc_xw+9e-9*mc_xwN)*sw_stat_global*mc_skew' + + nmos_6p0_tox = '(4e-10*mc_toxe+1.3e-10*mc_toxeN)*sw_stat_global*mc_skew' + + nmos_6p0_cgso = 1 + + nmos_6p0_cgdo = 1 + + .param + + pmos_6p0_vth0 = '-0.8978 + (8e-3*mc_sig_vth+30e-3*mc_sig_vthP)*sw_stat_global*mc_skew' + + pmos_6p0_tox = '156e-010 + (4e-10*mc_toxe+1e-10*mc_toxeP)*sw_stat_global*mc_skew' + + pmos_6p0_xl = '0 + (2e-8*mc_xl+2e-9*mc_xlP)*sw_stat_global*mc_skew' + + pmos_6p0_xw = '0 + (1.5e-8*mc_xw+9e-9*mc_xwP)*sw_stat_global*mc_skew' + + pmos_6p0_xj = '1.5e-7 + (0.3e-9*mc_xj+1e-8*mc_xjP)*sw_stat_global*mc_skew' + + pmos_6p0_rdsw = '1426 * (1+0.2* mc_rdswP*sw_stat_global*mc_skew)' + + .param + + nmos_6p0_nat_vth0 = '-0.039 + (8e-3*mc_sig_vth+60e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nmos_6p0_nat_tox = '152e-010 + (4e-10*mc_toxe+6e-10*mc_toxeN)*sw_stat_global*mc_skew' + + nmos_6p0_nat_xl = '0 + (2e-8*mc_xl+8e-8*mc_xlN)*sw_stat_global*mc_skew' + + nmos_6p0_nat_xw = '0 + (1.5e-8*mc_xw+8e-8*mc_xwN)*sw_stat_global*mc_skew' + + nmos_6p0_nat_xj = '1.5e-7 + (0.3e-9*mc_xj+2e-8*mc_xjN)*sw_stat_global*mc_skew' + + nmos_6p0_nat_rdsw = '3480 * (1+0.2* mc_rdswN*sw_stat_global*mc_skew)' + +.param ++ rsh_nplus2_u=60 ++ rsh_pplus2_u=185 + ++ rsh_nplus_u_m = 'rsh_nplus2_u*(1+(mc_rsh_nplus_u/(rsh_nplus2_u))*res_mc_skew*sw_stat_global)' ++ rsh_pplus_u_m = 'rsh_pplus2_u*(1+(mc_rsh_pplus_u/(rsh_pplus2_u))*res_mc_skew*sw_stat_global)' + + .lib 'sm141064.ngspice' fets_mm + .lib 'sm141064.ngspice' nmos_3p3_stat + .lib 'sm141064.ngspice' pmos_3p3_stat + .lib 'sm141064.ngspice' nmos_6p0_t + .lib 'sm141064.ngspice' pmos_6p0_stat + .lib 'sm141064.ngspice' nmos_6p0_nat_stat + .lib 'sm141064.ngspice' noise_corner + +.ENDL +* +* +.LIB noise_corner + .param + +nmos_3p3_noia='(fnoicor==0)*3.2e+041 + (fnoicor==1)*3.5e+042' + +nmos_3p3_noib='(fnoicor==0)*1.2e+020 + (fnoicor==1)*1.2e+020' + +nmos_3p3_noic='(fnoicor==0)*6.0e+008 + (fnoicor==1)*6.0e+008' + + +pmos_3p3_noia='(fnoicor==0)*3.2e+041 + (fnoicor==1)*4.0e+042' + +pmos_3p3_noib='(fnoicor==0)*1.8e+020 + (fnoicor==1)*1.8e+020' + +pmos_3p3_noic='(fnoicor==0)*3.0e+009 + (fnoicor==1)*6.0e+009' + + +nmos_6p0_noia='(fnoicor==0)*1.998e+041 + (fnoicor==1)*8e+041' + +nmos_6p0_noib='(fnoicor==0)*1e+025 + (fnoicor==1)*4e+025' + +nmos_6p0_noic='(fnoicor==0)*5e+008 + (fnoicor==1)*2e+009' + + +pmos_6p0_noia='(fnoicor==0)*6e+040 + (fnoicor==1)*2e+043' + +pmos_6p0_noib='(fnoicor==0)*1.5945e+025 + (fnoicor==1)*1.5945e+025' + +pmos_6p0_noic='(fnoicor==0)*1.0499e+009 + (fnoicor==1)*1.0499e+009' + + +nmos_6p0_nat_noia='(fnoicor==0)*5.5e+040 + (fnoicor==1)*1e+041' + +nmos_6p0_nat_noib='(fnoicor==0)*2.5e+025 + (fnoicor==1)*9.5e+025' + +nmos_6p0_nat_noic='(fnoicor==0)*1e+007 + (fnoicor==1)*2e+007' + +.ENDL +* +* +*************************************************************************************************** +* 3.3V NMOS Models +*************************************************************************************************** +* +.lib nmos_3p3_t + + +.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' + + +m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model nmos_3p3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70837662 ++lvth0 = -3.8715455e-008 ++wvth0 = -1.430587e-008 ++pvth0 = 4.3636364e-016 ++k1 = 0.95938091 ++lk1 = -9.9985454e-008 ++k2 = 0.054714558 ++lk2 = -4.1647636e-008 ++wk2 = -1.9242857e-008 ++pk2 = 5.388e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.1262652 ++lvoff = 3.9354545e-009 ++wvoff = 5.3064935e-009 ++pvoff = -1.4858182e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023671338 ++lu0 = 4.6525455e-009 ++wu0 = 4.6066597e-009 ++pu0 = -6.5127273e-016 ++ua = -1.1554452e-009 ++lua = 7.0220545e-016 ++wua = 2.7073777e-016 ++pua = -1.4149745e-022 ++ub = 3.3771156e-018 ++lub = -7.9058636e-025 ++wub = -4.093733e-025 ++pub = 9.2644364e-032 ++uc = 2.2660166e-010 ++luc = -6.1360545e-017 ++wuc = -3.2577351e-017 ++puc = 5.4467782e-024 ++eu = 1.67 ++vsat = 92454.546 ++lvsat = -0.0027272727 ++wvsat = -0.00021818182 ++pvsat = 1.3090909e-009 ++a0 = 0.11197377 ++la0 = -3.1454545e-009 ++wa0 = -6.2322078e-009 ++pa0 = 1.7450182e-015 ++ags = 0.32403844 ++lags = -1.5116364e-008 ++wags = 4.7930493e-008 ++pags = -1.2213818e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.14896036 ++lketa = 3.8830182e-008 ++wketa = 8.1643636e-009 ++pketa = -2.4261818e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3741 ++lpclm = -4.729e-008 ++wpclm = 2.1028364e-008 ++ppclm = 8.5658182e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.652013e-006 ++lalpha0 = -3.0506364e-013 ++walpha0 = 4.8779221e-014 ++palpha0 = -1.3658182e-020 ++alpha1 = 0 ++beta0 = 19.905584 ++lbeta0 = 1.2863636e-007 ++wbeta0 = 1.3848312e-007 ++pbeta0 = 8.7272727e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.45934558 ++lkt1 = 4.2126364e-008 ++wkt1 = 3.2086753e-008 ++pkt1 = -8.6530909e-015 ++kt1l = 0 ++kt2 = -0.024730519 ++lkt2 = 1.2545455e-009 ++wkt2 = 1.0597403e-009 ++pkt2 = -2.9672727e-016 ++ute = -1.5675325 ++lute = 9.0909091e-008 ++wute = 1.0441558e-007 ++pute = -4.3636364e-014 ++ua1 = 1.675e-009 ++ub1 = -4.1945234e-018 ++lub1 = 2.8745455e-025 ++wub1 = 3.3492467e-025 ++pub1 = -5.7490909e-032 ++uc1 = -4.2363636e-011 ++luc1 = -3.8181818e-018 ++wuc1 = -6.5454545e-018 ++puc1 = 1.8327273e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.67781184 ++lvth0 = -2.3433061e-008 ++wvth0 = -1.2304653e-008 ++pvth0 = -5.642449e-016 ++k1 = 0.74639857 ++lk1 = 6.5057143e-009 ++k2 = 0.0237458 ++lk2 = -2.6163257e-008 ++wk2 = -3.01296e-009 ++pk2 = -2.7269486e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11273959 ++lvoff = -2.8273469e-009 ++wvoff = 1.6942041e-009 ++pvoff = 3.2032653e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029675694 ++lu0 = 1.6503673e-009 ++wu0 = 8.572898e-010 ++pu0 = 1.2234122e-015 ++ua = -1.2961984e-009 ++lua = 7.7258204e-016 ++wua = 4.7264816e-017 ++pua = -2.976098e-023 ++ub = 3.0836898e-018 ++lub = -6.4387347e-025 ++wub = -2.7080816e-026 ++pub = -9.8501878e-032 ++uc = 8.4613959e-011 ++luc = 9.6333061e-018 ++wuc = 2.2398367e-018 ++puc = -1.1961815e-023 ++eu = 1.67 ++vsat = 83571.429 ++lvsat = 0.0017142857 ++wvsat = -0.0017142857 ++pvsat = 2.0571429e-009 ++a0 = 1.0861147 ++la0 = -4.9021592e-007 ++wa0 = -5.1997224e-008 ++pa0 = 2.4627526e-014 ++ags = 0.47870122 ++lags = -9.2447755e-008 ++wags = 4.3304327e-008 ++pags = -9.9007347e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028417143 ++lketa = -2.1441429e-008 ++wketa = -7.4262857e-009 ++pketa = 5.3691429e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.082893878 ++lpclm = 9.8313061e-008 ++wpclm = 4.3902367e-008 ++ppclm = -2.8711837e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.5720816e-006 ++lalpha0 = -2.265098e-012 ++walpha0 = -1.5330612e-014 ++palpha0 = 1.8396735e-020 ++alpha1 = 0 ++beta0 = 22.625306 ++lbeta0 = -1.2312245e-006 ++wbeta0 = -3.5054694e-007 ++pbeta0 = 2.4538775e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33916633 ++lkt1 = -1.7963265e-008 ++wkt1 = -2.4641633e-009 ++pkt1 = 8.6223674e-015 ++kt1l = 0 ++kt2 = -0.020311225 ++lkt2 = -9.5510204e-010 ++wkt2 = -3.9183673e-011 ++pkt2 = 2.5273469e-016 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.804398e-018 ++lub1 = -4.0760816e-025 ++wub1 = 5.6899592e-026 ++pub1 = 8.1521633e-032 ++uc1 = -6.0285714e-011 ++luc1 = 5.1428571e-018 ++wuc1 = 2.0571429e-018 ++puc1 = -2.4685714e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66097097 ++lvth0 = -3.224026e-009 ++wvth0 = -9.7008312e-009 ++pvth0 = -3.6888312e-015 ++k1 = 0.79593364 ++lk1 = -5.2936364e-008 ++k2 = 0.0056393844 ++lk2 = -4.4355584e-009 ++wk2 = -7.4596769e-009 ++pk2 = 2.6091117e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12631325 ++lvoff = 1.3461039e-008 ++wvoff = 2.0819221e-009 ++pvoff = -1.4493507e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032447266 ++lu0 = -1.6755195e-009 ++wu0 = 6.7095584e-010 ++pu0 = 1.447013e-015 ++ua = -8.1547091e-010 ++lua = 1.9570909e-016 ++wua = 6.0458182e-018 ++pua = 1.9701818e-023 ++ub = 2.7427942e-018 ++lub = -2.347987e-025 ++wub = -1.6048831e-026 ++pub = -1.1174026e-031 ++uc = 9.84685e-011 ++luc = -6.9921429e-018 ++wuc = -8.8975636e-018 ++puc = 1.4030649e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.224418 ++la0 = -6.5617987e-007 ++wa0 = 4.291948e-009 ++pa0 = -4.2919481e-014 ++ags = 0.25784649 ++lags = 1.7257792e-007 ++wags = -2.606026e-009 ++pags = 4.5191688e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019651071 ++lketa = -3.1960714e-008 ++wketa = -6.5992208e-010 ++pketa = -2.7504935e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18918506 ++lpclm = -2.9236364e-008 ++wpclm = 2.1551688e-009 ++ppclm = 4.7225454e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.5243347e-005 ++lalpha0 = -8.4670617e-011 ++walpha0 = 7.5358442e-012 ++palpha0 = -9.043013e-018 ++alpha1 = 0 ++beta0 = 24.210162 ++lbeta0 = -3.133052e-006 ++wbeta0 = 1.1381299e-007 ++pbeta0 = -3.1184416e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32898149 ++lkt1 = -3.0185065e-008 ++wkt1 = -7.3528831e-009 ++pkt1 = 1.4488831e-014 ++kt1l = 0 ++kt2 = -0.021107143 ++wkt2 = 1.7142857e-010 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5166039e-018 ++lub1 = -7.5296104e-025 ++wub1 = 2.224987e-026 ++pub1 = 1.231013e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66064857 ++wvth0 = -1.0069714e-008 ++k1 = 0.79064 ++k2 = 0.0051958286 ++wk2 = -7.1987657e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12496714 ++wvoff = 2.0674286e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032279714 ++wu0 = 8.1565714e-010 ++ua = -7.959e-010 ++wua = 8.016e-018 ++ub = 2.7193143e-018 ++wub = -2.7222857e-026 ++uc = 9.7769286e-011 ++wuc = -8.7572571e-018 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1588 ++ags = 0.27510429 ++wags = 1.9131429e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022847143 ++wketa = -9.3497143e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18626143 ++wpclm = 6.8777143e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6776286e-005 ++walpha0 = 6.6315429e-012 ++alpha1 = 0 ++beta0 = 23.896857 ++wbeta0 = 8.2628571e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.332 ++wkt1 = -5.904e-009 ++kt1l = 0 ++kt2 = -0.021107143 ++wkt2 = 1.7142857e-010 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5919e-018 ++wub1 = 3.456e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72356597 ++lvth0 = -4.1979273e-008 ++wvth0 = -2.1596758e-008 ++pvth0 = 2.0029964e-015 ++k1 = 0.95938091 ++lk1 = -9.9985454e-008 ++k2 = 0.041255727 ++lk2 = -3.7879164e-008 ++wk2 = -1.2782618e-008 ++pk2 = 3.5791331e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.079311948 ++lvoff = -9.2114546e-009 ++wvoff = -1.7231065e-008 ++pvoff = 4.8246982e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.033011551 ++lu0 = 4.0251818e-009 ++wu0 = 1.2335751e-010 ++pu0 = -3.5013818e-016 ++ua = -6.3005701e-010 ++lua = 3.9938436e-016 ++wua = 1.8551439e-017 ++pua = 3.8566691e-024 ++ub = 2.2836418e-018 ++lub = -9.0230909e-026 ++wub = 1.1549411e-025 ++pub = -2.4352626e-031 ++uc = 1.5877203e-010 ++luc = -3.4349127e-017 ++wuc = -1.9125195e-020 ++puc = -7.5187026e-024 ++eu = 1.67 ++vsat = 71618.182 ++lvsat = 0.0042909091 ++wvsat = 0.0097832727 ++pvsat = -2.0596364e-009 ++a0 = 0.10680558 ++la0 = -1.6983636e-009 ++wa0 = -3.7514805e-009 ++pa0 = 1.0504145e-015 ++ags = 0.35500309 ++lags = -1.1780546e-008 ++wags = 3.3067462e-008 ++pags = -1.3815011e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12490989 ++lketa = 3.0254945e-008 ++wketa = -3.3798633e-009 ++pketa = 1.6899316e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.45921829 ++lpclm = -8.0088e-008 ++wpclm = -1.9828414e-008 ++ppclm = 2.4308858e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6500109e-006 ++lalpha0 = -2.8170545e-013 ++walpha0 = 4.9740218e-014 ++palpha0 = -2.4870109e-020 ++alpha1 = 0 ++beta0 = 20.982852 ++lbeta0 = -8.9454546e-008 ++wbeta0 = -3.786053e-007 ++pbeta0 = 1.0555636e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37773746 ++lkt1 = 1.6718727e-008 ++wkt1 = -7.0851491e-009 ++pkt1 = 3.5425745e-015 ++kt1l = 0 ++kt2 = -0.014603854 ++lkt2 = -3.3230727e-009 ++wkt2 = -3.8010589e-009 ++pkt2 = 1.9005294e-015 ++ute = -1.4342857 ++wute = 4.0457143e-008 ++ua1 = 1.675e-009 ++ub1 = -3.65896e-018 ++lub1 = 2.4878e-025 ++wub1 = 7.7854254e-026 ++pub1 = -3.8927127e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.67504024 ++lvth0 = -1.7716408e-008 ++wvth0 = -1.0974289e-008 ++pvth0 = -3.3082384e-015 ++k1 = 0.76833212 ++lk1 = -4.4610612e-009 ++wk1 = -1.0528104e-008 ++pk1 = 5.2640522e-015 ++k2 = 0.0082103273 ++lk2 = -2.1356464e-008 ++wk2 = 4.4440669e-009 ++pk2 = -5.0342094e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12049225 ++lvoff = 1.1378694e-008 ++wvoff = 5.4154776e-009 ++pvoff = -6.4985731e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031181163 ++lu0 = 4.9403755e-009 ++wu0 = 1.3466449e-010 ++pu0 = -3.5579167e-016 ++ua = -1.1586455e-009 ++lua = 6.6367861e-016 ++wua = -1.8760555e-017 ++pua = 2.2512666e-023 ++ub = 2.8240225e-018 ++lub = -3.6042122e-025 ++wub = 9.755951e-026 ++pub = -2.3455895e-031 ++uc = 8.1997037e-011 ++luc = 4.0383673e-018 ++wuc = 3.4959595e-018 ++puc = -9.2762449e-024 ++eu = 1.67 ++vsat = 88428.571 ++lvsat = -0.0041142857 ++wvsat = -0.0040457143 ++pvsat = 4.8548571e-009 ++a0 = 0.97533082 ++la0 = -4.3596098e-007 ++wa0 = 1.1790367e-009 ++pa0 = -1.4148441e-015 ++ags = 0.441074 ++lags = -5.4816e-008 ++wags = 6.1365394e-008 ++pags = -2.7963977e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.21719837 ++lpclm = 4.0921959e-008 ++wpclm = -2.0563788e-008 ++ppclm = 2.4676545e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.8164074e-006 ++lalpha0 = -2.3649037e-012 ++walpha0 = -1.3260696e-013 ++palpha0 = 6.6303478e-020 ++alpha1 = 0 ++beta0 = 21.036008 ++lbeta0 = -1.1603265e-007 ++wbeta0 = 4.1231608e-007 ++pbeta0 = -2.8990433e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.4079911 ++lkt1 = 3.1845551e-008 ++wkt1 = 3.0571729e-008 ++pkt1 = -1.5285865e-014 ++kt1l = 0 ++kt2 = -0.031229592 ++lkt2 = 4.9897959e-009 ++wkt2 = 5.2016327e-009 ++pkt2 = -2.6008163e-015 ++ute = -1.4342857 ++wute = 4.0457143e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8098294e-018 ++lub1 = -1.7578531e-025 ++wub1 = 5.9506678e-026 ++pub1 = -2.9753339e-032 ++uc1 = -1.1888774e-010 ++luc1 = 3.1443869e-017 ++wuc1 = 3.0186115e-017 ++puc1 = -1.5093057e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64923469 ++lvth0 = 1.325026e-008 ++wvth0 = -4.067414e-009 ++pvth0 = -1.1596488e-014 ++k1 = 0.79418892 ++lk1 = -3.5489221e-008 ++wk1 = 8.3746286e-010 ++pk1 = -8.3746286e-015 ++k2 = -0.0057236965 ++lk2 = -4.6356351e-009 ++wk2 = -2.005398e-009 ++pk2 = 2.7051485e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036490513 ++lu0 = -1.4308442e-009 ++wu0 = -1.2698026e-009 ++pu0 = 1.3295688e-015 ++ua = -7.881063e-010 ++lua = 2.1903156e-016 ++wua = -7.0891948e-018 ++pua = 8.5070338e-024 ++ub = 3.0594896e-018 ++lub = -6.4298182e-025 ++wub = -1.6806265e-025 ++pub = 8.4187636e-032 ++uc = 9.7557278e-011 ++luc = -1.4633922e-017 ++wuc = -8.460177e-018 ++puc = 5.071119e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.2333595 ++la0 = -7.4559545e-007 ++ags = 0.28370796 ++lags = 1.3402325e-007 ++wags = -1.501953e-008 ++pags = 6.3697932e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22708279 ++lpclm = 2.9060649e-008 ++wpclm = -1.603574e-008 ++ppclm = 1.9242888e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0921047e-005 ++lalpha0 = -1.0329047e-010 ++walpha0 = 1.0548281e-014 ++palpha0 = -1.0548281e-019 ++alpha1 = 0 ++beta0 = 24.039866 ++lbeta0 = -3.7206623e-006 ++wbeta0 = 1.9555512e-007 ++pbeta0 = -2.9791169e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33923366 ++lkt1 = -5.0663377e-008 ++wkt1 = -2.4318421e-009 ++pkt1 = 2.4318421e-014 ++kt1l = 0 ++kt2 = -0.021803571 ++lkt2 = -6.3214286e-009 ++wkt2 = 5.0571429e-010 ++pkt2 = 3.0342857e-015 ++ute = -1.7216234 ++lute = 3.448052e-007 ++wute = 1.7837922e-007 ++pute = -1.6550649e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5465249e-018 ++lub1 = 7.0824935e-025 ++wub1 = 5.1661197e-025 ++pub1 = -5.7827969e-031 ++uc1 = -5.0997566e-011 ++luc1 = -5.0024338e-017 ++wuc1 = -2.4011682e-018 ++puc1 = 2.4011682e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.65055971 ++wvth0 = -5.2270629e-009 ++k1 = 0.79064 ++k2 = -0.00618726 ++wk2 = -1.7348832e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036347429 ++wu0 = -1.1368457e-009 ++ua = -7.6620314e-010 ++wua = -6.2384914e-018 ++ub = 2.9951914e-018 ++wub = -1.5964389e-025 ++uc = 9.6093886e-011 ++wuc = -7.9530651e-018 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1588 ++ags = 0.29711029 ++wags = -8.6497371e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.22998886 ++wpclm = -1.4111451e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6678 ++wbeta0 = 1.92576e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022435714 ++wkt2 = 8.0914286e-010 ++ute = -1.6871429 ++wute = 1.6182857e-007 ++ua1 = 1.675e-009 ++ub1 = -3.4757e-018 ++wub1 = 4.58784e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.75419347 ++lvth0 = -5.5747725e-008 ++wvth0 = -5.7737207e-008 ++pvth0 = 1.824977e-014 ++k1 = 0.95060511 ++lk1 = -9.5597554e-008 ++wk1 = 1.0355446e-008 ++pk1 = -5.177723e-015 ++k2 = 0.013945175 ++lk2 = -3.0232209e-008 ++wk2 = 1.9443834e-008 ++pk2 = -5.4442735e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12424632 ++lvoff = 6.8691116e-010 ++wvoff = 3.5791497e-008 ++pvoff = -6.8553733e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046898182 ++lu0 = 1.7050207e-010 ++wu0 = -1.6262868e-008 ++pu0 = 4.1983839e-015 ++ua = -6.6207759e-010 ++lua = 2.5458994e-016 ++wua = 5.6335718e-017 ++pua = 1.7471409e-022 ++ub = 3.7962141e-018 ++lub = -3.3240512e-025 ++wub = -1.6693412e-024 ++pub = 4.2239319e-032 ++uc = 2.9436835e-010 ++luc = -6.8059408e-017 ++wuc = -1.6002278e-016 ++puc = 3.2259428e-023 ++eu = 1.67 ++vsat = 85682.645 ++lvsat = -0.00034132231 ++wvsat = -0.0068127934 ++pvsat = 3.4063967e-009 ++a0 = 0.10362636 ++la0 = -8.0818182e-010 ++ags = 0.2705431 ++lags = 3.2753448e-008 ++wags = 1.3273025e-007 ++pags = -6.6365124e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12424077 ++lketa = 2.9920384e-008 ++wketa = -4.1694295e-009 ++pketa = 2.0847148e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.20476889 ++lpclm = -9.798626e-009 ++wpclm = 2.8042187e-007 ++ppclm = -5.8632603e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5953123e-006 ++lalpha0 = -2.5435614e-013 ++walpha0 = 1.1428461e-013 ++palpha0 = -5.7142305e-020 ++alpha1 = 0 ++beta0 = 21.140586 ++wbeta0 = -5.6473191e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.59809917 ++lnoff = 1.2990496e-006 ++wnoff = 3.065757e-006 ++pnoff = -1.5328785e-012 ++voffcv = 0.22872521 ++lvoffcv = -1.118626e-007 ++wvoffcv = -2.6399574e-007 ++pvoffcv = 1.3199787e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28115299 ++lkt1 = -1.0099496e-008 ++wkt1 = -1.2105482e-007 ++pkt1 = 3.5188078e-014 ++kt1l = 0 ++kt2 = -0.025449687 ++lkt2 = 9.6575269e-010 ++wkt2 = 8.9970236e-009 ++pkt2 = -3.1602845e-015 ++ute = -1.5701136 ++wute = 2.0073409e-007 ++ua1 = 1.675e-009 ++ub1 = -5.3788142e-018 ++lub1 = 4.827456e-025 ++wub1 = 2.1072821e-024 ++pub1 = -3.1500653e-031 ++uc1 = -2.2938539e-010 ++luc1 = 4.973267e-017 ++wuc1 = 2.0459475e-016 ++puc1 = -5.8684551e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66260505 ++lvth0 = -9.953513e-009 ++wvth0 = 3.6992425e-009 ++pvth0 = -1.2468455e-014 ++k1 = 0.75941 ++k2 = 0.017155231 ++lk2 = -3.1837237e-008 ++wk2 = -6.1109193e-009 ++pk2 = 7.3331031e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10253679 ++lvoff = -1.0167857e-008 ++wvoff = -1.5771964e-008 ++pvoff = 1.8926357e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038465008 ++lu0 = 4.387089e-009 ++wu0 = -8.4602728e-009 ++pu0 = 2.9708645e-016 ++ua = -9.289245e-010 ++lua = 3.880134e-016 ++wua = -2.8983135e-016 ++pua = 3.4779762e-022 ++ub = 3.4725304e-018 ++lub = -1.7056325e-025 ++wub = -6.6767982e-025 ++pub = -4.5859137e-031 ++uc = 1.5722431e-010 ++luc = 5.1261039e-019 ++wuc = -8.5272224e-017 ++puc = -5.1158517e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.57970277 ++la0 = -2.3884638e-007 ++wa0 = 4.6802014e-007 ++pa0 = -2.3401007e-013 ++ags = 0.63340774 ++lags = -1.4867887e-007 ++wags = -1.6558842e-007 ++pags = 8.279421e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.047719 ++lpclm = 6.8726318e-008 ++wpclm = 1.7942187e-007 ++ppclm = -8.1325983e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7040286e-006 ++lalpha0 = -2.3087143e-012 ++alpha1 = 0 ++beta0 = 21.043581 ++lbeta0 = 4.8502597e-008 ++wbeta0 = 4.0337993e-007 ++pbeta0 = -4.8405592e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30297354 ++lkt1 = 8.1077922e-010 ++wkt1 = -9.3348999e-008 ++pkt1 = 2.1335166e-014 ++kt1l = 0 ++kt2 = -0.021799026 ++lkt2 = -8.5957792e-010 ++wkt2 = -5.9264351e-009 ++pkt2 = 4.3014448e-015 ++ute = -1.5701136 ++wute = 2.0073409e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0334126e-018 ++lub1 = -6.899552e-025 ++wub1 = 3.2333483e-025 ++pub1 = 5.7696713e-031 ++uc1 = -1.4511739e-010 ++luc1 = 7.5986727e-018 ++wuc1 = 6.1137104e-017 ++puc1 = 1.3044275e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64815901 ++lvth0 = 7.3817355e-009 ++wvth0 = -2.7981116e-009 ++pvth0 = -4.6716298e-015 ++k1 = 0.79747612 ++lk1 = -4.5679339e-008 ++wk1 = -3.0414256e-009 ++pk1 = 3.6497107e-015 ++k2 = -0.0074231864 ++lk2 = -2.3431364e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040494054 ++lu0 = 1.9522345e-009 ++wu0 = -5.9939808e-009 ++pu0 = -2.662464e-015 ++ua = -8.1072595e-010 ++lua = 2.4617513e-016 ++wua = 1.9601988e-017 ++pua = -2.3522386e-023 ++ub = 3.1895805e-018 ++lub = 1.6897655e-025 ++wub = -3.2156993e-025 ++pub = -8.7392324e-031 ++uc = 1.0432829e-010 ++luc = 6.3987831e-017 ++wuc = -1.6449976e-017 ++puc = -8.7702549e-023 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.175342 ++la0 = -9.536135e-007 ++wa0 = 6.8460666e-008 ++pa0 = 2.454613e-013 ++ags = 0.26729169 ++lags = 2.9066039e-007 ++wags = 4.3516718e-009 ++pags = -1.211339e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23344442 ++lpclm = -1.5414418e-007 ++wpclm = -2.3542459e-008 ++ppclm = 2.3542459e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0929986e-005 ++lalpha0 = -1.0337986e-010 ++alpha1 = 0 ++beta0 = 24.512311 ++lbeta0 = -4.1139731e-006 ++wbeta0 = -3.6192965e-007 ++pbeta0 = 4.3431558e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.128874 ++lnoff = -1.5464876e-007 ++wnoff = -1.5207128e-007 ++pnoff = 1.8248554e-013 ++voffcv = -0.065880682 ++lvoffcv = 8.5056818e-008 ++wvoffcv = 8.3639205e-008 ++pvoffcv = -1.0036705e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31506405 ++lkt1 = 1.5319401e-008 ++wkt1 = -3.095198e-008 ++pkt1 = -5.3541257e-014 ++kt1l = 0 ++kt2 = -0.016812862 ++lkt2 = -6.8429752e-009 ++wkt2 = -5.3833233e-009 ++pkt2 = 3.6497107e-015 ++ute = -1.5472572 ++lute = -2.7427686e-008 ++wute = -2.7372831e-008 ++pute = 2.7372831e-013 ++ua1 = 1.6533492e-009 ++lua1 = 2.5980992e-017 ++wua1 = 2.5547975e-017 ++pua1 = -3.065757e-023 ++ub1 = -2.1483391e-018 ++lub1 = -1.7520434e-024 ++wub1 = -1.1332474e-024 ++pub1 = 2.3248657e-030 ++uc1 = -4.4711114e-011 ++luc1 = -1.1288886e-016 ++wuc1 = -9.8191818e-018 ++puc1 = 9.8191818e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64889718 ++wvth0 = -3.2652745e-009 ++k1 = 0.79290818 ++wk1 = -2.6764545e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040689277 ++wu0 = -6.2602272e-009 ++ua = -7.8610843e-010 ++wua = 1.7249749e-017 ++ub = 3.2064782e-018 ++wub = -4.0896225e-025 ++uc = 1.1072708e-010 ++wuc = -2.5220231e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.0799807 ++wa0 = 9.3006796e-008 ++ags = 0.29635773 ++wags = -7.7617182e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.100914 ++wbeta0 = -3.1849809e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1134091 ++wnoff = -1.3382273e-007 ++voffcv = -0.057375 ++wvoffcv = 7.36025e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31353211 ++wkt1 = -3.6306106e-008 ++kt1l = 0 ++kt2 = -0.017497159 ++wkt2 = -5.0183523e-009 ++ute = -1.55 ++ua1 = 1.6559473e-009 ++wua1 = 2.2482218e-017 ++ub1 = -2.3235434e-018 ++wub1 = -9.0076078e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.74840818 ++lvth0 = -5.3919091e-008 ++k1 = 0.95164273 ++lk1 = -9.6116364e-008 ++k2 = 0.015893454 ++lk2 = -3.0777727e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045268636 ++lu0 = 5.9118182e-010 ++ua = -6.5643273e-010 ++lua = 2.7209636e-016 ++ub = 3.6289455e-018 ++lub = -3.2817273e-025 ++uc = 2.78334e-010 ++luc = -6.4827e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.13211844 ++la0 = -1.5054221e-008 ++wa0 = -2.8435094e-007 ++pa0 = 1.4217547e-013 ++ags = 0.46155061 ++lags = -6.2750307e-008 ++wags = -1.7735247e-006 ++pags = 8.8676235e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12105603 ++lketa = 2.8328017e-008 ++wketa = -3.5953066e-008 ++pketa = 1.7976533e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23286727 ++lpclm = -1.5673636e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6067636e-006 ++lalpha0 = -2.6008182e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.3e-010 ++cgdo = 2.3e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.29090909 ++lnoff = 1.1454545e-006 ++voffcv = 0.20227273 ++lvoffcv = -9.8636364e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29328273 ++lkt1 = -6.5736364e-009 ++kt1l = 0 ++kt2 = -0.024548182 ++lkt2 = 6.4909091e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1676636e-018 ++lub1 = 4.5118182e-025 ++uc1 = -2.0888491e-010 ++luc1 = 4.3852454e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66297571 ++lvth0 = -1.1202857e-008 ++k1 = 0.75941 ++k2 = 0.016542914 ++lk2 = -3.1102457e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10411714 ++lvoff = -8.2714286e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037617286 ++lu0 = 4.4168571e-009 ++ua = -9.5796571e-010 ++lua = 4.2286286e-016 ++ub = 3.4056286e-018 ++lub = -2.1651429e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.62659857 ++la0 = -2.6229429e-007 ++ags = 0.61681571 ++lags = -1.4038286e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.065697143 ++lpclm = 6.7911429e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7040286e-006 ++lalpha0 = -2.3087143e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31232714 ++lkt1 = 2.9485714e-009 ++kt1l = 0 ++kt2 = -0.022392857 ++lkt2 = -4.2857143e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -3.0010143e-018 ++lub1 = -6.3214286e-025 ++uc1 = -1.3899143e-010 ++luc1 = 8.9057143e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64787864 ++lvth0 = 6.9136364e-009 ++k1 = 0.79717136 ++lk1 = -4.5313636e-008 ++k2 = -0.0074231864 ++lk2 = -2.3431364e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039893455 ++lu0 = 1.6854546e-009 ++ua = -8.0876182e-010 ++lua = 2.4381818e-016 ++ub = 3.1573591e-018 ++lub = 8.1409091e-026 ++uc = 1.0268e-010 ++luc = 5.52e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1822018 ++la0 = -9.2901818e-007 ++ags = 0.26772773 ++lags = 2.7852273e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23108545 ++lpclm = -1.3055455e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0929986e-005 ++lalpha0 = -1.0337986e-010 ++alpha1 = 0 ++beta0 = 24.476046 ++lbeta0 = -4.0704545e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1136364 ++lnoff = -1.3636364e-007 ++voffcv = -0.0575 ++lvoffcv = 7.5e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31816545 ++lkt1 = 9.9545454e-009 ++kt1l = 0 ++kt2 = -0.017352273 ++lkt2 = -6.4772727e-009 ++ute = -1.55 ++ua1 = 1.6559091e-009 ++lua1 = 2.2909091e-017 ++ub1 = -2.2618909e-018 ++lub1 = -1.5190909e-024 ++uc1 = -4.5695e-011 ++luc1 = -1.0305e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.3e-010 ++cgdo = 2.3e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +*resistor + +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + +.endl nmos_3p3_t +* +* +.lib nmos_3p3_f + + +.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model nmos_3p3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.63571386 ++lvth0 = -3.5583899e-008 ++wvth0 = -1.5283991e-008 ++pvth0 = 4.2939034e-016 ++k1 = 0.94866818 ++lk1 = -9.1790218e-008 ++k2 = 0.052196642 ++lk2 = -3.8749265e-008 ++wk2 = -2.0007159e-008 ++pk2 = 5.3018972e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12637972 ++lvoff = 3.7549747e-009 ++wvoff = 5.5172608e-009 ++pvoff = -1.4620741e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023697233 ++lu0 = 4.3334833e-009 ++wu0 = 4.8629688e-009 ++pu0 = -6.4086508e-016 ++ua = -1.1068315e-009 ++lua = 6.5818091e-016 ++wua = 2.7394695e-016 ++pua = -1.3923626e-022 ++ub = 3.334019e-018 ++lub = -7.3464598e-025 ++wub = -4.2815738e-025 ++pub = 9.1163863e-032 ++uc = 2.2336e-010 ++luc = -5.6852041e-017 ++wuc = -3.4293319e-017 ++puc = 5.3597361e-024 ++eu = 1.67 ++vsat = 99670.454 ++lvsat = -0.0026289205 ++wvsat = -8.3522727e-005 ++pvsat = 1.288171e-009 ++a0 = 0.11226647 ++la0 = -3.0545135e-009 ++wa0 = -6.4797433e-009 ++pa0 = 1.717132e-015 ++ags = 0.31756239 ++lags = -1.270937e-008 ++wags = 4.9972817e-008 ++pags = -1.2018636e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.14562336 ++lketa = 3.5879506e-008 ++wketa = 8.4725454e-009 ++pketa = -2.3874103e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.36674716 ++lpclm = -4.4233047e-008 ++wpclm = 2.3523508e-008 ++ppclm = 8.4289324e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0039772727 ++ldelta = 2.9210227e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6143989e-006 ++lalpha0 = -2.787532e-013 ++walpha0 = 5.071667e-014 ++palpha0 = -1.3439918e-020 ++alpha1 = 0 ++beta0 = 19.904932 ++lbeta0 = 1.1800932e-007 ++wbeta0 = 1.4853682e-007 ++pbeta0 = 8.5878068e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.45807784 ++lkt1 = 3.950099e-008 ++wkt1 = 3.3399235e-008 ++pkt1 = -8.5148105e-015 ++kt1l = 0 ++kt2 = -0.024703182 ++lkt2 = 1.1800932e-009 ++wkt2 = 1.1018318e-009 ++pkt2 = -2.9198543e-016 ++ute = -1.5681818 ++lute = 8.7630682e-008 ++wute = 1.0690909e-007 ++pute = -4.2939034e-014 ++ua1 = 1.675e-009 ++ub1 = -4.197971e-018 ++lub1 = 2.6939132e-025 ++wub1 = 3.5239491e-025 ++pub1 = -5.6572177e-032 ++uc1 = -4.2111364e-011 ++luc1 = -3.6804886e-018 ++wuc1 = -6.8054318e-018 ++puc1 = 1.8034394e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.60850921 ++lvth0 = -2.2389644e-008 ++wvth0 = -1.320417e-008 ++pvth0 = -5.793228e-016 ++k1 = 0.74656121 ++lk1 = 6.2316611e-009 ++k2 = 0.02341267 ++lk2 = -2.4789039e-008 ++wk2 = -3.3025902e-009 ++pk2 = -2.7998188e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11298759 ++lvoff = -2.7402067e-009 ++wvoff = 1.8245588e-009 ++pvoff = 3.2888638e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029624466 ++lu0 = 1.4587752e-009 ++wu0 = 9.5169113e-010 ++pu0 = 1.2561046e-015 ++ua = -1.2817297e-009 ++lua = 7.4300653e-016 ++wua = 4.9864474e-017 ++pua = -3.055626e-023 ++ub = 3.0706704e-018 ++lub = -6.0692192e-025 ++wub = -3.1666793e-026 ++pub = -1.0113407e-031 ++uc = 8.4652626e-011 ++luc = 1.0421037e-017 ++wuc = 2.0802857e-018 ++puc = -1.2281462e-023 ++eu = 1.67 ++vsat = 91287.5 ++lvsat = 0.0014368125 ++wvsat = -0.001782375 ++pvsat = 2.1121144e-009 ++a0 = 1.0792115 ++la0 = -4.7202287e-007 ++wa0 = -5.5074584e-008 ++pa0 = 2.528563e-014 ++ags = 0.47190495 ++lags = -8.7565511e-008 ++wags = 4.6151516e-008 ++pags = -1.0165305e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028193589 ++lketa = -2.1073934e-008 ++wketa = -7.8161737e-009 ++pketa = 5.5126185e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.080786018 ++lpclm = 9.4458106e-008 ++wpclm = 4.6980911e-008 ++ppclm = -2.9479082e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00138165 ++lpdiblc2= 8.6783475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015357143 ++ldelta = 4.1051786e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.5170032e-006 ++lalpha0 = -2.1715163e-012 ++walpha0 = -1.5939525e-014 ++palpha0 = 1.8888337e-020 ++alpha1 = 0 ++beta0 = 22.630402 ++lbeta0 = -1.2038436e-006 ++wbeta0 = -3.6916688e-007 ++pbeta0 = 2.5194507e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33938118 ++lkt1 = -1.8066891e-008 ++wkt1 = -2.4102225e-009 ++pkt1 = 8.8527765e-015 ++kt1l = 0 ++kt2 = -0.020331679 ++lkt2 = -9.4008589e-010 ++wkt2 = -3.52275e-011 ++pkt2 = 2.5948834e-016 ++ute = -1.3875 ++wute = 1.8375e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8207275e-018 ++lub1 = -3.9857179e-025 ++wub1 = 6.3173775e-026 ++pub1 = 8.3700075e-032 ++uc1 = -6.0365e-011 ++luc1 = 5.172525e-018 ++wuc1 = 2.13885e-018 ++puc1 = -2.5345373e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.59197793 ++lvth0 = -2.8000692e-009 ++wvth0 = -1.0403021e-008 ++pvth0 = -3.8986845e-015 ++k1 = 0.79586747 ++lk1 = -5.2196247e-008 ++k2 = 0.0064105499 ++lk2 = -4.6415264e-009 ++wk2 = -7.9923454e-009 ++pk2 = 2.757541e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12651327 ++lvoff = 1.3287723e-008 ++wvoff = 2.231366e-009 ++pvoff = -1.5318025e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032375092 ++lu0 = -1.8007167e-009 ++wu0 = 7.2111957e-010 ++pu0 = 1.5293319e-015 ++ua = -8.1585861e-010 ++lua = 1.9094925e-016 ++wua = 6.5067587e-018 ++pua = 2.0822632e-023 ++ub = 2.744187e-018 ++lub = -2.2003905e-025 ++wub = -1.7352055e-026 ++pub = -1.1809703e-031 ++uc = 9.9386407e-011 ++luc = -7.0384932e-018 ++wuc = -9.5351961e-018 ++puc = 1.4828837e-024 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.2231563 ++la0 = -6.4259738e-007 ++wa0 = 4.5429264e-009 ++pa0 = -4.536112e-014 ++ags = 0.25832779 ++lags = 1.6552342e-007 ++wags = -2.7327843e-009 ++pags = 4.7762591e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019621922 ++lketa = -3.123136e-008 ++wketa = -7.1103921e-010 ++pketa = -2.9069659e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18891787 ++lpclm = -3.3678143e-008 ++wpclm = 2.3733463e-009 ++ppclm = 4.9912056e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064234716 ++lpdiblc2= 1.7439086e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027306818 ++ldelta = 2.689142e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.4353703e-005 ++lalpha0 = -8.2558005e-011 ++walpha0 = 8.0653667e-012 ++palpha0 = -9.5574596e-018 ++alpha1 = 0 ++beta0 = 24.194431 ++lbeta0 = -3.0572184e-006 ++wbeta0 = 1.2157547e-007 ++pbeta0 = -3.2958461e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32825519 ++lkt1 = -3.1251192e-008 ++wkt1 = -7.8619588e-009 ++pkt1 = 1.5313084e-014 ++kt1l = 0 ++kt2 = -0.021125 ++wkt2 = 1.8375e-010 ++ute = -1.3875 ++wute = 1.8375e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5198788e-018 ++lub1 = -7.5507747e-025 ++wub1 = 2.4014016e-026 ++pub1 = 1.3010439e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.5916975 ++wvth0 = -1.0793475e-008 ++k1 = 0.79064 ++k2 = 0.0059457 ++wk2 = -7.716177e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.1251825 ++wvoff = 2.216025e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.03219475 ++wu0 = 8.742825e-010 ++ua = -7.96735e-010 ++wua = 8.59215e-018 ++ub = 2.72215e-018 ++wub = -2.91795e-026 ++uc = 9.86815e-011 ++wuc = -9.386685e-018 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.1588 ++ags = 0.274905 ++wags = 2.05065e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.02274975 ++wketa = -1.0021725e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.185545 ++wpclm = 7.37205e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.60855e-005 ++walpha0 = 7.108185e-012 ++alpha1 = 0 ++beta0 = 23.88825 ++wbeta0 = 8.85675e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.331385 ++wkt1 = -6.32835e-009 ++kt1l = 0 ++kt2 = -0.021125 ++wkt2 = 1.8375e-010 ++ute = -1.3875 ++wute = 1.8375e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5955e-018 ++wub1 = 3.7044e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.6494457 ++lvth0 = -3.8570937e-008 ++wvth0 = -2.2012593e-008 ++pvth0 = 1.8930389e-015 ++k1 = 0.94866818 ++lk1 = -9.1790218e-008 ++k2 = 0.037416157 ++lk2 = -3.4832437e-008 ++wk2 = -1.2764722e-008 ++pk2 = 3.3826512e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.080003796 ++lvoff = -8.5346442e-009 ++wvoff = -1.720694e-008 ++pvoff = 4.5598392e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.033441305 ++lu0 = 3.7009358e-009 ++wu0 = 8.8373727e-011 ++pu0 = -3.3091682e-016 ++ua = -5.8760066e-010 ++lua = 3.665866e-016 ++wua = 1.9523816e-017 ++pua = 3.6449515e-024 ++ub = 2.2723958e-018 ++lub = -7.8888061e-026 ++wub = 9.2037981e-026 ++pub = -2.3015752e-031 ++uc = 1.5510632e-010 ++luc = -3.141186e-017 ++wuc = -8.4901632e-019 ++puc = -7.1059521e-024 ++eu = 1.67 ++vsat = 79409.091 ++lvsat = 0.0039725909 ++wvsat = 0.0098445454 ++pvsat = -1.9465696e-009 ++a0 = 0.10668786 ++la0 = -1.5761839e-009 ++wa0 = -3.7462282e-009 ++pa0 = 9.9275047e-016 ++ags = 0.3531832 ++lags = -1.0591044e-008 ++wags = 3.2518616e-008 ++pags = -1.3056615e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12161181 ++lketa = 2.774773e-008 ++wketa = -3.293114e-009 ++pketa = 1.5971603e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.45094152 ++lpclm = -7.3917648e-008 ++wpclm = -1.773173e-008 ++ppclm = 2.2974387e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0039772727 ++ldelta = 2.9210227e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.618997e-006 ++lalpha0 = -2.5821257e-013 ++walpha0 = 4.8463561e-014 ++palpha0 = -2.3504827e-020 ++alpha1 = 0 ++beta0 = 20.979752 ++lbeta0 = -8.3833352e-008 ++wbeta0 = -3.781252e-007 ++pbeta0 = 9.9761689e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37582777 ++lkt1 = 1.529097e-008 ++wkt1 = -6.9032982e-009 ++pkt1 = 3.3480996e-015 ++kt1l = 0 ++kt2 = -0.014896384 ++lkt2 = -3.0815037e-009 ++wkt2 = -3.7034991e-009 ++pkt2 = 1.7961971e-015 ++ute = -1.435 ++wute = 4.165e-008 ++ua1 = 1.675e-009 ++ub1 = -3.6336059e-018 ++lub1 = 2.2901987e-025 ++wub1 = 7.5856009e-026 ++pub1 = -3.6790164e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.60479255 ++lvth0 = -1.6914157e-008 ++wvth0 = -1.1383005e-008 ++pvth0 = -3.2623115e-015 ++k1 = 0.76840415 ++lk1 = -4.3621628e-009 ++wk1 = -1.0703038e-008 ++pk1 = 5.1909737e-015 ++k2 = 0.0076001761 ++lk2 = -2.0371686e-008 ++wk2 = 4.4455318e-009 ++pk2 = -4.9643217e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12030052 ++lvoff = 1.1009268e-008 ++wvoff = 5.4078955e-009 ++pvoff = -6.4083562e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031302452 ++lu0 = 4.7382792e-009 ++wu0 = 1.2947795e-010 ++pu0 = -3.5085237e-016 ++ua = -1.1417323e-009 ++lua = 6.3534043e-016 ++wua = -1.8734289e-017 ++pua = 2.2200133e-023 ++ub = 2.813393e-018 ++lub = -3.412717e-025 ++wub = 9.439913e-026 ++pub = -2.3130267e-031 ++uc = 8.2040368e-011 ++luc = 4.0251276e-018 ++wuc = 3.3602922e-018 ++puc = -9.1474668e-024 ++eu = 1.67 ++vsat = 95895 ++lvsat = -0.004023075 ++wvsat = -0.00404005 ++pvsat = 4.7874593e-009 ++a0 = 0.9644116 ++la0 = -4.175722e-007 ++wa0 = 1.177386e-009 ++pa0 = -1.3952024e-015 ++ags = 0.43863251 ++lags = -5.2033959e-008 ++wags = 6.2455008e-008 ++pags = -2.7575765e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.044144964 ++lketa = -9.8236923e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.21857359 ++lpclm = 3.8780801e-008 ++wpclm = -2.0534997e-008 ++ppclm = 2.4333971e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00138165 ++lpdiblc2= 8.6783475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015357143 ++ldelta = 4.1051786e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7595967e-006 ++lalpha0 = -2.2664034e-012 ++walpha0 = -1.3481034e-013 ++palpha0 = 6.5383015e-020 ++alpha1 = 0 ++beta0 = 21.025956 ++lbeta0 = -1.0624202e-007 ++wbeta0 = 4.170117e-007 ++pbeta0 = -2.8587971e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.40772797 ++lkt1 = 3.0762566e-008 ++wkt1 = 3.1079706e-008 ++pkt1 = -1.5073657e-014 ++kt1l = 0 ++kt2 = -0.031195536 ++lkt2 = 4.8235848e-009 ++wkt2 = 5.2880625e-009 ++pkt2 = -2.5647103e-015 ++ute = -1.435 ++wute = 4.165e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8152615e-018 ++lub1 = -1.6787717e-025 ++wub1 = 6.0495435e-026 ++pub1 = -2.9340286e-032 ++uc1 = -1.1862793e-010 ++luc1 = 3.0374545e-017 ++wuc1 = 3.0687684e-017 ++puc1 = -1.4883527e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57932332 ++lvth0 = 1.3266882e-008 ++wvth0 = -4.2022626e-009 ++pvth0 = -1.1771491e-014 ++k1 = 0.79412996 ++lk1 = -3.4847247e-008 ++wk1 = 8.5137806e-010 ++pk1 = -8.5010099e-015 ++k2 = -0.0056941447 ++lk2 = -4.6179157e-009 ++wk2 = -2.061045e-009 ++pk2 = 2.7459718e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12195946 ++lvoff = 1.297511e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036511114 ++lu0 = -1.433985e-009 ++wu0 = -1.3055311e-009 ++pu0 = 1.3496333e-015 ++ua = -7.8770753e-010 ++lua = 2.1582113e-016 ++wua = -7.2872686e-018 ++pua = 8.6354133e-024 ++ub = 3.0616512e-018 ++lub = -6.3545771e-025 ++wub = -1.7290955e-025 ++pub = 8.5458111e-032 ++uc = 9.7688241e-011 ++luc = -1.4517602e-017 ++wuc = -8.703095e-018 ++puc = 5.1476471e-024 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.2324275 ++la0 = -7.351711e-007 ++ags = 0.28413926 ++lags = 1.3104055e-007 ++wags = -1.5380403e-008 ++pags = 6.4659197e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021073023 ++lketa = -3.7163943e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22740181 ++lpclm = 2.8319355e-008 ++wpclm = -1.6483783e-008 ++ppclm = 1.9533282e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064234716 ++lpdiblc2= 1.7439086e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027306818 ++ldelta = 2.689142e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.079175e-005 ++lalpha0 = -1.018445e-010 ++walpha0 = 1.072355e-014 ++palpha0 = -1.0707464e-019 ++alpha1 = 0 ++beta0 = 24.031764 ++lbeta0 = -3.6681242e-006 ++wbeta0 = 2.0128262e-007 ++pbeta0 = -3.0240747e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33925459 ++lkt1 = -5.0378387e-008 ++wkt1 = -2.4722493e-009 ++pkt1 = 2.468541e-014 ++kt1l = 0 ++kt2 = -0.021820469 ++lkt2 = -6.2858695e-009 ++wkt2 = 5.2452969e-010 ++pkt2 = 3.0800761e-015 ++ute = -1.7243381 ++lute = 3.4286561e-007 ++wute = 1.8342565e-007 ++pute = -1.6800415e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5547478e-018 ++lub1 = 7.0841414e-025 ++wub1 = 5.3109983e-025 ++pub1 = -5.870065e-031 ++uc1 = -5.1018233e-011 ++luc1 = -4.9742943e-017 ++wuc1 = -2.4410658e-018 ++puc1 = 2.4374042e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.580652 ++wvth0 = -5.38118e-009 ++k1 = 0.79064 ++k2 = -0.00615663 ++wk2 = -1.7860353e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.0363675 ++wu0 = -1.170365e-009 ++ua = -7.66093e-010 ++wua = -6.42243e-018 ++ub = 2.99801e-018 ++wub = -1.643509e-025 ++uc = 9.62343e-011 ++wuc = -8.187557e-018 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.1588 ++ags = 0.297263 ++wags = -8.90477e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.230238 ++wpclm = -1.452752e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6644 ++wbeta0 = 1.98254e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.02245 ++wkt2 = 8.33e-010 ++ute = -1.69 ++wute = 1.666e-007 ++ua1 = 1.675e-009 ++ub1 = -3.4838e-018 ++wub1 = 4.72311e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.67826787 ++lvth0 = -5.1192629e-008 ++wvth0 = -5.631097e-008 ++pvth0 = 1.6912853e-014 ++k1 = 0.94035419 ++lk1 = -8.7757932e-008 ++wk1 = 9.8936502e-009 ++pk1 = -4.7984203e-015 ++k2 = 0.010689994 ++lk2 = -2.7750003e-008 ++wk2 = 1.9039412e-008 ++pk2 = -5.0454443e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12420249 ++lvoff = 6.3595312e-010 ++wvoff = 3.538951e-008 ++pvoff = -6.3531717e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046929878 ++lu0 = 1.5325411e-010 ++wu0 = -1.5963029e-008 ++pu0 = 3.8908244e-015 ++ua = -6.3486383e-010 ++lua = 2.3358646e-016 ++wua = 7.5766988e-017 ++pua = 1.6191512e-022 ++ub = 3.762013e-018 ++lub = -3.051927e-025 ++wub = -1.6806064e-024 ++pub = 3.9145008e-032 ++uc = 2.8720922e-010 ++luc = -6.2506115e-017 ++wuc = -1.5805146e-016 ++puc = 2.9896211e-023 ++eu = 1.67 ++vsat = 93151.55 ++lvsat = -0.00031600155 ++wvsat = -0.0065089804 ++pvsat = 3.1568555e-009 ++a0 = 0.10353977 ++la0 = -7.4193977e-010 ++ags = 0.27394573 ++lags = 3.0120571e-008 ++wags = 1.2681121e-007 ++pags = -6.1503437e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12103166 ++lketa = 2.7466355e-008 ++wketa = -3.983496e-009 ++pketa = 1.9319955e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.20348625 ++lpclm = -8.9497813e-009 ++wpclm = 2.7674005e-007 ++ppclm = -5.4337375e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0039772727 ++ldelta = 2.9210227e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.567968e-006 ++lalpha0 = -2.3346347e-013 ++walpha0 = 1.0918815e-013 ++palpha0 = -5.2956251e-020 ++alpha1 = 0 ++beta0 = 21.141066 ++wbeta0 = -5.7008843e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.46137913 ++lnoff = 1.1937689e-006 ++wnoff = 2.9290412e-006 ++pnoff = -1.420585e-012 ++voffcv = 0.21695209 ++lvoffcv = -1.0279677e-007 ++wvoffcv = -2.5222299e-007 ++pvoffcv = 1.2232815e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28213548 ++lkt1 = -9.299129e-009 ++wkt1 = -1.1839713e-007 ++pkt1 = 3.2610317e-014 ++kt1l = 0 ++kt2 = -0.025353566 ++lkt2 = 8.8905907e-010 ++wkt2 = 8.7405474e-009 ++pkt2 = -2.9287727e-015 ++ute = -1.5702841 ++wute = 2.0263807e-007 ++ua1 = 1.675e-009 ++ub1 = -5.3288522e-018 ++lub1 = 4.4342327e-025 ++wub1 = 2.0931991e-024 ++pub1 = -2.9193021e-031 ++uc1 = -2.2422528e-010 ++luc1 = 4.5702115e-017 ++wuc1 = 2.0018808e-016 ++puc1 = -5.4385517e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.59235333 ++lvth0 = -9.5240796e-009 ++wvth0 = 3.4196621e-009 ++pvth0 = -1.2056503e-014 ++k1 = 0.75941 ++k2 = 0.016364333 ++lk2 = -3.0502058e-008 ++wk2 = -5.9838153e-009 ++pk2 = 7.0908211e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10277799 ++lvoff = -9.7549306e-009 ++wvoff = -1.5443916e-008 ++pvoff = 1.830104e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038581863 ++lu0 = 4.2020412e-009 ++wu0 = -8.5330215e-009 ++pu0 = 2.8727087e-016 ++ua = -9.1898543e-010 ++lua = 3.7138544e-016 ++wua = -2.8380301e-016 ++pua = 3.3630657e-022 ++ub = 3.468843e-018 ++lub = -1.6300526e-025 ++wub = -6.8558634e-025 ++pub = -4.4343974e-031 ++uc = 1.5730964e-010 ++luc = 4.9517784e-019 ++wuc = -8.6210147e-017 ++puc = -4.9468266e-024 ++eu = 1.67 ++vsat = 92500 ++a0 = 0.57333915 ++la0 = -2.2859464e-007 ++wa0 = 4.665536e-007 ++pa0 = -2.262785e-013 ++ags = 0.62982962 ++lags = -1.4248312e-007 ++wags = -1.6506955e-007 ++pags = 8.0058731e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.044144964 ++lketa = -9.8236923e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.049284973 ++lpclm = 6.5837837e-008 ++wpclm = 1.8091845e-007 ++ppclm = -7.8639014e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00138165 ++lpdiblc2= 8.6783475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015357143 ++ldelta = 4.1051786e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6463107e-006 ++lalpha0 = -2.2114597e-012 ++alpha1 = 0 ++beta0 = 21.044461 ++lbeta0 = 4.6853149e-008 ++wbeta0 = 3.9498984e-007 ++pbeta0 = -4.6806296e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30287445 ++lkt1 = 7.5927144e-010 ++wkt1 = -9.3695983e-008 ++pkt1 = 2.0630263e-014 ++kt1l = 0 ++kt2 = -0.021815574 ++lkt2 = -8.2686693e-010 ++wkt2 = -5.8740916e-009 ++pkt2 = 4.1593273e-015 ++ute = -1.5702841 ++wute = 2.0263807e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0509483e-018 ++lub1 = -6.6136013e-025 ++wub1 = 3.4096267e-025 ++pub1 = 5.5790443e-031 ++uc1 = -1.4497962e-010 ++luc1 = 7.2679686e-018 ++wuc1 = 6.2046194e-017 ++puc1 = 1.2613299e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57817062 ++lvth0 = 7.2824411e-009 ++wvth0 = -2.8305468e-009 ++pvth0 = -4.6500058e-015 ++k1 = 0.79742159 ++lk1 = -4.504374e-008 ++wk1 = -3.0656684e-009 ++pk1 = 3.632817e-015 ++k2 = -0.0074261153 ++lk2 = -2.3103764e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12195946 ++lvoff = 1.297511e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040501587 ++lu0 = 1.9271691e-009 ++wu0 = -6.0541938e-009 ++pu0 = -2.65014e-015 ++ua = -8.1043485e-010 ++lua = 2.4275299e-016 ++wua = 1.9758233e-017 ++pua = -2.3413506e-023 ++ub = 3.1900657e-018 ++lub = 1.6734577e-025 ++wub = -3.2572281e-025 ++pub = -8.6987803e-031 ++uc = 1.0442234e-010 ++luc = 6.3166633e-017 ++wuc = -1.6716674e-017 ++puc = -8.7296592e-023 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.1740916 ++la0 = -9.4048631e-007 ++wa0 = 6.9419757e-008 ++pa0 = 2.4432511e-013 ++ags = 0.26765145 ++lags = 2.8669802e-007 ++wags = 4.2400941e-009 ++pags = -1.205732e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021073023 ++lketa = -3.7163943e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23327148 ++lpclm = -1.5218617e-007 ++wpclm = -2.3468689e-008 ++ppclm = 2.3433486e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064234716 ++lpdiblc2= 1.7439086e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027306818 ++ldelta = 2.689142e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0800762e-005 ++lalpha0 = -1.0193448e-010 ++alpha1 = 0 ++beta0 = 24.507475 ++lbeta0 = -4.0568183e-006 ++wbeta0 = -3.6481453e-007 ++pbeta0 = 4.3230522e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1288096 ++lnoff = -1.5263937e-007 ++wnoff = -1.5328342e-007 ++pnoff = 1.8164085e-013 ++voffcv = -0.065845277 ++lvoffcv = 8.3951653e-008 ++wvoffcv = 8.430588e-008 ++pvoffcv = -9.9902467e-014 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31501857 ++lkt1 = 1.5150046e-008 ++wkt1 = -3.1313123e-008 ++pkt1 = -5.3293425e-014 ++kt1l = 0 ++kt2 = -0.016816848 ++lkt2 = -6.7503577e-009 ++wkt2 = -5.4297792e-009 ++pkt2 = 3.632817e-015 ++ute = -1.5472686 ++lute = -2.7273401e-008 ++wute = -2.7287058e-008 ++pute = 2.7246128e-013 ++ua1 = 1.65336e-009 ++lua1 = 2.5643414e-017 ++wua1 = 2.5751614e-017 ++pua1 = -3.0515663e-023 ++ub1 = -2.1495693e-018 ++lub1 = -1.7294942e-024 ++wub1 = -1.1410626e-024 ++pub1 = 2.3141044e-030 ++uc1 = -4.4843991e-011 ++luc1 = -1.1139275e-016 ++wuc1 = -9.7884135e-018 ++puc1 = 9.7737308e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57889996 ++wvth0 = -3.2962459e-009 ++k1 = 0.79291046 ++wk1 = -2.7018409e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040694593 ++wu0 = -6.3196059e-009 ++ua = -7.8612308e-010 ++wua = 1.7413365e-017 ++ub = 3.2068255e-018 ++wub = -4.1284129e-025 ++uc = 1.1074849e-010 ++wuc = -2.5459447e-017 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.0799017 ++wa0 = 9.3888972e-008 ++ags = 0.29636432 ++wags = -7.8353386e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.101184 ++wbeta0 = -3.2151907e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1135227 ++wnoff = -1.3509204e-007 ++voffcv = -0.0574375 ++wvoffcv = 7.4300625e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31350128 ++wkt1 = -3.6650472e-008 ++kt1l = 0 ++kt2 = -0.017492898 ++wkt2 = -5.0659517e-009 ++ute = -1.55 ++ua1 = 1.6559282e-009 ++wua1 = 2.2695464e-017 ++ub1 = -2.3227785e-018 ++wub1 = -9.0930456e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.67263114 ++lvth0 = -4.9499651e-008 ++k1 = 0.94134454 ++lk1 = -8.8238255e-008 ++k2 = 0.012595841 ++lk2 = -2.8255053e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045331977 ++lu0 = 5.4272602e-010 ++ua = -6.2727955e-010 ++lua = 2.4979418e-016 ++ub = 3.5937841e-018 ++lub = -3.0127428e-025 ++uc = 2.7138825e-010 ++luc = -5.9513501e-017 ++eu = 1.67 ++vsat = 92500 ++a0 = 0.13050819 ++la0 = -1.3821621e-008 ++wa0 = -2.6941446e-007 ++pa0 = 1.3066601e-013 ++ags = 0.45484419 ++lags = -5.7615181e-008 ++wags = -1.6803644e-006 ++pags = 8.1497672e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.11802055 ++lketa = 2.6005966e-008 ++wketa = -3.4064511e-008 ++pketa = 1.6521288e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23118796 ++lpclm = -1.4388958e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0039772727 ++ldelta = 2.9210227e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5788977e-006 ++lalpha0 = -2.387644e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.07e-010 ++cgdo = 2.07e-010 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.16818182 ++lnoff = 1.0515682e-006 ++voffcv = 0.19170454 ++lvoffcv = -9.0551705e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29398705 ++lkt1 = -6.034833e-009 ++kt1l = 0 ++kt2 = -0.024478636 ++lkt2 = 5.9588864e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1193227e-018 ++lub1 = 4.1420102e-025 ++uc1 = -2.0418643e-010 ++luc1 = 4.0258119e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.59269564 ++lvth0 = -1.0730937e-008 ++k1 = 0.75941 ++k2 = 0.015765353 ++lk2 = -2.9792266e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10432393 ++lvoff = -7.9229946e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037727707 ++lu0 = 4.230797e-009 ++ua = -9.4739414e-010 ++lua = 4.0504976e-016 ++ub = 3.4002157e-018 ++lub = -2.0739362e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 92500 ++a0 = 0.62004121 ++la0 = -2.5124514e-007 ++ags = 0.61330614 ++lags = -1.3446923e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.044144964 ++lketa = -9.8236923e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.067394929 ++lpclm = 6.505066e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00138165 ++lpdiblc2= 8.6783475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015357143 ++ldelta = 4.1051786e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6463107e-006 ++lalpha0 = -2.2114597e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31225343 ++lkt1 = 2.8243629e-009 ++kt1l = 0 ++kt2 = -0.022403571 ++lkt2 = -4.1051786e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -3.0168179e-018 ++lub1 = -6.0551384e-025 ++uc1 = -1.3876879e-010 ++luc1 = 8.5305611e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57788728 ++lvth0 = 6.8169751e-009 ++k1 = 0.79711472 ++lk1 = -4.4680095e-008 ++k2 = -0.0074261153 ++lk2 = -2.3103764e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12195946 ++lvoff = 1.297511e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039895561 ++lu0 = 1.6618898e-009 ++ua = -8.0845705e-010 ++lua = 2.404093e-016 ++ub = 3.1574609e-018 ++lub = 8.027089e-026 ++uc = 1.02749e-010 ++luc = 5.4428235e-017 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.1810406 ++la0 = -9.1602935e-007 ++ags = 0.26807588 ++lags = 2.7462863e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021073023 ++lketa = -3.7163943e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23092226 ++lpclm = -1.2872923e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064234716 ++lpdiblc2= 1.7439086e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027306818 ++ldelta = 2.689142e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0800762e-005 ++lalpha0 = -1.0193448e-010 ++alpha1 = 0 ++beta0 = 24.470957 ++lbeta0 = -4.0135445e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1134659 ++lnoff = -1.344571e-007 ++voffcv = -0.05740625 ++lvoffcv = 7.3951406e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31815301 ++lkt1 = 9.8153685e-009 ++kt1l = 0 ++kt2 = -0.017360369 ++lkt2 = -6.3867124e-009 ++ute = -1.55 ++ua1 = 1.6559377e-009 ++lua1 = 2.2588793e-017 ++ub1 = -2.2637898e-018 ++lub1 = -1.4978521e-024 ++uc1 = -4.5823812e-011 ++luc1 = -1.0160923e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.07e-010 ++cgdo = 2.07e-010 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +*resistor +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + + +.endl nmos_3p3_f +* +* +.lib nmos_3p3_s + + +.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + +.model nmos_3p3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.78102964 ++lvth0 = -4.1963855e-008 ++wvth0 = -1.335093e-008 ++pvth0 = 4.4048385e-016 ++k1 = 0.97009364 ++lk1 = -1.0850207e-007 ++k2 = 0.057112207 ++lk2 = -4.4586066e-008 ++wk2 = -1.8436862e-008 ++pk2 = 5.4388743e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12611751 ++lvoff = 4.1027149e-009 ++wvoff = 5.0842288e-009 ++pvoff = -1.4998475e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.02365998 ++lu0 = 4.9752231e-009 ++wu0 = 4.3500625e-009 ++pu0 = -6.5742214e-016 ++ua = -1.2009004e-009 ++lua = 7.4602352e-016 ++wua = 2.6594489e-016 ++pua = -1.428335e-022 ++ub = 3.4181442e-018 ++lub = -8.4745491e-025 ++wub = -3.9003587e-025 ++pub = 9.3519126e-032 ++uc = 2.2972174e-010 ++luc = -6.5971448e-017 ++wuc = -3.0846577e-017 ++puc = 5.4982075e-024 ++eu = 1.67 ++vsat = 82709.416 ++lvsat = -0.002811599 ++wvsat = -0.00033342533 ++pvsat = 1.3214515e-009 ++a0 = 0.11164211 ++la0 = -3.216124e-009 ++wa0 = -5.9711692e-009 ++pa0 = 1.7614949e-015 ++ags = 0.33078713 ++lags = -1.7784597e-008 ++wags = 4.5802637e-008 ++pags = -1.2329143e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.15224321 ++lketa = 4.1863427e-008 ++wketa = 7.8363649e-009 ++pketa = -2.4490902e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.38126164 ++lpclm = -5.0349819e-008 ++wpclm = 1.8707045e-008 ++ppclm = 8.6466979e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0032954546 ++ldelta = 3.4528409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.689932e-006 ++lalpha0 = -3.3259243e-013 ++walpha0 = 4.6736083e-014 ++palpha0 = -1.3787144e-020 ++alpha1 = 0 ++beta0 = 19.906217 ++lbeta0 = 1.3969208e-007 ++wbeta0 = 1.2873117e-007 ++pbeta0 = 8.809677e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.46042018 ++lkt1 = 4.4736487e-008 ++wkt1 = 3.0709777e-008 ++pkt1 = -8.7347947e-015 ++kt1l = 0 ++kt2 = -0.024751234 ++lkt2 = 1.327864e-009 ++wkt2 = 1.0153526e-009 ++pkt2 = -2.9952902e-016 ++ute = -1.5659091 ++lute = 9.3719968e-008 ++wute = 1.0147727e-007 ++pute = -4.4048385e-014 ++ua1 = 1.675e-009 ++ub1 = -4.1897925e-018 ++lub1 = 3.0544077e-025 ++wub1 = 3.1727957e-025 ++pub1 = -5.8033747e-032 ++uc1 = -4.2656818e-011 ++luc1 = -3.9362386e-018 ++wuc1 = -6.2712955e-018 ++puc1 = 1.8500322e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.7471174 ++lvth0 = -2.4499049e-008 ++wvth0 = -1.1432769e-008 ++pvth0 = -5.4736891e-016 ++k1 = 0.74623593 ++lk1 = 6.7846468e-009 ++k2 = 0.024093133 ++lk2 = -2.7581243e-008 ++wk2 = -2.7392647e-009 ++pk2 = -2.6453883e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11249326 ++lvoff = -2.9137715e-009 ++wvoff = 1.5685135e-009 ++pvoff = 3.1074589e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.02972055 ++lu0 = 1.8540296e-009 ++wu0 = 7.6900741e-010 ++pu0 = 1.1868212e-015 ++ua = -1.310512e-009 ++lua = 8.0247348e-016 ++wua = 4.4658224e-017 ++pua = -2.8870859e-023 ++ub = 3.0972222e-018 ++lub = -6.8218008e-025 ++wub = -2.2900119e-026 ++pub = -9.5555786e-032 ++uc = 8.4637593e-011 ++luc = 8.7468885e-018 ++wuc = 2.3616893e-018 ++puc = -1.160405e-023 ++eu = 1.67 ++vsat = 73344.643 ++lvsat = 0.0020112589 ++wvsat = -0.0016424821 ++pvsat = 1.9956158e-009 ++a0 = 1.0928896 ++la0 = -5.0855857e-007 ++wa0 = -4.894097e-008 ++pa0 = 2.3890942e-014 ++ags = 0.48554907 ++lags = -9.7486997e-008 ++wags = 4.0512289e-008 ++pags = -9.6046138e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028668661 ++lketa = -2.1777465e-008 ++wketa = -7.0328534e-009 ++pketa = 5.2085573e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.085016691 ++lpclm = 1.0221633e-007 ++wpclm = 4.0905118e-008 ++ppclm = -2.7853095e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00133635 ++lpdiblc2= 9.4484475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013214286 ++ldelta = 4.4694643e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6270642e-006 ++lalpha0 = -2.3602156e-012 ++walpha0 = -1.4688483e-014 ++palpha0 = 1.7846507e-020 ++alpha1 = 0 ++beta0 = 22.618932 ++lbeta0 = -1.2573561e-006 ++wbeta0 = -3.3178823e-007 ++pbeta0 = 2.3804846e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33899638 ++lkt1 = -1.7796768e-008 ++wkt1 = -2.4927001e-009 ++pkt1 = 8.3644811e-015 ++kt1l = 0 ++kt2 = -0.020292087 ++lkt2 = -9.6859676e-010 ++wkt2 = -4.2326378e-011 ++pkt2 = 2.4517566e-016 ++ute = -1.3839286 ++wute = 1.5946429e-008 ++ua1 = 1.675e-009 ++ub1 = -2.788493e-018 ++lub1 = -4.1622844e-025 ++wub1 = 5.1032672e-026 ++pub1 = 7.9083403e-032 ++uc1 = -6.0193571e-011 ++luc1 = 5.0951893e-018 ++wuc1 = 1.9709786e-018 ++puc1 = -2.394739e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72996498 ++lvth0 = -3.6588628e-009 ++wvth0 = -9.0195048e-009 ++pvth0 = -3.4794852e-015 ++k1 = 0.79599981 ++lk1 = -5.3678465e-008 ++k2 = 0.0048675395 ++lk2 = -4.2221469e-009 ++wk2 = -6.9420874e-009 ++pk2 = 2.4610412e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12611319 ++lvoff = 1.3634437e-008 ++wvoff = 1.9367898e-009 ++pvoff = -1.3670981e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032519064 ++lu0 = -1.5461646e-009 ++wu0 = 6.2244619e-010 ++pu0 = 1.3648931e-015 ++ua = -8.1508834e-010 ++lua = 2.0053373e-016 ++wua = 5.600962e-018 ++pua = 1.8583714e-023 ++ub = 2.7414305e-018 ++lub = -2.4989307e-025 ++wub = -1.4798829e-026 ++pub = -1.0539885e-031 ++uc = 9.7550228e-011 ++luc = -6.9419625e-018 ++wuc = -8.2782193e-018 ++puc = 1.3234392e-024 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.2256909 ++la0 = -6.6991215e-007 ++wa0 = 4.0423109e-009 ++pa0 = -4.0483744e-014 ++ags = 0.25735343 ++lags = 1.7977071e-007 ++wags = -2.4766942e-009 ++pags = 4.2627001e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019679504 ++lketa = -3.269929e-008 ++wketa = -6.1066685e-010 ++pketa = -2.5943994e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18943996 ++lpclm = -2.465794e-008 ++wpclm = 1.9498441e-009 ++ppclm = 4.4545348e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00063792557 ++lpdiblc2= 1.7934304e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027238636 ++ldelta = 2.7655057e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.6135347e-005 ++lalpha0 = -8.6812779e-011 ++walpha0 = 7.0204199e-012 ++palpha0 = -8.5298102e-018 ++alpha1 = 0 ++beta0 = 24.225975 ++lbeta0 = -3.2099126e-006 ++wbeta0 = 1.0623239e-007 ++pbeta0 = -2.9414659e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32971157 ++lkt1 = -2.9077811e-008 ++wkt1 = -6.8565602e-009 ++pkt1 = 1.3666571e-014 ++kt1l = 0 ++kt2 = -0.021089286 ++wkt2 = 1.5946429e-010 ++ute = -1.3839286 ++wute = 1.5946429e-008 ++ua1 = 1.675e-009 ++ub1 = -2.513361e-018 ++lub1 = -7.505138e-025 ++wub1 = 2.0553877e-026 ++pub1 = 1.1611514e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72959964 ++wvth0 = -9.3669321e-009 ++k1 = 0.79064 ++k2 = 0.0044459571 ++wk2 = -6.6963519e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12475179 ++wvoff = 1.9231393e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032364679 ++wu0 = 7.5873107e-010 ++ua = -7.95065e-010 ++wua = 7.45655e-018 ++ub = 2.7164786e-018 ++wub = -2.5322929e-026 ++uc = 9.6857071e-011 ++wuc = -8.1460736e-018 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.1588 ++ags = 0.27530357 ++wags = 1.7796214e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022944536 ++wketa = -8.6971821e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18697786 ++wpclm = 6.3977071e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7467071e-005 ++walpha0 = 6.1687164e-012 ++alpha1 = 0 ++beta0 = 23.905464 ++wbeta0 = 7.6861786e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.332615 ++wkt1 = -5.49195e-009 ++kt1l = 0 ++kt2 = -0.021089286 ++wkt2 = 1.5946429e-010 ++ute = -1.3839286 ++wute = 1.5946429e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5883e-018 ++wub1 = 3.2148e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.79767867 ++lvth0 = -4.5516631e-008 ++wvth0 = -2.1175971e-008 ++pvth0 = 2.1102886e-015 ++k1 = 0.97009364 ++lk1 = -1.0850207e-007 ++k2 = 0.045081757 ++lk2 = -4.1037083e-008 ++wk2 = -1.2782551e-008 ++pk2 = 3.7708524e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.078638354 ++lvoff = -9.9036356e-009 ++wvoff = -1.7230974e-008 ++pvoff = 5.0831372e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032583121 ++lu0 = 4.3613327e-009 ++wu0 = 1.5618588e-010 ++pu0 = -3.6889363e-016 ++ua = -6.7252796e-010 ++lua = 4.3347724e-016 ++wua = 1.7609833e-017 ++pua = 4.0632548e-024 ++ub = 2.2958092e-018 ++lub = -1.0258242e-025 ++wub = 1.3746161e-025 ++pub = -2.5657094e-031 ++uc = 1.6246617e-010 ++luc = -3.7418989e-017 ++wuc = 7.6353972e-019 ++puc = -7.9214482e-024 ++eu = 1.67 ++vsat = 61335.065 ++lvsat = 0.0046169416 ++wvsat = 0.0097125195 ++pvsat = -2.1699625e-009 ++a0 = 0.10691933 ++la0 = -1.8229027e-009 ++wa0 = -3.7514606e-009 ++pa0 = 1.1066809e-015 ++ags = 0.35687524 ++lags = -1.304868e-008 ++wags = 3.3541222e-008 ++pags = -1.4555024e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12821436 ++lketa = 3.2864396e-008 ++wketa = -3.4571927e-009 ++pketa = 1.7804543e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.46740308 ++lpclm = -8.6444043e-008 ++wpclm = -2.1779432e-008 ++ppclm = 2.5610983e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0032954546 ++ldelta = 3.4528409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6811189e-006 ++lalpha0 = -3.0617722e-013 ++walpha0 = 5.0878248e-014 ++palpha0 = -2.6202298e-020 ++alpha1 = 0 ++beta0 = 20.985552 ++lbeta0 = -9.5051778e-008 ++wbeta0 = -3.7855616e-007 ++pbeta0 = 1.1121058e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37966054 ++lkt1 = 1.8210678e-008 ++wkt1 = -7.2472535e-009 ++pkt1 = 3.7323356e-015 ++kt1l = 0 ++kt2 = -0.014318515 ++lkt2 = -3.5697146e-009 ++wkt2 = -3.8880251e-009 ++pkt2 = 2.0023329e-015 ++ute = -1.4335714 ++wute = 3.9278571e-008 ++ua1 = 1.675e-009 ++ub1 = -3.6841668e-018 ++lub1 = 2.6922491e-025 ++wub1 = 7.9635518e-026 ++pub1 = -4.1012292e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.74529086 ++lvth0 = -1.8536912e-008 ++wvth0 = -1.0574296e-008 ++pvth0 = -3.3495736e-015 ++k1 = 0.76825545 ++lk1 = -4.5554057e-009 ++wk1 = -1.0349174e-008 ++pk1 = 5.3298247e-015 ++k2 = 0.0088249226 ++lk2 = -2.2364814e-008 ++wk2 = 4.4367941e-009 ++pk2 = -5.0971101e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12067823 ++lvoff = 1.1746901e-008 ++wvoff = 5.4154489e-009 ++pvoff = -6.5797704e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031060188 ++lu0 = 5.1456432e-009 ++wu0 = 1.393772e-010 ++pu0 = -3.6023716e-016 ++ua = -1.1755786e-009 ++lua = 6.9254834e-016 ++wua = -1.8760456e-017 ++pua = 2.2793954e-023 ++ub = 2.834859e-018 ++lub = -3.8019306e-025 ++wub = 1.0041062e-025 ++pub = -2.3748968e-031 ++uc = 8.1961894e-011 ++luc = 4.040715e-018 ++wuc = 3.6192678e-018 ++puc = -9.3921482e-024 ++eu = 1.67 ++vsat = 78457.857 ++lvsat = -0.0042012964 ++wvsat = -0.0040456929 ++pvsat = 4.9155168e-009 ++a0 = 0.98625128 ++la0 = -4.5467886e-007 ++wa0 = 1.1790305e-009 ++pa0 = -1.432522e-015 ++ags = 0.44354017 ++lags = -5.7681118e-008 ++wags = 6.0256471e-008 ++pags = -2.8313377e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043632179 ++lketa = -1.0695428e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.21580136 ++lpclm = 4.3130841e-008 ++wpclm = -2.0563679e-008 ++ppclm = 2.498487e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00133635 ++lpdiblc2= 9.4484475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013214286 ++ldelta = 4.4694643e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.8731595e-006 ++lalpha0 = -2.4650781e-012 ++walpha0 = -1.3035323e-013 ++palpha0 = 6.7131915e-020 ++alpha1 = 0 ++beta0 = 21.046316 ++lbeta0 = -1.2634537e-007 ++wbeta0 = 4.0734123e-007 ++pbeta0 = -2.9352658e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.40824074 ++lkt1 = 3.292948e-008 ++wkt1 = 3.0052147e-008 ++pkt1 = -1.5476856e-014 ++kt1l = 0 ++kt2 = -0.031261352 ++lkt2 = 5.1558463e-009 ++wkt2 = 5.1132283e-009 ++pkt2 = -2.6333126e-015 ++ute = -1.4335714 ++wute = 3.9278571e-008 ++ua1 = 1.675e-009 ++ub1 = -2.804371e-018 ++lub1 = -1.8386993e-025 ++wub1 = 5.8495332e-026 ++pub1 = -3.0125096e-032 ++uc1 = -1.1913423e-010 ++luc1 = 3.2514127e-017 ++wuc1 = 2.9673087e-017 ++puc1 = -1.528164e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71914657 ++lvth0 = 1.3228401e-008 ++wvth0 = -3.9348514e-009 ++pvth0 = -1.1416499e-014 ++k1 = 0.79424825 ++lk1 = -3.6136665e-008 ++wk1 = 8.2322976e-010 ++pk1 = -8.244646e-015 ++k2 = -0.0057533677 ++lk2 = -4.6521909e-009 ++wk2 = -1.950261e-009 ++pk2 = 2.6631619e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12199236 ++lvoff = 1.3343565e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036469853 ++lu0 = -1.4270997e-009 ++wu0 = -1.2344251e-009 ++pu0 = 1.3089326e-015 ++ua = -7.8850544e-010 ++lua = 2.2225441e-016 ++wua = -6.893001e-018 ++pua = 8.3749962e-024 ++ub = 3.0573243e-018 ++lub = -6.5048842e-025 ++wub = -1.6326892e-025 ++pub = 8.2880961e-032 ++uc = 9.7426091e-011 ++luc = -1.4748284e-017 ++wuc = -8.2198748e-018 ++puc = 4.9924102e-024 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.2342915 ++la0 = -7.5604777e-007 ++ags = 0.28327385 ++lags = 1.3704246e-007 ++wags = -1.4659294e-008 ++pags = 6.2709277e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.020978796 ++lketa = -3.8219289e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22676292 ++lpclm = 2.9812546e-008 ++wpclm = -1.559195e-008 ++ppclm = 1.894422e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00063792557 ++lpdiblc2= 1.7934304e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027238636 ++ldelta = 2.7655057e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1050349e-005 ++lalpha0 = -1.0474036e-010 ++walpha0 = 1.0369007e-014 ++palpha0 = -1.0384561e-019 ++alpha1 = 0 ++beta0 = 24.04797 ++lbeta0 = -3.7733547e-006 ++wbeta0 = 1.8989449e-007 ++pbeta0 = -2.932878e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3392138 ++lkt1 = -5.0938244e-008 ++wkt1 = -2.3905117e-009 ++pkt1 = 2.3940975e-014 ++kt1l = 0 ++kt2 = -0.021786808 ++lkt2 = -6.3557247e-009 ++wkt2 = 4.8729978e-010 ++pkt2 = 2.9871906e-015 ++ute = -1.7189014 ++lute = 3.4667589e-007 ++wute = 1.7338365e-007 ++pute = -1.6293767e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5382765e-018 ++lub1 = 7.0782525e-025 ++wub1 = 5.0226415e-025 ++pub1 = -5.6930421e-031 ++uc1 = -5.0977959e-011 ++luc1 = -5.0295738e-017 ++wuc1 = -2.3603592e-018 ++puc1 = 2.3638997e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72046743 ++wvth0 = -5.0747914e-009 ++k1 = 0.79064 ++k2 = -0.00621789 ++wk2 = -1.6843437e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036327357 ++wu0 = -1.1037279e-009 ++ua = -7.6631329e-010 ++wua = -6.0567557e-018 ++ub = 2.9923729e-018 ++wub = -1.5499324e-025 ++uc = 9.5953471e-011 ++wuc = -7.7213816e-018 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.1588 ++ags = 0.29695757 ++wags = -8.3977586e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.22973971 ++wpclm = -1.3700366e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6712 ++wbeta0 = 1.86966e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022421429 ++wkt2 = 7.8557143e-010 ++ute = -1.6842857 ++wute = 1.5711429e-007 ++ua1 = 1.675e-009 ++ub1 = -3.4676e-018 ++wub1 = 4.45419e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.83011576 ++lvth0 = -6.047942e-008 ++wvth0 = -5.9127366e-008 ++pvth0 = 1.9616751e-014 ++k1 = 0.96085697 ++lk1 = -1.0374519e-007 ++wk1 = 1.0806903e-008 ++pk1 = -5.5655552e-015 ++k2 = 0.017201346 ++lk2 = -3.2812362e-008 ++wk2 = 1.983753e-008 ++pk2 = -5.8520714e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.1242889 ++lvoff = 7.3910414e-010 ++wvoff = 3.618017e-008 ++pvoff = -7.3688683e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046865722 ++lu0 = 1.8889394e-010 ++wu0 = -1.6554457e-008 ++pu0 = 4.5128597e-015 ++ua = -6.8932314e-010 ++lua = 2.7643655e-016 ++wua = 3.7260197e-017 ++pua = 1.8780087e-022 ++ub = 3.8304076e-018 ++lub = -3.6068e-025 ++wub = -1.6580185e-024 ++pub = 4.5403213e-032 ++uc = 3.0152161e-010 ++luc = -7.3826884e-017 ++wuc = -1.6193132e-016 ++puc = 3.4675789e-023 ++eu = 1.67 ++vsat = 75713.12 ++lvsat = -0.00036725671 ++wvsat = -0.0071098048 ++pvsat = 3.6615494e-009 ++a0 = 0.10371296 ++la0 = -8.7702159e-010 ++ags = 0.26715255 ++lags = 3.5482186e-008 ++wags = 1.3851677e-007 ++pags = -7.1336137e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12745025 ++lketa = 3.247088e-008 ++wketa = -4.3512005e-009 ++pketa = 2.2408683e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.2060622 ++lpclm = -1.0687288e-008 ++wpclm = 2.839894e-007 ++ppclm = -6.302442e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0032954546 ++ldelta = 3.4528409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.622667e-006 ++lalpha0 = -2.7607448e-013 ++walpha0 = 1.1926697e-013 ++palpha0 = -6.1422492e-020 ++alpha1 = 0 ++beta0 = 21.140107 ++wbeta0 = -5.5938498e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.73454029 ++lnoff = 1.4082882e-006 ++wnoff = 3.1994121e-006 ++pnoff = -1.6476972e-012 ++voffcv = 0.2404743 ++lvoffcv = -1.2126927e-007 ++wvoffcv = -2.7550493e-007 ++pvoffcv = 1.4188504e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28017689 ++lkt1 = -1.0927331e-008 ++wkt1 = -1.2364312e-007 ++pkt1 = 3.7823806e-014 ++kt1l = 0 ++kt2 = -0.025545233 ++lkt2 = 1.045102e-009 ++wkt2 = 9.247235e-009 ++pkt2 = -3.3970025e-015 ++ute = -1.5699432 ++wute = 1.9883352e-007 ++ua1 = 1.675e-009 ++ub1 = -5.4287188e-018 ++lub1 = 5.2357491e-025 ++wub1 = 2.1207614e-024 ++pub1 = -3.3860178e-031 ++uc1 = -2.3453481e-010 ++luc1 = 5.3914751e-017 ++wuc1 = 2.0888573e-016 ++puc1 = -6.3080258e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.73285729 ++lvth0 = -1.0391311e-008 ++wvth0 = 3.9729778e-009 ++pvth0 = -1.2879926e-014 ++k1 = 0.75941 ++k2 = 0.017945817 ++lk2 = -3.3195765e-008 ++wk2 = -6.2346523e-009 ++pk2 = 7.5751026e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10229638 ++lvoff = -1.0587044e-008 ++wvoff = -1.6091313e-008 ++pvoff = 1.9550945e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038348141 ++lu0 = 4.5754485e-009 ++wu0 = -8.387527e-009 ++pu0 = 3.068906e-016 ++ua = -9.3887833e-010 ++lua = 4.0495747e-016 ++wua = -2.9569982e-016 ++pua = 3.5927528e-022 ++ub = 3.4762372e-018 ++lub = -1.7828226e-025 ++wub = -6.5000193e-025 ++pub = -4.7372533e-031 ++uc = 1.5713919e-010 ++luc = 5.3005815e-019 ++wuc = -8.4338174e-017 ++puc = -5.2846797e-024 ++eu = 1.67 ++vsat = 75000 ++a0 = 0.58607632 ++la0 = -2.4929415e-007 ++wa0 = 4.6938374e-007 ++pa0 = -2.4173263e-013 ++ags = 0.63698234 ++lags = -1.5498016e-007 ++wags = -1.6607087e-007 ++pags = 8.5526499e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043632179 ++lketa = -1.0695428e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.046153372 ++lpclm = 7.1665757e-008 ++wpclm = 1.7792447e-007 ++ppclm = -8.4009819e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00133635 ++lpdiblc2= 9.4484475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013214286 ++ldelta = 4.4694643e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7617464e-006 ++lalpha0 = -2.4077004e-012 ++alpha1 = 0 ++beta0 = 21.042721 ++lbeta0 = 5.0153484e-008 ++wbeta0 = 4.1154752e-007 ++pbeta0 = -5.0003024e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30307353 ++lkt1 = 8.6443502e-010 ++wkt1 = -9.2993492e-008 ++pkt1 = 2.2039247e-014 ++kt1l = 0 ++kt2 = -0.02178266 ++lkt2 = -8.9262312e-010 ++wkt2 = -5.976841e-009 ++pkt2 = 4.4433966e-015 ++ute = -1.5699432 ++wute = 1.9883352e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0159014e-018 ++lub1 = -7.1902608e-025 ++wub1 = 3.0598585e-025 ++pub1 = 5.960076e-031 ++uc1 = -1.4525572e-010 ++luc1 = 7.9360174e-018 ++wuc1 = 6.0235233e-017 ++puc1 = 1.3474748e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71814741 ++lvth0 = 7.4811957e-009 ++wvth0 = -2.7658345e-009 ++pvth0 = -4.6922687e-015 ++k1 = 0.79753063 ++lk1 = -4.6316563e-008 ++wk1 = -3.0171481e-009 ++pk1 = 3.6658349e-015 ++k2 = -0.0074202574 ++lk2 = -2.3759842e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12199236 ++lvoff = 1.3343565e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040486527 ++lu0 = 1.9773099e-009 ++wu0 = -5.9339327e-009 ++pu0 = -2.6742266e-015 ++ua = -8.1101699e-010 ++lua = 2.4960595e-016 ++wua = 1.9445519e-017 ++pua = -2.3626306e-023 ++ub = 3.1890972e-018 ++lub = 1.7059289e-025 ++wub = -3.1744322e-025 ++pub = -8.7778417e-031 ++uc = 1.0423443e-010 ++luc = 6.4809343e-017 ++wuc = -1.6185636e-017 ++puc = -8.8090013e-023 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.1765919 ++la0 = -9.6677061e-007 ++wa0 = 6.7508553e-008 ++pa0 = 2.4654573e-013 ++ags = 0.26693219 ++lags = 2.9463078e-007 ++wags = 4.4604535e-009 ++pags = -1.2166906e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.020978796 ++lketa = -3.8219289e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23361686 ++lpclm = -1.5610238e-007 ++wpclm = -2.3611051e-008 ++ppclm = 2.3646468e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00063792557 ++lpdiblc2= 1.7934304e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027238636 ++ldelta = 2.7655057e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1059211e-005 ++lalpha0 = -1.0482912e-010 ++alpha1 = 0 ++beta0 = 24.517146 ++lbeta0 = -4.1712719e-006 ++wbeta0 = -3.5904062e-007 ++pbeta0 = 4.3623435e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.128938 ++lnoff = -1.5665961e-007 ++wnoff = -1.508574e-007 ++pnoff = 1.8329175e-013 ++voffcv = -0.065915874 ++lvoffcv = 8.6162786e-008 ++wvoffcv = 8.2971572e-008 ++pvoffcv = -1.0081046e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31510943 ++lkt1 = 1.5488057e-008 ++wkt1 = -3.0592631e-008 ++pkt1 = -5.3777798e-014 ++kt1l = 0 ++kt2 = -0.016808883 ++lkt2 = -6.9357625e-009 ++wkt2 = -5.3368725e-009 ++pkt2 = 3.6658349e-015 ++ute = -1.5472465 ++lute = -2.7576491e-008 ++wute = -2.7452583e-008 ++pute = 2.7493762e-013 ++ua1 = 1.6533384e-009 ++lua1 = 2.6318815e-017 ++wua1 = 2.5344044e-017 ++pua1 = -3.0793013e-023 ++ub1 = -2.1471138e-018 ++lub1 = -1.774603e-024 ++wub1 = -1.1253962e-024 ++pub1 = 2.3351368e-030 ++uc1 = -4.4578445e-011 ++luc1 = -1.1438687e-016 ++wuc1 = -9.8477906e-018 ++puc1 = 9.8625623e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71889441 ++wvth0 = -3.2343586e-009 ++k1 = 0.79290591 ++wk1 = -2.6511136e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040683961 ++wu0 = -6.2009548e-009 ++ua = -7.8609378e-010 ++wua = 1.7086427e-017 ++ub = 3.2061309e-018 ++wub = -4.0509016e-025 ++uc = 1.1070566e-010 ++wuc = -2.4981444e-017 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.0800597 ++wa0 = 9.2126199e-008 ++ags = 0.29635114 ++wags = -7.6882295e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.100643 ++wbeta0 = -3.1548252e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1132954 ++wnoff = -1.3255568e-007 ++voffcv = -0.0573125 ++wvoffcv = 7.2905625e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31356294 ++wkt1 = -3.5962356e-008 ++kt1l = 0 ++kt2 = -0.017501421 ++wkt2 = -4.9708381e-009 ++ute = -1.55 ++ua1 = 1.6559664e-009 ++wua1 = 2.2269354e-017 ++ub1 = -2.3243083e-018 ++wub1 = -8.9223229e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.82418523 ++lvth0 = -5.8511842e-008 ++k1 = 0.96194091 ++lk1 = -1.0430342e-007 ++k2 = 0.019191068 ++lk2 = -3.339933e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045205295 ++lu0 = 6.4153784e-010 ++ua = -6.8558591e-010 ++lua = 2.9527314e-016 ++ub = 3.6641068e-018 ++lub = -3.5612601e-025 ++uc = 2.8527975e-010 ++luc = -7.0348871e-017 ++eu = 1.67 ++vsat = 75000 ++a0 = 0.13372839 ++la0 = -1.6334972e-008 ++wa0 = -2.9925391e-007 ++pa0 = 1.5411576e-013 ++ags = 0.46825513 ++lags = -6.8085644e-008 ++wags = -1.866476e-006 ++pags = 9.6123512e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12409156 ++lketa = 3.0741152e-008 ++wketa = -3.7837384e-008 ++pketa = 1.9486253e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23454659 ++lpclm = -1.7008694e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0032954546 ++ldelta = 3.4528409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6346295e-006 ++lalpha0 = -2.8223522e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.53e-010 ++cgdo = 2.53e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.41363636 ++lnoff = 1.2430227e-006 ++voffcv = 0.21284091 ++lvoffcv = -1.0703807e-007 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29257841 ++lkt1 = -7.1335693e-009 ++kt1l = 0 ++kt2 = -0.024617727 ++lkt2 = 7.0437954e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.2160045e-018 ++lub1 = 4.8961284e-025 ++uc1 = -2.1358339e-010 ++luc1 = 4.7587744e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.73325579 ++lvth0 = -1.168318e-008 ++k1 = 0.75941 ++k2 = 0.017320476 ++lk2 = -3.2435975e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10391036 ++lvoff = -8.6260661e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037506864 ++lu0 = 4.6062299e-009 ++ua = -9.6853729e-010 ++lua = 4.409931e-016 ++ub = 3.4110414e-018 ++lub = -2.2579734e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 75000 ++a0 = 0.63315593 ++la0 = -2.7354015e-007 ++ags = 0.62032529 ++lags = -1.4640177e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043632179 ++lketa = -1.0695428e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.063999357 ++lpclm = 7.0823131e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00133635 ++lpdiblc2= 9.4484475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013214286 ++ldelta = 4.4694643e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7617464e-006 ++lalpha0 = -2.4077004e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31240086 ++lkt1 = 3.0749914e-009 ++kt1l = 0 ++kt2 = -0.022382143 ++lkt2 = -4.4694643e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -2.9852107e-018 ++lub1 = -6.5924598e-025 ++uc1 = -1.3921407e-010 ++luc1 = 9.2875468e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71786999 ++lvth0 = 7.0105569e-009 ++k1 = 0.79722801 ++lk1 = -4.5948877e-008 ++k2 = -0.0074202574 ++lk2 = -2.3759842e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12199236 ++lvoff = 1.3343565e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039891348 ++lu0 = 1.7090825e-009 ++ua = -8.0906659e-010 ++lua = 2.4723621e-016 ++ub = 3.1572573e-018 ++lub = 8.2550345e-026 ++uc = 1.02611e-010 ++luc = 5.5973835e-017 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.1833631 ++la0 = -9.4204186e-007 ++ags = 0.26737957 ++lags = 2.8242727e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.020978796 ++lketa = -3.8219289e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23124865 ++lpclm = -1.3238476e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00063792557 ++lpdiblc2= 1.7934304e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027238636 ++ldelta = 2.7655057e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1059211e-005 ++lalpha0 = -1.0482912e-010 ++alpha1 = 0 ++beta0 = 24.481134 ++lbeta0 = -4.1275172e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1138068 ++lnoff = -1.3827528e-007 ++voffcv = -0.05759375 ++lvoffcv = 7.6051406e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3181779 ++lkt1 = 1.0094096e-008 ++kt1l = 0 ++kt2 = -0.017344176 ++lkt2 = -6.568076e-009 ++ute = -1.55 ++ua1 = 1.6558805e-009 ++lua1 = 2.3230248e-017 ++ub1 = -2.2599921e-018 ++lub1 = -1.5403867e-024 ++uc1 = -4.5566188e-011 ++luc1 = -1.0449463e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.53e-010 ++cgdo = 2.53e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +*resistor + +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + +.endl nmos_3p3_s +* +* +.lib nmos_3p3_fs + + + +.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model nmos_3p3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64635471 ++lvth0 = -3.6607582e-008 ++wvth0 = -1.4783981e-008 ++pvth0 = 4.2707557e-016 ++k1 = 0.95223909 ++lk1 = -9.4486255e-008 ++k2 = 0.052721915 ++lk2 = -3.9622207e-008 ++wk2 = -1.9530798e-008 ++pk2 = 5.2733156e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12625494 ++lvoff = 3.7921347e-009 ++wvoff = 5.3858974e-009 ++pvoff = -1.4541923e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023766155 ++lu0 = 4.4287103e-009 ++wu0 = 4.7228328e-009 ++pu0 = -6.3741029e-016 ++ua = -1.1188622e-009 ++lua = 6.7054848e-016 ++wua = 2.6992935e-016 ++pua = -1.3848566e-022 ++ub = 3.341622e-018 ++lub = -7.5166395e-025 ++wub = -4.17125e-025 ++pub = 9.0672414e-032 ++uc = 2.2389524e-010 ++luc = -5.8253799e-017 ++wuc = -3.333668e-017 ++puc = 5.3308427e-024 ++eu = 1.67 ++vsat = 97266.234 ++lvsat = -0.0026417045 ++wvsat = -0.00012912338 ++pvsat = 1.2812267e-009 ++a0 = 0.11206719 ++la0 = -3.0583422e-009 ++wa0 = -6.3254637e-009 ++pa0 = 1.7078752e-015 ++ags = 0.32050776 ++lags = -1.3683815e-008 ++wags = 4.8736973e-008 ++pags = -1.1953845e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.14660298 ++lketa = 3.6813935e-008 ++wketa = 8.2761628e-009 ++pketa = -2.3745402e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.36959505 ++lpclm = -4.5110649e-008 ++wpclm = 2.2412267e-008 ++ppclm = 8.3834934e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0038636364 ++ldelta = 3.0068182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.627733e-006 ++lalpha0 = -2.876129e-013 ++walpha0 = 4.9509131e-014 ++palpha0 = -1.3367465e-020 ++alpha1 = 0 ++beta0 = 19.907557 ++lbeta0 = 1.2151841e-007 ++wbeta0 = 1.4348835e-007 ++pbeta0 = 8.5415114e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.45797555 ++lkt1 = 4.0235308e-008 ++wkt1 = 3.2591386e-008 ++pkt1 = -8.4689085e-015 ++kt1l = 0 ++kt2 = -0.024695 ++lkt2 = 1.20015e-009 ++wkt2 = 1.0755977e-009 ++pkt2 = -2.9041139e-016 ++ute = -1.5663149 ++lute = 8.8056818e-008 ++wute = 1.0491274e-007 ++pute = -4.2707557e-014 ++ua1 = 1.675e-009 ++ub1 = -4.191221e-018 ++lub1 = 2.7447418e-025 ++wub1 = 3.4262081e-025 ++pub1 = -5.6267206e-032 ++uc1 = -4.2302273e-011 ++luc1 = -3.6983864e-018 ++wuc1 = -6.6433977e-018 ++puc1 = 1.7937174e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.61806264 ++lvth0 = -2.274447e-008 ++wvth0 = -1.2753387e-008 ++pvth0 = -5.679156e-016 ++k1 = 0.746507 ++lk1 = 6.32247e-009 ++k2 = 0.023469038 ++lk2 = -2.5288297e-008 ++wk2 = -3.1675243e-009 ++pk2 = -2.7446888e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11287523 ++lvoff = -2.7639238e-009 ++wvoff = 1.7601776e-009 ++pvoff = 3.2241042e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029657487 ++lu0 = 1.5419574e-009 ++wu0 = 9.0899306e-010 ++pu0 = 1.2313712e-015 ++ua = -1.2857579e-009 ++lua = 7.5232737e-016 ++wua = 4.8437374e-017 ++pua = -2.995459e-023 ++ub = 3.0744545e-018 ++lub = -6.207519e-025 ++wub = -2.974725e-026 ++pub = -9.9142683e-032 ++uc = 8.466824e-011 ++luc = 9.9674311e-018 ++wuc = 2.1132713e-018 ++puc = -1.2039633e-023 ++eu = 1.67 ++vsat = 88687.5 ++lvsat = 0.001561875 ++wvsat = -0.0017399375 ++pvsat = 2.0705256e-009 ++a0 = 1.0806312 ++la0 = -4.7765472e-007 ++wa0 = -5.3427231e-008 ++pa0 = 2.4787741e-014 ++ags = 0.47491359 ++lags = -8.9342671e-008 ++wags = 4.4678399e-008 ++pags = -9.965144e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028392375 ++lketa = -2.1109261e-008 ++wketa = -7.5985556e-009 ++pketa = 5.4040719e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.082248339 ++lpclm = 9.5689239e-008 ++wpclm = 4.5419115e-008 ++ppclm = -2.8898622e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013741 ++lpdiblc2= 8.80481e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015 ++ldelta = 4.165e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.5351125e-006 ++lalpha0 = -2.2022289e-012 ++walpha0 = -1.5560013e-014 ++palpha0 = 1.8516415e-020 ++alpha1 = 0 ++beta0 = 22.62283 ++lbeta0 = -1.2089656e-006 ++wbeta0 = -3.5881772e-007 ++pbeta0 = 2.4698413e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33934486 ++lkt1 = -1.7893733e-008 ++wkt1 = -2.4032443e-009 ++pkt1 = 8.6784603e-015 ++kt1l = 0 ++kt2 = -0.020325321 ++lkt2 = -9.409925e-010 ++wkt2 = -3.6219107e-011 ++pkt2 = 2.5437886e-016 ++ute = -1.3866071 ++wute = 1.7754464e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8142257e-018 ++lub1 = -4.0025352e-025 ++wub1 = 6.0336771e-026 ++pub1 = 8.2051973e-032 ++uc1 = -6.0305e-011 ++luc1 = 5.12295e-018 ++wuc1 = 2.087925e-018 ++puc1 = -2.4846307e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.6014737 ++lvth0 = -3.0036269e-009 ++wvth0 = -1.0050112e-008 ++pvth0 = -3.7848123e-015 ++k1 = 0.79588952 ++lk1 = -5.2442732e-008 ++k2 = 0.0060240997 ++lk2 = -4.5288209e-009 ++wk2 = -7.7235646e-009 ++pk2 = 2.6769992e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12641046 ++lvoff = 1.3342993e-008 ++wvoff = 2.1560739e-009 ++pvoff = -1.4870619e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032410861 ++lu0 = -1.7345577e-009 ++wu0 = 6.9614246e-010 ++pu0 = 1.4846634e-015 ++ua = -8.1562356e-010 ++lua = 1.9286754e-016 ++wua = 6.2785193e-018 ++pua = 2.0214448e-023 ++ub = 2.7434392e-018 ++lub = -2.2684367e-025 ++wub = -1.6717846e-026 ++pub = -1.1464767e-031 ++uc = 9.8926027e-011 ++luc = -6.999336e-018 ++wuc = -9.2137918e-018 ++puc = 1.4395718e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.2236495 ++la0 = -6.4784645e-007 ++wa0 = 4.40803e-009 ++pa0 = -4.4036219e-014 ++ags = 0.25812408 ++lags = 1.6863685e-007 ++wags = -2.659998e-009 ++pags = 4.6367549e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019643215 ++lketa = -3.1520762e-008 ++wketa = -6.8584002e-010 ++pketa = -2.8220597e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.1890464 ++lpclm = -3.1400457e-008 ++wpclm = 2.2728176e-009 ++ppclm = 4.8454232e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064161023 ++lpdiblc2= 1.7521438e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027295455 ++ldelta = 2.7018409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.4780689e-005 ++lalpha0 = -8.3414465e-011 ++walpha0 = 7.7968964e-012 ++palpha0 = -9.2783067e-018 ++alpha1 = 0 ++beta0 = 24.201637 ++lbeta0 = -3.0877458e-006 ++wbeta0 = 1.1760436e-007 ++pbeta0 = -3.1995815e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32862431 ++lkt1 = -3.0651179e-008 ++wkt1 = -7.6027077e-009 ++pkt1 = 1.4865822e-014 ++kt1l = 0 ++kt2 = -0.021116071 ++wkt2 = 1.7754464e-010 ++ute = -1.3866071 ++wute = 1.7754464e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5183956e-018 ++lub1 = -7.5229142e-025 ++wub1 = 2.3149925e-026 ++pub1 = 1.2630432e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.60117304 ++wvth0 = -1.0428972e-008 ++k1 = 0.79064 ++k2 = 0.0055707643 ++wk2 = -7.4555967e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12507482 ++wvoff = 2.1411884e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032237232 ++wu0 = 8.4475741e-010 ++ua = -7.963175e-010 ++wua = 8.3019875e-018 ++ub = 2.7207321e-018 ++wub = -2.8194089e-026 ++uc = 9.8225393e-011 ++wuc = -9.0696905e-018 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1588 ++ags = 0.27500464 ++wags = 1.9813982e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022798446 ++wketa = -9.6832848e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18590321 ++wpclm = 7.1230911e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6430893e-005 ++walpha0 = 6.868137e-012 ++alpha1 = 0 ++beta0 = 23.892554 ++wbeta0 = 8.5576518e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3316925 ++wkt1 = -6.1146375e-009 ++kt1l = 0 ++kt2 = -0.021116071 ++wkt2 = 1.7754464e-010 ++ute = -1.3866071 ++wute = 1.7754464e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5937e-018 ++wub1 = 3.5793e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66075684 ++lvth0 = -3.9687122e-008 ++wvth0 = -2.1769016e-008 ++pvth0 = 1.9206526e-015 ++k1 = 0.95223909 ++lk1 = -9.4486255e-008 ++k2 = 0.038660657 ++lk2 = -3.5825667e-008 ++wk2 = -1.2711088e-008 ++pk2 = 3.4319939e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.079820841 ++lvoff = -8.7450729e-009 ++wvoff = -1.7134642e-008 ++pvoff = 4.6263534e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.033298195 ++lu0 = 3.8067177e-009 ++wu0 = 9.9793159e-011 ++pu0 = -3.357439e-016 ++ua = -6.0169576e-010 ++lua = 3.7738605e-016 ++wua = 1.9103635e-017 ++pua = 3.6981203e-024 ++ub = 2.2763308e-018 ++lub = -8.3236674e-026 ++wub = 9.954125e-026 ++pub = -2.3351482e-031 ++uc = 1.5632343e-010 ++luc = -3.2397203e-017 ++wuc = -5.6435009e-019 ++puc = -7.2096064e-024 ++eu = 1.67 ++vsat = 76839.61 ++lvsat = 0.0040720909 ++wvsat = 0.009777789 ++pvsat = -1.9749641e-009 ++a0 = 0.10671673 ++la0 = -1.6137164e-009 ++wa0 = -3.7304877e-009 ++pa0 = 1.0072317e-015 ++ags = 0.35387843 ++lags = -1.1017368e-008 ++wags = 3.25522e-008 ++pags = -1.3247072e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12272005 ++lketa = 2.8576826e-008 ++wketa = -3.3070572e-009 ++pketa = 1.620458e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.45365742 ++lpclm = -7.5885948e-008 ++wpclm = -1.8357985e-008 ++ppclm = 2.3309514e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0038636364 ++ldelta = 3.0068182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6294657e-006 ++lalpha0 = -2.6600418e-013 ++walpha0 = 4.8668758e-014 ++palpha0 = -2.3847691e-020 ++alpha1 = 0 ++beta0 = 20.979738 ++lbeta0 = -8.5415114e-008 ++wbeta0 = -3.7651952e-007 ++pbeta0 = 1.0121691e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37648295 ++lkt1 = 1.5769644e-008 ++wkt1 = -6.932527e-009 ++pkt1 = 3.3969382e-015 ++kt1l = 0 ++kt2 = -0.014808861 ++lkt2 = -3.1561582e-009 ++wkt2 = -3.7191798e-009 ++pkt2 = 1.8223981e-015 ++ute = -1.4346429 ++wute = 4.1051786e-008 ++ua1 = 1.675e-009 ++ub1 = -3.6418527e-018 ++lub1 = 2.3542184e-025 ++wub1 = 7.6177186e-026 ++pub1 = -3.7326821e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.61484234 ++lvth0 = -1.7189014e-008 ++wvth0 = -1.1191538e-008 ++pvth0 = -3.2623115e-015 ++k1 = 0.76834994 ++lk1 = -4.3805685e-009 ++wk1 = -1.0593824e-008 ++pk1 = 5.1909737e-015 ++k2 = 0.0078158961 ++lk2 = -2.0711735e-008 ++wk2 = 4.4242495e-009 ++pk2 = -4.9643217e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12034945 ++lvoff = 1.1113945e-008 ++wvoff = 5.3851733e-009 ++pvoff = -6.4083562e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031262366 ++lu0 = 4.804274e-009 ++wu0 = 1.3062678e-010 ++pu0 = -3.5085237e-016 ++ua = -1.1474219e-009 ++lua = 6.4479186e-016 ++wua = -1.8655574e-017 ++pua = 2.2200133e-023 ++ub = 2.8171887e-018 ++lub = -3.4825707e-025 ++wub = 9.5026674e-026 ++pub = -2.3130267e-031 ++uc = 8.2034846e-011 ++luc = 4.0042012e-018 ++wuc = 3.390467e-018 ++puc = -9.1474668e-024 ++eu = 1.67 ++vsat = 93395 ++lvsat = -0.00404005 ++wvsat = -0.004023075 ++pvsat = 4.7874593e-009 ++a0 = 0.9680546 ++la0 = -4.2366927e-007 ++wa0 = 1.172439e-009 ++pa0 = -1.3952024e-015 ++ags = 0.4396228 ++lags = -5.3032112e-008 ++wags = 6.1794432e-008 ++pags = -2.7575765e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0440595 ++lketa = -9.966845e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.2180583 ++lpclm = 3.9557623e-008 ++wpclm = -2.0448715e-008 ++ppclm = 2.4333971e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013741 ++lpdiblc2= 8.80481e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015 ++ldelta = 4.165e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7781531e-006 ++lalpha0 = -2.298861e-012 ++walpha0 = -1.3343472e-013 ++palpha0 = 6.5383015e-020 ++alpha1 = 0 ++beta0 = 21.030477 ++lbeta0 = -1.102773e-007 ++wbeta0 = 4.1347359e-007 ++pbeta0 = -2.8587971e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.40772797 ++lkt1 = 3.1079706e-008 ++wkt1 = 3.0762566e-008 ++pkt1 = -1.5073657e-014 ++kt1l = 0 ++kt2 = -0.031191964 ++lkt2 = 4.8715625e-009 ++wkt2 = 5.2341027e-009 ++pkt2 = -2.5647103e-015 ++ute = -1.4346429 ++wute = 4.1051786e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8132801e-018 ++lub1 = -1.7057876e-025 ++wub1 = 5.9878135e-026 ++pub1 = -2.9340286e-032 ++uc1 = -1.1862793e-010 ++luc1 = 3.0687684e-017 ++wuc1 = 3.0374545e-017 ++puc1 = -1.4883527e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.58928172 ++lvth0 = 1.3228117e-008 ++wvth0 = -4.137003e-009 ++pvth0 = -1.1657208e-014 ++k1 = 0.79415202 ++lk1 = -3.5085045e-008 ++wk1 = 8.4269053e-010 ++pk1 = -8.4184784e-015 ++k2 = -0.0057098764 ++lk2 = -4.6160653e-009 ++wk2 = -2.0325861e-009 ++pk2 = 2.7193127e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12196494 ++lvoff = 1.3036382e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.03650052 ++lu0 = -1.4291291e-009 ++wu0 = -1.287342e-009 ++pu0 = 1.3365305e-015 ++ua = -7.8786125e-010 ++lua = 2.1691469e-016 ++wua = -7.1861991e-018 ++pua = 8.5515769e-024 ++ub = 3.0604368e-018 ++lub = -6.3772226e-025 ++wub = -1.7046166e-025 ++pub = 8.4628446e-032 ++uc = 9.7619729e-011 ++luc = -1.4541809e-017 ++wuc = -8.5802375e-018 ++puc = 5.0976715e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.2327382 ++la0 = -7.3864278e-007 ++ags = 0.28395177 ++lags = 1.3221642e-007 ++wags = -1.5186427e-008 ++pags = 6.4031457e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021057318 ++lketa = -3.7339441e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22724843 ++lpclm = 2.8621373e-008 ++wpclm = -1.6255164e-008 ++ppclm = 1.9343645e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064161023 ++lpdiblc2= 1.7521438e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027295455 ++ldelta = 2.7018409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0834879e-005 ++lalpha0 = -1.0232637e-010 ++walpha0 = 1.0614126e-014 ++palpha0 = -1.0603512e-019 ++alpha1 = 0 ++beta0 = 24.03504 ++lbeta0 = -3.6857066e-006 ++wbeta0 = 1.9840421e-007 ++pbeta0 = -2.9947156e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33925459 ++lkt1 = -5.0403614e-008 ++wkt1 = -2.4470223e-009 ++pkt1 = 2.4445753e-014 ++kt1l = 0 ++kt2 = -0.021813326 ++lkt2 = -6.2890172e-009 ++wkt2 = 5.1571306e-010 ++pkt2 = 3.0501733e-015 ++ute = -1.7229095 ++lute = 3.430373e-007 ++wute = 1.8086111e-007 ++pute = -1.6637309e-013 ++ua1 = 1.675e-009 ++ub1 = -3.550491e-018 ++lub1 = 7.0670219e-025 ++wub1 = 5.237162e-025 ++pub1 = -5.8130758e-031 ++uc1 = -5.1018233e-011 ++luc1 = -4.9767852e-017 ++wuc1 = -2.416157e-018 ++puc1 = 2.4137408e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.59060586 ++wvth0 = -5.3038907e-009 ++k1 = 0.79064 ++k2 = -0.006171945 ++wk2 = -1.7603827e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036357464 ++wu0 = -1.1535552e-009 ++ua = -7.6614807e-010 ++wua = -6.3301854e-018 ++ub = 2.9966007e-018 ++wub = -1.6199035e-025 ++uc = 9.6164093e-011 ++wuc = -8.06996e-018 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1588 ++ags = 0.29718664 ++wags = -8.7768718e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.23011343 ++wpclm = -1.4318863e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6661 ++wbeta0 = 1.954065e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022442857 ++wkt2 = 8.2103571e-010 ++ute = -1.6885714 ++wute = 1.6420714e-007 ++ua1 = 1.675e-009 ++ub1 = -3.47975e-018 ++wub1 = 4.6552725e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.69023545 ++lvth0 = -5.2688923e-008 ++wvth0 = -5.6701171e-008 ++pvth0 = 1.7327786e-014 ++k1 = 0.94377247 ++lk1 = -9.0337611e-008 ++wk1 = 1.0032944e-008 ++pk1 = -4.9161428e-015 ++k2 = 0.011777641 ++lk2 = -2.8567253e-008 ++wk2 = 1.9145286e-008 ++pk2 = -5.1692271e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12421225 ++lvoff = 6.518816e-010 ++wvoff = 3.5469172e-008 ++pvoff = -6.5090378e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046917138 ++lu0 = 1.5943995e-010 ++wu0 = -1.6038654e-008 ++pu0 = 3.9862803e-015 ++ua = -6.4392181e-010 ++lua = 2.405174e-016 ++wua = 6.9141509e-017 ++pua = 1.6588748e-022 ++ub = 3.7731784e-018 ++lub = -3.1413979e-025 ++wub = -1.6742232e-024 ++pub = 4.0105376e-032 ++uc = 2.8957392e-010 ++luc = -6.4329084e-017 ++wuc = -1.5846619e-016 ++puc = 3.0629672e-023 ++eu = 1.67 ++vsat = 90661.054 ++lvsat = -0.00032391632 ++wvsat = -0.0066006214 ++pvsat = 3.2343045e-009 ++a0 = 0.10356864 ++la0 = -7.6373182e-010 ++ags = 0.27282829 ++lags = 3.0978636e-008 ++wags = 1.2859661e-007 ++pags = -6.3012337e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12210189 ++lketa = 2.8273926e-008 ++wketa = -4.0395803e-009 ++pketa = 1.9793943e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.2039517 ++lpclm = -9.2361767e-009 ++wpclm = 2.775433e-007 ++ppclm = -5.5670466e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0038636364 ++ldelta = 3.0068182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5770972e-006 ++lalpha0 = -2.4034362e-013 ++walpha0 = 1.1072542e-013 ++palpha0 = -5.4255458e-020 ++alpha1 = 0 ++beta0 = 21.140826 ++wbeta0 = -5.6740897e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.50656508 ++lnoff = 1.2282169e-006 ++wnoff = 2.9702796e-006 ++pnoff = -1.455437e-012 ++voffcv = 0.2208431 ++lvoffcv = -1.0576312e-007 ++wvoffcv = -2.5577408e-007 ++pvoffcv = 1.253293e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28182405 ++lkt1 = -9.558142e-009 ++wkt1 = -1.1910332e-007 ++pkt1 = 3.3410365e-014 ++kt1l = 0 ++kt2 = -0.025384429 ++lkt2 = 9.1390428e-010 ++wkt2 = 8.8128685e-009 ++pkt2 = -3.000626e-015 ++ute = -1.5701989 ++wute = 2.0168565e-007 ++ua1 = 1.675e-009 ++ub1 = -5.3452175e-018 ++lub1 = 4.5632098e-025 ++wub1 = 2.0946644e-024 ++pub1 = -2.9909231e-031 ++uc1 = -2.2591814e-010 ++luc1 = 4.7020919e-017 ++wuc1 = 2.0135299e-016 ++puc1 = -5.5719789e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.60243767 ++lvth0 = -9.668011e-009 ++wvth0 = 3.5079858e-009 ++pvth0 = -1.2174701e-014 ++k1 = 0.75941 ++k2 = 0.016627153 ++lk2 = -3.0943514e-008 ++wk2 = -6.0170898e-009 ++pk2 = 7.1603368e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10269969 ++lvoff = -9.8892719e-009 ++wvoff = -1.5529795e-008 ++pvoff = 1.8480456e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038541716 ++lu0 = 4.2633967e-009 ++wu0 = -8.4954031e-009 ++pu0 = 2.9008716e-016 ++ua = -9.2233701e-010 ++lua = 3.7694084e-016 ++wua = -2.8538117e-016 ++pua = 3.3960359e-022 ++ub = 3.4699744e-018 ++lub = -1.6556982e-025 ++wub = -6.7852432e-025 ++pub = -4.4778706e-031 ++uc = 1.572691e-010 ++luc = 5.0028276e-019 ++wuc = -8.5762118e-017 ++puc = -4.9953234e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.57552494 ++la0 = -2.3202232e-007 ++wa0 = 4.6632009e-007 ++pa0 = -2.2849684e-013 ++ags = 0.63099948 ++lags = -1.4452524e-007 ++wags = -1.6498693e-007 ++pags = 8.0843597e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0440595 ++lketa = -9.966845e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.048788318 ++lpclm = 6.6793883e-008 ++wpclm = 1.8013621e-007 ++ppclm = -7.9409961e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013741 ++lpdiblc2= 8.80481e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015 ++ldelta = 4.165e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.66555e-006 ++lalpha0 = -2.2436855e-012 ++alpha1 = 0 ++beta0 = 21.044222 ++lbeta0 = 4.7336172e-008 ++wbeta0 = 3.9718628e-007 ++pbeta0 = -4.7265167e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30292054 ++lkt1 = 7.7913898e-010 ++wkt1 = -9.343424e-008 ++pkt1 = 2.0832514e-014 ++kt1l = 0 ++kt2 = -0.021810866 ++lkt2 = -8.3714133e-010 ++wkt2 = -5.8824982e-009 ++pkt2 = 4.2001037e-015 ++ute = -1.5701989 ++wute = 2.0168565e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0450532e-018 ++lub1 = -6.7075952e-025 ++wub1 = 3.3452927e-025 ++pub1 = 5.6337391e-031 ++uc1 = -1.450168e-010 ++luc1 = 7.3792611e-018 ++wuc1 = 6.1645354e-017 ++puc1 = 1.2736955e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.58816635 ++lvth0 = 7.3148658e-009 ++wvth0 = -2.8152872e-009 ++pvth0 = -4.6500058e-015 ++k1 = 0.79743934 ++lk1 = -4.5254914e-008 ++wk1 = -3.0527874e-009 ++pk1 = 3.632817e-015 ++k2 = -0.007425139 ++lk2 = -2.3212866e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12196494 ++lvoff = 1.3036382e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040498226 ++lu0 = 1.9351498e-009 ++wu0 = -6.024624e-009 ++pu0 = -2.65014e-015 ++ua = -8.1052911e-010 ++lua = 2.4388945e-016 ++wua = 1.9675215e-017 ++pua = -2.3413506e-023 ++ub = 3.1898582e-018 ++lub = 1.6776843e-025 ++wub = -3.2382603e-025 ++pub = -8.6987803e-031 ++uc = 1.0438863e-010 ++luc = 6.3428033e-017 ++wuc = -1.6601388e-017 ++puc = -8.7296592e-023 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1745182 ++la0 = -9.448243e-007 ++wa0 = 6.8990718e-008 ++pa0 = 2.4432511e-013 ++ags = 0.2675321 ++lags = 2.8800094e-007 ++wags = 4.2708771e-009 ++pags = -1.205732e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021057318 ++lketa = -3.7339441e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23332588 ++lpclm = -1.5280581e-007 ++wpclm = -2.3456943e-008 ++ppclm = 2.3433486e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064161023 ++lpdiblc2= 1.7521438e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027295455 ++ldelta = 2.7018409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0843837e-005 ++lalpha0 = -1.0241585e-010 ++alpha1 = 0 ++beta0 = 24.509036 ++lbeta0 = -4.075793e-006 ++wbeta0 = -3.632817e-007 ++pbeta0 = 4.3230522e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1288096 ++lnoff = -1.5328342e-007 ++wnoff = -1.5263937e-007 ++pnoff = 1.8164085e-013 ++voffcv = -0.065845277 ++lvoffcv = 8.430588e-008 ++wvoffcv = 8.3951653e-008 ++pvoffcv = -9.9902467e-014 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31503813 ++lkt1 = 1.5199068e-008 ++wkt1 = -3.1143535e-008 ++pkt1 = -5.3293425e-014 ++kt1l = 0 ++kt2 = -0.01681628 ++lkt2 = -6.7806996e-009 ++wkt2 = -5.4057867e-009 ++pkt2 = 3.632817e-015 ++ute = -1.5472686 ++lute = -2.7287058e-008 ++wute = -2.7273401e-008 ++pute = 2.7246128e-013 ++ua1 = 1.65336e-009 ++lua1 = 2.5751614e-017 ++wua1 = 2.5643414e-017 ++pua1 = -3.0515663e-023 ++ub1 = -2.1493188e-018 ++lub1 = -1.7366835e-024 ++wub1 = -1.1366728e-024 ++pub1 = 2.3141044e-030 ++uc1 = -4.4801054e-011 ++luc1 = -1.1187747e-016 ++wuc1 = -9.7835144e-018 ++puc1 = 9.7737308e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.58889857 ++wvth0 = -3.2807533e-009 ++k1 = 0.79290932 ++wk1 = -2.689142e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040691935 ++wu0 = -6.2899032e-009 ++ua = -7.8611576e-010 ++wua = 1.733152e-017 ++ub = 3.2066518e-018 ++wub = -4.109009e-025 ++uc = 1.1073779e-010 ++wuc = -2.5339785e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.0799412 ++wa0 = 9.3447686e-008 ++ags = 0.29636102 ++wags = -7.7985119e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.101049 ++wbeta0 = -3.200079e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1134659 ++wnoff = -1.344571e-007 ++voffcv = -0.05740625 ++wvoffcv = 7.3951406e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3135167 ++wkt1 = -3.6478212e-008 ++kt1l = 0 ++kt2 = -0.017495028 ++wkt2 = -5.0421413e-009 ++ute = -1.55 ++ua1 = 1.6559377e-009 ++wua1 = 2.2588793e-017 ++ub1 = -2.323161e-018 ++wub1 = -9.0503075e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.68455682 ++lvth0 = -5.0953541e-008 ++k1 = 0.94477727 ++lk1 = -9.0829964e-008 ++k2 = 0.013695045 ++lk2 = -2.9084952e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045310864 ++lu0 = 5.5866682e-010 ++ua = -6.3699727e-010 ++lua = 2.5713106e-016 ++ub = 3.6055045e-018 ++lub = -3.1012323e-025 ++uc = 2.737035e-010 ++luc = -6.1261515e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.13104451 ++la0 = -1.4226912e-008 ++wa0 = -2.7434664e-007 ++pa0 = 1.3442985e-013 ++ags = 0.45707702 ++lags = -5.9303239e-008 ++wags = -1.7111269e-006 ++pags = 8.3845218e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.11903243 ++lketa = 2.6769891e-008 ++wketa = -3.4688132e-008 ++pketa = 1.6997185e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23174773 ++lpclm = -1.4811586e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0038636364 ++ldelta = 3.0068182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5881864e-006 ++lalpha0 = -2.4577732e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.185e-010 ++cgdo = 2.185e-010 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.20909091 ++lnoff = 1.0824545e-006 ++voffcv = 0.19522727 ++lvoffcv = -9.3211364e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29375227 ++lkt1 = -6.2120864e-009 ++kt1l = 0 ++kt2 = -0.024501818 ++lkt2 = 6.1339091e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1354364e-018 ++lub1 = 4.2636682e-025 ++uc1 = -2.0575259e-010 ++luc1 = 4.144057e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.602789 ++lvth0 = -1.088731e-008 ++k1 = 0.75941 ++k2 = 0.01602454 ++lk2 = -3.0226405e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.104255 ++lvoff = -8.03845e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.0376909 ++lu0 = 4.292449e-009 ++ua = -9.50918e-010 ++lua = 4.1095222e-016 ++ub = 3.40202e-018 ++lub = -2.104158e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.622227 ++la0 = -2.5490633e-007 ++ags = 0.614476 ++lags = -1.3642874e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0440595 ++lketa = -9.966845e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.066829 ++lpclm = 6.599859e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013741 ++lpdiblc2= 8.80481e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015 ++ldelta = 4.165e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.66555e-006 ++lalpha0 = -2.2436855e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.312278 ++lkt1 = 2.86552e-009 ++kt1l = 0 ++kt2 = -0.0224 ++lkt2 = -4.165e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -3.01155e-018 ++lub1 = -6.143375e-025 ++uc1 = -1.38843e-010 ++luc1 = 8.65487e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.5878844 ++lvth0 = 6.8491667e-009 ++k1 = 0.7971336 ++lk1 = -4.4891087e-008 ++k2 = -0.007425139 ++lk2 = -2.3212866e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12196494 ++lvoff = 1.3036382e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039894859 ++lu0 = 1.6697377e-009 ++ua = -8.0855864e-010 ++lua = 2.4154458e-016 ++ub = 3.1574269e-018 ++lub = 8.0649951e-026 ++uc = 1.02726e-010 ++luc = 5.468526e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1814276 ++la0 = -9.2035509e-007 ++ags = 0.26795983 ++lags = 2.759255e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021057318 ++lketa = -3.7339441e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23097666 ++lpclm = -1.2933712e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064161023 ++lpdiblc2= 1.7521438e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027295455 ++ldelta = 2.7018409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0843837e-005 ++lalpha0 = -1.0241585e-010 ++alpha1 = 0 ++beta0 = 24.472653 ++lbeta0 = -4.0324976e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1135227 ++lnoff = -1.3509204e-007 ++voffcv = -0.0574375 ++lvoffcv = 7.4300625e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31815716 ++lkt1 = 9.8617193e-009 ++kt1l = 0 ++kt2 = -0.017357671 ++lkt2 = -6.4168722e-009 ++ute = -1.55 ++ua1 = 1.6559282e-009 ++lua1 = 2.2695464e-017 ++ub1 = -2.2631568e-018 ++lub1 = -1.5049254e-024 ++uc1 = -4.5780875e-011 ++luc1 = -1.0208906e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.58857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.185e-010 ++cgdo = 2.185e-010 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +*resistor + +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + + + +.endl nmos_3p3_fs +* +* +.lib nmos_3p3_sf + + + +.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model nmos_3p3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.77039529 ++lvth0 = -4.0876103e-008 ++wvth0 = -1.3833002e-008 ++pvth0 = 4.4478044e-016 ++k1 = 0.96652273 ++lk1 = -1.0562749e-007 ++k2 = 0.056667113 ++lk2 = -4.3701293e-008 ++wk2 = -1.8937677e-008 ++pk2 = 5.4919265e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12626439 ++lvoff = 4.0757735e-009 ++wvoff = 5.2223358e-009 ++pvoff = -1.5144774e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023581366 ++lu0 = 4.8792474e-009 ++wu0 = 4.4895909e-009 ++pu0 = -6.638348e-016 ++ua = -1.1909754e-009 ++lua = 7.3404439e-016 ++wua = 2.7097128e-016 ++pua = -1.4422673e-022 ++ub = 3.4119198e-018 ++lub = -8.3010051e-025 ++wub = -4.0136608e-025 ++pub = 9.4431335e-032 ++uc = 2.2926756e-010 ++luc = -6.4523339e-017 ++wuc = -3.1807431e-017 ++puc = 5.5518384e-024 ++eu = 1.67 ++vsat = 86133.117 ++lvsat = -0.0028091396 ++wvsat = -0.00030073052 ++pvsat = 1.3343413e-009 ++a0 = 0.11186736 ++la0 = -3.2269331e-009 ++wa0 = -6.1333689e-009 ++pa0 = 1.778677e-015 ++ags = 0.32766 ++lags = -1.6641391e-008 ++wags = 4.7087182e-008 ++pags = -1.2449405e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.1512997 ++lketa = 4.0887819e-008 ++wketa = 8.0445414e-009 ++pketa = -2.4729792e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37854122 ++lpclm = -4.9487196e-008 ++wpclm = 1.9698751e-008 ++ppclm = 8.73104e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0034090909 ++ldelta = 3.3613636e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6763946e-006 ++lalpha0 = -3.2302945e-013 ++walpha0 = 4.8005613e-014 ++palpha0 = -1.3921628e-020 ++alpha1 = 0 ++beta0 = 19.903605 ++lbeta0 = 1.3594315e-007 ++wbeta0 = 1.3355442e-007 ++pbeta0 = 8.8956088e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.46065123 ++lkt1 = 4.4027381e-008 ++wkt1 = 3.1555052e-008 ++pkt1 = -8.8199961e-015 ++kt1l = 0 ++kt2 = -0.024763831 ++lkt2 = 1.309011e-009 ++wkt2 = 1.0429334e-009 ++pkt2 = -3.024507e-016 ++ute = -1.5684253 ++lute = 9.3637987e-008 ++wute = 1.0375203e-007 ++pute = -4.4478044e-014 ++ua1 = 1.675e-009 ++ub1 = -4.197398e-018 ++lub1 = 3.0051191e-025 ++wub1 = 3.271121e-025 ++pub1 = -5.8599823e-032 ++uc1 = -4.2438636e-011 ++luc1 = -3.9327954e-018 ++wuc1 = -6.4416477e-018 ++puc1 = 1.8680778e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.73756201 ++lvth0 = -2.4131129e-008 ++wvth0 = -1.1862994e-008 ++pvth0 = -5.599238e-016 ++k1 = 0.74629014 ++lk1 = 6.6911271e-009 ++k2 = 0.024027296 ++lk2 = -2.7054986e-008 ++wk2 = -2.8631843e-009 ++pk2 = -2.7060651e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11260451 ++lvoff = -2.8907672e-009 ++wvoff = 1.6294911e-009 ++pvoff = 3.1787341e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029691776 ++lu0 = 1.7629382e-009 ++wu0 = 8.0747734e-010 ++pu0 = 1.2140431e-015 ++ua = -1.3065872e-009 ++lua = 7.930064e-016 ++wua = 4.6081741e-017 ++pua = -2.9533064e-023 ++ub = 3.0930961e-018 ++lub = -6.6750038e-025 ++wub = -2.4544774e-026 ++pub = -9.774753e-032 ++uc = 8.4580446e-011 ++luc = 9.2670883e-018 ++wuc = 2.3534472e-018 ++puc = -1.187021e-023 ++eu = 1.67 ++vsat = 76951.786 ++lvsat = 0.0018733393 ++wvsat = -0.0016870982 ++pvsat = 2.0413888e-009 ++a0 = 1.0915554 ++la0 = -5.0286783e-007 ++wa0 = -5.0565226e-008 ++pa0 = 2.4438924e-014 ++ags = 0.48250605 ++lags = -9.5612876e-008 ++wags = 4.194112e-008 ++pags = -9.8249129e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028451232 ++lketa = -2.1764897e-008 ++wketa = -7.2515451e-009 ++pketa = 5.3280249e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.083544401 ++lpclm = 1.0096118e-007 ++wpclm = 4.2405096e-008 ++ppclm = -2.8491956e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013439 ++lpdiblc2= 9.31821e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013571429 ++ldelta = 4.4078571e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6090188e-006 ++lalpha0 = -2.3286678e-012 ++walpha0 = -1.5087478e-014 ++palpha0 = 1.8255849e-020 ++alpha1 = 0 ++beta0 = 22.627356 ++lbeta0 = -1.2531695e-006 ++wbeta0 = -3.4216904e-007 ++pbeta0 = 2.4350853e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33900277 ++lkt1 = -1.8013338e-008 ++wkt1 = -2.5161865e-009 ++pkt1 = 8.5563355e-015 ++kt1l = 0 ++kt2 = -0.020297566 ++lkt2 = -9.6878403e-010 ++wkt2 = -4.1870281e-011 ++pkt2 = 2.507992e-016 ++ute = -1.3848214 ++wute = 1.6540179e-008 ++ua1 = 1.675e-009 ++ub1 = -2.7947117e-018 ++lub1 = -4.1485807e-025 ++wub1 = 5.3588288e-026 ++pub1 = 8.0897323e-032 ++uc1 = -6.0262143e-011 ++luc1 = 5.1571929e-018 ++wuc1 = 2.0245179e-018 ++puc1 = -2.4496666e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72046857 ++lvth0 = -3.4480652e-009 ++wvth0 = -9.3568204e-009 ++pvth0 = -3.5923941e-015 ++k1 = 0.79597775 ++lk1 = -5.3430878e-008 ++k2 = 0.0052544426 ++lk2 = -4.3398333e-009 ++wk2 = -7.1995204e-009 ++pk2 = 2.5409017e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12621602 ++lvoff = 1.3579168e-008 ++wvoff = 2.008846e-009 ++pvoff = -1.4114603e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032483545 ++lu0 = -1.6151023e-009 ++wu0 = 6.462041e-010 ++pu0 = 1.4091837e-015 ++ua = -8.1531997e-010 ++lua = 1.9857306e-016 ++wua = 5.8174289e-018 ++pua = 1.9186754e-023 ++ub = 2.7421588e-018 ++lub = -2.4286628e-025 ++wub = -1.5394771e-026 ++pub = -1.0881903e-031 ++uc = 9.8010851e-011 ++luc = -6.9837022e-018 ++wuc = -8.5858868e-018 ++puc = 1.3663846e-024 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.2251902 ++la0 = -6.6456596e-007 ++wa0 = 4.1755681e-009 ++pa0 = -4.1797436e-014 ++ags = 0.25756499 ++lags = 1.7656581e-007 ++wags = -2.5507436e-009 ++pags = 4.4010242e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019658689 ++lketa = -3.2403874e-008 ++wketa = -6.345102e-010 ++pketa = -2.6785874e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18931963 ++lpclm = -2.7026844e-008 ++wpclm = 2.0414302e-009 ++ppclm = 4.5990839e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0006386625 ++lpdiblc2= 1.7851584e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.002725 ++ldelta = 2.75275e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.5706791e-005 ++lalpha0 = -8.5936972e-011 ++walpha0 = 7.2781831e-012 ++palpha0 = -8.8066015e-018 ++alpha1 = 0 ++beta0 = 24.218715 ++lbeta0 = -3.1787135e-006 ++wbeta0 = 1.1006248e-007 ++pbeta0 = -3.0369161e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32933993 ++lkt1 = -2.9705368e-008 ++wkt1 = -7.1060329e-009 ++pkt1 = 1.411005e-014 ++kt1l = 0 ++kt2 = -0.021098214 ++wkt2 = 1.6540179e-010 ++ute = -1.3848214 ++wute = 1.6540179e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5148229e-018 ++lub1 = -7.5352353e-025 ++wub1 = 2.136867e-026 ++pub1 = 1.1988306e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72012411 ++wvth0 = -9.7157009e-009 ++k1 = 0.79064 ++k2 = 0.0048208929 ++wk2 = -6.9456841e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12485946 ++wvoff = 1.9947455e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032322196 ++wu0 = 7.869817e-010 ++ua = -7.954825e-010 ++wua = 7.7341875e-018 ++ub = 2.7178964e-018 ++wub = -2.6265804e-026 ++uc = 9.7313179e-011 ++wuc = -8.4493848e-018 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.1588 ++ags = 0.27520393 ++wags = 1.8458839e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022895839 ++wketa = -9.0210134e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18661964 ++wpclm = 6.6359196e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7121679e-005 ++walpha0 = 6.3984027e-012 ++alpha1 = 0 ++beta0 = 23.901161 ++wbeta0 = 7.9723661e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3323075 ++wkt1 = -5.6964375e-009 ++kt1l = 0 ++kt2 = -0.021098214 ++wkt2 = 1.6540179e-010 ++ute = -1.3848214 ++wute = 1.6540179e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5901e-018 ++wub1 = 3.3345e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.78637258 ++lvth0 = -4.4329423e-008 ++wvth0 = -2.1422215e-008 ++pvth0 = 2.0851079e-015 ++k1 = 0.96652273 ++lk1 = -1.0562749e-007 ++k2 = 0.043846284 ++lk2 = -3.9983252e-008 ++wk2 = -1.2847784e-008 ++pk2 = 3.7258573e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.07880914 ++lvoff = -9.6862495e-009 ++wvoff = -1.7318909e-008 ++pvoff = 5.0224835e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032725347 ++lu0 = 4.2490518e-009 ++wu0 = 1.4619976e-010 ++pu0 = -3.6449187e-016 ++ua = -6.5842313e-010 ++lua = 4.2195702e-016 ++wua = 1.8008954e-017 ++pua = 4.0147707e-024 ++ub = 2.29126e-018 ++lub = -9.7593592e-026 ++wub = 1.3094736e-025 ++pub = -2.5350945e-031 ++uc = 1.6123011e-010 ++luc = -3.6357518e-017 ++wuc = 5.103582e-019 ++puc = -7.8269268e-024 ++eu = 1.67 ++vsat = 64899.351 ++lvsat = 0.0045138312 ++wvsat = 0.0097853084 ++pvsat = -2.1440698e-009 ++a0 = 0.10689312 ++la0 = -1.7844039e-009 ++wa0 = -3.7706055e-009 ++pa0 = 1.0934756e-015 ++ags = 0.35614518 ++lags = -1.2574141e-008 ++wags = 3.3556722e-008 ++pags = -1.4381348e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12710186 ++lketa = 3.1977949e-008 ++wketa = -3.4494299e-009 ++pketa = 1.7592093e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.46474849 ++lpclm = -8.4380551e-008 ++wpclm = -2.1249703e-008 ++ppclm = 2.5305384e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0034090909 ++ldelta = 3.3613636e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6705875e-006 ++lalpha0 = -2.9783362e-013 ++walpha0 = 5.0764006e-014 ++palpha0 = -2.5889643e-020 ++alpha1 = 0 ++beta0 = 20.985833 ++lbeta0 = -9.3517938e-008 ++wbeta0 = -3.8050353e-007 ++pbeta0 = 1.0988358e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37899643 ++lkt1 = 1.7695179e-008 ++wkt1 = -7.2309805e-009 ++pkt1 = 3.6878001e-015 ++kt1l = 0 ++kt2 = -0.014401245 ++lkt2 = -3.492865e-009 ++wkt2 = -3.8792949e-009 ++pkt2 = 1.9784404e-015 ++ute = -1.4339286 ++wute = 3.9866071e-008 ++ua1 = 1.675e-009 ++ub1 = -3.6760182e-018 ++lub1 = 2.6245527e-025 ++wub1 = 7.9456704e-026 ++pub1 = -4.0522919e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.73523913 ++lvth0 = -1.8251362e-008 ++wvth0 = -1.0759625e-008 ++pvth0 = -3.3528129e-015 ++k1 = 0.76831276 ++lk1 = -4.5404077e-009 ++wk1 = -1.0460743e-008 ++pk1 = 5.334979e-015 ++k2 = 0.0086062399 ++lk2 = -2.201083e-008 ++wk2 = 4.4618175e-009 ++pk2 = -5.1020394e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12063313 ++lvoff = 1.1643984e-008 ++wvoff = 5.4430856e-009 ++pvoff = -6.5861336e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031100065 ++lu0 = 5.0779459e-009 ++wu0 = 1.3854029e-010 ++pu0 = -3.6058554e-016 ++ua = -1.1698757e-009 ++lua = 6.8279785e-016 ++wua = -1.8856196e-017 ++pua = 2.2815997e-023 ++ub = 2.8309252e-018 ++lub = -3.7282285e-025 ++wub = 9.9986385e-026 ++pub = -2.3771936e-031 ++uc = 8.1961957e-011 ++luc = 4.0692393e-018 ++wuc = 3.5972295e-018 ++puc = -9.4012312e-024 ++eu = 1.67 ++vsat = 81960.714 ++lvsat = -0.0041874643 ++wvsat = -0.0040663393 ++pvsat = 4.9202705e-009 ++a0 = 0.98260745 ++la0 = -4.4839871e-007 ++wa0 = 1.1850475e-009 ++pa0 = -1.4339074e-015 ++ags = 0.44253343 ++lags = -5.6632149e-008 ++wags = 6.0928114e-008 ++pags = -2.8340758e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043717643 ++lketa = -1.0548002e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.21633117 ++lpclm = 4.231228e-008 ++wpclm = -2.0668622e-008 ++ppclm = 2.5009032e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013439 ++lpdiblc2= 9.31821e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013571429 ++ldelta = 4.4078571e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.854642e-006 ++lalpha0 = -2.4317014e-012 ++walpha0 = -1.3175851e-013 ++palpha0 = 6.7196838e-020 ++alpha1 = 0 ++beta0 = 21.041625 ++lbeta0 = -1.219717e-007 ++wbeta0 = 4.1105337e-007 ++pbeta0 = -2.9381044e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.40824973 ++lkt1 = 3.2614365e-008 ++wkt1 = 3.0376124e-008 ++pkt1 = -1.5491823e-014 ++kt1l = 0 ++kt2 = -0.031266454 ++lkt2 = 5.1083916e-009 ++wkt2 = 5.1683514e-009 ++pkt2 = -2.6358592e-015 ++ute = -1.4339286 ++wute = 3.9866071e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8063699e-018 ++lub1 = -1.8106533e-025 ++wub1 = 5.912594e-026 ++pub1 = -3.0154229e-032 ++uc1 = -1.1914311e-010 ++luc1 = 3.2202986e-017 ++wuc1 = 2.9992977e-017 ++puc1 = -1.5296418e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70918783 ++lvth0 = 1.3270713e-008 ++wvth0 = -3.9984673e-009 ++pvth0 = -1.1533814e-014 ++k1 = 0.79422595 ++lk1 = -3.5895368e-008 ++wk1 = 8.3210458e-010 ++pk1 = -8.3293668e-015 ++k2 = -0.0057375564 ++lk2 = -4.6548363e-009 ++wk2 = -1.9783209e-009 ++pk2 = 2.6905281e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12198688 ++lvoff = 1.3282019e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036480486 ++lu0 = -1.432364e-009 ++wu0 = -1.2523428e-009 ++pu0 = 1.322383e-015 ++ua = -7.8835147e-010 ++lua = 2.2115348e-016 ++wua = -6.9926086e-018 ++pua = 8.4610564e-024 ++ub = 3.0585412e-018 ++lub = -6.4823822e-025 ++wub = -1.6567642e-025 ++pub = 8.3732633e-032 ++uc = 9.7494752e-011 ++luc = -1.4725443e-017 ++wuc = -8.3407396e-018 ++puc = 5.0437114e-024 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.2339809 ++la0 = -7.5256056e-007 ++ags = 0.28346322 ++lags = 1.3584281e-007 ++wags = -1.4852404e-008 ++pags = 6.3353669e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0209945 ++lketa = -3.8043005e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22691687 ++lpclm = 2.9503581e-008 ++wpclm = -1.5817263e-008 ++ppclm = 1.9138888e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0006386625 ++lpdiblc2= 1.7851584e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.002725 ++ldelta = 2.75275e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1007216e-005 ++lalpha0 = -1.0425632e-010 ++walpha0 = 1.048079e-014 ++palpha0 = -1.0491271e-019 ++alpha1 = 0 ++beta0 = 24.044693 ++lbeta0 = -3.755685e-006 ++wbeta0 = 1.9272256e-007 ++pbeta0 = -2.9630159e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33921309 ++lkt1 = -5.0919976e-008 ++wkt1 = -2.4162826e-009 ++pkt1 = 2.4186989e-014 ++kt1l = 0 ++kt2 = -0.021793862 ++lkt2 = -6.3534453e-009 ++wkt2 = 4.9583426e-010 ++pkt2 = 3.0178865e-015 ++ute = -1.7203348 ++lute = 3.4655156e-007 ++wute = 1.7590904e-007 ++pute = -1.6461199e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5425504e-018 ++lub1 = 7.0971302e-025 ++wub1 = 5.0953922e-025 ++pub1 = -5.751543e-031 ++uc1 = -5.0977253e-011 ++luc1 = -5.0277701e-017 ++wuc1 = -2.385805e-018 ++puc1 = 2.3881908e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71051357 ++wvth0 = -5.1506964e-009 ++k1 = 0.79064 ++k2 = -0.006202575 ++wk2 = -1.7095369e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036337393 ++wu0 = -1.1202366e-009 ++ua = -7.6625821e-010 ++wua = -6.1473482e-018 ++ub = 2.9937821e-018 ++wub = -1.5731152e-025 ++uc = 9.6023679e-011 ++wuc = -7.8368723e-018 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.1588 ++ags = 0.29703393 ++wags = -8.5233661e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.22986429 ++wpclm = -1.3905286e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6695 ++wbeta0 = 1.897625e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022428571 ++wkt2 = 7.9732143e-010 ++ute = -1.6857143 ++wute = 1.5946429e-007 ++ua1 = 1.675e-009 ++ub1 = -3.47165e-018 ++wub1 = 4.5208125e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.81815039 ++lvth0 = -5.8885304e-008 ++wvth0 = -5.8761136e-008 ++pvth0 = 1.9188267e-014 ++k1 = 0.95743806 ++lk1 = -1.0099431e-007 ++wk1 = 1.0674487e-008 ++pk1 = -5.4439881e-015 ++k2 = 0.016113039 ++lk2 = -3.1940611e-008 ++wk2 = 1.973878e-008 ++pk2 = -5.7242461e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12427998 ++lvoff = 7.2259769e-010 ++wvoff = 3.6109333e-008 ++pvoff = -7.2079119e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046878971 ++lu0 = 1.8200638e-010 ++wu0 = -1.6484308e-008 ++pu0 = 4.4142864e-015 ++ua = -6.8024396e-010 ++lua = 2.6903445e-016 ++wua = 4.3648431e-017 ++pua = 1.8369878e-022 ++ub = 3.8192473e-018 ++lub = -3.5114332e-025 ++wub = -1.6644377e-024 ++pub = 4.4411482e-032 ++uc = 2.9916081e-010 ++luc = -7.1885433e-017 ++wuc = -1.6155822e-016 ++puc = 3.3918374e-023 ++eu = 1.67 ++vsat = 79204.029 ++lvsat = -0.00035905475 ++wvsat = -0.0070226885 ++pvsat = 3.5815711e-009 ++a0 = 0.10368409 ++la0 = -8.5378636e-010 ++ags = 0.26826194 ++lags = 3.4571911e-008 ++wags = 1.3681953e-007 ++pags = -6.977796e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12637977 ++lketa = 3.1609683e-008 ++wketa = -4.2978854e-009 ++pketa = 2.1919215e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.20558963 ++lpclm = -1.0377847e-008 ++wpclm = 2.8326196e-007 ++ppclm = -6.1647793e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0034090909 ++ldelta = 3.3613636e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6135308e-006 ++lalpha0 = -2.6873472e-013 ++walpha0 = 1.178056e-013 ++palpha0 = -6.0080856e-020 ++alpha1 = 0 ++beta0 = 21.140347 ++wbeta0 = -5.6205724e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.68954029 ++lnoff = 1.3716655e-006 ++wnoff = 3.1602098e-006 ++pnoff = -1.611707e-012 ++voffcv = 0.2365993 ++lvoffcv = -1.1811564e-007 ++wvoffcv = -2.7212918e-007 ++pvoffcv = 1.3878588e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28048406 ++lkt1 = -1.0653613e-008 ++wkt1 = -1.2298302e-007 ++pkt1 = 3.699763e-014 ++kt1l = 0 ++kt2 = -0.025514754 ++lkt2 = 1.0188312e-009 ++wkt2 = 9.1790777e-009 ++pkt2 = -3.3228026e-015 ++ute = -1.5700284 ++wute = 1.9978338e-007 ++ua1 = 1.675e-009 ++ub1 = -5.4123917e-018 ++lub1 = 5.0984495e-025 ++wub1 = 2.1196956e-024 ++pub1 = -3.3120579e-031 ++uc1 = -2.3284907e-010 ++luc1 = 5.2512691e-017 ++wuc1 = 2.0779766e-016 ++puc1 = -6.1702412e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.7227726 ++lvth0 = -1.0242633e-008 ++wvth0 = 3.8885457e-009 ++pvth0 = -1.276307e-014 ++k1 = 0.75941 ++k2 = 0.017683205 ++lk2 = -3.2741396e-008 ++wk2 = -6.2036165e-009 ++pk2 = 7.5063759e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10237415 ++lvoff = -1.0449376e-008 ++wvoff = -1.6011211e-008 ++pvoff = 1.9373565e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038388296 ++lu0 = 4.5122507e-009 ++wu0 = -8.4251315e-009 ++pu0 = 3.0410627e-016 ++ua = -9.355169e-010 ++lua = 3.9922365e-016 ++wua = -2.9422783e-016 ++pua = 3.5601568e-022 ++ub = 3.4750928e-018 ++lub = -1.7562456e-025 ++wub = -6.5691059e-025 ++pub = -4.6942736e-031 ++uc = 1.571796e-010 ++luc = 5.249858e-019 ++wuc = -8.47835e-017 ++puc = -5.2367334e-024 ++eu = 1.67 ++vsat = 78500 ++a0 = 0.58388391 ++la0 = -2.4575569e-007 ++wa0 = 4.6968521e-007 ++pa0 = -2.3953946e-013 ++ags = 0.63581483 ++lags = -1.5288006e-007 ++wags = -1.6617753e-007 ++pags = 8.4750542e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043717643 ++lketa = -1.0548002e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.046649798 ++lpclm = 7.0681467e-008 ++wpclm = 1.7870699e-007 ++ppclm = -8.3247623e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013439 ++lpdiblc2= 9.31821e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013571429 ++ldelta = 4.4078571e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7425071e-006 ++lalpha0 = -2.3745126e-012 ++alpha1 = 0 ++beta0 = 21.042948 ++lbeta0 = 4.9673545e-008 ++wbeta0 = 4.0949885e-007 ++pbeta0 = -4.9549361e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30302683 ++lkt1 = 8.4320304e-010 ++wkt1 = -9.3260785e-008 ++pkt1 = 2.1839292e-014 ++kt1l = 0 ++kt2 = -0.021787246 ++lkt2 = -8.8219755e-010 ++wkt2 = -5.9697177e-009 ++pkt2 = 4.403083e-015 ++ute = -1.5700284 ++wute = 1.9978338e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0217801e-018 ++lub1 = -7.0936697e-025 ++wub1 = 3.1223288e-025 ++pub1 = 5.906002e-031 ++uc1 = -1.4521817e-010 ++luc1 = 7.820931e-018 ++wuc1 = 6.0631174e-017 ++puc1 = 1.3352496e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70815167 ++lvth0 = 7.4486913e-009 ++wvth0 = -2.7809847e-009 ++pvth0 = -4.6929382e-015 ++k1 = 0.79751289 ++lk1 = -4.6104496e-008 ++wk1 = -3.0300479e-009 ++pk1 = 3.666358e-015 ++k2 = -0.0074212337 ++lk2 = -2.3650252e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12198688 ++lvoff = 1.3282019e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040489883 ++lu0 = 1.9693306e-009 ++wu0 = -5.9633841e-009 ++pu0 = -2.6746082e-015 ++ua = -8.1092276e-010 ++lua = 2.4846474e-016 ++wua = 1.9528659e-017 ++pua = -2.3629677e-023 ++ub = 3.1893035e-018 ++lub = 1.7018056e-025 ++wub = -3.193221e-025 ++pub = -8.7790942e-031 ++uc = 1.0426802e-010 ++luc = 6.4547999e-017 ++wuc = -1.6299327e-017 ++puc = -8.8102583e-023 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.1761657 ++la0 = -9.6241665e-007 ++wa0 = 6.7932842e-008 ++pa0 = 2.4658091e-013 ++ags = 0.26705136 ++lags = 2.9332373e-007 ++wags = 4.4315284e-009 ++pags = -1.2168642e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0209945 ++lketa = -3.8043005e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23356279 ++lpclm = -1.5548326e-007 ++wpclm = -2.3626216e-008 ++ppclm = 2.3649842e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0006386625 ++lpdiblc2= 1.7851584e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.002725 ++ldelta = 2.75275e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1016136e-005 ++lalpha0 = -1.043456e-010 ++alpha1 = 0 ++beta0 = 24.515585 ++lbeta0 = -4.1522184e-006 ++wbeta0 = -3.605757e-007 ++pbeta0 = 4.362966e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1289382 ++lnoff = -1.5601523e-007 ++wnoff = -1.515024e-007 ++pnoff = 1.833179e-013 ++voffcv = -0.065916016 ++lvoffcv = 8.5808379e-008 ++wvoffcv = 8.3326318e-008 ++pvoffcv = -1.0082485e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31508994 ++lkt1 = 1.5439565e-008 ++wkt1 = -3.076098e-008 ++pkt1 = -5.3785472e-014 ++kt1l = 0 ++kt2 = -0.016809446 ++lkt2 = -6.9053359e-009 ++wkt2 = -5.3608541e-009 ++pkt2 = 3.666358e-015 ++ute = -1.5472461 ++lute = -2.7566602e-008 ++wute = -2.7470215e-008 ++pute = 2.7497685e-013 ++ua1 = 1.6533384e-009 ++lua1 = 2.6210559e-017 ++wua1 = 2.5452403e-017 ++pua1 = -3.0797407e-023 ++ub1 = -2.147361e-018 ++lub1 = -1.7674141e-024 ++wub1 = -1.1298083e-024 ++pub1 = 2.3354701e-030 ++uc1 = -4.4621244e-011 ++luc1 = -1.1390135e-016 ++wuc1 = -9.8541155e-018 ++puc1 = 9.8639696e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.7088958 ++wvth0 = -3.2498097e-009 ++k1 = 0.79290705 ++wk1 = -2.6637784e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040686619 ++wu0 = -6.2305777e-009 ++ua = -7.8610111e-010 ++wua = 1.7168052e-017 ++ub = 3.2063045e-018 ++wub = -4.0702534e-025 ++uc = 1.1071637e-010 ++wuc = -2.5100784e-017 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.0800202 ++wa0 = 9.25663e-008 ++ags = 0.29635443 ++wags = -7.7249574e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.100778 ++wbeta0 = -3.1698963e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1133523 ++wnoff = -1.3318892e-007 ++voffcv = -0.05734375 ++wvoffcv = 7.3253906e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31354753 ++wkt1 = -3.6134154e-008 ++kt1l = 0 ++kt2 = -0.01749929 ++wkt2 = -4.9945845e-009 ++ute = -1.55 ++ua1 = 1.6559568e-009 ++wua1 = 2.2375739e-017 ++ub1 = -2.3239259e-018 ++wub1 = -8.9649462e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.81225955 ++lvth0 = -5.6961668e-008 ++k1 = 0.95850818 ++lk1 = -1.0154007e-007 ++k2 = 0.018091864 ++lk2 = -3.2514471e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045226409 ++lu0 = 6.2454136e-010 ++ua = -6.7586818e-010 ++lua = 2.8745037e-016 ++ub = 3.6523864e-018 ++lub = -3.4669104e-025 ++uc = 2.829645e-010 ++luc = -6.8485095e-017 ++eu = 1.67 ++vsat = 78500 ++a0 = 0.13319227 ++la0 = -1.5902956e-008 ++wa0 = -2.9434406e-007 ++pa0 = 1.5011547e-013 ++ags = 0.46602357 ++lags = -6.6286522e-008 ++wags = -1.8358528e-006 ++pags = 9.3628492e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12307965 ++lketa = 2.9926622e-008 ++wketa = -3.7216588e-008 ++pketa = 1.898046e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23398682 ++lpclm = -1.6558077e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0034090909 ++ldelta = 3.3613636e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6253409e-006 ++lalpha0 = -2.7475786e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.415e-010 ++cgdo = 2.415e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.37272727 ++lnoff = 1.2100909e-006 ++voffcv = 0.20931818 ++lvoffcv = -1.0420227e-007 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29281318 ++lkt1 = -6.9445773e-009 ++kt1l = 0 ++kt2 = -0.024594545 ++lkt2 = 6.8571818e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1998909e-018 ++lub1 = 4.7664136e-025 ++uc1 = -2.1201723e-010 ++luc1 = 4.6326986e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72316243 ++lvth0 = -1.1522139e-008 ++k1 = 0.75941 ++k2 = 0.017061289 ++lk2 = -3.1988877e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10397929 ++lvoff = -8.5071643e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037543671 ++lu0 = 4.5427376e-009 ++ua = -9.6501343e-010 ++lua = 4.3491445e-016 ++ub = 3.4092371e-018 ++lub = -2.2268494e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 78500 ++a0 = 0.63097014 ++la0 = -2.6976967e-007 ++ags = 0.61915543 ++lags = -1.4438377e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043717643 ++lketa = -1.0548002e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.064565286 ++lpclm = 6.9846904e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013439 ++lpdiblc2= 9.31821e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013571429 ++ldelta = 4.4078571e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7425071e-006 ++lalpha0 = -2.3745126e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31237629 ++lkt1 = 3.0326057e-009 ++kt1l = 0 ++kt2 = -0.022385714 ++lkt2 = -4.4078571e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -2.9904786e-018 ++lub1 = -6.5015893e-025 ++uc1 = -1.3913986e-010 ++luc1 = 9.1595271e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70787288 ++lvth0 = 6.9782212e-009 ++k1 = 0.79720913 ++lk1 = -4.5736941e-008 ++k2 = -0.0074212337 ++lk2 = -2.3650252e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12198688 ++lvoff = 1.3282019e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.03989205 ++lu0 = 1.7011995e-009 ++ua = -8.08965e-010 ++lua = 2.4609585e-016 ++ub = 3.1572912e-018 ++lub = 8.2169587e-026 ++uc = 1.02634e-010 ++luc = 5.571566e-017 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.182976 ++la0 = -9.3769676e-007 ++ags = 0.26749563 ++lags = 2.8112459e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0209945 ++lketa = -3.8043005e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23119425 ++lpclm = -1.3177414e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0006386625 ++lpdiblc2= 1.7851584e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.002725 ++ldelta = 2.75275e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1016136e-005 ++lalpha0 = -1.043456e-010 ++alpha1 = 0 ++beta0 = 24.479438 ++lbeta0 = -4.1084794e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.11375 ++lnoff = -1.376375e-007 ++voffcv = -0.0575625 ++lvoffcv = 7.5700625e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31817375 ++lkt1 = 1.0047538e-008 ++kt1l = 0 ++kt2 = -0.017346875 ++lkt2 = -6.5377812e-009 ++ute = -1.55 ++ua1 = 1.65589e-009 ++lua1 = 2.31231e-017 ++ub1 = -2.260625e-018 ++lub1 = -1.5332818e-024 ++uc1 = -4.5609125e-011 ++luc1 = -1.0401266e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.415e-010 ++cgdo = 2.415e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +*resistor + +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + + +.endl nmos_3p3_sf +* +*************************************************************************************************** +* 3.3V PMOS Models +*************************************************************************************************** +* +.lib pmos_3p3_t + + +.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model pmos_3p3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7506174 ++lvth0 = -7.6827273e-009 ++wvth0 = 4.2938493e-009 ++pvth0 = 2.3570182e-015 ++k1 = 0.86959286 ++lk1 = 4.91e-009 ++wk1 = 6.7137132e-008 ++pk1 = -2.0974909e-014 ++k2 = 0.029351195 ++lk2 = -2.4890454e-008 ++wk2 = -2.1522854e-008 ++pk2 = 3.4158327e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094658091 ++lvoff = -1.6014546e-009 ++wvoff = -1.6655127e-009 ++pvoff = 8.3275636e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0077071688 ++lu0 = 2.4492727e-009 ++wu0 = 6.0892675e-010 ++pu0 = -5.2642909e-016 ++ua = -2.4381818e-012 ++lua = 1.0386891e-015 ++wua = 3.3100364e-018 ++pua = -1.9180342e-022 ++ub = 6.7035533e-019 ++lub = -1.4361909e-025 ++wub = -4.8420779e-027 ++pub = 1.3557818e-033 ++uc = 8.6801065e-011 ++luc = 8.4861818e-018 ++wuc = -1.3364176e-017 ++puc = -4.4743636e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.0272635 ++la0 = -2.0434818e-007 ++wa0 = 1.1112467e-008 ++pa0 = -2.7370909e-015 ++ags = 0.19081247 ++lags = 1.0492091e-007 ++wags = -2.3219283e-008 ++pags = -1.2080073e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.066404636 ++lketa = 8.2658182e-009 ++wketa = -7.3229236e-009 ++pketa = 3.6614618e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35627558 ++lpclm = 7.0823636e-008 ++wpclm = 2.9266005e-008 ++ppclm = 6.5406545e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1485698e-005 ++lalpha0 = -3.0054064e-012 ++walpha0 = -1.0325417e-013 ++palpha0 = 6.39288e-020 ++alpha1 = 0 ++beta0 = 39.773597 ++lbeta0 = -3.6237273e-006 ++wbeta0 = 2.1005299e-007 ++pbeta0 = 1.1827636e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28373805 ++lkt1 = -1.5974545e-008 ++wkt1 = -1.1172031e-008 ++pkt1 = 1.9400727e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1563636e-009 ++lua1 = 1.7181818e-016 ++wua1 = 1.7869091e-016 ++pua1 = -8.9345454e-023 ++ub1 = -2.100161e-018 ++lub1 = -6.7359091e-025 ++wub1 = -1.4002317e-025 ++pub1 = 1.4950473e-031 ++uc1 = -2.5418182e-010 ++luc1 = 5.8570909e-017 ++wuc1 = 4.0843636e-017 ++puc1 = -1.4057018e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.78216327 ++lvth0 = 8.0902041e-009 ++wvth0 = 5.9668408e-009 ++pvth0 = 1.5205225e-015 ++k1 = 1.011648 ++lk1 = -6.6117551e-008 ++wk1 = -1.7990939e-008 ++pk1 = 2.1589126e-014 ++k2 = -0.018784 ++lk2 = -8.2285714e-010 ++wk2 = -2.5231886e-009 ++pk2 = -6.084e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12364214 ++lvoff = 1.2890571e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010288147 ++lu0 = 1.1587837e-009 ++wu0 = -2.4611069e-010 ++pu0 = -9.8910367e-017 ++ua = 3.7095469e-010 ++lua = 8.5199265e-016 ++wua = -4.3130498e-017 ++pua = -1.6858315e-022 ++ub = 1.0877988e-018 ++lub = -3.5234082e-025 ++wub = -1.9235628e-025 ++pub = 9.5112882e-032 ++uc = -1.3265853e-011 ++luc = 5.8519641e-017 ++wuc = -7.386721e-018 ++puc = -7.4630909e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1510659 ++la0 = -2.6624939e-007 ++wa0 = 3.8929322e-008 ++pa0 = -1.6645518e-014 ++ags = 0.19022326 ++lags = 1.0521551e-007 ++wags = 1.3854074e-008 ++pags = -3.0616751e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0050909592 ++lketa = -2.239102e-008 ++wketa = -2.2043755e-009 ++pketa = 1.1021878e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25657102 ++lpclm = 1.2067592e-007 ++wpclm = 8.5357469e-008 ++ppclm = -2.1505078e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.5504633e-005 ++lalpha0 = -3.5014873e-011 ++walpha0 = 4.8045453e-012 ++palpha0 = -2.3899709e-018 ++alpha1 = 0 ++beta0 = 42.422959 ++lbeta0 = -4.9484082e-006 ++wbeta0 = 2.7621551e-007 ++pbeta0 = 8.5195102e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30703735 ++lkt1 = -4.324898e-009 ++wkt1 = 2.5044049e-008 ++pkt1 = -1.6167967e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2391388e-018 ++lub1 = -6.0410204e-025 ++wub1 = -3.3103837e-026 ++pub1 = 9.6045061e-032 ++uc1 = -7.5563755e-011 ++luc1 = -3.0738122e-017 ++wuc1 = 6.2211526e-018 ++puc1 = 3.2542237e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76745877 ++lvth0 = -9.5551948e-009 ++wvth0 = 3.6783584e-009 ++pvth0 = 4.2667013e-015 ++k1 = 0.95493474 ++lk1 = 1.9383117e-009 ++wk1 = 3.0592208e-008 ++pk1 = -3.6710649e-014 ++k2 = -0.010993416 ++lk2 = -1.0171558e-008 ++wk2 = -1.5055864e-008 ++pk2 = 8.9552104e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097716396 ++lvoff = -1.8220325e-008 ++wvoff = 9.9120779e-010 ++pvoff = -1.1894494e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087516409 ++lu0 = 3.0025909e-009 ++wu0 = 2.1888218e-010 ++pu0 = -6.5690182e-016 ++ua = 3.9822779e-010 ++lua = 8.1926494e-016 ++wua = -5.2662561e-017 ++pua = -1.5714468e-022 ++ub = 8.5181617e-019 ++lub = -6.9161688e-026 ++wub = -1.0966152e-025 ++pub = -4.1208312e-033 ++uc = -4.4095525e-011 ++luc = 9.5515247e-017 ++wuc = 1.8553586e-018 ++puc = -1.8553586e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2626103 ++la0 = -4.001026e-007 ++wa0 = -3.4170078e-009 ++pa0 = 3.4170078e-014 ++ags = 0.15731682 ++lags = 1.4470325e-007 ++wags = 7.2894545e-010 ++pags = -1.4866597e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.00016272403 ++lketa = -2.8304903e-008 ++wketa = -3.463048e-009 ++pketa = 2.6125948e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32143299 ++lpclm = 4.2841558e-008 ++wpclm = 4.9757922e-009 ++ppclm = 7.4952935e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020588939 ++lalpha0 = -2.415082e-009 ++walpha0 = 2.2256682e-011 ++palpha0 = -2.3332535e-017 ++alpha1 = 0 ++beta0 = 44.45026 ++lbeta0 = -7.3811688e-006 ++wbeta0 = 4.0343221e-007 ++pbeta0 = -6.7464935e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26850506 ++lkt1 = -5.0563636e-008 ++wkt1 = -1.0340166e-008 ++pkt1 = 2.6293091e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0202519e-018 ++lub1 = 3.3323377e-025 ++wub1 = 1.9133501e-025 ++pub1 = -1.7328156e-031 ++uc1 = -3.5566519e-011 ++luc1 = -7.8734805e-017 ++wuc1 = -1.2279955e-017 ++puc1 = 2.5455553e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76841429 ++wvth0 = 4.1050286e-009 ++k1 = 0.95512857 ++wk1 = 2.6921143e-008 ++k2 = -0.012010571 ++wk2 = -1.4160343e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099538429 ++wvoff = 8.7226286e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090519 ++wu0 = 1.53192e-010 ++ua = 4.8015429e-010 ++wua = -6.8377029e-017 ++ub = 8.449e-019 ++wub = -1.100736e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2226 ++ags = 0.17178714 ++wags = -7.5771429e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0029932143 ++wketa = -3.2017886e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32571714 ++wpclm = 1.2471086e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018173857 ++walpha0 = 1.9923429e-011 ++alpha1 = 0 ++beta0 = 43.712143 ++wbeta0 = 3.9668571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27356143 ++wkt1 = -7.7108571e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9869286e-018 ++wub1 = 1.7400686e-025 ++uc1 = -4.344e-011 ++wuc1 = -9.7344e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7710094 ++lvth0 = -2.1407273e-009 ++wvth0 = 1.4897689e-008 ++pvth0 = -5.2482182e-016 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = 0.014249873 ++lk2 = -2.0467636e-008 ++wk2 = -1.3670166e-008 ++pk2 = 1.1159673e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0091928468 ++lu0 = 1.8372909e-009 ++wu0 = -1.6362577e-010 ++pu0 = -2.0819854e-016 ++ua = -8.0522078e-011 ++lua = 7.4813818e-016 ++wua = 4.3913662e-017 ++pua = -4.0716945e-023 ++ub = 1.748897e-018 ++lub = -3.0903909e-025 ++wub = -5.6568377e-025 ++pub = 8.7374182e-032 ++uc = 8.6704408e-011 ++luc = 1.2453182e-017 ++wuc = -1.3313914e-017 ++puc = -6.5372036e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.66833429 ++la0 = -1.03128e-007 ++wa0 = 1.9775566e-007 ++pa0 = -5.5371585e-014 ++ags = 0.20459958 ++lags = 6.9689636e-008 ++wags = -3.0388584e-008 ++pags = 6.2401891e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10490303 ++lketa = 2.2143527e-008 ++wketa = 1.2696239e-008 ++pketa = -3.5549469e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3781492 ++lpclm = 2.7248545e-008 ++wpclm = 1.7891728e-008 ++ppclm = 2.9199702e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.2079775e-005 ++lalpha0 = -3.0255502e-012 ++walpha0 = -4.121738e-013 ++palpha0 = 7.4403585e-020 ++alpha1 = 0 ++beta0 = 38.238696 ++lbeta0 = -2.7152909e-006 ++wbeta0 = 1.0082017e-006 ++pbeta0 = -3.5411055e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33225761 ++lkt1 = 5.3309091e-010 ++wkt1 = 1.4058139e-008 ++pkt1 = -6.6438982e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 2.0124e-009 ++lua1 = -2.562e-016 ++wua1 = -2.66448e-016 ++pua1 = 1.33224e-022 ++ub1 = -2.8876353e-018 ++lub1 = -2.9730909e-026 ++wub1 = 2.6946346e-025 ++pub1 = -1.8530247e-031 ++uc1 = 6.432e-012 ++luc1 = -3.4608e-017 ++wuc1 = -9.4675549e-017 ++puc1 = 3.4396015e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.77464237 ++lvth0 = -3.242449e-010 ++wvth0 = 2.0559739e-009 ++pvth0 = 5.8960359e-015 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.026847376 ++lk2 = 8.0987755e-011 ++wk2 = 1.6697667e-009 ++pk2 = -6.5539994e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12364214 ++lvoff = 1.2890571e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097471347 ++lu0 = 1.5601469e-009 ++wu0 = 3.5215673e-011 ++pu0 = -3.0761926e-016 ++ua = 2.6778433e-010 ++lua = 5.7398498e-016 ++wua = 1.0518093e-017 ++pua = -2.4019161e-023 ++ub = 1.2732368e-018 ++lub = -7.120898e-026 ++wub = -2.8878406e-025 ++pub = -5.1075673e-032 ++uc = 8.0016841e-012 ++luc = 5.1804544e-017 ++wuc = -1.844584e-017 ++puc = -3.9712404e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.3454526 ++la0 = -4.4168718e-007 ++wa0 = -6.215178e-008 ++pa0 = 7.4582136e-014 ++ags = 0.19226653 ++lags = 7.5856163e-008 ++wags = 1.2791576e-008 ++pags = -1.5349891e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0016565918 ++lketa = -2.947969e-008 ++wketa = -3.9902465e-009 ++pketa = 4.7882958e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55246506 ++lpclm = -5.9909388e-008 ++wpclm = -6.8507432e-008 ++ppclm = 7.2399282e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.000123026 ++lalpha0 = -5.8498663e-011 ++walpha0 = -1.9906566e-011 ++palpha0 = 9.8215995e-018 ++alpha1 = 0 ++beta0 = 43.366204 ++lbeta0 = -5.2790449e-006 ++wbeta0 = -2.1427184e-007 ++pbeta0 = 2.571262e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28366163 ++lkt1 = -2.3764898e-008 ++wkt1 = 1.2888678e-008 ++pkt1 = -6.0591673e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.1375788e-018 ++lub1 = 9.5240816e-026 ++wub1 = 4.3408496e-025 ++pub1 = -2.6761322e-031 ++uc1 = -9.9154286e-011 ++luc1 = 1.8185143e-017 ++wuc1 = 1.8488229e-017 ++puc1 = -2.2185874e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.77376777 ++lvth0 = -1.3737662e-009 ++wvth0 = 6.9590384e-009 ++pvth0 = 1.2358442e-017 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.027452061 ++lk2 = 8.0661039e-010 ++wk2 = -6.4973683e-009 ++pk2 = 3.2465626e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095810227 ++lvoff = -2.0507727e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097323026 ++lu0 = 1.5779454e-009 ++wu0 = -2.910619e-010 ++pu0 = 8.3913818e-017 ++ua = 4.0315384e-010 ++lua = 4.1154156e-016 ++wua = -5.5224108e-017 ++pua = 5.487148e-023 ++ub = 1.1661759e-018 ++lub = 5.7264156e-026 ++wub = -2.7312856e-025 ++pub = -6.986227e-032 ++uc = 1.1632475e-012 ++luc = 6.0010667e-017 ++wuc = -2.1679203e-017 ++puc = -9.1205299e-026 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1189871 ++la0 = -1.6992857e-007 ++wa0 = 7.1267013e-008 ++pa0 = -8.5520416e-014 ++ags = 0.16561084 ++lags = 1.0784299e-007 ++wags = -3.583948e-009 ++pags = 4.3007377e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.3288581 ++lpclm = 2.0841896e-007 ++wpclm = 1.1147314e-009 ++ppclm = -1.1147314e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002173683 ++lalpha0 = -2.519287e-009 ++walpha0 = -3.7433637e-011 ++palpha0 = 3.0854085e-017 ++alpha1 = 0 ++beta0 = 44.354662 ++lbeta0 = -6.4651948e-006 ++wbeta0 = 4.5314286e-007 ++pbeta0 = -5.4377143e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33774851 ++lkt1 = 4.1139351e-008 ++wkt1 = 2.5666423e-008 ++pkt1 = -2.1392462e-014 ++kt1l = 0 ++kt2 = -0.016947818 ++lkt2 = 4.6581818e-009 ++wkt2 = 2.0185455e-009 ++pkt2 = -2.4222546e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5969484e-018 ++lub1 = -5.5351558e-025 ++wub1 = -2.878281e-026 ++pub1 = 2.878281e-031 ++uc1 = -4.2545455e-011 ++luc1 = -4.9745455e-017 ++wuc1 = -8.6509091e-018 ++puc1 = 1.0381091e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.77390514 ++wvth0 = 6.9602743e-009 ++k1 = 1.0069 ++k2 = -0.0273714 ++wk2 = -6.172712e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098900971 ++wu0 = -2.8267051e-010 ++ua = 4.44308e-010 ++wua = -4.973696e-017 ++ub = 1.1719023e-018 ++wub = -2.8011479e-025 ++uc = 7.1643143e-012 ++wuc = -2.1688323e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1019943 ++wa0 = 6.2714971e-008 ++ags = 0.17639514 ++wags = -3.1538743e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019217543 ++walpha0 = -3.4348229e-011 ++alpha1 = 0 ++beta0 = 43.708143 ++wbeta0 = 3.9876571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33363457 ++wkt1 = 2.3527177e-008 ++kt1l = 0 ++kt2 = -0.016482 ++wkt2 = 1.77632e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.752e-011 ++wuc1 = -7.6128e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76226585 ++lvth0 = -8.7733719e-009 ++wvth0 = 4.2305517e-009 ++pvth0 = 7.5670046e-015 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = -0.00067810868 ++lk2 = -1.7691446e-008 ++wk2 = 4.5419708e-009 ++pk2 = -2.2709854e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011352976 ++lu0 = 1.7890915e-009 ++wu0 = -2.7989835e-009 ++pu0 = -1.493953e-016 ++ua = 3.4788822e-010 ++lua = 6.3071157e-016 ++wua = -4.7874691e-016 ++pua = 1.0254352e-022 ++ub = 9.2772209e-019 ++lub = 3.2915171e-026 ++wub = 4.3614967e-025 ++pub = -3.2981002e-031 ++uc = 1.3375779e-010 ++luc = -7.439668e-018 ++wuc = -7.0719038e-017 ++puc = 1.7732073e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.8879706 ++la0 = -1.4725376e-007 ++wa0 = -7.0200638e-008 ++pa0 = -1.5381528e-015 ++ags = 0.3341873 ++lags = -2.4436508e-009 ++wags = -1.884856e-007 ++pags = 9.42428e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.088919542 ++lketa = 1.7668152e-008 ++wketa = -6.803611e-009 ++pketa = 1.9050111e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32482036 ++lpclm = 5.0559583e-008 ++wpclm = 8.2952909e-008 ++ppclm = 7.6023645e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.723125e-006 ++lalpha0 = -2.2830898e-012 ++walpha0 = 2.4629388e-012 ++palpha0 = -8.3139811e-019 ++alpha1 = 0 ++beta0 = 37.805966 ++lbeta0 = -2.3737058e-006 ++wbeta0 = 1.5361323e-006 ++pbeta0 = -7.708444e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29596713 ++lkt1 = -8.3979897e-009 ++wkt1 = -3.0216242e-008 ++pkt1 = 4.2520201e-015 ++kt1l = 0 ++kt2 = -0.020842369 ++lkt2 = 2.1773833e-009 ++wkt2 = 9.4871699e-009 ++pkt2 = -2.6564076e-015 ++ute = -1 ++ua1 = 1.8116799e-009 ++lua1 = -1.5583996e-016 ++wua1 = -2.1569499e-017 ++pua1 = 1.078475e-023 ++ub1 = -2.5843988e-018 ++lub1 = -1.2857843e-025 ++wub1 = -1.0048507e-025 ++pub1 = -6.4708497e-032 ++uc1 = -8.5778578e-011 ++luc1 = 8.8928926e-019 ++wuc1 = 1.7821357e-017 ++puc1 = -8.9106783e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76552347 ++lvth0 = -7.1445584e-009 ++wvth0 = -9.069076e-009 ++pvth0 = 1.4216818e-014 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.030551827 ++lk2 = -2.7545864e-009 ++wk2 = 6.1891978e-009 ++pk2 = -3.0945989e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094286796 ++lvoff = -1.787102e-009 ++wvoff = -3.5813523e-008 ++pvoff = 1.7906761e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010127025 ++lu0 = 2.4020669e-009 ++wu0 = -4.282509e-010 ++pu0 = -1.3347616e-015 ++ua = 3.2582253e-010 ++lua = 6.4174442e-016 ++wua = -6.0288518e-017 ++pua = -1.0668567e-022 ++ub = 9.6220002e-019 ++lub = 1.5676208e-026 ++wub = 9.0680837e-026 ++pub = -1.570756e-031 ++uc = -2.7758895e-011 ++luc = 7.3318673e-017 ++wuc = 2.5182066e-017 ++puc = -3.0218479e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1782327 ++la0 = -2.9238479e-007 ++wa0 = 1.4185662e-007 ++pa0 = -1.0756678e-013 ++ags = 0.20788505 ++lags = 6.0707474e-008 ++wags = -6.2630205e-009 ++pags = 3.1315103e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0066799724 ++lketa = -2.3451633e-008 ++wketa = 2.1382778e-009 ++pketa = -2.5659333e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37778426 ++lpclm = 2.407763e-008 ++wpclm = 1.4460314e-007 ++ppclm = -3.006488e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011108151 ++lalpha0 = -5.296228e-011 ++walpha0 = -5.3342836e-012 ++palpha0 = 3.0672131e-018 ++alpha1 = 0 ++beta0 = 43.187318 ++lbeta0 = -5.0643818e-006 ++wbeta0 = 3.968961e-009 ++pbeta0 = -4.7627532e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30269355 ++lkt1 = -5.0347792e-009 ++wkt1 = 3.6107623e-008 ++pkt1 = -2.8909912e-014 ++kt1l = 0 ++kt2 = -0.010621998 ++lkt2 = -2.9328019e-009 ++wkt2 = -2.981682e-009 ++pkt2 = 3.5780184e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4617658e-018 ++lub1 = -1.8989494e-025 ++wub1 = -3.9040685e-025 ++pub1 = 8.0252392e-032 ++uc1 = -3.4810909e-011 ++luc1 = -2.4594545e-017 ++wuc1 = -6.0010691e-017 ++puc1 = 3.0005345e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7677531 ++lvth0 = -4.4690083e-009 ++wvth0 = -3.7885537e-010 ++pvth0 = 3.7885537e-015 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.036023042 ++lk2 = 3.8108709e-009 ++wk2 = 3.9592281e-009 ++pk2 = -4.1863519e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098145312 ++lvoff = 2.8431167e-009 ++wvoff = 2.848803e-009 ++pvoff = -2.848803e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011031559 ++lu0 = 1.3166262e-009 ++wu0 = -1.8761549e-009 ++pu0 = 4.0272326e-016 ++ua = 6.676128e-010 ++lua = 2.315961e-016 ++wua = -3.7786403e-016 ++pua = 2.7440495e-022 ++ub = 9.7526352e-019 ++wub = -4.0215498e-026 ++uc = -1.6606591e-011 ++luc = 5.9935909e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1832393 ++la0 = -2.9839274e-007 ++wa0 = -7.1205867e-009 ++pa0 = 7.1205867e-014 ++ags = 0.16685819 ++lags = 1.0993971e-007 ++wags = -5.1057076e-009 ++pags = 1.7427347e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34313423 ++lpclm = 6.5657665e-008 ++wpclm = -1.6302147e-008 ++ppclm = 1.6302147e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021426891 ++lalpha0 = -2.4908914e-009 ++walpha0 = 3.7885537e-013 ++palpha0 = -3.7885537e-018 ++alpha1 = 0 ++beta0 = 44.161948 ++lbeta0 = -6.233938e-006 ++wbeta0 = 6.8825393e-007 ++pbeta0 = -8.2590471e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29670927 ++lkt1 = -1.2215919e-008 ++wkt1 = -2.4401443e-008 ++pkt1 = 4.3700967e-014 ++kt1l = 0 ++kt2 = -0.012757219 ++lkt2 = -3.7053719e-010 ++wkt2 = -3.0939855e-009 ++pkt2 = 3.7127826e-015 ++ute = -1 ++ua1 = 1.39597e-009 ++lua1 = 1.2483595e-016 ++wua1 = 1.2691655e-016 ++pua1 = -1.5229986e-022 ++ub1 = -2.656703e-018 ++lub1 = 4.4029649e-026 ++wub1 = 4.4117708e-026 ++pub1 = -4.4117708e-031 ++uc1 = -6.6591694e-011 ++luc1 = 1.3542397e-017 ++wuc1 = 2.0685503e-017 ++puc1 = -6.6830088e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7682 ++k1 = 1.0069 ++k2 = -0.035641955 ++wk2 = 3.9173646e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011163222 ++wu0 = -1.8358826e-009 ++ua = 6.9077241e-010 ++wua = -3.5042354e-016 ++ub = 9.7526352e-019 ++wub = -4.0215498e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17785216 ++wags = -4.9314341e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538555 ++wbeta0 = 6.0566345e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29793086 ++wkt1 = -2.0031346e-008 ++kt1l = 0 ++kt2 = -0.012794273 ++wkt2 = -2.7227073e-009 ++ute = -1 ++ua1 = 1.4084536e-009 ++wua1 = 1.1168656e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5237455e-011 ++wuc1 = 1.4002494e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76184364 ++lvth0 = -8.0181818e-009 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = -0.00022481818 ++lk2 = -1.7918091e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011073636 ++lu0 = 1.7741818e-009 ++ua = 3.0010909e-010 ++lua = 6.4094546e-016 ++ub = 9.7125e-019 ++uc = 1.267e-010 ++luc = -5.67e-018 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.88096455 ++la0 = -1.4740727e-007 ++ags = 0.31537636 ++lags = 6.9618182e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.089598545 ++lketa = 1.7858273e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33309909 ++lpclm = 5.0635454e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.9689273e-006 ++lalpha0 = -2.3660636e-012 ++alpha1 = 0 ++beta0 = 37.959273 ++lbeta0 = -2.4506364e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29898273 ++lkt1 = -7.9736364e-009 ++kt1l = 0 ++kt2 = -0.019895546 ++lkt2 = 1.9122727e-009 ++ute = -1 ++ua1 = 1.8095273e-009 ++lua1 = -1.5476364e-016 ++ub1 = -2.5944273e-018 ++lub1 = -1.3503636e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76642857 ++lvth0 = -5.7257143e-009 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.029934143 ++lk2 = -3.0634286e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010084286 ++lu0 = 2.2688571e-009 ++ua = 3.1980571e-010 ++lua = 6.3109714e-016 ++ub = 9.7125e-019 ++uc = -2.5245714e-011 ++luc = 7.0302857e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.19239 ++la0 = -3.0312e-007 ++ags = 0.20726 ++lags = 6.102e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0064665714 ++lketa = -2.3707714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39221571 ++lpclm = 2.1077143e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011054914 ++lalpha0 = -5.2656171e-011 ++alpha1 = 0 ++beta0 = 43.187714 ++lbeta0 = -5.0648571e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29909 ++lkt1 = -7.92e-009 ++kt1l = 0 ++kt2 = -0.010919571 ++lkt2 = -2.5757143e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5007286e-018 ++lub1 = -1.8188571e-025 ++uc1 = -4.08e-011 ++luc1 = -2.16e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76779091 ++lvth0 = -4.0909091e-009 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.035627909 ++lk2 = 3.7690909e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010844318 ++lu0 = 1.3568182e-009 ++ua = 6.2990182e-010 ++lua = 2.5898182e-016 ++ub = 9.7125e-019 ++uc = -1.6606591e-011 ++luc = 5.9935909e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1825286 ++la0 = -2.9128636e-007 ++ags = 0.16634864 ++lags = 1.1011364e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34150727 ++lpclm = 8.1927273e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002142727 ++lalpha0 = -2.4912696e-009 ++alpha1 = 0 ++beta0 = 44.230636 ++lbeta0 = -6.3163636e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29914454 ++lkt1 = -7.8545455e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4086364e-009 ++lua1 = 1.0963636e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4527273e-011 ++luc1 = 6.8727273e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7682 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + + + +.endl pmos_3p3_t +* +* +.lib pmos_3p3_f + + +.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + + +.model pmos_3p3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.67663617 ++lvth0 = -7.3534153e-009 ++wvth0 = 4.7732695e-009 ++pvth0 = 2.3377109e-015 ++k1 = 0.8647782 ++lk1 = 6.1568267e-009 ++wk1 = 6.9370633e-008 ++pk1 = -2.0803095e-014 ++k2 = 0.028918855 ++lk2 = -2.3507638e-008 ++wk2 = -2.2539936e-008 ++pk2 = 3.3878523e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094667623 ++lvoff = -1.5583678e-009 ++wvoff = -1.6924896e-009 ++pvoff = 8.2593493e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0078719299 ++lu0 = 2.3274457e-009 ++wu0 = 5.9859026e-010 ++pu0 = -5.221169e-016 ++ua = 8.7644416e-011 ++lua = 9.8467082e-016 ++wua = -1.3940377e-017 ++pua = -1.9023228e-022 ++ub = 6.5842379e-019 ++lub = -1.3426634e-025 ++wub = -5.017448e-027 ++pub = 1.3446761e-033 ++uc = 8.863003e-011 ++luc = 8.2624703e-018 ++wuc = -1.4595908e-017 ++puc = -4.4377124e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.0088763 ++la0 = -1.9069135e-007 ++wa0 = 1.154901e-008 ++pa0 = -2.7146703e-015 ++ags = 0.20174918 ++lags = 9.8918351e-008 ++wags = -2.5751203e-008 ++pags = -1.198112e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.065134512 ++lketa = 7.447618e-009 ++wketa = -7.4415355e-009 ++pketa = 3.6314694e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35995623 ++lpclm = 6.5671827e-008 ++wpclm = 3.1666898e-008 ++ppclm = 6.4870775e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1235927e-005 ++lalpha0 = -2.8123501e-012 ++walpha0 = -1.0380713e-013 ++palpha0 = 6.3405134e-020 ++alpha1 = 0 ++beta0 = 39.445349 ++lbeta0 = -3.3940359e-006 ++wbeta0 = 2.3377646e-007 ++pbeta0 = 1.1730752e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28422543 ++lkt1 = -1.5068185e-008 ++wkt1 = -1.1684779e-008 ++pkt1 = 1.9241808e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1573864e-009 ++lua1 = 1.6719545e-016 ++wua1 = 1.8158523e-016 ++pua1 = -8.8613591e-023 ++ub1 = -2.1477044e-018 ++lub1 = -6.4044033e-025 ++wub1 = -1.3505708e-025 ++pub1 = 1.4828008e-031 ++uc1 = -2.5233764e-010 ++luc1 = 5.5767486e-017 ++wuc1 = 4.2084409e-017 ++puc1 = -1.3941872e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70748201 ++lvth0 = 7.6993553e-009 ++wvth0 = 6.367274e-009 ++pvth0 = 1.5598367e-015 ++k1 = 1.0117326 ++lk1 = -6.5556919e-008 ++wk1 = -1.8642534e-008 ++pk1 = 2.214733e-014 ++k2 = -0.018588529 ++lk2 = -3.2403549e-010 ++wk2 = -2.8080536e-009 ++pk2 = -6.2413065e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12338433 ++lvoff = 1.2455386e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010331202 ++lu0 = 1.1273211e-009 ++wu0 = -2.6339567e-010 ++pu0 = -1.0146777e-016 ++ua = 3.9172068e-010 ++lua = 8.3628161e-016 ++wua = -4.9371285e-017 ++pua = -1.72942e-022 ++ub = 1.0960127e-018 ++lub = -3.4780972e-025 ++wub = -2.0220479e-025 ++pub = 9.7572098e-032 ++uc = -1.1491615e-011 ++luc = 5.7121833e-017 ++wuc = -8.0009433e-018 ++puc = -7.6560549e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1426483 ++la0 = -2.5597206e-007 ++wa0 = 4.0977761e-008 ++pa0 = -1.7075901e-014 ++ags = 0.19126654 ++lags = 1.0403388e-007 ++wags = 1.4058737e-008 ++pags = -3.1408371e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0053639133 ++lketa = -2.1720434e-008 ++wketa = -2.3169788e-009 ++pketa = 1.1306857e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25217946 ++lpclm = 1.1826689e-007 ++wpclm = 9.0167278e-008 ++ppclm = -2.2061108e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00025119377 ++lpdiblc2= 2.3704904e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.4423186e-005 ++lalpha0 = -3.3647732e-011 ++walpha0 = 5.050231e-012 ++palpha0 = -2.4517655e-018 ++alpha1 = 0 ++beta0 = 42.301722 ++lbeta0 = -4.787946e-006 ++wbeta0 = 2.9506668e-007 ++pbeta0 = 8.7397887e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30910467 ++lkt1 = -2.9271156e-009 ++wkt1 = 2.6245925e-008 ++pkt1 = -1.6586003e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2487222e-018 ++lub1 = -5.9114366e-025 ++wub1 = -3.3106883e-026 ++pub1 = 9.852838e-032 ++uc1 = -7.6682222e-011 ++luc1 = -2.9952355e-017 ++wuc1 = 6.6740899e-018 ++puc1 = 3.3383641e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.6927634 ++lvth0 = -9.7863494e-009 ++wvth0 = 3.9098384e-009 ++pvth0 = 4.4792702e-015 ++k1 = 0.95248832 ++lk1 = 4.8252741e-009 ++wk1 = 3.2440732e-008 ++pk1 = -3.853959e-014 ++k2 = -0.0097979054 ++lk2 = -1.0767296e-008 ++wk2 = -1.5975284e-008 ++pk2 = 9.4013629e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097813945 ++lvoff = -1.7922234e-008 ++wvoff = 1.0511012e-009 ++pvoff = -1.2487082e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087371575 ++lu0 = 3.0210454e-009 ++wu0 = 2.316895e-010 ++pu0 = -6.8962895e-016 ++ua = 4.0327941e-010 ++lua = 8.2254984e-016 ++wua = -5.6078614e-017 ++pua = -1.6497369e-022 ++ub = 8.6053432e-019 ++lub = -6.8061407e-026 ++wub = -1.1643187e-025 ++pub = -4.3261328e-033 ++uc = -4.4147189e-011 ++luc = 9.5916655e-017 ++wuc = 1.9501336e-018 ++puc = -1.9477934e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2624812 ++la0 = -3.983336e-007 ++wa0 = -3.5915545e-009 ++pa0 = 3.5872446e-014 ++ags = 0.1574043 ++lags = 1.4426221e-007 ++wags = 7.5813661e-010 ++pags = -1.5607258e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = 8.6249554e-005 ++lketa = -2.8195228e-008 ++wketa = -3.6739399e-009 ++pketa = 2.7427554e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32107112 ++lpclm = 3.6423596e-008 ++wpclm = 5.3623696e-009 ++ppclm = 7.8687123e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.88813e-005 ++lpdiblc2= 4.4175626e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020546973 ++lalpha0 = -2.3862134e-009 ++walpha0 = 2.3605119e-011 ++palpha0 = -2.4494972e-017 ++alpha1 = 0 ++beta0 = 44.410558 ++lbeta0 = -7.2932429e-006 ++wbeta0 = 4.2825183e-007 ++pbeta0 = -7.0826067e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.946 ++lnoff = 5.39352e-007 ++voffcv = 0.0216 ++lvoffcv = -2.157408e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2677292 ++lkt1 = -5.2081178e-008 ++wkt1 = -1.0950226e-008 ++pkt1 = 2.7603024e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0352362e-018 ++lub1 = 3.4323494e-025 ++wub1 = 2.0295616e-025 ++pub1 = -1.8191452e-031 ++uc1 = -3.4663323e-011 ++luc1 = -7.9870808e-017 ++wuc1 = -1.3010587e-017 ++puc1 = 2.672376e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69374321 ++wvth0 = 4.3583036e-009 ++k1 = 0.95297143 ++wk1 = 2.8582143e-008 ++k2 = -0.010875929 ++wk2 = -1.5034018e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099608321 ++wvoff = 9.2608036e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.009039625 ++wu0 = 1.6264375e-010 ++ua = 4.8563321e-010 ++wua = -7.2595804e-017 ++ub = 8.5372e-019 ++wub = -1.16865e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2226 ++ags = 0.17184786 ++wags = -8.0446429e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0027366607 ++wketa = -3.3993348e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32471786 ++wpclm = 1.3240536e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018157893 ++walpha0 = 2.1152679e-011 ++alpha1 = 0 ++beta0 = 43.680357 ++wbeta0 = 4.2116071e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27294357 ++wkt1 = -8.1866071e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0008714e-018 ++wub1 = 1.8474286e-025 ++uc1 = -4.266e-011 ++wuc1 = -1.0335e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69642702 ++lvth0 = -1.9920781e-009 ++wvth0 = 1.5262418e-008 ++pvth0 = -5.037978e-016 ++k1 = 0.99566618 ++lk1 = -3.3094297e-008 ++k2 = 0.012709478 ++lk2 = -1.9136714e-008 ++wk2 = -1.3948967e-008 ++pk2 = 1.0712624e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0093531893 ++lu0 = 1.7194104e-009 ++wu0 = -1.8647726e-010 ++pu0 = -1.9985825e-016 ++ua = -1.7033143e-011 ++lua = 6.9948888e-016 ++wua = 4.1538729e-017 ++pua = -3.9085851e-023 ++ub = 1.7312067e-018 ++lub = -2.899821e-025 ++wub = -5.7359242e-025 ++pub = 8.387403e-032 ++uc = 8.7990522e-011 ++luc = 1.1729669e-017 ++wuc = -1.4256968e-017 ++puc = -6.2753276e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.65645235 ++la0 = -9.5523869e-008 ++wa0 = 1.9833372e-007 ++pa0 = -5.3153436e-014 ++ags = 0.21104356 ++lags = 6.5010179e-008 ++wags = -3.0677229e-008 ++pags = 5.9902112e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10320034 ++lketa = 2.0738198e-008 ++wketa = 1.2733351e-008 ++pketa = -3.4125381e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.38016331 ++lpclm = 2.5024839e-008 ++wpclm = 2.0957146e-008 ++ppclm = 2.8029981e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1826838e-005 ++lalpha0 = -2.8274782e-012 ++walpha0 = -4.1699029e-013 ++palpha0 = 7.1423027e-020 ++alpha1 = 0 ++beta0 = 37.990543 ++lbeta0 = -2.5313328e-006 ++wbeta0 = 1.0048234e-006 ++pbeta0 = -3.3992511e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33242454 ++lkt1 = 5.9583152e-010 ++wkt1 = 1.3860749e-008 ++pkt1 = -6.377748e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.99446e-009 ++lua1 = -2.4129648e-016 ++wua1 = -2.620638e-016 ++pua1 = 1.2788713e-022 ++ub1 = -2.8941809e-018 ++lub1 = -2.5045136e-026 ++wub1 = 2.6057544e-025 ++pub1 = -1.7787938e-031 ++uc1 = 4.9114909e-012 ++luc1 = -3.2836296e-017 ++wuc1 = -9.4257628e-017 ++puc1 = 3.3018133e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69968312 ++lvth0 = -4.0309955e-010 ++wvth0 = 2.2338617e-009 ++pvth0 = 5.8541378e-015 ++k1 = 0.976558 ++lk1 = -2.3769504e-008 ++k2 = -0.02687001 ++lk2 = 1.7807606e-010 ++wk2 = 1.5811316e-009 ++pk2 = -6.5074256e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12338433 ++lvoff = 1.2455386e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097778795 ++lu0 = 1.5121617e-009 ++wu0 = 2.9865002e-011 ++pu0 = -3.0543328e-016 ++ua = 2.791058e-010 ++lua = 5.5497308e-016 ++wua = 1.0314601e-017 ++pua = -2.3848477e-023 ++ub = 1.2763808e-018 ++lub = -6.8027043e-026 ++wub = -2.9779989e-025 ++pub = -5.0712722e-032 ++uc = 9.3297873e-012 ++luc = 5.0116107e-017 ++wuc = -1.9036287e-017 ++puc = -3.9430202e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.3375751 ++la0 = -4.2791177e-007 ++wa0 = -6.2333454e-008 ++pa0 = 7.4052143e-014 ++ags = 0.19358686 ++lags = 7.352905e-008 ++wags = 1.2828966e-008 ++pags = -1.5240812e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0021847972 ++lketa = -2.8557385e-008 ++wketa = -4.0019103e-009 ++pketa = 4.7542695e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55232393 ++lpclm = -5.8989544e-008 ++wpclm = -6.8909289e-008 ++ppclm = 7.1884801e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00025119377 ++lpdiblc2= 2.3704904e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00012216672 ++lalpha0 = -5.6673338e-011 ++walpha0 = -2.025384e-011 ++palpha0 = 9.7518057e-018 ++alpha1 = 0 ++beta0 = 43.26392 ++lbeta0 = -5.1047406e-006 ++wbeta0 = -2.1489817e-007 ++pbeta0 = 2.5529903e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28433818 ++lkt1 = -2.2870309e-008 ++wkt1 = 1.3119687e-008 ++pkt1 = -6.01611e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.142432e-018 ++lub1 = 9.6101442e-026 ++wub1 = 4.4055934e-025 ++pub1 = -2.6571152e-031 ++uc1 = -9.9075017e-011 ++luc1 = 1.790912e-017 ++wuc1 = 1.8542271e-017 ++puc1 = -2.2028218e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69887884 ++lvth0 = -1.3585892e-009 ++wvth0 = 7.151017e-009 ++pvth0 = 1.255727e-017 ++k1 = 1.0136972 ++lk1 = -6.7890933e-008 ++k2 = -0.027348888 ++lk2 = 7.4698326e-010 ++wk2 = -6.6732628e-009 ++pk2 = 3.2987949e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095830735 ++lvoff = -2.0278287e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097384672 ++lu0 = 1.5589835e-009 ++wu0 = -2.9900464e-010 ++pu0 = 8.5263865e-017 ++ua = 4.0443501e-010 ++lua = 4.0608197e-016 ++wua = -5.6691087e-017 ++pua = 5.575428e-023 ++ub = 1.1705395e-018 ++lub = 5.7712398e-026 ++wub = -2.8073464e-025 ++pub = -7.0986249e-032 ++uc = 1.5649873e-012 ++luc = 5.934069e-017 ++wuc = -2.227732e-017 ++puc = -9.2672655e-026 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1176952 ++la0 = -1.6669444e-007 ++wa0 = 7.3145043e-008 ++pa0 = -8.6896311e-014 ++ags = 0.16577511 ++lags = 1.0656941e-007 ++wags = -3.6783923e-009 ++pags = 4.3699301e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068457125 ++lketa = -2.3020218e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.32904913 ++lpclm = 2.0626092e-007 ++wpclm = 1.1340266e-009 ++ppclm = -1.1326658e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.88813e-005 ++lpdiblc2= 4.4175626e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021717533 ++lalpha0 = -2.4915822e-009 ++walpha0 = -3.8434543e-011 ++palpha0 = 3.1350481e-017 ++alpha1 = 0 ++beta0 = 44.341063 ++lbeta0 = -6.3843867e-006 ++wbeta0 = 4.6508409e-007 ++pbeta0 = -5.5251989e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.946 ++lnoff = 5.39352e-007 ++voffcv = 0.0216 ++lvoffcv = -2.157408e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33811161 ++lkt1 = 4.1012519e-008 ++wkt1 = 2.6352452e-008 ++pkt1 = -2.1736635e-014 ++kt1l = 0 ++kt2 = -0.01697494 ++lkt2 = 4.6438207e-009 ++wkt2 = 2.0717382e-009 ++pkt2 = -2.461225e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5970528e-018 ++lub1 = -5.5180911e-025 ++wub1 = -2.928102e-026 ++pub1 = 2.9245883e-031 ++uc1 = -4.2459e-011 ++luc1 = -4.9350708e-017 ++wuc1 = -8.878878e-018 ++puc1 = 1.0548107e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69901486 ++wvth0 = 7.1522743e-009 ++k1 = 1.0069 ++k2 = -0.0272741 ++wk2 = -6.342987e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098945529 ++wu0 = -2.9046801e-010 ++ua = 4.45092e-010 ++wua = -5.110896e-017 ++ub = 1.1763177e-018 ++wub = -2.8784179e-025 ++uc = 7.5061857e-012 ++wuc = -2.2286598e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1010057 ++wa0 = 6.4444971e-008 ++ags = 0.17644486 ++wags = -3.2408743e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019222957 ++walpha0 = -3.5295729e-011 ++alpha1 = 0 ++beta0 = 43.701857 ++wbeta0 = 4.0976571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33400543 ++wkt1 = 2.4176177e-008 ++kt1l = 0 ++kt2 = -0.01651 ++wkt2 = 1.82532e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.74e-011 ++wuc1 = -7.8228e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.68802184 ++lvth0 = -8.201616e-009 ++wvth0 = 4.9240544e-009 ++pvth0 = 7.1339337e-015 ++k1 = 0.99566618 ++lk1 = -3.3094297e-008 ++k2 = -0.0021980746 ++lk2 = -1.6525108e-008 ++wk2 = 4.3873228e-009 ++pk2 = -2.1410135e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011508627 ++lu0 = 1.6714324e-009 ++wu0 = -2.8376654e-009 ++pu0 = -1.4084519e-016 ++ua = 4.0233366e-010 ++lua = 5.8911437e-016 ++wua = -4.7428243e-016 ++pua = 9.6674803e-023 ++ub = 9.3020973e-019 ++lub = 3.1000449e-026 ++wub = 4.1163392e-025 ++pub = -3.109345e-031 ++uc = 1.3317671e-010 ++luc = -6.9634759e-018 ++wuc = -6.9835979e-017 ++puc = 1.671724e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.87540638 ++la0 = -1.3755908e-007 ++wa0 = -7.0979742e-008 ++pa0 = -1.4501221e-015 ++ags = 0.33412543 ++lags = -2.3548078e-009 ++wags = -1.8206792e-007 ++pags = 8.8849145e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.087399697 ++lketa = 1.6503627e-008 ++wketa = -6.7014347e-009 ++pketa = 1.7959845e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32908612 ++lpclm = 4.7230737e-008 ++wpclm = 8.378208e-008 ++ppclm = 7.1672699e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.5254751e-006 ++lalpha0 = -2.1321619e-012 ++walpha0 = 2.4136865e-012 ++palpha0 = -7.8381597e-019 ++alpha1 = 0 ++beta0 = 37.601303 ++lbeta0 = -2.2168591e-006 ++wbeta0 = 1.4835887e-006 ++pbeta0 = -7.2672783e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29666254 ++lkt1 = -7.8484113e-009 ++wkt1 = -3.0126506e-008 ++pkt1 = 4.0086707e-015 ++kt1l = 0 ++kt2 = -0.02066331 ++lkt2 = 2.0360792e-009 ++wkt2 = 9.344692e-009 ++pkt2 = -2.5043775e-015 ++ute = -1 ++ua1 = 1.7983391e-009 ++lua1 = -1.4558948e-016 ++wua1 = -2.0835087e-017 ++pua1 = 1.0167522e-023 ++ub1 = -2.5953331e-018 ++lub1 = -1.2006485e-025 ++wub1 = -1.0700731e-025 ++pub1 = -6.1005134e-032 ++uc1 = -8.5716307e-011 ++luc1 = 8.3755803e-019 ++wuc1 = 1.7214564e-017 ++puc1 = -8.400707e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69065918 ++lvth0 = -6.9145954e-009 ++wvth0 = -8.8655847e-009 ++pvth0 = 1.3863278e-014 ++k1 = 0.976558 ++lk1 = -2.3769504e-008 ++k2 = -0.030611931 ++lk2 = -2.6591455e-009 ++wk2 = 6.1836949e-009 ++pk2 = -3.0176431e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094293534 ++lvoff = -1.7409232e-009 ++wvoff = -3.578168e-008 ++pvoff = 1.746146e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010175439 ++lu0 = 2.3220281e-009 ++wu0 = -4.5913295e-010 ++pu0 = -1.301569e-015 ++ua = 3.3870848e-010 ++lua = 6.2016345e-016 ++wua = -6.2996698e-017 ++pua = -1.0403264e-022 ++ub = 9.6244193e-019 ++lub = 1.5271135e-026 ++wub = 8.8344941e-026 ++pub = -1.5316948e-031 ++uc = -2.6312627e-011 ++luc = 7.086732e-017 ++wuc = 2.4803882e-017 ++puc = -2.9467012e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1722707 ++la0 = -2.8242886e-007 ++wa0 = 1.4099098e-007 ++pa0 = -1.0489184e-013 ++ags = 0.20910427 ++lags = 5.8655514e-008 ++wags = -6.2574519e-009 ++pags = 3.0536365e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0071507123 ++lketa = -2.2657878e-008 ++wketa = 2.1061652e-009 ++pketa = -2.5021242e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37814802 ++lpclm = 2.3288533e-008 ++wpclm = 1.4532708e-007 ++ppclm = -2.9317233e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00025119377 ++lpdiblc2= 2.3704904e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011002657 ++lalpha0 = -5.1176698e-011 ++walpha0 = -5.3214657e-012 ++palpha0 = 2.9909383e-018 ++alpha1 = 0 ++beta0 = 43.086027 ++lbeta0 = -4.8934045e-006 ++wbeta0 = 3.9093553e-009 ++pbeta0 = -4.6443141e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30282331 ++lkt1 = -4.8419541e-009 ++wkt1 = 3.5856399e-008 ++pkt1 = -2.8190987e-014 ++kt1l = 0 ++kt2 = -0.010678274 ++lkt2 = -2.8366187e-009 ++wkt2 = -2.9369032e-009 ++pkt2 = 3.489041e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4652457e-018 ++lub1 = -1.8354751e-025 ++wub1 = -3.9237991e-025 ++pub1 = 7.8256693e-032 ++uc1 = -3.52542e-011 ++luc1 = -2.378795e-017 ++wuc1 = -5.9957334e-017 ++puc1 = 2.9259179e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69275726 ++lvth0 = -4.4220735e-009 ++wvth0 = -3.7851852e-010 ++pvth0 = 3.780643e-015 ++k1 = 1.0136972 ++lk1 = -6.7890933e-008 ++k2 = -0.036022469 ++lk2 = 3.7685735e-009 ++wk2 = 3.995242e-009 ++pk2 = -4.1776105e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098144776 ++lvoff = 2.8343515e-009 ++wvoff = 2.84627e-009 ++pvoff = -2.8428545e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01103441 ++lu0 = 1.3015701e-009 ++wu0 = -1.8930146e-009 ++pu0 = 4.0188235e-016 ++ua = 6.6815328e-010 ++lua = 2.2878304e-016 ++wua = -3.8106455e-016 ++pua = 2.7383197e-022 ++ub = 9.7529642e-019 ++wub = -4.0585597e-026 ++uc = -1.6546655e-011 ++luc = 5.9265346e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1829466 ++la0 = -2.9511192e-007 ++wa0 = -7.1142556e-009 ++pa0 = 7.1057185e-014 ++ags = 0.1669723 ++lags = 1.087083e-007 ++wags = -5.1509361e-009 ++pags = 1.7390958e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068457125 ++lketa = -2.3020218e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34321309 ++lpclm = 6.4791222e-008 ++wpclm = -1.6287652e-008 ++ppclm = 1.6268107e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.88813e-005 ++lpdiblc2= 4.4175626e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002140198 ++lalpha0 = -2.4630203e-009 ++walpha0 = 3.7851852e-013 ++palpha0 = -3.780643e-018 ++alpha1 = 0 ++beta0 = 44.155152 ++lbeta0 = -6.1635247e-006 ++wbeta0 = 6.9375436e-007 ++pbeta0 = -8.2418018e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.946 ++lnoff = 5.39352e-007 ++voffcv = 0.0216 ++lvoffcv = -2.157408e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29670156 ++lkt1 = -1.2114597e-008 ++wkt1 = -2.4581904e-008 ++pkt1 = 4.3609717e-014 ++kt1l = 0 ++kt2 = -0.012755062 ++lkt2 = -3.6939483e-010 ++wkt2 = -3.1187122e-009 ++pkt2 = 3.7050301e-015 ++ute = -1 ++ua1 = 1.3959912e-009 ++lua1 = 1.2356248e-016 ++wua1 = 1.2793085e-016 ++pua1 = -1.5198185e-022 ++ub1 = -2.6566947e-018 ++lub1 = 4.3893906e-026 ++wub1 = 4.4078482e-026 ++pub1 = -4.4025588e-031 ++uc1 = -6.6595019e-011 ++luc1 = 1.3444942e-017 ++wuc1 = 2.0808425e-017 ++puc1 = -6.6690543e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.6932 ++k1 = 1.0069 ++k2 = -0.035645159 ++wk2 = 3.9534157e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011164724 ++wu0 = -1.8527781e-009 ++ua = 6.9105907e-010 ++wua = -3.5364845e-016 ++ub = 9.7529642e-019 ++wub = -4.0585597e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17785619 ++wags = -4.9768176e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538059 ++wbeta0 = 6.1123732e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29791448 ++wkt1 = -2.0215693e-008 ++kt1l = 0 ++kt2 = -0.012792045 ++wkt2 = -2.7477641e-009 ++ute = -1 ++ua1 = 1.4083623e-009 ++wua1 = 1.1271441e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5248909e-011 ++wuc1 = 1.4131358e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.68753091 ++lvth0 = -7.4903564e-009 ++k1 = 0.99566618 ++lk1 = -3.3094297e-008 ++k2 = -0.0017606545 ++lk2 = -1.6738569e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011225709 ++lu0 = 1.65739e-009 ++ua = 3.5504727e-010 ++lua = 5.9875293e-016 ++ub = 9.7125e-019 ++uc = 1.26214e-010 ++luc = -5.296752e-018 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.86832964 ++la0 = -1.3770366e-007 ++ags = 0.31597309 ++lags = 6.5035316e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.088067836 ++lketa = 1.6682688e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33743927 ++lpclm = 4.7302195e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.7661218e-006 ++lalpha0 = -2.2103091e-012 ++alpha1 = 0 ++beta0 = 37.749218 ++lbeta0 = -2.2893145e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29966618 ++lkt1 = -7.4487433e-009 ++kt1l = 0 ++kt2 = -0.019731636 ++lkt2 = 1.7863906e-009 ++ute = -1 ++ua1 = 1.7962618e-009 ++lua1 = -1.4457577e-016 ++ub1 = -2.6060018e-018 ++lub1 = -1.2614711e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69154309 ++lvth0 = -5.5324142e-009 ++k1 = 0.976558 ++lk1 = -2.3769504e-008 ++k2 = -0.029995411 ++lk2 = -2.9600072e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010129663 ++lu0 = 2.1922605e-009 ++ua = 3.3242766e-010 ++lua = 6.097913e-016 ++ub = 9.7125e-019 ++uc = -2.3839657e-011 ++luc = 6.7929433e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1863276 ++la0 = -2.9288667e-007 ++ags = 0.2084804 ++lags = 5.8959965e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0069407257 ++lketa = -2.2907342e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39263726 ++lpclm = 2.0365578e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00025119377 ++lpdiblc2= 2.3704904e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00010949602 ++lalpha0 = -5.0878499e-011 ++alpha1 = 0 ++beta0 = 43.086417 ++lbeta0 = -4.8938676e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2992484 ++lkt1 = -7.6526208e-009 ++kt1l = 0 ++kt2 = -0.010971086 ++lkt2 = -2.4887582e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5043663e-018 ++lub1 = -1.7574525e-025 ++uc1 = -4.1232e-011 ++luc1 = -2.0870784e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.692795 ++lvth0 = -4.04514e-009 ++k1 = 1.0136972 ++lk1 = -6.7890933e-008 ++k2 = -0.03562414 ++lk2 = 3.7269223e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010845675 ++lu0 = 1.3416381e-009 ++ua = 6.301608e-010 ++lua = 2.5608433e-016 ++ub = 9.7125e-019 ++uc = -1.6546655e-011 ++luc = 5.9265346e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1822374 ++la0 = -2.8802745e-007 ++ags = 0.16645875 ++lags = 1.0888169e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068457125 ++lketa = -2.3020217e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.3415892 ++lpclm = 8.101067e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.88813e-005 ++lpdiblc2= 4.4175626e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021402357 ++lalpha0 = -2.4633972e-009 ++alpha1 = 0 ++beta0 = 44.22432 ++lbeta0 = -6.2456962e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.946 ++lnoff = 5.39352e-007 ++voffcv = 0.0216 ++lvoffcv = -2.157408e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2991524 ++lkt1 = -7.7666688e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.408746e-009 ++lua1 = 1.0840975e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.45204e-011 ++luc1 = 6.7958352e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.6932 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + + +.endl pmos_3p3_f +* +* +.lib pmos_3p3_s + + +.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model pmos_3p3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.82463101 ++lvth0 = -8.0025899e-009 ++wvth0 = 3.8459166e-009 ++pvth0 = 2.3657625e-015 ++k1 = 0.87469564 ++lk1 = 3.4485427e-009 ++wk1 = 6.4792254e-008 ++pk1 = -2.1052724e-014 ++k2 = 0.029736614 ++lk2 = -2.6287876e-008 ++wk2 = -2.0504604e-008 ++pk2 = 3.4285051e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094659997 ++lvoff = -1.6389133e-009 ++wvoff = -1.6325113e-009 ++pvoff = 8.358458e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.007549639 ++lu0 = 2.570498e-009 ++wu0 = 6.147434e-010 ++pu0 = -5.2838208e-016 ++ua = -8.9886117e-011 ++lua = 1.092789e-015 ++wua = 1.8563411e-017 ++pua = -1.9251499e-022 ++ub = 6.8226823e-019 ++lub = -1.5325276e-025 ++wub = -4.6603138e-027 ++pub = 1.3608116e-033 ++uc = 8.5033561e-011 ++luc = 8.679411e-018 ++wuc = -1.2200571e-017 ++puc = -4.490963e-024 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.0456883 ++la0 = -2.1845471e-007 ++wa0 = 1.066516e-008 ++pa0 = -2.7472452e-015 ++ags = 0.1800417 ++lags = 1.1100987e-007 ++wags = -2.0850684e-008 ++pags = -1.2124889e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.067725055 ++lketa = 9.1402523e-009 ++wketa = -7.1778231e-009 ++pketa = 3.6750454e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3525051 ++lpclm = 7.6191219e-008 ++wpclm = 2.6980295e-008 ++ppclm = 6.5649197e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1734592e-005 ++lalpha0 = -3.2039603e-012 ++walpha0 = -1.021993e-013 ++palpha0 = 6.4165969e-020 ++alpha1 = 0 ++beta0 = 40.100221 ++lbeta0 = -3.8596059e-006 ++wbeta0 = 1.8790089e-007 ++pbeta0 = 1.1871516e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28327733 ++lkt1 = -1.6892981e-008 ++wkt1 = -1.0656933e-008 ++pkt1 = 1.9472702e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1565682e-009 ++lua1 = 1.7583709e-016 ++wua1 = 1.7515023e-016 ++pua1 = -8.9676916e-023 ++ub1 = -2.0546713e-018 ++lub1 = -7.0652532e-025 ++wub1 = -1.4365289e-025 ++pub1 = 1.5005937e-031 ++uc1 = -2.5583291e-010 ++luc1 = 6.134421e-017 ++wuc1 = 3.9521569e-017 ++puc1 = -1.4109168e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.85684939 ++lvth0 = 8.4932211e-009 ++wvth0 = 5.5796737e-009 ++pvth0 = 1.4780788e-015 ++k1 = 1.0114941 ++lk1 = -6.6592286e-008 ++wk1 = -1.7315587e-008 ++pk1 = 2.0986491e-014 ++k2 = -0.018959971 ++lk2 = -1.3552238e-009 ++wk2 = -2.2571871e-009 ++pk2 = -5.9141722e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12389995 ++lvoff = 1.3331945e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010245409 ++lu0 = 1.1902635e-009 ++wu0 = -2.2946106e-010 ++pu0 = -9.6149399e-017 ++ua = 3.5072904e-010 ++lua = 8.6719409e-016 ++wua = -3.7369482e-017 ++pua = -1.6387735e-022 ++ub = 1.07928e-018 ++lub = -3.5652279e-025 ++wub = -1.8258434e-025 ++pub = 9.2457915e-032 ++uc = -1.5016171e-011 ++luc = 5.9904874e-017 ++wuc = -6.8025157e-018 ++puc = -7.2547674e-024 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1595369 ++la0 = -2.7674521e-007 ++wa0 = 3.6902724e-008 ++pa0 = -1.6180878e-014 ++ags = 0.18927812 ++lags = 1.0628082e-007 ++wags = 1.3597033e-008 ++pags = -2.976212e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0048215378 ++lketa = -2.3066349e-008 ++wketa = -2.09262e-009 ++pketa = 1.0714215e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.26103151 ++lpclm = 1.230257e-007 ++wpclm = 8.0632068e-008 ++ppclm = -2.0904788e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024138051 ++lpdiblc2= 2.5373158e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.659374e-005 ++lalpha0 = -3.6411844e-011 ++walpha0 = 4.5607375e-012 ++palpha0 = -2.3232577e-018 ++alpha1 = 0 ++beta0 = 42.543923 ++lbeta0 = -5.1107814e-006 ++wbeta0 = 2.5801452e-007 ++pbeta0 = 8.2816979e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3049182 ++lkt1 = -5.8128509e-009 ++wkt1 = 2.3842925e-008 ++pkt1 = -1.5716657e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2298632e-018 ++lub1 = -6.1682707e-025 ++wub1 = -3.2919886e-026 ++pub1 = 9.3364074e-032 ++uc1 = -7.4455718e-011 ++luc1 = -3.1520912e-017 ++wuc1 = 5.7861122e-018 ++puc1 = 3.1633858e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84215481 ++lvth0 = -9.3166113e-009 ++wvth0 = 3.453293e-009 ++pvth0 = 4.0552523e-015 ++k1 = 0.95738704 ++lk1 = -1.0144952e-009 ++wk1 = 2.8788238e-008 ++pk1 = -3.4891345e-014 ++k2 = -0.012190361 ++lk2 = -9.5599917e-009 ++wk2 = -1.4159481e-008 ++pk2 = 8.5114085e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097618657 ++lvoff = -1.8520988e-008 ++wvoff = 9.3275798e-010 ++pvoff = -1.1305027e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087662296 ++lu0 = 2.9830294e-009 ++wu0 = 2.0634563e-010 ++pu0 = -6.2434711e-016 ++ua = 3.9320136e-010 ++lua = 8.1571763e-016 ++wua = -4.9350043e-017 ++pua = -1.4935691e-022 ++ub = 8.4309868e-019 ++lub = -7.0271026e-026 ++wub = -1.0306741e-025 ++pub = -3.9166112e-033 ++uc = -4.4040887e-011 ++luc = 9.508283e-017 ++wuc = 1.7612973e-018 ++puc = -1.7634109e-023 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.2627338 ++la0 = -4.0181986e-007 ++wa0 = -3.2437753e-009 ++pa0 = 3.2476679e-014 ++ags = 0.15723172 ++lags = 1.4512107e-007 ++wags = 6.9911181e-010 ++pags = -1.4129839e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.00041211629 ++lketa = -2.8410567e-008 ++wketa = -3.2573878e-009 ++pketa = 2.48312e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32178284 ++lpclm = 4.939508e-008 ++wpclm = 4.6063177e-009 ++ppclm = 7.1238421e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.7987791e-005 ++lpdiblc2= 4.5176356e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020630943 ++lalpha0 = -2.4440505e-009 ++walpha0 = 2.0941071e-011 ++palpha0 = -2.2176222e-017 ++alpha1 = 0 ++beta0 = 44.489973 ++lbeta0 = -7.4693929e-006 ++wbeta0 = 3.7925089e-007 ++pbeta0 = -6.4121511e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9449091 ++lnoff = 5.5157018e-007 ++voffcv = 0.022036364 ++lvoffcv = -2.2062807e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26928515 ++lkt1 = -4.9000116e-008 ++wkt1 = -9.743475e-009 ++pkt1 = 2.4990059e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.00524e-018 ++lub1 = 3.2292957e-025 ++wub1 = 1.7999938e-025 ++pub1 = -1.6469408e-031 ++uc1 = -3.6473795e-011 ++luc1 = -7.7555003e-017 ++wuc1 = -1.1565903e-017 ++puc1 = 2.4194028e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84308536 ++wvth0 = 3.8583321e-009 ++k1 = 0.95728571 ++wk1 = 2.5303286e-008 ++k2 = -0.013145214 ++wk2 = -1.3309361e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099468536 ++wvoff = 8.1984321e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.009064175 ++wu0 = 1.4398575e-010 ++ua = 4.7467536e-010 ++wua = -6.4267832e-017 ++ub = 8.3608e-019 ++wub = -1.034586e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.2226 ++ags = 0.17172643 ++wags = -7.1217857e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0032497679 ++wketa = -3.0093734e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32671643 ++wpclm = 1.1721621e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018189821 ++walpha0 = 1.8726107e-011 ++alpha1 = 0 ++beta0 = 43.743929 ++wbeta0 = 3.7284643e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27417929 ++wkt1 = -7.2474643e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9729857e-018 ++wub1 = 1.6354971e-025 ++uc1 = -4.422e-011 ++wuc1 = -9.1494e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84559037 ++lvth0 = -2.2948864e-009 ++wvth0 = 1.4535189e-008 ++pvth0 = -5.4516634e-016 ++k1 = 1.0017393 ++lk1 = -3.7831308e-008 ++k2 = 0.015787252 ++lk2 = -2.1838311e-008 ++wk2 = -1.3390429e-008 ++pk2 = 1.1592273e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090330668 ++lu0 = 1.9585121e-009 ++wu0 = -1.4180477e-010 ++pu0 = -2.1626928e-016 ++ua = -1.4390099e-010 ++lua = 7.9824069e-016 ++wua = 4.6110994e-017 ++pua = -4.2295322e-023 ++ub = 1.7663512e-018 ++lub = -3.2854766e-025 ++wub = -5.5754264e-025 ++pub = 9.0761209e-032 ++uc = 8.5435958e-011 ++luc = 1.3188534e-017 ++wuc = -1.2405794e-017 ++puc = -6.7906158e-024 ++eu = 1.67 ++vsat = 84000 ++a0 = 0.68036585 ++la0 = -1.1106099e-007 ++wa0 = 1.969796e-007 ++pa0 = -5.7518044e-014 ++ags = 0.19813874 ++lags = 7.4525608e-008 ++wags = -3.0080179e-008 ++pags = 6.4820876e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10659611 ++lketa = 2.3586916e-008 ++wketa = 1.2646415e-008 ++pketa = -3.6927531e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37605618 ++lpclm = 2.9589851e-008 ++wpclm = 1.4969243e-008 ++ppclm = 3.0331617e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.233251e-005 ++lalpha0 = -3.2296894e-012 ++walpha0 = -4.0713741e-013 ++palpha0 = 7.7287812e-020 ++alpha1 = 0 ++beta0 = 38.487806 ++lbeta0 = -2.9055811e-006 ++wbeta0 = 1.0102328e-006 ++pbeta0 = -3.6783751e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33207273 ++lkt1 = 4.5744341e-010 ++wkt1 = 1.4228723e-008 ++pkt1 = -6.9014464e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 2.02998e-009 ++lua1 = -2.7134976e-016 ++wua1 = -2.702898e-016 ++pua1 = 1.3838838e-022 ++ub1 = -2.8805891e-018 ++lub1 = -3.486841e-026 ++wub1 = 2.7756517e-025 ++pub1 = -1.9248565e-031 ++uc1 = 7.8595636e-012 ++luc1 = -3.6378401e-017 ++wuc1 = -9.4961592e-017 ++puc1 = 3.5729363e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84960533 ++lvth0 = -2.3922604e-010 ++wvth0 = 1.8852027e-009 ++pvth0 = 5.9316269e-015 ++k1 = 0.977542 ++lk1 = -2.5442304e-008 ++k2 = -0.026820609 ++lk2 = -2.308677e-011 ++wk2 = 1.7517378e-009 ++pk2 = -6.593562e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12389995 ++lvoff = 1.3331945e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097165838 ++lu0 = 1.6085514e-009 ++wu0 = 4.0239958e-011 ++pu0 = -3.0947618e-016 ++ua = 2.5647799e-010 ++lua = 5.9324665e-016 ++wua = 1.0698549e-017 ++pua = -2.416415e-023 ++ub = 1.270125e-018 ++lub = -7.4479844e-026 ++wub = -2.799153e-025 ++pub = -5.1383988e-032 ++uc = 6.6760848e-012 ++luc = 5.351359e-017 ++wuc = -1.7865566e-017 ++puc = -3.9952125e-024 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.3532832 ++la0 = -4.5559467e-007 ++wa0 = -6.1907875e-008 ++pa0 = 7.5032345e-014 ++ags = 0.19095588 ++lags = 7.8203234e-008 ++wags = 1.2741377e-008 ++pags = -1.5442549e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0011314055 ++lketa = -3.0411013e-008 ++wketa = -3.9745875e-009 ++pketa = 4.8172e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55256055 ++lpclm = -6.0780385e-008 ++wpclm = -6.8047743e-008 ++ppclm = 7.2836314e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024138051 ++lpdiblc2= 2.5373158e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00012387909 ++lalpha0 = -6.0341539e-011 ++walpha0 = -1.9554792e-011 ++palpha0 = 9.8808868e-018 ++alpha1 = 0 ++beta0 = 43.468326 ++lbeta0 = -5.4556076e-006 ++wbeta0 = -2.1343096e-007 ++pbeta0 = 2.5867832e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28298126 ++lkt1 = -2.4677389e-008 ++wkt1 = 1.2655084e-008 ++pkt1 = -6.095743e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.1325568e-018 ++lub1 = 9.4139058e-026 ++wub1 = 4.2745384e-025 ++pub1 = -2.6922865e-031 ++uc1 = -9.9219566e-011 ++luc1 = 1.8446114e-017 ++wuc1 = 1.8415675e-017 ++puc1 = -2.2319798e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8486567 ++lvth0 = -1.3889719e-009 ++wvth0 = 6.7692544e-009 ++pvth0 = 1.2156213e-017 ++k1 = 1.0138346 ++lk1 = -6.9428897e-008 ++k2 = -0.027555336 ++lk2 = 8.6740321e-010 ++wk2 = -6.323344e-009 ++pk2 = 3.1934371e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095789719 ++lvoff = -2.073766e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097261353 ++lu0 = 1.5969749e-009 ++wu0 = -2.832063e-010 ++pu0 = 8.2540685e-017 ++ua = 4.0187094e-010 ++lua = 4.1703039e-016 ++wua = -5.3771529e-017 ++pua = 5.3973585e-023 ++ub = 1.1618144e-018 ++lub = 5.6792621e-026 ++wub = -2.6561243e-025 ++pub = -6.8719071e-032 ++uc = 7.6151064e-013 ++luc = 6.0682053e-017 ++wuc = -2.1087925e-017 ++puc = -8.9712851e-026 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1202818 ++la0 = -1.7319698e-007 ++wa0 = 6.940676e-008 ++pa0 = -8.4120993e-014 ++ags = 0.16544644 ++lags = 1.0912068e-007 ++wags = -3.4903977e-009 ++pags = 4.2303621e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0067991511 ++lketa = -2.3541705e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.32866743 ++lpclm = 2.1057807e-007 ++wpclm = 1.0951762e-009 ++ppclm = -1.0964904e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.7987791e-005 ++lpdiblc2= 4.5176356e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021756117 ++lalpha0 = -2.5470415e-009 ++walpha0 = -3.644284e-011 ++palpha0 = 3.0349201e-017 ++alpha1 = 0 ++beta0 = 44.368279 ++lbeta0 = -6.5463501e-006 ++wbeta0 = 4.4131466e-007 ++pbeta0 = -5.3487336e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9449091 ++lnoff = 5.5157018e-007 ++voffcv = 0.022036364 ++lvoffcv = -2.2062807e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33738473 ++lkt1 = 4.1259616e-008 ++wkt1 = 2.4987313e-008 ++pkt1 = -2.1042404e-014 ++kt1l = 0 ++kt2 = -0.01692062 ++lkt2 = 4.6717994e-009 ++wkt2 = 1.9658562e-009 ++pkt2 = -2.3826177e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5968532e-018 ++lub1 = -5.5513372e-025 ++wub1 = -2.8277886e-026 ++pub1 = 2.831182e-031 ++uc1 = -4.2632236e-011 ++luc1 = -5.013773e-017 ++wuc1 = -8.425098e-018 ++puc1 = 1.0211219e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84879543 ++wvth0 = 6.7704686e-009 ++k1 = 1.0069 ++k2 = -0.0274687 ++wk2 = -6.004383e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098856414 ++wu0 = -2.7496213e-010 ++ua = 4.43524e-010 ++wua = -4.838064e-017 ++ub = 1.1674869e-018 ++wub = -2.724761e-025 ++uc = 6.8224429e-012 ++wuc = -2.1096886e-017 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1029829 ++wa0 = 6.1004743e-008 ++ags = 0.17634543 ++wags = -3.0678686e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019212129 ++walpha0 = -3.3411557e-011 ++alpha1 = 0 ++beta0 = 43.714429 ++wbeta0 = 3.8789143e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33326371 ++wkt1 = 2.2885594e-008 ++kt1l = 0 ++kt2 = -0.016454 ++wkt2 = 1.72788e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.764e-011 ++wuc1 = -7.4052e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83651091 ++lvth0 = -9.3623482e-009 ++wvth0 = 3.5490455e-009 ++pvth0 = 8.0064625e-015 ++k1 = 1.0017393 ++lk1 = -3.7831308e-008 ++k2 = 0.00084217568 ++lk2 = -1.8894426e-008 ++wk2 = 4.6931134e-009 ++pk2 = -2.4028741e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011197346 ++lu0 = 1.9104148e-009 ++wu0 = -2.7605828e-009 ++pu0 = -1.5807151e-016 ++ua = 2.9342841e-010 ++lua = 6.7361745e-016 ++wua = -4.8305758e-016 ++pua = 1.0849879e-022 ++ub = 9.252807e-019 ++lub = 3.486153e-026 ++wub = 4.6015269e-025 ++pub = -3.4896391e-031 ++uc = 1.3433638e-010 ++luc = -7.929225e-018 ++wuc = -7.1575304e-017 ++puc = 1.8761873e-023 ++eu = 1.67 ++vsat = 84000 ++a0 = 0.90053503 ++la0 = -1.5725154e-007 ++wa0 = -6.9425106e-008 ++pa0 = -1.6274819e-015 ++ags = 0.33423596 ++lags = -2.5272122e-009 ++wags = -1.9475781e-007 ++pags = 9.9716e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.090439654 ++lketa = 1.8869231e-008 ++wketa = -6.902896e-009 ++pketa = 2.0156456e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32055448 ++lpclm = 5.399252e-008 ++wpclm = 8.2126294e-008 ++ppclm = 8.0438758e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.9208915e-006 ++lalpha0 = -2.4388052e-012 ++walpha0 = 2.5109209e-012 ++palpha0 = -8.79682e-019 ++alpha1 = 0 ++beta0 = 38.010737 ++lbeta0 = -2.5355199e-006 ++wbeta0 = 1.5874859e-006 ++pbeta0 = -8.156116e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29527232 ++lkt1 = -8.9643788e-009 ++wkt1 = -3.029977e-008 ++pkt1 = 4.4989585e-015 ++kt1l = 0 ++kt2 = -0.021021054 ++lkt2 = 2.3228759e-009 ++wkt2 = 9.625616e-009 ++pkt2 = -2.8106799e-015 ++ute = -1 ++ua1 = 1.8250192e-009 ++lua1 = -1.6640984e-016 ++wua1 = -2.2287265e-017 ++pua1 = 1.141108e-023 ++ub1 = -2.5734555e-018 ++lub1 = -1.373636e-025 ++wub1 = -9.4066473e-026 ++pub1 = -6.8466478e-032 ++uc1 = -8.58396e-011 ++luc1 = 9.418752e-019 ++wuc1 = 1.8414396e-017 ++puc1 = -9.4281708e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84038823 ++lvth0 = -7.3771601e-009 ++wvth0 = -9.2674868e-009 ++pvth0 = 1.4568527e-014 ++k1 = 0.977542 ++lk1 = -2.5442304e-008 ++k2 = -0.030491622 ++lk2 = -2.8515216e-009 ++wk2 = 6.1936639e-009 ++pk2 = -3.1711559e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094280644 ++lvoff = -1.8331424e-009 ++wvoff = -3.5839366e-008 ++pvoff = 1.8349755e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010078656 ++lu0 = 2.4831844e-009 ++wu0 = -3.9786675e-010 ++pu0 = -1.3677821e-015 ++ua = 3.1294007e-010 ++lua = 6.6362748e-016 ++wua = -5.7620563e-017 ++pua = -1.0932496e-022 ++ub = 9.6196324e-019 ++lub = 1.6080068e-026 ++wub = 9.2960446e-026 ++pub = -1.6096148e-031 ++uc = -2.9204174e-011 ++luc = 7.5803538e-017 ++wuc = 2.5549547e-017 ++puc = -3.0966051e-023 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1841981 ++la0 = -3.0248705e-007 ++wa0 = 1.4268502e-007 ++pa0 = -1.1022787e-013 ++ags = 0.20666573 ++lags = 6.2788747e-008 ++wags = -6.2675398e-009 ++pags = 3.2089804e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0062091486 ++lketa = -2.4256788e-008 ++wketa = 2.1694816e-009 ++pketa = -2.6294117e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37742149 ++lpclm = 2.4876612e-008 ++wpclm = 1.4387051e-007 ++ppclm = -3.0808652e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024138051 ++lpdiblc2= 2.5373158e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011213634 ++lalpha0 = -5.4773114e-011 ++walpha0 = -5.3460607e-012 ++palpha0 = 3.1430926e-018 ++alpha1 = 0 ++beta0 = 43.288609 ++lbeta0 = -5.2377903e-006 ++wbeta0 = 4.02688e-009 ++pbeta0 = -4.8805786e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30256285 ++lkt1 = -5.2316292e-009 ++wkt1 = 3.6348805e-008 ++pkt1 = -2.9625112e-014 ++kt1l = 0 ++kt2 = -0.01056584 ++lkt2 = -3.0301939e-009 ++wkt2 = -3.0251936e-009 ++pkt2 = 3.6665346e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4582886e-018 ++lub1 = -1.9632904e-025 ++wub1 = -3.8841067e-025 ++pub1 = 8.2237749e-032 ++uc1 = -3.43686e-011 ++luc1 = -2.5411277e-017 ++wuc1 = -6.0053994e-017 ++puc1 = 3.0747645e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84274894 ++lvth0 = -4.5159809e-009 ++wvth0 = -3.7912875e-010 ++pvth0 = 3.795837e-015 ++k1 = 1.0138346 ++lk1 = -6.9428897e-008 ++k2 = -0.036023613 ++lk2 = 3.853252e-009 ++wk2 = 3.9232712e-009 ++pk2 = -4.1943999e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098145801 ++lvoff = 2.8514282e-009 ++wvoff = 2.8508586e-009 ++pvoff = -2.8542797e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011028707 ++lu0 = 1.3317214e-009 ++wu0 = -1.8593186e-009 ++pu0 = 4.0349748e-016 ++ua = 6.6707187e-010 ++lua = 2.3441974e-016 ++wua = -3.7466465e-016 ++pua = 2.7493248e-022 ++ub = 9.7523062e-019 ++wub = -3.9846056e-026 ++uc = -1.6666527e-011 ++luc = 6.0607911e-017 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1835318 ++la0 = -3.0167941e-007 ++wa0 = -7.1257249e-009 ++pa0 = 7.1342757e-014 ++ags = 0.16674407 ++lags = 1.111738e-007 ++wags = -5.0605305e-009 ++pags = 1.746085e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0067991511 ++lketa = -2.3541705e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34305511 ++lpclm = 6.6528672e-008 ++wpclm = -1.631391e-008 ++ppclm = 1.6333487e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.7987791e-005 ++lpdiblc2= 4.5176356e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021451803 ++lalpha0 = -2.5188225e-009 ++walpha0 = 3.7912875e-013 ++palpha0 = -3.795837e-018 ++alpha1 = 0 ++beta0 = 44.168746 ++lbeta0 = -6.3045161e-006 ++wbeta0 = 6.8274957e-007 ++pbeta0 = -8.2749248e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9449091 ++lnoff = 5.5157018e-007 ++voffcv = 0.022036364 ++lvoffcv = -2.2062807e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29671705 ++lkt1 = -1.2316734e-008 ++wkt1 = -2.4220578e-008 ++pkt1 = 4.378498e-014 ++kt1l = 0 ++kt2 = -0.012759382 ++lkt2 = -3.7162041e-010 ++wkt2 = -3.0692412e-009 ++pkt2 = 3.7199203e-015 ++ute = -1 ++ua1 = 1.3959491e-009 ++lua1 = 1.2610963e-016 ++wua1 = 1.2590153e-016 ++pua1 = -1.5259265e-022 ++ub1 = -2.6567105e-018 ++lub1 = 4.4158364e-026 ++wub1 = 4.4149543e-026 ++pub1 = -4.4202522e-031 ++uc1 = -6.658826e-011 ++luc1 = 1.3638952e-017 ++wuc1 = 2.0561691e-017 ++puc1 = -6.6958565e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8432 ++k1 = 1.0069 ++k2 = -0.03563875 ++wk2 = 3.8813775e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01116172 ++wu0 = -1.8190172e-009 ++ua = 6.9048575e-010 ++wua = -3.4720436e-016 ++ub = 9.7523062e-019 ++wub = -3.9846056e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1534 ++ags = 0.17784813 ++wags = -4.8861312e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.53905 ++wbeta0 = 6.000995e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29794725 ++wkt1 = -1.9847327e-008 ++kt1l = 0 ++kt2 = -0.0127965 ++wkt2 = -2.697695e-009 ++ute = -1 ++ua1 = 1.408545e-009 ++wua1 = 1.1066055e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5226e-011 ++wuc1 = 1.387386e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83615636 ++lvth0 = -8.5625018e-009 ++k1 = 1.0017393 ++lk1 = -3.7831308e-008 ++k2 = 0.0013110182 ++lk2 = -1.9134473e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010921564 ++lu0 = 1.8946234e-009 ++ua = 2.4517091e-010 ++lua = 6.844565e-016 ++ub = 9.7125e-019 ++uc = 1.27186e-010 ++luc = -6.054912e-018 ++eu = 1.67 ++vsat = 84000 ++a0 = 0.89359946 ++la0 = -1.5741412e-007 ++ags = 0.31477964 ++lags = 7.4344262e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.091129254 ++lketa = 1.9070594e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.32875891 ++lpclm = 5.4072878e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.0171733e-005 ++lalpha0 = -2.5266856e-012 ++alpha1 = 0 ++beta0 = 38.169327 ++lbeta0 = -2.6169996e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29829927 ++lkt1 = -8.5149324e-009 ++kt1l = 0 ++kt2 = -0.020059454 ++lkt2 = 2.0420887e-009 ++ute = -1 ++ua1 = 1.8227927e-009 ++lua1 = -1.6526988e-016 ++ub1 = -2.5828527e-018 ++lub1 = -1.442034e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84131406 ++lvth0 = -5.9217627e-009 ++k1 = 0.977542 ++lk1 = -2.5442304e-008 ++k2 = -0.029872874 ++lk2 = -3.1683204e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010038909 ++lu0 = 2.3465428e-009 ++ua = 3.0718377e-010 ++lua = 6.5270591e-016 ++ub = 9.7125e-019 ++uc = -2.6651771e-011 ++luc = 7.2710027e-017 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1984524 ++la0 = -3.1349883e-007 ++ags = 0.2060396 ++lags = 6.3109325e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0059924171 ++lketa = -2.4519466e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39179417 ++lpclm = 2.1798824e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024138051 ++lpdiblc2= 2.5373158e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011160227 ++lalpha0 = -5.4459119e-011 ++alpha1 = 0 ++beta0 = 43.289011 ++lbeta0 = -5.2382779e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2989316 ++lkt1 = -8.1911808e-009 ++kt1l = 0 ++kt2 = -0.010868057 ++lkt2 = -2.6639067e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4970909e-018 ++lub1 = -1.8811348e-025 ++uc1 = -4.0368e-011 ++luc1 = -2.2339584e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84278682 ++lvth0 = -4.1367764e-009 ++k1 = 1.0138346 ++lk1 = -6.9428897e-008 ++k2 = -0.035631678 ++lk2 = 3.81135e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010842961 ++lu0 = 1.3720308e-009 ++ua = 6.2964284e-010 ++lua = 2.6188552e-016 ++ub = 9.7125e-019 ++uc = -1.6666527e-011 ++luc = 6.0607911e-017 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1828199 ++la0 = -2.9455227e-007 ++ags = 0.16623852 ++lags = 1.1134823e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0067991511 ++lketa = -2.3541705e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34142534 ++lpclm = 8.2845841e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.7987791e-005 ++lpdiblc2= 4.5176356e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021452182 ++lalpha0 = -2.5192017e-009 ++alpha1 = 0 ++beta0 = 44.236953 ++lbeta0 = -6.3871827e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9449091 ++lnoff = 5.5157018e-007 ++voffcv = 0.022036364 ++lvoffcv = -2.2062807e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29913669 ++lkt1 = -7.9426106e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4085267e-009 ++lua1 = 1.1086561e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4534145e-011 ++luc1 = 6.9497843e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8432 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=225 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + + +.endl pmos_3p3_s +* +* +.lib pmos_3p3_fs + + +.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model pmos_3p3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.81501176 ++lvth0 = -7.9299856e-009 ++wvth0 = 4.0333546e-009 ++pvth0 = 2.388645e-015 ++k1 = 0.87205009 ++lk1 = 4.25291e-009 ++wk1 = 6.6268653e-008 ++pk1 = -2.1256353e-014 ++k2 = 0.029903393 ++lk2 = -2.5868222e-008 ++wk2 = -2.1061108e-008 ++pk2 = 3.4616668e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094635213 ++lvoff = -1.6386998e-009 ++wvoff = -1.6612803e-009 ++pvoff = 8.439304e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0075928117 ++lu0 = 2.5375194e-009 ++wu0 = 6.1967943e-010 ++pu0 = -5.3349279e-016 ++ua = -6.122012e-011 ++lua = 1.0774293e-015 ++wua = 1.383858e-017 ++pua = -1.9437706e-022 ++ub = 6.7836503e-019 ++lub = -1.5002929e-025 ++wub = -4.7707426e-027 ++pub = 1.3739739e-033 ++uc = 8.579096e-011 ++luc = 8.6809692e-018 ++wuc = -1.2711987e-017 ++puc = -4.5344012e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.039392 ++la0 = -2.1366429e-007 ++wa0 = 1.0928007e-008 ++pa0 = -2.7738176e-015 ++ags = 0.18391438 ++lags = 1.0913958e-007 ++wags = -2.1847527e-008 ++pags = -1.2242165e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.067178738 ++lketa = 8.7913147e-009 ++wketa = -7.3043146e-009 ++pketa = 3.7105918e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35338606 ++lpclm = 7.4286569e-008 ++wpclm = 2.8018309e-008 ++ppclm = 6.6284179e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1653153e-005 ++lalpha0 = -3.1380589e-012 ++walpha0 = -1.0367347e-013 ++palpha0 = 6.4786605e-020 ++alpha1 = 0 ++beta0 = 39.988812 ++lbeta0 = -3.7819465e-006 ++wbeta0 = 1.9714501e-007 ++pbeta0 = 1.1986341e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28327726 ++lkt1 = -1.6612629e-008 ++wkt1 = -1.0941603e-008 ++pkt1 = 1.9661049e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1539091e-009 ++lua1 = 1.7581418e-016 ++wua1 = 1.7823682e-016 ++pua1 = -9.0544304e-023 ++ub1 = -2.0676223e-018 ++lub1 = -6.9766226e-025 ++wub1 = -1.440724e-025 ++pub1 = 1.515108e-031 ++uc1 = -2.5586018e-010 ++luc1 = 6.0619732e-017 ++wuc1 = 4.0387143e-017 ++puc1 = -1.4245637e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84703289 ++lvth0 = 8.3367507e-009 ++wvth0 = 5.7666931e-009 ++pvth0 = 1.508109e-015 ++k1 = 1.0117972 ++lk1 = -6.6738621e-008 ++wk1 = -1.772589e-008 ++pk1 = 2.1412875e-014 ++k2 = -0.018870868 ++lk2 = -1.0908973e-009 ++wk2 = -2.3681999e-009 ++pk2 = -6.0343307e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12381402 ++lvoff = 1.3184133e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010262889 ++lu0 = 1.18112e-009 ++wu0 = -2.3738733e-010 ++pu0 = -9.8102871e-017 ++ua = 3.5795686e-010 ++lua = 8.6448742e-016 ++wua = -3.9646086e-017 ++pua = -1.6720685e-022 ++ub = 1.0847393e-018 ++lub = -3.564674e-025 ++wub = -1.8776762e-025 ++pub = 9.4336388e-032 ++uc = -1.4338071e-011 ++luc = 5.9546517e-017 ++wuc = -7.0667866e-018 ++puc = -7.4021628e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1561845 ++la0 = -2.7299485e-007 ++wa0 = 3.7967e-008 ++pa0 = -1.6509626e-014 ++ags = 0.1893918 ++lags = 1.0635705e-007 ++wags = 1.3830885e-008 ++pags = -3.0366798e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0048813173 ++lketa = -2.2855775e-008 ++wketa = -2.151948e-009 ++pketa = 1.0931896e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25839327 ++lpclm = 1.2254291e-007 ++wpclm = 8.3053604e-008 ++ppclm = -2.1329512e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024301606 ++lpdiblc2= 2.5091844e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.6165264e-005 ++lalpha0 = -3.5910211e-011 ++walpha0 = 4.6901179e-012 ++palpha0 = -2.3704594e-018 ++alpha1 = 0 ++beta0 = 42.499959 ++lbeta0 = -5.0576089e-006 ++wbeta0 = 2.6675886e-007 ++pbeta0 = 8.4499576e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30596768 ++lkt1 = -5.0858969e-009 ++wkt1 = 2.4495559e-008 ++pkt1 = -1.6035973e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2324617e-018 ++lub1 = -6.1392387e-025 ++wub1 = -3.3344363e-026 ++pub1 = 9.5260957e-032 ++uc1 = -7.4906407e-011 ++luc1 = -3.1304785e-017 ++wuc1 = 5.9908956e-018 ++puc1 = 3.2276564e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83230514 ++lvth0 = -9.4543724e-009 ++wvth0 = 3.5643356e-009 ++pvth0 = 4.1685569e-015 ++k1 = 0.95616008 ++lk1 = 4.7102587e-010 ++wk1 = 2.9690576e-008 ++pk1 = -3.5866216e-014 ++k2 = -0.011590073 ++lk2 = -9.886097e-009 ++wk2 = -1.4606238e-008 ++pk2 = 8.7492189e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097664506 ++lvoff = -1.8404477e-008 ++wvoff = 9.6199433e-010 ++pvoff = -1.1620891e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087584261 ++lu0 = 2.9985114e-009 ++wu0 = 2.1268603e-010 ++pu0 = -6.4179149e-016 ++ua = 3.9557594e-010 ++lua = 8.1904358e-016 ++wua = -5.0968009e-017 ++pua = -1.5352997e-022 ++ub = 8.474689e-019 ++lub = -6.9844795e-026 ++wub = -1.0634177e-025 ++pub = -4.0260421e-033 ++uc = -4.4084373e-011 ++luc = 9.548005e-017 ++wuc = 1.8112319e-018 ++puc = -1.8126809e-023 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.2627392 ++la0 = -4.0171294e-007 ++wa0 = -3.3357398e-009 ++pa0 = 3.3384084e-014 ++ags = 0.15724995 ++lags = 1.451844e-007 ++wags = 7.1650729e-010 ++pags = -1.452463e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.00028266778 ++lketa = -2.8410943e-008 ++wketa = -3.3599854e-009 ++pketa = 2.5524988e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32160177 ++lpclm = 4.6187031e-008 ++wpclm = 4.7768253e-009 ++ppclm = 7.3228837e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8136709e-005 ++lpdiblc2= 4.500927e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020613963 ++lalpha0 = -2.4340693e-009 ++walpha0 = 2.1598538e-011 ++palpha0 = -2.279583e-017 ++alpha1 = 0 ++beta0 = 44.471345 ++lbeta0 = -7.439044e-006 ++wbeta0 = 3.9127265e-007 ++pbeta0 = -6.5913079e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9450909 ++lnoff = 5.4953018e-007 ++voffcv = 0.021963636 ++lvoffcv = -2.1981207e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26888633 ++lkt1 = -4.9880168e-008 ++wkt1 = -1.0044391e-008 ++pkt1 = 2.5688286e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0128038e-018 ++lub1 = 3.2872945e-025 ++wub1 = 1.8565946e-025 ++pub1 = -1.6929566e-031 ++uc1 = -3.6006695e-011 ++luc1 = -7.8295637e-017 ++wuc1 = -1.1924963e-017 ++puc1 = 2.4870014e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83324982 ++wvth0 = 3.980858e-009 ++k1 = 0.95620714 ++wk1 = 2.6106821e-008 ++k2 = -0.012577893 ++wk2 = -1.3732015e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099503482 ++wvoff = 8.458783e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090580375 ++wu0 = 1.4855819e-010 ++ua = 4.7741482e-010 ++wua = -6.6308733e-017 ++ub = 8.4049e-019 ++wub = -1.0674405e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.2226 ++ags = 0.17175679 ++wags = -7.3479464e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0031214911 ++wketa = -3.1049396e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32621679 ++wpclm = 1.2093855e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018181839 ++walpha0 = 1.9320777e-011 ++alpha1 = 0 ++beta0 = 43.728036 ++wbeta0 = 3.8468661e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27387036 ++wkt1 = -7.4776161e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9799571e-018 ++wub1 = 1.6874343e-025 ++uc1 = -4.383e-011 ++wuc1 = -9.43995e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83576942 ++lvth0 = -2.2414438e-009 ++wvth0 = 1.4723553e-008 ++pvth0 = -5.4095405e-016 ++k1 = 1.0007271 ++lk1 = -3.7021562e-008 ++k2 = 0.015311208 ++lk2 = -2.1380073e-008 ++wk2 = -1.3546134e-008 ++pk2 = 1.1502704e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090866629 ++lu0 = 1.9183067e-009 ++wu0 = -1.4965392e-010 ++pu0 = -2.1459826e-016 ++ua = -1.2290839e-010 ++lua = 7.8149042e-016 ++wua = 4.5608039e-017 ++pua = -4.1968523e-023 ++ub = 1.7620586e-018 ++lub = -3.2223504e-025 ++wub = -5.6287295e-025 ++pub = 9.0059933e-032 ++uc = 8.5890808e-011 ++luc = 1.2960088e-017 ++wuc = -1.2763408e-017 ++puc = -6.7381475e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.67581086 ++la0 = -1.0822777e-007 ++wa0 = 1.9817231e-007 ++pa0 = -5.7073625e-014 ++ags = 0.200375 ++lags = 7.2879057e-008 ++wags = -3.0324746e-008 ++pags = 6.4320031e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.1060667 ++lketa = 2.3111339e-008 ++wketa = 1.2722988e-008 ++pketa = -3.6642206e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37672 ++lpclm = 2.8716008e-008 ++wpclm = 1.6001332e-008 ++ppclm = 3.0097257e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.2249381e-005 ++lalpha0 = -3.1611736e-012 ++walpha0 = -4.1073109e-013 ++palpha0 = 7.669064e-020 ++alpha1 = 0 ++beta0 = 38.401961 ++lbeta0 = -2.8404732e-006 ++wbeta0 = 1.0143734e-006 ++pbeta0 = -3.6499537e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33217428 ++lkt1 = 5.0237373e-010 ++wkt1 = 1.4240363e-008 ++pkt1 = -6.8481216e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 2.02488e-009 ++lua1 = -2.6663904e-016 ++wua1 = -2.703132e-016 ++pua1 = 1.3731911e-022 ++ub1 = -2.8837292e-018 ++lub1 = -3.2595866e-026 ++wub1 = 2.7622264e-025 ++pub1 = -1.9099839e-031 ++uc1 = 7.6479273e-012 ++luc1 = -3.5883051e-017 ++wuc1 = -9.5319534e-017 ++puc1 = 3.5453296e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83962246 ++lvth0 = -2.8410138e-010 ++wvth0 = 1.950321e-009 ++pvth0 = 5.9478478e-015 ++k1 = 0.977378 ++lk1 = -2.5160224e-008 ++k2 = -0.026834606 ++lk2 = 3.0000655e-011 ++wk2 = 1.7331254e-009 ++pk2 = -6.6115932e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12381402 ++lvoff = 1.3184133e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097266426 ++lu0 = 1.593197e-009 ++wu0 = 3.8779626e-011 ++pu0 = -3.103225e-016 ++ua = 2.6021662e-010 ++lua = 5.8686291e-016 ++wua = 1.0690141e-017 ++pua = -2.4230231e-023 ++ub = 1.2719156e-018 ++lub = -7.3242364e-026 ++wub = -2.8416343e-025 ++pub = -5.1524505e-032 ++uc = 7.1659939e-012 ++luc = 5.2952294e-017 ++wuc = -1.814138e-017 ++puc = -4.006138e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.3508441 ++la0 = -4.5114467e-007 ++wa0 = -6.2282725e-008 ++pa0 = 7.5237532e-014 ++ags = 0.19135754 ++lags = 7.7459926e-008 ++wags = 1.2818526e-008 ++pags = -1.5484779e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0012954815 ++lketa = -3.0112442e-008 ++wketa = -3.9986535e-009 ++pketa = 4.8303734e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55271631 ++lpclm = -6.0690117e-008 ++wpclm = -6.8522762e-008 ++ppclm = 7.3035497e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024301606 ++lpdiblc2= 2.5091844e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00012364806 ++lalpha0 = -5.9751701e-011 ++walpha0 = -1.9763521e-011 ++palpha0 = 9.9079077e-018 ++alpha1 = 0 ++beta0 = 43.434876 ++lbeta0 = -5.3971937e-006 ++wbeta0 = -2.1472328e-007 ++pbeta0 = 2.5938572e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28324255 ++lkt1 = -2.4354946e-008 ++wkt1 = 1.2792118e-008 ++pkt1 = -6.1124128e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.1353993e-018 ++lub1 = 9.525255e-026 ++wub1 = 4.3166852e-025 ++pub1 = -2.699649e-031 ++uc1 = -9.9248709e-011 ++luc1 = 1.842044e-017 ++wuc1 = 1.8527181e-017 ++puc1 = -2.2380835e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.838712 ++lvth0 = -1.3839329e-009 ++wvth0 = 6.8638701e-009 ++pvth0 = 1.2280528e-017 ++k1 = 1.0138117 ++lk1 = -6.9172112e-008 ++k2 = -0.027503825 ++lk2 = 8.3841624e-010 ++wk2 = -6.4106558e-009 ++pk2 = 3.2260946e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095796556 ++lvoff = -2.0660961e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097289562 ++lu0 = 1.5904022e-009 ++wu0 = -2.8713699e-010 ++pu0 = 8.3384782e-017 ++ua = 4.0244395e-010 ++lua = 4.150523e-016 ++wua = -5.4505036e-017 ++pua = 5.4525543e-023 ++ub = 1.1639854e-018 ++lub = 5.7137303e-026 ++wub = -2.6934777e-025 ++pub = -6.9421823e-032 ++uc = 9.5237707e-013 ++luc = 6.0458343e-017 ++wuc = -2.1382694e-017 ++puc = -9.0630294e-026 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1196626 ++la0 = -1.7187734e-007 ++wa0 = 7.0348718e-008 ++pa0 = -8.4981251e-014 ++ags = 0.16551068 ++lags = 1.0868294e-007 ++wags = -3.5377679e-009 ++pags = 4.2736236e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068069114 ++lketa = -2.3454635e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.328728 ++lpclm = 2.0988775e-007 ++wpclm = 1.1068181e-009 ++ppclm = -1.1077036e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8136709e-005 ++lpdiblc2= 4.500927e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021750673 ++lalpha0 = -2.5378662e-009 ++walpha0 = -3.6942045e-011 ++palpha0 = 3.0659565e-017 ++alpha1 = 0 ++beta0 = 44.362547 ++lbeta0 = -6.5178205e-006 ++wbeta0 = 4.4730399e-007 ++pbeta0 = -5.4034322e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9450909 ++lnoff = 5.4953018e-007 ++voffcv = 0.021963636 ++lvoffcv = -2.1981207e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33757353 ++lkt1 = 4.127688e-008 ++wkt1 = 2.5329519e-008 ++pkt1 = -2.1257593e-014 ++kt1l = 0 ++kt2 = -0.016935002 ++lkt2 = 4.6737542e-009 ++wkt2 = 1.9925359e-009 ++pkt2 = -2.4069834e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5968078e-018 ++lub1 = -5.55366e-025 ++wub1 = -2.8578486e-026 ++pub1 = 2.8601349e-031 ++uc1 = -4.2580527e-011 ++luc1 = -5.0034723e-017 ++wuc1 = -8.5394397e-018 ++puc1 = 1.0315643e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83885029 ++wvth0 = 6.8650971e-009 ++k1 = 1.0069 ++k2 = -0.02742005 ++wk2 = -6.0883043e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098878693 ++wu0 = -2.7880518e-010 ++ua = 4.43916e-010 ++wua = -4.905684e-017 ++ub = 1.1696946e-018 ++wub = -2.762844e-025 ++uc = 6.9933786e-012 ++wuc = -2.139175e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1024886 ++wa0 = 6.1857386e-008 ++ags = 0.17637029 ++wags = -3.1107471e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019214836 ++walpha0 = -3.3878539e-011 ++alpha1 = 0 ++beta0 = 43.711286 ++wbeta0 = 3.9331286e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33344914 ++wkt1 = 2.3205459e-008 ++kt1l = 0 ++kt2 = -0.016468 ++wkt2 = 1.75203e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.758e-011 ++wuc1 = -7.5087e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.82676296 ++lvth0 = -9.1651899e-009 ++wvth0 = 3.7806977e-009 ++pvth0 = 7.8713975e-015 ++k1 = 1.0007271 ++lk1 = -3.7021562e-008 ++k2 = 0.0003347419 ++lk2 = -1.8489037e-008 ++wk2 = 4.6502732e-009 ++pk2 = -2.3623388e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011249601 ++lu0 = 1.8695879e-009 ++wu0 = -2.7776236e-009 ++pu0 = -1.5540493e-016 ++ua = 3.1164935e-010 ++lua = 6.5915544e-016 ++wua = -4.8237961e-016 ++pua = 1.0666847e-022 ++ub = 9.2602732e-019 ++lub = 3.4256322e-026 ++wub = 4.5290511e-025 ++pub = -3.4307707e-031 ++uc = 1.3415357e-010 ++luc = -7.7670863e-018 ++wuc = -7.1402667e-017 ++puc = 1.8445369e-023 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.89635642 ++la0 = -1.5388505e-007 ++wa0 = -6.9790553e-008 ++pa0 = -1.6000271e-015 ++ags = 0.33424764 ++lags = -2.5134022e-009 ++wags = -1.9298e-007 ++pags = 9.8033842e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.089931978 ++lketa = 1.8464538e-008 ++wketa = -6.8807038e-009 ++pketa = 1.9816427e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32196515 ++lpclm = 5.2836533e-008 ++wpclm = 8.2528472e-008 ++ppclm = 7.9081797e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.8546141e-006 ++lalpha0 = -2.3862494e-012 ++walpha0 = 2.4989109e-012 ++palpha0 = -8.6484221e-019 ++alpha1 = 0 ++beta0 = 37.942253 ++lbeta0 = -2.4809199e-006 ++wbeta0 = 1.5729191e-006 ++pbeta0 = -8.0185264e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29549971 ++lkt1 = -8.7743217e-009 ++wkt1 = -3.0319244e-008 ++pkt1 = 4.4230633e-015 ++kt1l = 0 ++kt2 = -0.020962848 ++lkt2 = 2.2742923e-009 ++wkt2 = 9.5946705e-009 ++pkt2 = -2.7632651e-015 ++ute = -1 ++ua1 = 1.820576e-009 ++lua1 = -1.628526e-016 ++wua1 = -2.208382e-017 ++pua1 = 1.121858e-023 ++ub1 = -2.5770911e-018 ++lub1 = -1.3439579e-025 ++wub1 = -9.6342676e-026 ++pub1 = -6.7311483e-032 ++uc1 = -8.5821897e-011 ++luc1 = 9.2552394e-019 ++wuc1 = 1.8246304e-017 ++puc1 = -9.2691223e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.830432 ++lvth0 = -7.3013165e-009 ++wvth0 = -9.2160872e-009 ++pvth0 = 1.4473764e-014 ++k1 = 0.977378 ++lk1 = -2.5160224e-008 ++k2 = -0.030512551 ++lk2 = -2.818612e-009 ++wk2 = 6.2018282e-009 ++pk2 = -3.1505287e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094277714 ++lvoff = -1.8203092e-009 ++wvoff = -3.5886608e-008 ++pvoff = 1.8230397e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01009483 ++lu0 = 2.4562116e-009 ++wu0 = -4.0856791e-010 ++pu0 = -1.3588852e-015 ++ua = 3.1724186e-010 ++lua = 6.5631445e-016 ++wua = -5.8595534e-017 ++pua = -1.0861384e-022 ++ub = 9.6202895e-019 ++lub = 1.5967497e-026 ++wub = 9.2348847e-026 ++pub = -1.5991449e-031 ++uc = -2.8726012e-011 ++luc = 7.4975743e-017 ++wuc = 2.5467408e-017 ++puc = -3.0764628e-023 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1821897 ++la0 = -2.9908837e-007 ++wa0 = 1.4263238e-007 ++pa0 = -1.0951088e-013 ++ags = 0.20707304 ++lags = 6.2091296e-008 ++wags = -6.2758015e-009 ++pags = 3.1881072e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0063663954 ++lketa = -2.3986778e-008 ++wketa = 2.1625069e-009 ++pketa = -2.6123084e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37752254 ++lpclm = 2.4613381e-008 ++wpclm = 1.4433767e-007 ++ppclm = -3.0608253e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024301606 ++lpdiblc2= 2.5091844e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011178547 ++lalpha0 = -5.4167125e-011 ++walpha0 = -5.3504792e-012 ++palpha0 = 3.122648e-018 ++alpha1 = 0 ++beta0 = 43.254845 ++lbeta0 = -5.1797167e-006 ++wbeta0 = 4.013934e-009 ++pbeta0 = -4.8488322e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3026115 ++lkt1 = -5.1615319e-009 ++wkt1 = 3.6325391e-008 ++pkt1 = -2.9432412e-014 ++kt1l = 0 ++kt2 = -0.010584133 ++lkt2 = -2.9980948e-009 ++wkt2 = -3.0154679e-009 ++pkt2 = 3.6426852e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.459394e-018 ++lub1 = -1.941859e-025 ++wub1 = -3.8967792e-025 ++pub1 = 8.1702823e-032 ++uc1 = -3.4507691e-011 ++luc1 = -2.5142093e-017 ++wuc1 = -6.0133156e-017 ++puc1 = 3.0547643e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83275028 ++lvth0 = -4.5008395e-009 ++wvth0 = -3.7962851e-010 ++pvth0 = 3.7993221e-015 ++k1 = 1.0138117 ++lk1 = -6.9172112e-008 ++k2 = -0.036023963 ++lk2 = 3.8391732e-009 ++wk2 = 3.941312e-009 ++pk2 = -4.1982509e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098146034 ++lvoff = 2.8526213e-009 ++wvoff = 2.8546166e-009 ++pvoff = -2.8569002e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011029914 ++lu0 = 1.32663e-009 ++wu0 = -1.8678007e-009 ++pu0 = 4.0386794e-016 ++ua = 6.6730377e-010 ++lua = 2.3343966e-016 ++wua = -3.7630972e-016 ++pua = 2.751849e-022 ++ub = 9.7524707e-019 ++wub = -4.0030695e-026 ++uc = -1.6646548e-011 ++luc = 6.038375e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1834353 ++la0 = -3.0059299e-007 ++wa0 = -7.1351178e-009 ++pa0 = 7.1408259e-014 ++ags = 0.16678281 ++lags = 1.107619e-007 ++wags = -5.0834017e-009 ++pags = 1.7476882e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068069114 ++lketa = -2.3454635e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34308375 ++lpclm = 6.6215436e-008 ++wpclm = -1.6335415e-008 ++ppclm = 1.6348483e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8136709e-005 ++lpdiblc2= 4.500927e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021443499 ++lalpha0 = -2.509505e-009 ++walpha0 = 3.7962851e-013 ++palpha0 = -3.7993221e-018 ++alpha1 = 0 ++beta0 = 44.166386 ++lbeta0 = -6.2808583e-006 ++wbeta0 = 6.8563925e-007 ++pbeta0 = -8.2825222e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9450909 ++lnoff = 5.4953018e-007 ++voffcv = 0.021963636 ++lvoffcv = -2.1981207e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29671112 ++lkt1 = -1.2289189e-008 ++wkt1 = -2.4318311e-008 ++pkt1 = 4.382518e-014 ++kt1l = 0 ++kt2 = -0.012758239 ++lkt2 = -3.717759e-010 ++wkt2 = -3.0822315e-009 ++pkt2 = 3.7233357e-015 ++ute = -1 ++ua1 = 1.3959388e-009 ++lua1 = 1.2570597e-016 ++wua1 = 1.2643439e-016 ++pua1 = -1.5273275e-022 ++ub1 = -2.6567142e-018 ++lub1 = 4.4176841e-026 ++wub1 = 4.420774e-026 ++pub1 = -4.4243106e-031 ++uc1 = -6.6592244e-011 ++luc1 = 1.3616046e-017 ++wuc1 = 2.0634796e-017 ++puc1 = -6.7020042e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8332 ++k1 = 1.0069 ++k2 = -0.035640352 ++wk2 = 3.899363e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011162471 ++wu0 = -1.8274461e-009 ++ua = 6.9062908e-010 ++wua = -3.4881323e-016 ++ub = 9.7524707e-019 ++wub = -4.0030695e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1534 ++ags = 0.17785014 ++wags = -4.9087726e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538802 ++wbeta0 = 6.0288024e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29793906 ++wkt1 = -1.9939296e-008 ++kt1l = 0 ++kt2 = -0.012795386 ++wkt2 = -2.7101956e-009 ++ute = -1 ++ua1 = 1.4084993e-009 ++wua1 = 1.1117333e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5231727e-011 ++wuc1 = 1.3938149e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.82638545 ++lvth0 = -8.3792291e-009 ++k1 = 1.0007271 ++lk1 = -3.7021562e-008 ++k2 = 0.00079907273 ++lk2 = -1.8724917e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010972255 ++lu0 = 1.8540707e-009 ++ua = 2.6348364e-010 ++lua = 6.6980631e-016 ++ub = 9.7125e-019 ++uc = 1.27024e-010 ++luc = -5.925312e-018 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.88938782 ++la0 = -1.5404481e-007 ++ags = 0.31497855 ++lags = 7.2752989e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.090619018 ++lketa = 1.8662405e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33020564 ++lpclm = 5.2915497e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.0104131e-005 ++lalpha0 = -2.4726041e-012 ++alpha1 = 0 ++beta0 = 38.099309 ++lbeta0 = -2.560985e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29852709 ++lkt1 = -8.3326778e-009 ++kt1l = 0 ++kt2 = -0.020004818 ++lkt2 = 1.9983796e-009 ++ute = -1 ++ua1 = 1.8183709e-009 ++lua1 = -1.6173242e-016 ++ub1 = -2.5867109e-018 ++lub1 = -1.4111686e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83135223 ++lvth0 = -5.8561079e-009 ++k1 = 0.977378 ++lk1 = -2.5160224e-008 ++k2 = -0.029893297 ++lk2 = -3.133193e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010054034 ++lu0 = 2.3205266e-009 ++ua = 3.1139109e-010 ++lua = 6.4546933e-016 ++ub = 9.7125e-019 ++uc = -2.6183086e-011 ++luc = 7.1903887e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1964316 ++la0 = -3.1002305e-007 ++ags = 0.2064464 ++lags = 6.2409629e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0061504686 ++lketa = -2.4247618e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39193469 ++lpclm = 2.155714e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024301606 ++lpdiblc2= 2.5091844e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011125123 ++lalpha0 = -5.3855328e-011 ++alpha1 = 0 ++beta0 = 43.255246 ++lbeta0 = -5.1802008e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2989844 ++lkt1 = -8.1003648e-009 ++kt1l = 0 ++kt2 = -0.010885229 ++lkt2 = -2.6343719e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4983034e-018 ++lub1 = -1.8602786e-025 ++uc1 = -4.0512e-011 ++luc1 = -2.2091904e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83278818 ++lvth0 = -4.1214764e-009 ++k1 = 1.0138117 ++lk1 = -6.9172112e-008 ++k2 = -0.035630422 ++lk2 = 3.7972536e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010843414 ++lu0 = 1.3669563e-009 ++ua = 6.2972916e-010 ++lua = 2.6091693e-016 ++ub = 9.7125e-019 ++uc = -1.6646548e-011 ++luc = 6.038375e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1827228 ++la0 = -2.9346286e-007 ++ags = 0.16627523 ++lags = 1.109364e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068069114 ++lketa = -2.3454635e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34145265 ++lpclm = 8.2539433e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8136709e-005 ++lpdiblc2= 4.500927e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021443878 ++lalpha0 = -2.5098843e-009 ++alpha1 = 0 ++beta0 = 44.234847 ++lbeta0 = -6.3635595e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9450909 ++lnoff = 5.4953018e-007 ++voffcv = 0.021963636 ++lvoffcv = -2.1981207e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29913931 ++lkt1 = -7.9132346e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4085633e-009 ++lua1 = 1.1045557e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4531855e-011 ++luc1 = 6.9240803e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8332 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + +.endl pmos_3p3_fs +* +* +.lib pmos_3p3_sf + +.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model pmos_3p3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.68623384 ++lvth0 = -7.4340753e-009 ++wvth0 = 4.5642665e-009 ++pvth0 = 2.3220935e-015 ++k1 = 0.86723166 ++lk1 = 5.4966687e-009 ++wk1 = 6.795952e-008 ++pk1 = -2.0664117e-014 ++k2 = 0.028783357 ++lk2 = -2.3923245e-008 ++wk2 = -2.1981336e-008 ++pk2 = 3.3652193e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094684782 ++lvoff = -1.5626994e-009 ++wvoff = -1.6675146e-009 ++pvoff = 8.2041716e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0078239364 ++lu0 = 2.3613853e-009 ++wu0 = 5.9658614e-010 ++pu0 = -5.1862883e-016 ++ua = 5.7221977e-011 ++lua = 1.0002135e-015 ++wua = -7.884629e-018 ++pua = -1.8896141e-022 ++ub = 6.6233941e-019 ++lub = -1.3733536e-025 ++wub = -4.9106352e-027 ++pub = 1.3356928e-033 ++uc = 8.7831657e-011 ++luc = 8.2831734e-018 ++wuc = -1.4037289e-017 ++puc = -4.4080656e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.0151475 ++la0 = -1.9522869e-007 ++wa0 = 1.1291855e-008 ++pa0 = -2.6965346e-015 ++ags = 0.19776586 ++lags = 1.0075502e-007 ++wags = -2.4642378e-008 ++pags = -1.1901079e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0656473 ++lketa = 7.7609556e-009 ++wketa = -7.3317252e-009 ++pketa = 3.6072088e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35913516 ++lpclm = 6.7448817e-008 ++wpclm = 3.0548187e-008 ++ppclm = 6.4437396e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1317951e-005 ++lalpha0 = -2.8752733e-012 ++walpha0 = -1.0265377e-013 ++palpha0 = 6.2981547e-020 ++alpha1 = 0 ++beta0 = 39.557841 ++lbeta0 = -3.4683987e-006 ++wbeta0 = 2.234567e-007 ++pbeta0 = 1.1652383e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28420773 ++lkt1 = -1.5344138e-008 ++wkt1 = -1.1400184e-008 ++pkt1 = 1.9113261e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1592273e-009 ++lua1 = 1.6766018e-016 ++wua1 = 1.7890568e-016 ++pua1 = -8.8021596e-023 ++ub1 = -2.1333843e-018 ++lub1 = -6.4960147e-025 ++wub1 = -1.3550978e-025 ++pub1 = 1.4728947e-031 ++uc1 = -2.5243909e-010 ++luc1 = 5.6525433e-017 ++wuc1 = 4.1267577e-017 ++puc1 = -1.3848731e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.71729526 ++lvth0 = 7.848145e-009 ++wvth0 = 6.1706137e-009 ++pvth0 = 1.5317707e-015 ++k1 = 1.0114756 ++lk1 = -6.5471375e-008 ++wk1 = -1.8245666e-008 ++pk1 = 2.1748834e-014 ++k2 = -0.018690632 ++lk2 = -5.6604249e-010 ++wk2 = -2.6841281e-009 ++pk2 = -6.129007e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12347027 ++lvoff = 1.259976e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01031351 ++lu0 = 1.1365149e-009 ++wu0 = -2.5501298e-010 ++pu0 = -9.9642066e-017 ++ua = 3.8413264e-010 ++lua = 8.3937346e-016 ++wua = -4.6769074e-017 ++pua = -1.6983026e-022 ++ub = 1.0907567e-018 ++lub = -3.4811665e-025 ++wub = -1.9694477e-025 ++pub = 9.5816488e-032 ++uc = -1.2185662e-011 ++luc = 5.7491694e-017 ++wuc = -7.7156746e-018 ++puc = -7.5182999e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1459651 ++la0 = -2.5959096e-007 ++wa0 = 3.9893726e-008 ++pa0 = -1.6768655e-014 ++ags = 0.19108744 ++lags = 1.0404081e-007 ++wags = 1.3857952e-008 ++pags = -3.0843241e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0053017786 ++lketa = -2.1929041e-008 ++wketa = -2.2567913e-009 ++pketa = 1.1103413e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25477175 ++lpclm = 1.1879561e-007 ++wpclm = 8.7678071e-008 ++ppclm = -2.1664163e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024955823 ++lpdiblc2= 2.3979675e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.4846555e-005 ++lalpha0 = -3.4131346e-011 ++walpha0 = 4.918957e-012 ++palpha0 = -2.407651e-018 ++alpha1 = 0 ++beta0 = 42.345869 ++lbeta0 = -4.8401083e-006 ++wbeta0 = 2.85852e-007 ++pbeta0 = 8.5825341e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30808974 ++lkt1 = -3.5941865e-009 ++wkt1 = 2.5589445e-008 ++pkt1 = -1.6287571e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2459185e-018 ++lub1 = -5.9423465e-025 ++wub1 = -3.2798588e-026 ++pub1 = 9.6755564e-032 ++uc1 = -7.622458e-011 ++luc1 = -3.0172107e-017 ++wuc1 = 6.4565445e-018 ++puc1 = 3.2782971e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70261262 ++lvth0 = -9.6535664e-009 ++wvth0 = 3.7940282e-009 ++pvth0 = 4.3646606e-015 ++k1 = 0.95371136 ++lk1 = 3.3836545e-009 ++wk1 = 3.1504606e-008 ++pk1 = -3.755349e-014 ++k2 = -0.010397236 ++lk2 = -1.045177e-008 ++wk2 = -1.5511159e-008 ++pk2 = 9.1608133e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097768223 ++lvoff = -1.8037078e-008 ++wvoff = 1.0207701e-009 ++pvoff = -1.2167579e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087448909 ++lu0 = 3.0063094e-009 ++wu0 = 2.2513935e-010 ++pu0 = -6.7198364e-016 ++ua = 4.0088804e-010 ++lua = 8.1940101e-016 ++wua = -5.4384592e-017 ++pua = -1.6075256e-022 ++ub = 8.5616366e-019 ++lub = -6.8481784e-026 ++wub = -1.1302537e-025 ++pub = -4.2154414e-033 ++uc = -4.4105686e-011 ++luc = 9.5540362e-017 ++wuc = 1.8994754e-018 ++puc = -1.8979559e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2624795 ++la0 = -3.9847608e-007 ++wa0 = -3.4982576e-009 ++pa0 = 3.495459e-014 ++ags = 0.15738448 ++lags = 1.4421474e-007 ++wags = 7.410721e-010 ++pags = -1.520792e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -4.2919829e-005 ++lketa = -2.8197601e-008 ++wketa = -3.567392e-009 ++pketa = 2.6725774e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32126019 ++lpclm = 3.9541387e-008 ++wpclm = 5.1797956e-009 ++ppclm = 7.6673781e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8732382e-005 ++lpdiblc2= 4.4342116e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020563928 ++lalpha0 = -2.3961345e-009 ++walpha0 = 2.2922796e-011 ++palpha0 = -2.3868227e-017 ++alpha1 = 0 ++beta0 = 44.429178 ++lbeta0 = -7.3234127e-006 ++wbeta0 = 4.1575066e-007 ++pbeta0 = -6.9013864e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9458182 ++lnoff = 5.4138473e-007 ++voffcv = 0.021672727 ++lvoffcv = -2.1655389e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26812521 ++lkt1 = -5.1231914e-008 ++wkt1 = -1.0639016e-008 ++pkt1 = 2.6896755e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0276908e-018 ++lub1 = 3.3763797e-025 ++wub1 = 1.9708019e-025 ++pub1 = -1.7725993e-031 ++uc1 = -3.5127704e-011 ++luc1 = -7.9159583e-017 ++wuc1 = -1.2638834e-017 ++puc1 = 2.6039988e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70357875 ++wvth0 = 4.2308437e-009 ++k1 = 0.95405 ++wk1 = 2.774625e-008 ++k2 = -0.01144325 ++wk2 = -1.4594344e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099573375 ++wvoff = 8.9899687e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090457625 ++wu0 = 1.5788719e-010 ++ua = 4.8289375e-010 ++wua = -7.0472719e-017 ++ub = 8.4931e-019 ++wub = -1.1344725e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2226 ++ags = 0.1718175 ++wags = -7.809375e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0028649375 ++wketa = -3.2999203e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.3252175 ++wpclm = 1.2853312e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018165875 ++walpha0 = 2.0534062e-011 ++alpha1 = 0 ++beta0 = 43.69625 ++wbeta0 = 4.0884375e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2732525 ++wkt1 = -7.9471875e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9939e-018 ++wub1 = 1.7934e-025 ++uc1 = -4.305e-011 ++wuc1 = -1.003275e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70624891 ++lvth0 = -2.0423367e-009 ++wvth0 = 1.5072177e-008 ++pvth0 = -5.0856922e-016 ++k1 = 0.99667836 ++lk1 = -3.3863555e-008 ++k2 = 0.013187532 ++lk2 = -1.9573129e-008 ++wk2 = -1.3793528e-008 ++pk2 = 1.0814082e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0092992182 ++lu0 = 1.7578086e-009 ++wu0 = -1.7793682e-010 ++pu0 = -2.0175109e-016 ++ua = -3.8099091e-011 ++lua = 7.1544135e-016 ++wua = 4.2158932e-017 ++pua = -3.9456029e-023 ++ub = 1.7356567e-018 ++lub = -2.9606431e-025 ++wub = -5.6840223e-025 ++pub = 8.4668392e-032 ++uc = 8.7523896e-011 ++luc = 1.1953068e-017 ++wuc = -1.3875714e-017 ++puc = -6.3347605e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.66090759 ++la0 = -9.8161425e-008 ++wa0 = 1.9726782e-007 ++pa0 = -5.3656847e-014 ++ags = 0.20881855 ++lags = 6.6568316e-008 ++wags = -3.0445036e-008 ++pags = 6.0469439e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10373614 ++lketa = 2.1193464e-008 ++wketa = 1.2664919e-008 ++pketa = -3.4448579e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37955209 ++lpclm = 2.5826511e-008 ++wpclm = 1.9829298e-008 ++ppclm = 2.829545e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1910101e-005 ++lalpha0 = -2.8926407e-012 ++walpha0 = -4.1353238e-013 ++palpha0 = 7.2099467e-020 ++alpha1 = 0 ++beta0 = 38.07575 ++lbeta0 = -2.59284e-006 ++wbeta0 = 1.0015544e-006 ++pbeta0 = -3.4314451e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33233495 ++lkt1 = 5.5962764e-010 ++wkt1 = 1.386661e-008 ++pkt1 = -6.438151e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.9998e-009 ++lua1 = -2.459016e-016 ++wua1 = -2.62395e-016 ++pua1 = 1.2909834e-022 ++ub1 = -2.8913745e-018 ++lub1 = -2.7023324e-026 ++wub1 = 2.6243509e-025 ++pub1 = -1.7956405e-031 ++uc1 = 5.1850909e-012 ++luc1 = -3.3340425e-017 ++wuc1 = -9.3985118e-017 ++puc1 = 3.3330844e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70966351 ++lvth0 = -3.6235097e-010 ++wvth0 = 2.163945e-009 ++pvth0 = 5.8422811e-015 ++k1 = 0.976722 ++lk1 = -2.4045024e-008 ++k2 = -0.026858767 ++lk2 = 1.2965043e-010 ++wk2 = 1.6041428e-009 ++pk2 = -6.4942458e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12347027 ++lvoff = 1.259976e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097676914 ++lu0 = 1.5273198e-009 ++wu0 = 3.1542e-011 ++pu0 = -3.0481466e-016 ++ua = 2.7535709e-010 ++lua = 5.6122091e-016 ++wua = 1.033809e-017 ++pua = -2.3800175e-023 ++ub = 1.2745688e-018 ++lub = -6.9209035e-026 ++wub = -2.9344612e-025 ++pub = -5.0610011e-032 ++uc = 8.8382089e-012 ++luc = 5.0666426e-017 ++wuc = -1.8753207e-017 ++puc = -3.9350342e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.3400455 ++la0 = -4.3229728e-007 ++wa0 = -6.1998457e-008 ++pa0 = 7.3902161e-014 ++ags = 0.19317874 ++lags = 7.4263098e-008 ++wags = 1.276002e-008 ++pags = -1.5209944e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0020187086 ++lketa = -2.8851515e-008 ++wketa = -3.980403e-009 ++pketa = 4.7446404e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.5521986 ++lpclm = -5.9115571e-008 ++wpclm = -6.8471025e-008 ++ppclm = 7.1739209e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024955823 ++lpdiblc2= 2.3979675e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00012240188 ++lalpha0 = -5.7254595e-011 ++walpha0 = -2.0047588e-011 ++palpha0 = 9.7320549e-018 ++alpha1 = 0 ++beta0 = 43.297479 ++lbeta0 = -5.1619305e-006 ++wbeta0 = -2.1374325e-007 ++pbeta0 = 2.5478195e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28407944 ++lkt1 = -2.3182084e-008 ++wkt1 = 1.2984037e-008 ++pkt1 = -6.0039252e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.139702e-018 ++lub1 = 9.5153784e-026 ++wub1 = 4.3643775e-025 ++pub1 = -2.6517336e-031 ++uc1 = -9.90552e-011 ++luc1 = 1.7945798e-017 ++wuc1 = 1.844262e-017 ++puc1 = -2.1983603e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70882353 ++lvth0 = -1.3636128e-009 ++wvth0 = 7.0547555e-009 ++pvth0 = 1.2434931e-017 ++k1 = 1.0137201 ++lk1 = -6.8146803e-008 ++k2 = -0.027400332 ++lk2 = 7.7519526e-010 ++wk2 = -6.5845334e-009 ++pk2 = 3.2666562e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095823899 ++lvoff = -2.0354712e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097356481 ++lu0 = 1.5655154e-009 ++wu0 = -2.950082e-010 ++pu0 = 8.4433178e-017 ++ua = 4.0386316e-010 ++lua = 4.0804167e-016 ++wua = -5.594653e-017 ++pua = 5.5211091e-023 ++ub = 1.1683671e-018 ++lub = 5.7383397e-026 ++wub = -2.7693216e-025 ++pub = -7.0294662e-032 ++uc = 1.3741189e-012 ++luc = 5.9563621e-017 ++wuc = -2.1977422e-017 ++puc = -9.1769787e-026 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1183126 ++la0 = -1.6799168e-007 ++wa0 = 7.2189361e-008 ++pa0 = -8.6049719e-014 ++ags = 0.16571096 ++lags = 1.0700469e-007 ++wags = -3.630332e-009 ++pags = 4.3273558e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068379523 ++lketa = -2.3106977e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.32898832 ++lpclm = 2.0695108e-007 ++wpclm = 1.1225287e-009 ++ppclm = -1.1216307e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8732382e-005 ++lpdiblc2= 4.4342116e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021722983 ++lalpha0 = -2.5007312e-009 ++walpha0 = -3.7927615e-011 ++palpha0 = 3.1045047e-017 ++alpha1 = 0 ++beta0 = 44.346784 ++lbeta0 = -6.4127021e-006 ++wbeta0 = 4.590075e-007 ++pbeta0 = -5.4713694e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9458182 ++lnoff = 5.4138473e-007 ++voffcv = 0.021672727 ++lvoffcv = -2.1655389e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33792326 ++lkt1 = 4.0999742e-008 ++wkt1 = 2.600496e-008 ++pkt1 = -2.1524865e-014 ++kt1l = 0 ++kt2 = -0.016960609 ++lkt2 = 4.642374e-009 ++wkt2 = 2.0446698e-009 ++pkt2 = -2.4372464e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5970921e-018 ++lub1 = -5.516372e-025 ++wub1 = -2.898414e-026 ++pub1 = 2.8960953e-031 ++uc1 = -4.2510491e-011 ++luc1 = -4.9455495e-017 ++wuc1 = -8.7628704e-018 ++puc1 = 1.0445342e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70896 ++wvth0 = 7.056e-009 ++k1 = 1.0069 ++k2 = -0.02732275 ++wk2 = -6.2576062e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.009892325 ++wu0 = -2.8655813e-010 ++ua = 4.447e-010 ++wua = -5.0421e-017 ++ub = 1.17411e-018 ++wub = -2.8396725e-025 ++uc = 7.33525e-012 ++wuc = -2.1986606e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1015 ++wa0 = 6.35775e-008 ++ags = 0.17642 ++wags = -3.19725e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.001922025 ++walpha0 = -3.4820625e-011 ++alpha1 = 0 ++beta0 = 43.705 ++wbeta0 = 4.0425e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33382 ++wkt1 = 2.385075e-008 ++kt1l = 0 ++kt2 = -0.016496 ++wkt2 = 1.80075e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.746e-011 ++wuc1 = -7.7175e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69776909 ++lvth0 = -8.3892994e-009 ++wvth0 = 4.6843987e-009 ++pvth0 = 7.26646e-015 ++k1 = 0.99667836 ++lk1 = -3.3863555e-008 ++k2 = -0.0016908531 ++lk2 = -1.6910112e-008 ++wk2 = 4.4324937e-009 ++pk2 = -2.1807869e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011456358 ++lu0 = 1.7102254e-009 ++wu0 = -2.8204333e-009 ++pu0 = -1.4346165e-016 ++ua = 3.841223e-010 ++lua = 6.0284809e-016 ++wua = -4.7506228e-016 ++pua = 9.847072e-023 ++ub = 9.2943227e-019 ++lub = 3.159209e-026 ++wub = 4.1922273e-025 ++pub = -3.167107e-031 ++uc = 1.3336117e-010 ++luc = -7.1184051e-018 ++wuc = -7.0026382e-017 ++puc = 1.7027795e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.87958485 ++la0 = -1.4075717e-007 ++wa0 = -7.0611818e-008 ++pa0 = -1.4770608e-015 ++ags = 0.33412256 ++lags = -2.3726975e-009 ++wags = -1.8394245e-007 ++pags = 9.0499685e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.087907195 ++lketa = 1.6887989e-008 ++wketa = -6.7255452e-009 ++pketa = 1.8293483e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32767553 ++lpclm = 4.8328885e-008 ++wpclm = 8.3378086e-008 ++ppclm = 7.3004154e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.5916748e-006 ++lalpha0 = -2.1820478e-012 ++walpha0 = 2.4265399e-012 ++palpha0 = -7.9837683e-019 ++alpha1 = 0 ++beta0 = 37.669715 ++lbeta0 = -2.2686901e-006 ++wbeta0 = 1.4989467e-006 ++pbeta0 = -7.4022817e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29643476 ++lkt1 = -8.0291808e-009 ++wkt1 = -3.0111128e-008 ++pkt1 = 4.0831393e-015 ++kt1l = 0 ++kt2 = -0.020721765 ++lkt2 = 2.0823681e-009 ++wkt2 = 9.3783124e-009 ++pkt2 = -2.550901e-015 ++ute = -1 ++ua1 = 1.8027834e-009 ++lua1 = -1.4896941e-016 ++wua1 = -2.10496e-017 ++pua1 = 1.0356403e-023 ++ub1 = -2.5917035e-018 ++lub1 = -1.2288098e-025 ++wub1 = -1.0466188e-025 ++pub1 = -6.213842e-032 ++uc1 = -8.5734843e-011 ++luc1 = 8.5354274e-019 ++wuc1 = 1.7391801e-017 ++puc1 = -8.556766e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7006151 ++lvth0 = -6.989061e-009 ++wvth0 = -8.9203589e-009 ++pvth0 = 1.3960001e-014 ++k1 = 0.976722 ++lk1 = -2.4045024e-008 ++k2 = -0.03059107 ++lk2 = -2.6912057e-009 ++wk2 = 6.1762134e-009 ++pk2 = -3.038697e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094296073 ++lvoff = -1.7539439e-009 ++wvoff = -3.5738389e-008 ++pvoff = 1.7583287e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010159235 ++lu0 = 2.3484099e-009 ++wu0 = -4.4809927e-010 ++pu0 = -1.31065e-015 ++ua = 3.3440437e-010 ++lua = 6.2730931e-016 ++wua = -6.1994828e-017 ++pua = -1.0475847e-022 ++ub = 9.623728e-019 ++lub = 1.538535e-026 ++wub = 8.8993941e-026 ++pub = -1.5423813e-031 ++uc = -2.6791447e-011 ++luc = 7.1676685e-017 ++wuc = 2.4893122e-017 ++puc = -2.9672601e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1742768 ++la0 = -2.8574559e-007 ++wa0 = 1.4106826e-007 ++pa0 = -1.0562366e-013 ++ags = 0.20869703 ++lags = 5.9336662e-008 ++wags = -6.2498812e-009 ++pags = 3.0749415e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0069935214 ++lketa = -2.2921538e-008 ++wketa = 2.1137428e-009 ++pketa = -2.5195814e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37804632 ++lpclm = 2.3546458e-008 ++wpclm = 1.4486552e-007 ++ppclm = -2.9521777e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024955823 ++lpdiblc2= 2.3979675e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011037751 ++lalpha0 = -5.1768678e-011 ++walpha0 = -5.3177339e-012 ++palpha0 = 3.0118059e-018 ++alpha1 = 0 ++beta0 = 43.119792 ++lbeta0 = -4.9501275e-006 ++wbeta0 = 3.9234204e-009 ++pbeta0 = -4.6767172e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3027753 ++lkt1 = -4.9096367e-009 ++wkt1 = 3.5886458e-008 ++pkt1 = -2.8387673e-014 ++kt1l = 0 ++kt2 = -0.010659902 ++lkt2 = -2.8680684e-009 ++wkt2 = -2.9474696e-009 ++pkt2 = 3.5133838e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4641385e-018 ++lub1 = -1.8564299e-025 ++wub1 = -3.9112754e-025 ++pub1 = 7.8802685e-032 ++uc1 = -3.5114455e-011 ++luc1 = -2.4051688e-017 ++wuc1 = -5.9884793e-017 ++puc1 = 2.9463318e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70275593 ++lvth0 = -4.4372015e-009 ++wvth0 = -3.7806056e-010 ++pvth0 = 3.7775811e-015 ++k1 = 1.0137201 ++lk1 = -6.8146803e-008 ++k2 = -0.03602212 ++lk2 = 3.7826066e-009 ++wk2 = 3.9771578e-009 ++pk2 = -4.1742272e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098144574 ++lvoff = 2.8334685e-009 ++wvoff = 2.8428264e-009 ++pvoff = -2.8405521e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011033204 ++lu0 = 1.306639e-009 ++wu0 = -1.8845144e-009 ++pu0 = 4.0155688e-016 ++ua = 6.6792168e-010 ++lua = 2.2975668e-016 ++wua = -3.7941821e-016 ++pua = 2.736102e-022 ++ub = 9.7527997e-019 ++wub = -4.0400465e-026 ++uc = -1.6566634e-011 ++luc = 5.9488707e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1830432 ++la0 = -2.9619524e-007 ++wa0 = -7.1056483e-009 ++pa0 = 7.0999638e-014 ++ags = 0.16693357 ++lags = 1.0911871e-007 ++wags = -5.1280236e-009 ++pags = 1.7376873e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068379523 ++lketa = -2.3106977e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34318463 ++lpclm = 6.510159e-008 ++wpclm = -1.6267946e-008 ++ppclm = 1.6254932e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8732382e-005 ++lpdiblc2= 4.4342116e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021410284 ++lalpha0 = -2.4723045e-009 ++walpha0 = 3.7806056e-013 ++palpha0 = -3.7775811e-018 ++alpha1 = 0 ++beta0 = 44.157511 ++lbeta0 = -6.1870892e-006 ++wbeta0 = 6.9086635e-007 ++pbeta0 = -8.2351269e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9458182 ++lnoff = 5.4138473e-007 ++voffcv = 0.021672727 ++lvoffcv = -2.1655389e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29670745 ++lkt1 = -1.2142514e-008 ++wkt1 = -2.4484407e-008 ++pkt1 = 4.3574398e-014 ++kt1l = 0 ++kt2 = -0.012756202 ++lkt2 = -3.6927975e-010 ++wkt2 = -3.1057295e-009 ++pkt2 = 3.7020295e-015 ++ute = -1 ++ua1 = 1.3960014e-009 ++lua1 = 1.2396634e-016 ++wua1 = 1.2739829e-016 ++pua1 = -1.5185876e-022 ++ub1 = -2.6566915e-018 ++lub1 = 4.3880232e-026 ++wub1 = 4.4025153e-026 ++pub1 = -4.3989932e-031 ++uc1 = -6.6591108e-011 ++luc1 = 1.3468483e-017 ++wuc1 = 2.0735886e-017 ++puc1 = -6.6636531e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7032 ++k1 = 1.0069 ++k2 = -0.035643557 ++wk2 = 3.9353821e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011163973 ++wu0 = -1.8443266e-009 ++ua = 6.9091574e-010 ++wua = -3.5203528e-016 ++ub = 9.7527997e-019 ++wub = -4.0400465e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17785418 ++wags = -4.9541158e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538307 ++wbeta0 = 6.0844915e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29792267 ++wkt1 = -2.0123479e-008 ++kt1l = 0 ++kt2 = -0.012793159 ++wkt2 = -2.7352301e-009 ++ute = -1 ++ua1 = 1.4084079e-009 ++wua1 = 1.1220026e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5243182e-011 ++wuc1 = 1.4066898e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69730182 ++lvth0 = -7.6644654e-009 ++k1 = 0.99667836 ++lk1 = -3.3863555e-008 ++k2 = -0.0012487091 ++lk2 = -1.7127647e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011175018 ++lu0 = 1.695915e-009 ++ua = 3.3673455e-010 ++lua = 6.126706e-016 ++ub = 9.7125e-019 ++uc = 1.26376e-010 ++luc = -5.419872e-018 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.87254127 ++la0 = -1.4090451e-007 ++ags = 0.31577418 ++lags = 6.6547025e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.088578073 ++lketa = 1.7070468e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33599254 ++lpclm = 4.8401708e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.8337236e-006 ++lalpha0 = -2.2616864e-012 ++alpha1 = 0 ++beta0 = 37.819236 ++lbeta0 = -2.3425283e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29943836 ++lkt1 = -7.6218851e-009 ++kt1l = 0 ++kt2 = -0.019786273 ++lkt2 = 1.8279142e-009 ++ute = -1 ++ua1 = 1.8006836e-009 ++lua1 = -1.4793635e-016 ++ub1 = -2.6021436e-018 ++lub1 = -1.2907933e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70150491 ++lvth0 = -5.5965422e-009 ++k1 = 0.976722 ++lk1 = -2.4045024e-008 ++k2 = -0.029974989 ++lk2 = -2.9943176e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010114537 ++lu0 = 2.2176717e-009 ++ua = 3.2822034e-010 ++lua = 6.1685959e-016 ++ub = 9.7125e-019 ++uc = -2.4308343e-011 ++luc = 6.8716825e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1883484 ++la0 = -2.9628161e-007 ++ags = 0.2080736 ++lags = 5.9643389e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0067826743 ++lketa = -2.3172868e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39249674 ++lpclm = 2.0601642e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024955823 ++lpdiblc2= 2.3979675e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00010984706 ++lalpha0 = -5.1468248e-011 ++alpha1 = 0 ++beta0 = 43.120183 ++lbeta0 = -4.950594e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2991956 ++lkt1 = -7.7413248e-009 ++kt1l = 0 ++kt2 = -0.010953914 ++lkt2 = -2.5176062e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5031537e-018 ++lub1 = -1.7778237e-025 ++uc1 = -4.1088e-011 ++luc1 = -2.1112704e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70279364 ++lvth0 = -4.0603855e-009 ++k1 = 1.0137201 ++lk1 = -6.8146803e-008 ++k2 = -0.035625396 ++lk2 = 3.7409685e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010845223 ++lu0 = 1.3466945e-009 ++ua = 6.3007447e-010 ++lua = 2.5704947e-016 ++ub = 9.7125e-019 ++uc = -1.6566634e-011 ++luc = 5.9488707e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1823344 ++la0 = -2.8911298e-007 ++ags = 0.16642204 ++lags = 1.0929204e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068379523 ++lketa = -2.3106977e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34156189 ++lpclm = 8.1315986e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8732382e-005 ++lpdiblc2= 4.4342116e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021410661 ++lalpha0 = -2.4726813e-009 ++alpha1 = 0 ++beta0 = 44.226425 ++lbeta0 = -6.2692351e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9458182 ++lnoff = 5.4138473e-007 ++voffcv = 0.021672727 ++lvoffcv = -2.1655389e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29914978 ++lkt1 = -7.7959401e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4087095e-009 ++lua1 = 1.0881833e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4522691e-011 ++luc1 = 6.8214476e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7032 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=150 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + + +.endl pmos_3p3_sf +* +* +*************************************************************************************************** +* 6V NMOS Models +*************************************************************************************************** +* +.lib nmos_6p0_t + + +.subckt nmos_6p0_sab d g s b w=10u l=0.6u par=1 s_sab=0.28u d_sab=3.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.01155 ++ par_k=0.0000 ++ par_l=4e-7 ++ par_w=-5e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nmos_6p0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 ++delvto='mis_vth*sw_stat_mismatch' +.ends + + + + + +.model nmos_6p0.0 nmos level = 54 ++lmin = 7e-007 lmax = 5.0001e-005 wmin = 3e-007 wmax = 0.000100001 ++version = 4.5 binunit = 1 paramchk= 1 mobmod = 0 ++capmod = 2 igcmod = 0 igbmod = 0 geomod = 0 ++diomod = 1 rdsmod = 0 rbodymod= 0 rgeomod = 0 ++rgatemod= 0 permod = 1 acnqsmod= 0 trnqsmod= 0 ++tempmod = 0 wpemod = 0 ++tnom = 25 toxe = '1.52e-008+nmos_6p0_tox' toxp = '1.6e-008+nmos_6p0_tox' toxm = '1.52e-008+nmos_6p0_tox' ++epsrox = 3.9 toxref = 1.52e-008 wint = 1.55e-008 lint = -3e-008 ++ll = 1.93e-014 wl = 0 lln = 1 wln = 1 ++lw = 0 ww = -2.7e-015 lwn = 1 wwn = 1 ++lwl = 0 wwl = 0 llc = 0 wlc = 0 ++lwc = 0 wwc = 0 lwlc = 0 wwlc = 0 ++xl = '0+nmos_6p0_xl' xw = '0+nmos_6p0_xw' dlc = 5.4E-8 dwc = 0 ++dlcig = 0 xpart = 0 ++vth0 = '0.67314+nmos_6p0_vth0' k1 = 0.9 k2 = -0.001 k3 = -1.1369995 ++wk3 = -0.047531062 k3b = 0.86 w0 = 1e-009 dvt0 = 5.72 ++dvt1 = 0.299 dvt2 = -0.0793 dvt0w = 10 dvt1w = 976700 ++dvt2w = 0.15 dsub = 0.4 minv = 0 voffl = 0 ++dvtp0 = 0 dvtp1 = 0 lambda = 0 vtl = 200000 ++xn = 3 lpe0 = 1.63e-007 lpeb = 0 vbm = -3 ++xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 nsd = 1e+020 ++phin = 0 cdsc = 0.00024 ud1 = 0 up = 0 ++lp = 1e-008 cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.08 nfactor = 0.864 eta0 = 0 etab = -0.43 ++u0 = 0.052500014 lu0 = 0.019999998 wu0 = -8.0300635e-009 pu0 = 0.0018000065 ++ua = 6.8000001e-012 lua = -3.3696895e-019 wua = -8.4912706e-019 pua = 1.4622721e-010 ++ub = 2.8799997e-018 lub = 1.7400001e-018 wub = -1.1655759e-026 pub = -2.3803999e-019 ++uc = 7.9399996e-011 luc = 9.8000018e-011 wuc = 8.0000028e-012 puc = -5.6168065e-012 ++eu = 1.67 vsat = '103999.98*nmos_6p0_vsat' lvsat = '-2649.9871*nmos_6p0_vsat' wvsat = '0.012447116*nmos_6p0_vsat' ++pvsat = '6308.7992*nmos_6p0_vsat' a0 = 0.72499969 la0 = 0.40144032 ags = 0.13699995 ++lags = -0.068999933 wags = -4.2211594e-008 pags = 0.0070910278 a1 = 0 ++a2 = 0.96 b0 = 0 b1 = 0 keta = -0.021200021 ++lketa = 0.04140001 dwg = -6e-010 dwb = 6e-009 pclm = 0.0099999763 ++lpclm = 0.89088024 pdiblc1 = 1.6 pdiblc2 = 0.0022 pdiblcb = 0 ++drout = 0.4 pvag = 1.75 delta = 0.01 pscbe1 = 4.325e+009 ++pscbe2 = 8.8e-006 fprout = 0 pdits = 0 pditsd = 0 ++pditsl = 0 rsh = 7 rdsw = 2175 rsw = 100 ++rdw = 100 rdswmin = 0 rdwmin = 0 rswmin = 0 ++prwg = 1 prwb = 0 wr = 1 alpha0 = -1.88e-007 ++alpha1 = 19 beta0 = 36.6 agidl = 0 bgidl = 2.3e+009 ++cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 bigbacc = 0.054 ++cigbacc = 0.075 nigbacc = 1 aigbinv = 0.35 bigbinv = 0.03 ++cigbinv = 0.006 eigbinv = 1.1 nigbinv = 3 aigc = 0.43 ++bigc = 0.054 cigc = 0.075 aigsd = 0.43 bigsd = 0.054 ++cigsd = 0.075 nigc = 1 poxedge = 1 pigcd = 1 ++ntox = 1 vfbsdoff= 0 ++cgso = '1e-010*nmos_6p0_cgso' cgdo = '1e-010*nmos_6p0_cgdo' cgbo = 1e-013 cgdl = '1.5e-010*nmos_6p0_cgdo' ++cgsl = '1.5e-010*nmos_6p0_cgso' clc = 1e-010 cle = 0.6 ckappas = 0.6 ++ckappad = 0.6 vfbcv = -1 acde = 0.3 moin = 15 ++noff = 1.5 voffcv = 0 ++tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 kt1l = 3.5e-008 ++kt2 = -0.05 ute = -1.5000005 lute = 0.030000222 wute = 0.06000001 ++pute = -0.019999981 ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.5999995e-011 ++luc1 = -1.8816003e-011 prt = 0 at = 109000.03 lat = -75600.021 ++wat = 6479.9797 pat = -6699.9857 ++fnoimod = 1 tnoimod = 0 em = 4.1e+007 ef = 1 ++noia = 'nmos_6p0_noia' noib = 'nmos_6p0_noib' noic = 'nmos_6p0_noic' ntnoi = 1 ++lintnoi = 0 ++jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 njs = 1.0541 ++ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 11 xjbvs = 1 ++xjbvd = 1 jtss = 0 jtsd = 0 jtssws = 0 ++jtsswd = 0 jtsswgs = 0 jtsswgd = 0 njts = 20 ++njtssw = 20 njtsswg = 20 xtss = 0.02 xtsd = 0.02 ++xtssws = 0.02 xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 ++tnjts = 0 tnjtssw = 0 tnjtsswg= 0 vtss = 10 ++vtsd = 10 vtssws = 10 vtsswd = 10 vtsswgs = 10 ++vtsswgd = 10 pbs = 0.606 cjs = 0.00095 mjs = 0.296 ++pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 pbswgs = 0.861 ++cjswgs = 3.573e-010 mjswgs = 0.40313 tpb = 0.00146 tcj = 0.000825 ++tpbsw = 0.00313 tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 ++xtis = 3 ++dmcg = 0 dmdg = 0 dmcgt = 0 xgw = 0 ++xgl = 0 ++rshg = 0.1 gbmin = 1e-012 rbpb = 50 rbpd = 50 ++rbps = 50 rbdb = 50 rbsb = 50 ngcon = 1 ++xrcrg1 = 12 xrcrg2 = 1 rbps0 = 50 rbpsl = 0 ++rbpsw = 0 rbpsnf = 0 rbpd0 = 50 rbpdl = 0 ++rbpdw = 0 rbpdnf = 0 rbpbx0 = 100 rbpbxl = 0 ++rbpbxw = 0 rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 ++rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 rbsby0 = 100 ++rbdbx0 = 100 rbdby0 = 100 rbsdbxl = 0 rbsdbxw = 0 ++rbsdbxnf= 0 rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 ++web = 0 wec = 0 scref = 1e-006 kvth0we = 0 ++k2we = 0 ku0we = 0 saref = 1e-006 sbref = 1e-006 ++wlod = 0 kvth0 = 0 lkvth0 = 0 wkvth0 = 0 ++pkvth0 = 0 llodvth = 0 wlodvth = 0 stk2 = 0 ++lodk2 = 1 lodeta0 = 1 ku0 = 0 lku0 = 0 ++wku0 = 0 pku0 = 0 llodku0 = 0 wlodku0 = 0 ++kvsat = 0 steta0 = 0 tku0 = 0 + +.model nmos_6p0.1 nmos level = 54 ++lmin = 6e-007 lmax = 7e-007 wmin = 3e-007 wmax = 0.000100001 ++version = 4.5 binunit = 1 paramchk= 1 mobmod = 0 ++capmod = 2 igcmod = 0 igbmod = 0 geomod = 0 ++diomod = 1 rdsmod = 0 rbodymod= 0 rgeomod = 0 ++rgatemod= 0 permod = 1 acnqsmod= 0 trnqsmod= 0 ++tempmod = 0 wpemod = 0 ++tnom = 25 toxe = '1.52e-008+nmos_6p0_tox' toxp = '1.6e-008+nmos_6p0_tox' toxm = '1.52e-008+nmos_6p0_tox' ++epsrox = 3.9 toxref = 1.52e-008 wint = 1.55e-008 lint = -3e-008 ++ll = 1.93e-014 wl = 0 lln = 1 wln = 1 ++lw = 0 ww = -2.7e-015 lwn = 1 wwn = 1 ++lwl = 0 wwl = 0 llc = 0 wlc = 0 ++lwc = 0 wwc = 0 lwlc = 0 wwlc = 0 ++xl = '0+nmos_6p0_xl' xw = '0+nmos_6p0_xw' dlc = 5.4E-8 dwc = 0 ++dlcig = 0 xpart = 0 ++vth0 = '0.67314+nmos_6p0_vth0' k1 = 0.9 k2 = -0.001 k3 = -1.1369995 ++wk3 = -0.047531062 k3b = 0.86 w0 = 1e-009 dvt0 = 5.72 ++dvt1 = 0.299 dvt2 = -0.0793 dvt0w = 10 dvt1w = 976700 ++dvt2w = 0.15 dsub = 0.4 minv = 0 voffl = 0 ++dvtp0 = 0 dvtp1 = 0 lambda = 0 vtl = 200000 ++xn = 3 lpe0 = 1.63e-007 lpeb = 0 vbm = -3 ++xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 nsd = 1e+020 ++phin = 0 cdsc = 0.00024 ud1 = 0 up = 0 ++lp = 1e-008 cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.08 nfactor = 0.864 eta0 = 0 etab = -0.43 ++u0 = 0.052500361 lu0 = 0.019999754 wu0 = -2.8167565e-008 pu0 = 0.0018000207 ++ua = 6.7999991e-012 lua = 3.7339196e-019 wua = 1.3244868e-016 pua = 1.4622711e-010 ++ub = 2.8800011e-018 lub = 1.7399991e-018 wub = 1.8765824e-025 pub = -2.3804013e-019 ++uc = 7.9400388e-011 luc = 9.7999741e-011 wuc = 7.9999428e-012 puc = -5.6167642e-012 ++eu = 1.67 vsat = '64848.09*nmos_6p0_vsat' lvsat = '24946.5*nmos_6p0_vsat' wvsat = '0.14568305*nmos_6p0_vsat' ++pvsat = '6308.7053*nmos_6p0_vsat' a0 = 0.72500081 la0 = 0.40143954 ags = 0.13700019 ++lags = -0.069000099 wags = 5.7853969e-008 pags = 0.0070909573 a1 = 0 ++a2 = 0.96 b0 = 0 b1 = 0 keta = -0.021200265 ++lketa = 0.041400183 dwg = -6e-010 dwb = 6e-009 pclm = 0.0099996572 ++lpclm = 0.89088046 pdiblc1 = 1.6 pdiblc2 = 0.0022 pdiblcb = 0 ++drout = 0.4 pvag = 1.75 delta = 0.01 pscbe1 = 4.325e+009 ++pscbe2 = 8.8e-006 fprout = 0 pdits = 0 pditsd = 0 ++pditsl = 0 rsh = 7 rdsw = 2175 rsw = 100 ++rdw = 100 rdswmin = 0 rdwmin = 0 rswmin = 0 ++prwg = 1 prwb = 0 wr = 1 alpha0 = -1.88e-007 ++alpha1 = 19 beta0 = 36.6 agidl = 0 bgidl = 2.3e+009 ++cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 bigbacc = 0.054 ++cigbacc = 0.075 nigbacc = 1 aigbinv = 0.35 bigbinv = 0.03 ++cigbinv = 0.006 eigbinv = 1.1 nigbinv = 3 aigc = 0.43 ++bigc = 0.054 cigc = 0.075 aigsd = 0.43 bigsd = 0.054 ++cigsd = 0.075 nigc = 1 poxedge = 1 pigcd = 1 ++ntox = 1 vfbsdoff= 0 ++cgso = '1e-010*nmos_6p0_cgso' cgdo = '1e-010*nmos_6p0_cgdo' cgbo = 1e-013 cgdl = '1.5e-010*nmos_6p0_cgdo' ++cgsl = '1.5e-010*nmos_6p0_cgso' clc = 1e-010 cle = 0.6 ckappas = 0.6 ++ckappad = 0.6 vfbcv = -1 acde = 0.3 moin = 15 ++noff = 1.5 voffcv = 0 ++tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 kt1l = 3.5e-008 ++kt2 = -0.05 ute = -1.5000008 lute = 0.030000412 wute = 0.060000189 ++pute = -0.020000108 ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.5999975e-011 ++luc1 = -1.8816017e-011 prt = 0 at = -119957.68 lat = 85782.454 ++wat = -33999.727 pat = 21832.424 ++fnoimod = 1 tnoimod = 0 em = 4.1e+007 ef = 1 ++noia = 'nmos_6p0_noia' noib = 'nmos_6p0_noib' noic = 'nmos_6p0_noic' ntnoi = 1 ++lintnoi = 0 ++jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 njs = 1.0541 ++ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 11 xjbvs = 1 ++xjbvd = 1 jtss = 0 jtsd = 0 jtssws = 0 ++jtsswd = 0 jtsswgs = 0 jtsswgd = 0 njts = 20 ++njtssw = 20 njtsswg = 20 xtss = 0.02 xtsd = 0.02 ++xtssws = 0.02 xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 ++tnjts = 0 tnjtssw = 0 tnjtsswg= 0 vtss = 10 ++vtsd = 10 vtssws = 10 vtsswd = 10 vtsswgs = 10 ++vtsswgd = 10 pbs = 0.606 cjs = 0.00095 mjs = 0.296 ++pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 pbswgs = 0.861 ++cjswgs = 3.573e-010 mjswgs = 0.40313 tpb = 0.00146 tcj = 0.000825 ++tpbsw = 0.00313 tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 ++xtis = 3 ++dmcg = 0 dmdg = 0 dmcgt = 0 xgw = 0 ++xgl = 0 ++rshg = 0.1 gbmin = 1e-012 rbpb = 50 rbpd = 50 ++rbps = 50 rbdb = 50 rbsb = 50 ngcon = 1 ++xrcrg1 = 12 xrcrg2 = 1 rbps0 = 50 rbpsl = 0 ++rbpsw = 0 rbpsnf = 0 rbpd0 = 50 rbpdl = 0 ++rbpdw = 0 rbpdnf = 0 rbpbx0 = 100 rbpbxl = 0 ++rbpbxw = 0 rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 ++rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 rbsby0 = 100 ++rbdbx0 = 100 rbdby0 = 100 rbsdbxl = 0 rbsdbxw = 0 ++rbsdbxnf= 0 rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 ++web = 0 wec = 0 scref = 1e-006 kvth0we = 0 ++k2we = 0 ku0we = 0 saref = 1e-006 sbref = 1e-006 ++wlod = 0 kvth0 = 0 lkvth0 = 0 wkvth0 = 0 ++pkvth0 = 0 llodvth = 0 wlodvth = 0 stk2 = 0 ++lodk2 = 1 lodeta0 = 1 ku0 = 0 lku0 = 0 ++wku0 = 0 pku0 = 0 llodku0 = 0 wlodku0 = 0 ++kvsat = 0 steta0 = 0 tku0 = 0 + + +*resistor +.subckt nplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m2 + +.endl nmos_6p0_t +* +*************************************************************************************************** +* 6V native NMOS Models +*************************************************************************************************** +* +.lib nmos_6p0_nat_t +.subckt nmos_6p0_nat d g s b w=1e-5 l=1.8e-6 as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 sa=0 sb=0 nf=1 sd=0 m=1 + +m0 d g s b nmos_6p0_nat w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs sa=sa sb=sb nf=nf sd=sd + +.ends nmos_6p0_nat + +.model nmos_6p0_nat.0 nmos ++level = 54 +************************************************************** +* MODEL FLAG PARAMETERS +************************************************************** ++lmin = 1.8e-6 lmax = 50.01e-6 wmin = 0.8e-6 ++wmax = 100.01e-6 version = 4.6 binunit = 1 ++paramchk= 1 mobmod = 0 capmod = 2 ++igcmod = 0 igbmod = 0 geomod = 0 ++diomod = 1 rdsmod = 0 rbodymod= 0 ++rgeomod = 0 rgatemod= 0 permod = 1 ++acnqsmod= 0 trnqsmod= 0 tempmod = 0 ++wpemod = 0 +************************************************************** +* GENERAL MODEL PARAMETERS +************************************************************** ++tnom = 25 toxe = nmos_6p0_nat_tox toxp = 1.6e-008 ++toxm = 1.52e-008 epsrox = 3.9 toxref = 1.52e-008 ++wint = 1e-009 lint = 1e-007 ll = 0 ++wl = 0 lln = 1 wln = 1 ++lw = 0 ww = 0 lwn = 1 ++wwn = 1 lwl = 0 wwl = 0 ++llc = 0 wlc = 0 lwc = 0 ++wwc = 0 lwlc = 0 wwlc = 0 ++xl = nmos_6p0_nat_xl xw = nmos_6p0_nat_xw dlc = 0 ++dwc = 0 dlcig = 0 xpart = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++vth0 = nmos_6p0_nat_vth0 lvth0 = -0.088 k1 = 0.165 ++k2 = -0.001 k3 = -0.6 k3b = -0.6 ++w0 = 1e-010 dvt0 = 2.2 dvt1 = 0.53 ++dvt2 = -0.032 dvt0w = 0 dvt1w = 5300000 ++dvt2w = -0.032 dsub = 0.4 minv = -0.5 ++voffl = 0 dvtp0 = 1e-008 dvtp1 = 0 ++lambda = 0 vtl = 200000 xn = 3 ++lpe0 = 1e-007 lpeb = 0 vbm = -3 ++xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 ++nsd = 1e+020 phin = 0.5 cdsc = 0.00024 ++cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.06 ud1 = 0 up = 0 ++lp = 1e-008 nfactor = 0.40241 lnfactor= 0.45 ++eta0 = 0.06 etab = -0.43 u0 = nmos_6p0_nat_u0 ++lu0 = 0.042 ua = 2.278e-009 ub = 3.97e-019 ++lub = 3.65e-018 uc = 2.625e-012 eu = 1.67 ++vsat = 106700 pvsat = 23500 a0 = 0.88 ++ags = 0.72 a1 = 0 a2 = 0.47 ++b0 = 3.5e-007 b1 = 0 keta = -0.04 ++dwg = 0 dwb = 0 pclm = 3 ++pdiblc1 = 1.41 pdiblc2 = 1e-005 pdiblcb = 0 ++drout = 0.16 pvag = 1 delta = 0.005 ++pscbe1 = 5e+009 pscbe2 = 5e-006 fprout = 65 ++pdits = 0 pditsd = 0 pditsl = 0 ++rsh = 7 rdsw = 3480 rsw = 100 ++rdw = 100 rdswmin = 0 rdwmin = 0 ++rswmin = 0 prwg = 1 prwb = 0 ++wr = 1 alpha0 = 1.36e-008 alpha1 = 1e-005 ++beta0 = 15 agidl = 2e-010 bgidl = 2.3e+009 ++cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 ++bigbacc = 0.054 cigbacc = 0.075 nigbacc = 1 ++aigbinv = 0.35 bigbinv = 0.03 cigbinv = 0.006 ++eigbinv = 1.1 nigbinv = 3 aigc = 0.43 ++bigc = 0.054 cigc = 0.075 aigsd = 0.43 ++bigsd = 0.054 cigsd = 0.075 nigc = 1 ++poxedge = 1 pigcd = 1 ntox = 1 ++vfbsdoff= 0 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cgso = nmos_6p0_nat_cgso cgdo = nmos_6p0_nat_cgdo cgbo = 1e-013 ++cgdl = 1.5e-010 cgsl = 1.5e-010 clc = 1e-010 ++cle = 0.6 ckappas = 0.6 ckappad = 0.6 ++vfbcv = -1 acde = 0.3 moin = 15 ++noff = 1.5 voffcv = 0 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 ++kt1l = 3.5e-008 kt2 = -0.05 ute = -1.5 ++lute = -0.26 ua1 = 1e-009 ub1 = -1e-018 ++uc1 = -5.6e-011 prt = 0 at = 80000 ++lat = -30000 pat = -10000 +************************************************************** +* NOISE PARAMETERS +************************************************************** ++fnoimod = 1 tnoimod = 0 em = 4.1e+007 ++ef = 1 noia = 'nmos_6p0_nat_noia' noib = 'nmos_6p0_nat_noib' ++noic = 'nmos_6p0_nat_noic' ntnoi = 1 lintnoi = 0 +************************************************************** +* DIODE PARAMETERS +************************************************************** ++jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 ++njs = 1.0541 ijthsfwd= 0.1 ijthsrev= 0.1 ++bvs = 11 xjbvs = 1 xjbvd = 1 ++jtss = 0 jtsd = 0 jtssws = 0 ++jtsswd = 0 jtsswgs = 0 jtsswgd = 0 ++njts = 20 njtssw = 20 njtsswg = 20 ++xtss = 0.02 xtsd = 0.02 xtssws = 0.02 ++xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 ++tnjts = 0 tnjtssw = 0 tnjtsswg= 0 ++vtss = 10 vtsd = 10 vtssws = 10 ++vtsswd = 10 vtsswgs = 10 vtsswgd = 10 ++pbs = 0.606 cjs = 0.00095 mjs = 0.296 ++pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 ++pbswgs = 0.861 cjswgs = 3.573e-010 mjswgs = 0.40313 ++tpb = 0.00146 tcj = 0.000825 tpbsw = 0.00313 ++tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 ++xtis = 3 +************************************************************** +* LAYOUT RELATED PARAMETERS +************************************************************** ++dmcg = 0 dmdg = 0 dmcgt = 0 ++xgw = 0 xgl = 0 +************************************************************** +* RF PARAMETERS +************************************************************** ++rshg = 0.1 gbmin = 1e-012 rbpb = 50 ++rbpd = 50 rbps = 50 rbdb = 50 ++rbsb = 50 ngcon = 1 xrcrg1 = 12 ++xrcrg2 = 1 rbps0 = 50 rbpsl = 0 ++rbpsw = 0 rbpsnf = 0 rbpd0 = 50 ++rbpdl = 0 rbpdw = 0 rbpdnf = 0 ++rbpbx0 = 100 rbpbxl = 0 rbpbxw = 0 ++rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 ++rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 ++rbsby0 = 100 rbdbx0 = 100 rbdby0 = 100 ++rbsdbxl = 0 rbsdbxw = 0 rbsdbxnf= 0 ++rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 +************************************************************** +* STRESS PARAMETERS +************************************************************** ++web = 0 wec = 0 scref = 1e-006 ++kvth0we = 0 k2we = 0 ku0we = 0 ++saref = 1e-006 sbref = 1e-006 wlod = 0 ++kvth0 = 0 lkvth0 = 0 wkvth0 = 0 ++pkvth0 = 0 llodvth = 0 wlodvth = 0 ++stk2 = 0 lodk2 = 1 lodeta0 = 1 ++ku0 = 0 lku0 = 0 wku0 = 0 ++pku0 = 0 llodku0 = 0 wlodku0 = 0 ++kvsat = 0 steta0 = 0 tku0 = 0 + +.endl nmos_6p0_nat_t +* +*************************************************************************************************** +* 6V PMOS Models +*************************************************************************************************** +* +.lib pmos_6p0_t + + +.subckt pmos_6p0_sab d g s b w=10u l=0.5u par=1 s_sab=0.28u d_sab=2.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.01051 ++ par_k=0.00517 ++ par_l=3e-7 ++ par_w=-4e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pmos_6p0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model pmos_6p0.0 pmos +***** Flag Parameter *** ++level = 54 version = 4.6 binunit = 1 ++paramchk = 1 mobmod = 0 capmod = 2 ++rdsmod = 0 igcmod = 0 igbmod = 0 ++rbodymod = 0 trnqsmod = 0 acnqsmod = 0 ++fnoimod = 1 tnoimod = 0 diomod = 1 ++tempmod = 0 permod = 1 geomod = 1 +***** Geometry Range Parameter *** ++lmin = 0.5e-6 lmax = 50.01e-6 wmin = 0.3e-6 ++wmax = 100.01e-6 +***** Process Parameter *** ++epsrox = 3.9 toxe = '1.56E-8+pmos_6p0_dtox' xj = 1.5E-7 ++ndep = 1.7E17 ngate = 3.6E19 nsd = 6E16 ++rsh = 7 rshg = 0.1 phin = 0 ++lphin = 0.1408 +***** dW and dL Parameter *** ++wint = 4.9E-8 wl = 0 wln = 1 ++ww = -1.37E-14 wwn = 1 wwl = 3.04E-22 ++lint = 6.7E-8 ll = -5.4E-15 lln = 1 ++lw = 0 lwn = 1 lwl = -4.76E-21 ++dwg = -6.6E-9 dwb = -3E-9 xl = '0+pmos_6p0_dxl' ++xw = '0+pmos_6p0_dxw' +***** Vth Related Parameter *** ++vth0 = '-0.8978+pmos_6p0_dvth0' pvth0 = '7.6E-3+8.47e-3*pmos_6p0_dvth0' ++k1 = 0.9588 k2 = 8.936E-3 vfb = -1 ++k3 = -0.75 k3b = 1.2104 w0 = 3.1E-7 ++lpe0 = -4.4E-8 lpeb = -5.96E-8 dvtp0 = 0 ++dvtp1 = 0.3 dvt0 = 1 dvt1 = 1 ++dvt2 = 0 dvt0w = 0 dvt1w = 5.3E6 ++dvt2w = -0.032 +***** Mobility Related Parameter *** ++u0 = 0.0151 ua = 1.78E-9 ub = 4.88E-19 ++uc = -2.7435E-11 luc = 8.691408E-11 puc = -1.501336E-11 ++vsat = 8.55E4 a0 = 0.84 ags = 0.059 ++b0 = 2.625E-8 b1 = 0 keta = -8.6016E-5 ++wketa = 2.772E-3 a1 = 0 a2 = 1 ++rdsw = 1.426E3 wrdsw = 213.9 prdsw = -120 ++rdswmin = 100 prwb = 0.569552 pprwb = -0.052 ++prwg = 0.0432 wr = 1 +***** Subthreshold Related Parameter *** ++voff = -0.1284 voffl = 2.19E-8 minv = 0 ++nfactor = 1 eta0 = 0.08 etab = -0.09408 ++petab = -0.012128 dsub = 0.4824 cit = 0 ++cdsc = 2.4E-4 cdscb = 0 cdscd = 0 +***** Output Resistance Related Parameter *** ++pclm = 0.42 ppclm = 0.071 pdiblc1 = 0.14 ++pdiblc2 = 1E-5 pdiblcb = 0 drout = 0.56 ++pscbe1 = 5.088E8 pscbe2 = 1E-8 pvag = 1.5 ++delta = 0.01 fprout = 0 pdits = 0.01 ++pditsl = 0 pditsd = 0 lambda = 0 ++vtl = 2E5 lc = 0 xn = 3 ++alpha0 = 9.6E-7 alpha1 = 51.5 beta0 = 50.8 ++wbeta0 = 0.22 pbeta0 = 0.14 +***** GIDL Effect Parameters *** ++agidl = 1.1E-15 pagidl = 6.27545E-16 bgidl = 1.578E5 ++egidl = 1.19653E-2 +***** Noise Parameters *** ++ef = 1.1 noia = 'pmos_6p0_noia' ++noib = 'pmos_6p0_noib' noic = 'pmos_6p0_noic' +***** Capacitance Parameter *** ++xpart = 1 cgso = '7.71E-11*pmos_6p0_dcgso' cgdo = '7.71E-11*pmos_6p0_dcgdo' ++cgbo = 1E-13 ckappas = 0.6 ckappad = 0.6 ++dlc = 7.4E-9 noff = 1 voffcv = 0 ++acde = 0.7 moin = 15 cgsl = '5.25E-11*pmos_6p0_dcgso' ++cgdl = '5.25E-11*pmos_6p0_dcgdo' +***** Souce/Drain Junction Diode Model Parameter *** ++ijthsrev = 0.1 ijthdrev = 0.1 ijthsfwd = 0.1 ++ijthdfwd = 0.1 xjbvs = 1 xjbvd = 1 ++bvs = 10.5 bvd = 10.5 jss = 2.0867e-007 ++jsd = 2.0867e-007 jsws = 1.6088e-013 jswd = 1.6088e-013 ++jswgs = 0 jswgd = 0 cjs = 0.000912 ++cjd = 0.000912 mjs = 0.32713 mjd = 0.32713 ++mjsws = 0.056777 mjswd = 0.056777 cjsws = 1.4649e-010 ++cjswd = 1.4649e-010 cjswgs = 3.3229e-010 cjswgd = 3.3229e-010 ++mjswgs = 0.50996 mjswgd =0.50996 pbs = 0.76836 ++pbd = 0.76836 pbsws = 0.5 pbswd = 0.5 ++pbswgs = 1.2295 pbswgd = 1.2295 +***** Temperature coefficient *** ++tnom = 25 ute = -1.2 lute = -0.152467 ++wute = -0.07 kt1 = -0.3828 pkt1 = 2.2E-3 ++kt1l = -3.158E-8 kt2 = -0.09064 ua1 = 1.41E-9 ++lua1 = -6.554813E-10 wua1 = -1.2E-10 pua1 = -3.823641E-10 ++ub1 = -4.31E-18 lub1 = 1.939773E-19 pub1 = 7.291324E-19 ++uc1 = 1.147552E-10 luc1 = -1.067674E-10 puc1 = 1.8536E-11 ++at = -2.18E4 pat = -6.1E3 prt = 454 ++njs = 1 njd = 1 xtis = 3 ++xtid = 3 tpb = 0.0019314 tpbsw = 0.0017642 ++tpbswg = 0.0016588 tcj = 0.001 tcjsw = 0.00071888 ++tcjswg = 0.0009411 + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m2 + +.endl pmos_6p0_t +* +* +* +.LIB dio + +.model np_3p3 d level = 3 ++tref = 25 ++is = '2.2959e-007 * jsa' ++jsw = '2.1207e-013 * jsa' ++ik = 300000 ++bv = 11.0 ++ibv = 0.001 ++n = 1.01 ++rs = '2e-010 * rsa' ++jtun = 1.1223e-005 ++jtunsw = 6.4125e-012 ++ntun = 10 ++cj = '0.00096797* cja' ++cjp = '1.5663e-010* cjswa' ++pb = 0.70172 ++php = 0.8062 ++mj = 0.32071 ++mjsw = 0.1 ++tlev = 1 ++tlevc = 1 ++trs = 4.5778e-005 ++xti = 3 ++xtitun = -25 ++cta = 0.0009438 ++ctp = 0.00060474 ++eg = 1.17 ++tpb = 0.0018129 ++tphp = 5e-005 +* +.model pn_3p3 d level = 3 ++tref = 25 ++is = '1.653e-007 * jsa' ++jsw = '2.1207e-013 * jsa' ++ik = 500000 ++bv = 10.5 ++ibv = 0.001 ++n = 1 ++rs = '2e-010 * rsa' ++jtun = 5.4028e-005 ++jtunsw = 9.8419e-011 ++ntun = 60 ++cj = '0.00094344* cja' ++cjp = '1.5078e-010* cjswa' ++pb = 0.69939 ++php = 0.8022 ++mj = 0.32084 ++mjsw = 0.05 ++tlev = 1 ++tlevc = 1 ++trs = 3.8628e-005 ++xti = 3 ++xtitun = -40 ++cta = 0.00099187 ++ctp = 0.00063483 ++eg = 1.17 ++tpb = 0.0016906 ++tphp = 0.0052 +* +.model np_6p0 d level = 3 ++tref = 25 ++is = '6.88e-007 * jsa' jsw = '4.88e-013 * jsa' ik = 229000 ++bv = 11 ibv = 0.001 ikr = 1e-030 ++n = 1.0541 rs = '2e-010 * rsa' ++cj = '0.00095 * cja' cjp = '1.33e-010 * cjswa' pb = 0.606 ++php = 0.48 mj = 0.296 mjsw = 0.01 ++tlev = 1 tlevc = 1 trs = 0.0001 ++xti = 5 cta = 0.000825 ctp = 0.0018 ++tpb = 0.00146 tphp = 0.00313 eg = 1.11 + +.model pn_6p0 d level = 3 ++tref = 25 ++is = '2.0867e-007 * jsa' jsw = '1.6088e-013 * jsa' ik = 253800 ++ikr = 0 n = 1.0058 rs = '2.0e-010 * rsa' ++cj = '0.000912 * cja' cjsw = '1.4649e-010 * cjswa' pb = 0.76836 php = 0.5 ++mj = 0.32713 mjsw = 0.056777 ++tlev = 1 tlevc = 1 trs = 0.00168 xti = 3 ++cta = 0.001 ctp = 0.00071888 tpb = 0.0019314 tphp = 0.0017642 ++eg = 1.17 bv=10.5 +* +.model nwp_3p3 d level = 3 ++area = 1.6e-009 ++pj = 0.00016 ++tref = 25 ++is = '1.5654e-006 * jsa' ++jsw = '1.6912e-012 * jsa' ++ik = 300000 ++bv = 0 ++ibv = 0.001 ++n = 1.01 ++rs = '2e-010 * rsa' ++jtun = 0.00037353 ++jtunsw = 3.0737e-011 ++ntun = 22 ++cj = '0.00014917* cja' ++cjp = '5.8113e-010*cjswa' ++pb = 0.5755 ++php = 0.55456 ++mj = 0.33979 ++mjsw = 0.2257 ++tlev = 1 ++tlevc = 1 ++trs = 3.8628e-005 ++xti = 3 ++xtitun = -46 ++cta = 0.0023998 ++ctp = 0.0010977 ++eg = 1.18 ++tpb = 0.0027641 ++tphp = 0.0019629 +* +.model nwp_6p0 d level = 3 ++tref = 25 ++is = '1.6119e-006 * jsa' jsw = '2e-012 * jsa' ik = 100000 ++ikr = 0 n = 1 rs = '2e-010 * rsa' ++cj = '0.00014914 * cja' cjsw = '5.8719e-010 * cjswa' pb = 0.43905 php = 0.48991 ++mj = 0.30525 mjsw = 0.21757 ++tlev = 1 tlevc = 1 trs = 0 xti = 3 ++cta = 0.0028626 ctp = 0.00091707 tpb = 0.0024779 tphp = 0.00125 ++eg = 1.1763 bv=14 +* +.model dnwpw d level = 3 ++tref = 25 ++is = '5.2139e-007* jsa' jsw = '0* jsa' ik = 711930 vb = 14.732 ++ibv = 0.001 ikr = 0 n = 0.98 rs = '2e-010* rsa' ++cj = '0.00032124* cja' cjp = '5.4659e-010* cjswa' pb = 0.63391 php = 0.77752 ++mj = 0.31113 mjsw = 0.39816 ++tlev = 1 tlevc = 1 trs = 0.0002207 xti = 3 ++cta = 0.0012922 ctp = 0.0010772 tpb = 0.0019819 tphp = 0.0016567 ++eg = 1.17 +* +.model dnwps d level = 3 ++tref = 25 ++is = '2e-006* jsa' jsw = '1e-12* jsa' ik = 229050 vb = 30.48 ++ibv = 0.001 ikr = 0 n = 0.99335 rs = '2e-010* rsa' ++cj = '0.00022998* cja' cjp = '7.2369e-010* cjswa' pb = 0.35175 php = 0.37806 ++mj = 0.14716 mjsw = 0.19821 ++tlev = 1 tlevc = 1 trs = 0.0026028 xti = 3 ++cta = 0.0012309 ctp = 0.0012111 tpb = 0.0019414 tphp = 0.0017152 ++eg = 1.17 +* +.model sc_diode d level = 3 ++tref = 25 ++js = '8.16*10**jsa_sc' jsw = 0 ik = 4e+010 vb = '17 + vba_sc' ++ibv = 9.92e-005 ikr = 4e+008 n = 1.0553 rs = '2.768e-009*rs_sc' ++jtun = '1048.7*10**jtuna_sc' jtunsw = 0 ntun = 72.211 ++cj = '0.00176*cja_sc' cjp = 0 pb = 0.14256 php = 0.93627 ++mj = 0.02604 mjsw = 0.1545 ++tlev = 1 tlevc = 1 tcv = -5e-005 trs = 0.0022143 ++xti = 3 xtitun = -12.347 cta = 6.2962e-005 ctp = 0 ++tpb = 0.0002696 tphp = 0 eg = 0.61 +* +.endl diode +* +******************************************************************************************************* +* Resistor Models +* ---------------------- +* +* Temperature : -40, 0, 25, 50, 75, 100 and 125C. +* +* The resistor models were generated from the resistor characterization reports R-EZ-ER-557 Rev.1B or +* refer to resistor_VCR document attached in the lotus notes document. The user is advised to follow +* the instructions on the usage and understand the limitations of the models documented in this report. +* In particular the user should take note of the following : +* +* a. The parameters for each model were extracted from test structures with various dimensions. +* The user should therefore take note of this limitation when extending design & simulation +* beyond the test conditions. +* +* b. The voltage coefficients (r_vc1 and r_vc2) are set to zero by default in each model. +* If the need arise, the user should consult the resistor characterization report for the +* appropriate values to use. +* +* c. The Poly-STI-substrate capacitance parameters are obtained from YI-141-IA001 Rev. 1B. +* +* The models included in this release are as follows : +* +* Model Name Description +* ---------- ----------- +* +* nplus_u Model for 3-terminal unsalicidedn+ diffusion resistor +* pplus_u Model for 3-terminal unsalicidedP+ diffusion resistor +* nplus_s Model for 3-terminal salicided N+ diffusion resistor +* pplus_s Model for 3-terminal salicided P+ diffusion resistor +* nwell Model for 3-terminal nwell resistor under STI +* npolyf_u Model for 3-terminal unsalicidedn+ poly resistor +* ppolyf_u Model for 3-terminal unsalicided p+ poly resistor +* npolyf_s Model for 3-terminal salicided n+ poly resistor +* ppolyf_s Model for 3-terminal salicided p+ poly resistor +* ppolyf_u_1k Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide +* ppolyf_u_2k Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide +* ppolyf_u_1k_6p0 Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (6.0V area) +* ppolyf_u_2k_6p0 Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (6.0V area) +* ppolyf_u_3k Model for 3-terminal 3k high-Rs p+ poly resistor on field oxide (3.3V & 6V area) +* rm1 Model for 2-terminal metal 1 resistor +* rm2 Model for 2-terminal metal 2 resistor +* rm3 Model for 2-terminal metal 3 resistor +* tm6k Model for 2-terminal top metal 6k resistor +* tm9k Model for 2-terminal top metal 9k resistor +* tm30k Model for 2-terminal top metal 30k resistor +******************************************************************************************************* +.LIB res +* model for unsalicided n+ diffusion resistor +.subckt nplus_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_nplus_u*(1+(mc_rsh_nplus_u/(rsh_nplus_u))*res_mc_skew*sw_stat_global)' ++ r_dw='-5E-8*(1+ mc_dw_nplus_u*res_mc_skew*sw_stat_global)' ++ r_dl=2E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* + par_r=0.012608 +* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' +* + var_r='0.7071*par_r*1e-06/par_sqrtarea' +* + mis_r=agauss(0, 0.1, 1) +**** + mis_r=agauss(0, var_r, 1) ++ mis_r = 0 + +* model for terminal resistor +.model nplus_u_t r ++ rsh='18.5+ mc_rt_nplus_u*res_mc_skew*sw_stat_global' ++ tc1=8.5E-4 ++ tc2=1.75E-6 ++ tnom=25 +* model for substrate capacitor +.model np_3p3 d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +rt1 1 11 nplus_u_t l='s*1u' w=r_w dtemp=dtemp +d1 3 1 np_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' +* terminal 2 +rt2 21 2 nplus_u_t l='s*1u' w=r_w dtemp=dtemp +d2 3 2 np_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends nplus_u +******************************************************************************************************* +* model for unsalicided p+ diffusion resistor +.subckt pplus_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_pplus_u*(1+mc_rsh_pplus_u/(rsh_pplus_u)*res_mc_skew*sw_stat_global)' ++ r_dw='2.75E-8*(1+ mc_dw_pplus_u*res_mc_skew*sw_stat_global)' ++ r_dl=5.0E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* + par_r=0.0126 +* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' +* + var_r='0.7071*par_r*1e-06/par_sqrtarea' +* + mis_r=agauss(0, 0.1, 1) +** + mis_r=agauss(0, var_r, 1) ++ mis_r=0 + +* model for terminal resistor +.model pplus_u_t r ++ rsh='50+mc_rt_pplus_u*res_mc_skew*sw_stat_global' ++ tc1=-1.528E-3 ++ tc2=0.7E-6 ++ tnom=25 +* model for substrate capacitor +.model pn_3p3 d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +rt1 1 11 pplus_u_t l='s*1u' w=r_w dtemp=dtemp +d1 1 3 pn_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' +* terminal 2 +rt2 21 2 pplus_u_t l='s*1u' w=r_w dtemp=dtemp +d2 2 3 pn_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends pplus_u +******************************************************************************************************* +* model for salicided n+ diffusion resistor +.subckt nplus_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_nplus_s*(1 + mc_rsh_nplus_s/(rsh_nplus_s)*res_mc_skew*sw_stat_global)' ++ r_dw='-1.25E-8*(1+mc_dw_nplus_s*res_mc_skew*sw_stat_global)' ++ r_dl=3.5E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.3E-3 ++ r_tc2=3E-7 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for terminal resistor +.model nplus_s_t r ++ rsh=6 ++ tc1=1.43E-3 ++ tc2=-0.27E-6 ++ tnom=25 +* model for substrate capacitor +.model np_3p3 d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +rt1 1 11 nplus_s_t l='s*1u' w=r_w dtemp=dtemp +d1 3 1 np_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 nplus_s_t l='s*1u' w=r_w dtemp=dtemp +d2 3 2 np_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends nplus_s +******************************************************************************************************* +* model for salicided p+ diffusion resistor +.subckt pplus_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_pplus_s*(1+ mc_rsh_pplus_s/(rsh_pplus_s)*res_mc_skew*sw_stat_global)' ++ r_dw='-5E-8*(1+mc_dw_pplus_s*res_mc_skew*sw_stat_global)' ++ r_dl=3.5E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.375E-3 ++ r_tc2=0.45E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for terminal resistor +.model pplus_s_t r ++ rsh=6.5 ++ tc1=1.565E-3 ++ tc2=-0.028E-6 ++ tnom=25 +* model for substrate capacitor +.model pn_3p3 d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +rt1 1 11 pplus_s_t l='s*1u' w=r_w dtemp=dtemp +d1 1 3 pn_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 pplus_s_t l='s*1u' w=r_w dtemp=dtemp +d2 2 3 pn_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends pplus_s +******************************************************************************************************* +* model for Nwell resistor under STI +.subckt nwell 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0 = rsh_nwell ++ r_dw = 2.22E-7 ++ r_dl = 1.02E-8 ++ r_vc1 = 0 ++ r_vc2 = 0 ++ r_tc1 = 2.285E-3 ++ r_tc2 = 9.78E-6 ++ r_tnom = 25 ++ r_l = 's*(r_length-2*r_dl)' ++ r_w = 'r_width-2*r_dw' ++ r_n = 'r_l/r_w' ++ r_temp = '1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for terminal resistor +.model nwell_t r ++ rsh = 250 ++ tc1 = 1.72E-3 ++ tc2 = 9.34E-6 ++ tnom = 25 +* model for substrate capacitor +.model nwp d ++ level = 3 ++ cj = 0.00014917 ++ mj = 0.33979 ++ pb = 0.5755 ++ cjsw = 5.8113e-010 ++ mjsw = 0.2257 ++ php = 0.55456 ++ cta = 0.0023998 ++ ctp = 0.0010977 ++ tpb = 0.0027641 ++ tphp = 0.0019629 ++ tlevc = 1 ++ tref = 25 +*------------------- +* terminal 1 +rt1 1 11 nwell_t l='s*1u' w=r_w dtemp=dtemp +d1 3 1 nwp area='r_w*r_l/2' PJ= 'r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 nwell_t l='s*1u' w=r_w dtemp=dtemp +d2 3 2 nwp area='r_w*r_l/2' PJ= 'r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends nwell +******************************************************************************************************* +******************************************************************************************************* +* model for n+ poly on field oxide resistor +.subckt npolyf_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +* model for body resistor ++ r_rsh0='rsh_npolyf_u*(1+mc_rsh_npolyf_u/(rsh_npolyf_u)*res_mc_skew*sw_stat_global)' ++ r_dw='0.0265e-6*(1+ mc_dw_npolyf_u*res_mc_skew*sw_stat_global)' ++ r_dl=8.48e-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-1.4e-3 ++ r_tc2=2.2E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* + par_r=0.05808 +* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' +* + var_r='0.7071*par_r*1e-06/par_sqrtarea' +* + mis_r=agauss(0, 0.1, 1) +** + mis_r=agauss(0, var_r, 1) ++ mis_r=0 + +.model npolyf_u_body r ++ af=1.684 ++ kf=3.6e-23 ++ noise=1 +* model for terminal resistor +.model npolyf_u_t r ++ rsh='40+ mc_rt_npolyf_u*res_mc_skew*sw_stat_global' ++ tc1=-0.735E-3 ++ tc2=-1.7E-6 ++ tnom=25 ++ af=1.684 ++ kf=3.6e-23 ++ noise=1 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 npolyf_u_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 npolyf_u_body l=r_l w=r_w ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' +* terminal 2 +rt2 21 2 npolyf_u_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends npolyf_u +******************************************************************************************************* +* model for P+ poly on field oxide resistor +.subckt ppolyf_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +* model for body resistor ++ r_rsh0='rsh_ppolyf_u*(1+mc_rsh_ppolyf_u/(rsh_ppolyf_u)*res_mc_skew*sw_stat_global)' ++ r_dw='2.55E-8*(1+ mc_dw_ppolyf_u*res_mc_skew*sw_stat_global)' ++ r_dl=2E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-0.9e-4 ++ r_tc2=7E-7 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* + par_r=0.021 +* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' +* + var_r='0.7071*par_r*1e-06/par_sqrtarea' +* + mis_r=agauss(0, 0.1, 1) +** + mis_r=agauss(0, var_r, 1) ++ mis_r = 0 + +.model ppolyf_u_body r ++ af=1.79 ++ kf=2.4E-23 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_t r ++ rsh='60+ mc_rt_ppolyf_u*res_mc_skew*sw_stat_global' ++ tc1=-1.47E-3 ++ tc2=0.82E-6 ++ tnom=25 ++ af=1.79 ++ kf=2.4E-23 ++ noise=1 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85e-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_body l=r_l w=r_w ++r='(r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n))*(1+mis_r*sw_stat_mismatch)' +* terminal 2 +rt2 21 2 ppolyf_u_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u +******************************************************************************************************* +******************************************************************************************************* +* model for salicided n+ poly over field oxide resistor +.subckt npolyf_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +* model for body resistor ++ r_rsh0='rsh_npolyf_s*(1+mc_rsh_npolyf_s/(rsh_npolyf_s)*res_mc_skew*sw_stat_global)' ++ r_dw='6.5e-9*(1+mc_dw_npolyf_s*res_mc_skew*sw_stat_global)' ++ r_dl=1.5e-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.26e-3 ++ r_tc2=0.25E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model npolyf_s_body r ++ af=1.684 ++ kf=3.6e-23 ++ noise=1 +* model for terminal resistor +.model npolyf_s_t r ++ rsh=5.5 ++ tc1=1.28E-3 ++ tc2=-0.5E-6 ++ tnom=25 ++ af=1.684 ++ kf=3.6e-23 ++ noise=1 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 npolyf_s_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 npolyf_s_body l=r_l w=r_w ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 npolyf_s_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends npolyf_s +******************************************************************************************************* +******************************************************************************************************* +* model for salicided p+ poly over field oxide resistor +.subckt ppolyf_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +* model for body resistor ++ r_rsh0='rsh_ppolyf_s*(1+mc_rsh_ppolyf_s/(rsh_ppolyf_s)*res_mc_skew*sw_stat_global)' ++ r_dw='7.5E-9*(1+mc_dw_ppolyf_s*res_mc_skew*sw_stat_global)' ++ r_dl=1.5E-10 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.245e-3 ++ r_tc2=3.6E-7 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_s_body r ++ af=1.79 ++ kf=2.4E-23 ++ noise=1 +* model for terminal resistor +.model ppolyf_s_t r ++ rsh=5 ++ tc1=1.254E-3 ++ tc2=-0.27E-6 ++ tnom=25 ++ af=1.79 ++ kf=2.4E-23 ++ noise=1 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85e-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_s_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_s_body l=r_l w=r_w ++r='(r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n))' +* terminal 2 +rt2 21 2 ppolyf_s_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_s +******************************************************************************************************* +* model for 1k high-Rs P+ poly on field oxide resistor (LV area) +******************************************************************************************************* +.subckt ppolyf_u_1k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_1k*(1+ mc_rsh_ppolyf_u_1k/(rsh_ppolyf_u_1k)*res_mc_skew*sw_stat_global)' ++ r_dw='0.0148E-6*(1+ mc_dw_ppolyf_u_1k*res_mc_skew*sw_stat_global)' ++ r_dl=3.85E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-9.39e-4 ++ r_tc2=2.51E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_1k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_1k_t r ++ rsh='85.45+mc_rt_ppolyf_u_1k*res_mc_skew*sw_stat_global' ++ tc1=-7.92E-3 ++ tc2=4.25E-5 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_1k_body l=r_l w=r_w ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_1k +******************************************************************************************************* +*** model for 2k high-rs P+ poly on field oxide resistor (LV area) +******************************************************************************************************* +* model for 2k high-Rs P+ poly on field oxide resistor +.subckt ppolyf_u_2k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_2k*(1+ mc_rsh_ppolyf_u_2k/(rsh_ppolyf_u_2k)*res_mc_skew*sw_stat_global)' ++ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_2k*res_mc_skew*sw_stat_global)' ++ r_dl=-0.0932E-6 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-0.001669823 ++ r_tc2=3.74326E-06 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_2k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_2k_t r ++ rsh='33.16+mc_rt_ppolyf_u_2k*res_mc_skew*sw_stat_global' ++ tc1=-0.003763316 ++ tc2=9.81166E-06 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_2k_body l=r_l w=r_w ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_2k +******************************************************************************************************* +* model for 1k high-Rs P+ poly on field oxide resistor (MV area) +******************************************************************************************************* +.subckt ppolyf_u_1k_6p0 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_1k_6p0*(1 + mc_rsh_ppolyf_u_1k_6p0/(rsh_ppolyf_u_1k_6p0)*res_mc_skew*sw_stat_global)' ++ r_dw='0.0148E-6*(1+ mc_dw_ppolyf_u_1k_6p0*res_mc_skew*sw_stat_global)' ++ r_dl=3.85E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-9.39e-4 ++ r_tc2=2.51E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_1k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_1k_t r ++ rsh='85.45+mc_rt_ppolyf_u_1k_6p0*res_mc_skew*sw_stat_global' ++ tc1=-7.92E-3 ++ tc2=4.25E-5 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_1k_body l=r_l w=r_w ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_1k_6p0 +******************************************************************************************************* +*** model for 2k high-rs P+ poly on field oxide resistor (MV area) +******************************************************************************************************* +* model for 2k high-Rs P+ poly on field oxide resistor +.subckt ppolyf_u_2k_6p0 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_2k_6p0+mc_rsh_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global' ++ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global)' ++ r_dl=-0.0932E-6 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-0.001669823 ++ r_tc2=3.74326E-06 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_2k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_2k_t r ++ rsh='33.16+mc_rt_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global' ++ tc1=-0.003763316 ++ tc2=9.81166E-06 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_2k_body l=r_l w=r_w ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_2k_6p0 +******************************************************************************************************* +*** model for 3k high-rs P+ poly on field oxide resistor (LV & MVarea) +******************************************************************************************************* +* model for 3k high-Rs P+ poly on field oxide resistor +.subckt ppolyf_u_3k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_3k*(1 + mc_rsh_ppolyf_u_3k/(rsh_ppolyf_u_3k)*res_mc_skew*sw_stat_global)' ++ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_3k*res_mc_skew*sw_stat_global)' ++ r_dl=-0.0932E-6 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-0.001669823 ++ r_tc2=3.74326E-06 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_3k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_3k_t r ++ rsh='33.16+mc_rt_ppolyf_u_3k*res_mc_skew*sw_stat_global' ++ tc1=-0.003763316 ++ tc2=9.81166E-06 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_3k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_3k_body l=r_l w=r_w ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 ppolyf_u_3k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_3k +******************************************************************************************************* +* model for metal 1 resistor +.subckt rm1 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_rm1 ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.33E-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends rm1 +******************************************************************************************************* +* model for metal 2 resistor +.subckt rm2 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_rm2 ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.33E-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends rm2 +******************************************************************************************************* +* model for metal 3 resistor +.subckt rm3 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_rm3 ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.33E-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends rm3 +*************************************************************************************** +* model for top metal 6k resistor +.subckt tm6k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_tm6k ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.5e-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends tm6k +*************************************************************************************** +* model for top metal 9k resistor +.subckt tm9k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_tm9k ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.7e-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends tm9k +*************************************************************************************** +* model for top metal 11k resistor +.subckt tm11k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_tm11k ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.7e-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends tm11k +*************************************************************************************** +* model for top metal 30k resistor +.subckt tm30k 1 2 r_length=l r_width=w par=1 s=1 dtemp=0 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_tm30k' ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.86e-3 ++ r_tc2=1.51e-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*rb 1 2 r='r_temp*r_n*r_rsh0' vc1 = 'r_vc1/r_n/r_rsh0' vc2 = 'r_vc2/r_n/r_n/r_rsh0' +*------------------- +.ends tm30k +*************************************************************************************** +* +.ENDL res +* +* ---------------------------------------------------------------------------------------------------- +* MIM Capacitor Scalable DC Model +* +*The models are obtained from YI-141-SM003 Rev. 1E. +* +* ---------------------------------------------------------------------------------------------------- +.LIB mim_cap +*/ ------------------------------------------------------------------------------------- +*/ MIM Capacitor (1.5fF/um2) subcircuit model for GF's 0.18 Analog CMOS process +*/-------------------------------------------------------------------------------------- +.subckt mim_1p5fF 1 2 c_length=l c_width=w dtemp=0 par=1 +.param ++ c_cox='1.47e-3*mim_corner_1p5fF' ++ c_capsw='3.79e-10*mim_corner_1p5fF' ++ c_tnom=25 ++ c_tc1=4.0604E-05 ++ c_tc2=-6.90E-08 ++ c_vcr1=-4.5152E-05 ++ c_vcr2=9.748E-06 ++ c_area='c_length*c_width' ++ c_peri='2*(c_length+c_width)' ++ c_c0='(c_cox*c_area+c_capsw*c_peri)*(1+c_tc1*(temper+dtemp-c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' +*/ +*/ model for capacitance +c_cap 1 2 c='c_c0*(1+ c_vcr1*v(1, 2)+c_vcr2*v(1,2)*v(1,2) )*(1+mc_c_cox_1p5fF)' +** +.ends mim_1p5fF +*/ ------------------------------------------------------------------------------------- +*/ MIM Capacitor (1fF/um2) subcircuit model for GF's 0.18 Analog CMOS process +*/-------------------------------------------------------------------------------------- +.subckt mim_1p0fF 1 2 c_length=l c_width=w dtemp=0 par=1 +.param ++ c_cox='0.987e-3*mim_corner_1p0fF' ++ c_capsw='3.3e-10*mim_corner_1p0fF' ++ c_tnom=25 ++ c_tc1=1.302e-5 ++ c_tc2=-4.93e-9 ++ c_vcr1=6.079e-6 ++ c_vcr2=1.268e-6 ++ c_area='c_length*c_width' ++ c_peri='2*(c_length+c_width)' ++ c_c0='(c_cox*c_area+c_capsw*c_peri)*(1+c_tc1*(temper+dtemp-c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' +*/ +*/ model for capacitance +c_cap 1 2 c='c_c0*(1+ c_vcr1*v(1, 2)+c_vcr2*v(1,2)*v(1,2) )*(1+mc_c_cox_1p0fF)' +** +.ends mim_1p0fF +*/ ------------------------------------------------------------------------------------- +*/ MIM Capacitor (2fF/um2) subcircuit model for GLOBALFOUNDRIES 0.18 Analog CMOS process M2-M3 +*/-------------------------------------------------------------------------------------- +.subckt mim_2p0fF 1 2 c_length=l c_width=w dtemp=0 par=1 +.param gleak='9.51e-10/5*10000' +.param c_cox='1.99e-3*mim_corner_2p0fF' +.param c_capsw='2.383e-10*mim_corner_2p0fF' +.param c_vcr1='0+(c_width>5u||c_length>5u)*8.742e-6+(c_width<=5u||c_length<=5u)*(-81e-6)' +.param c_vcr2='0+(c_width>5u||c_length>5u)*9.188e-6+(c_width<=5u||c_length<=5u)*(16.7e-6)' + +.param c_tnom=25 +.param c_tc1=1.46e-5 +.param c_tc2=-5.55e-8 +.param c_AREA='c_length*c_width' +.param c_PERI='2*(c_length+c_width)' + +.param c_c0='(c_cox*c_AREA+c_capsw*c_PERI)*(1+c_tc1*(temper +dtemp -c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' +* +c_cap 1 2 c='c_c0*(1+c_vcr1*v(1,2)+c_vcr2*v(1,2)*v(1,2))*(1+mc_c_cox_2p0fF)' +r_leak 1 2 r='1/(gleak*c_AREA)' tc1=c_tc1 tc2=c_tc2 dtemp=dtemp +.ends mim_2p0fF +.ENDL mim_cap +* ---------------------------------------------------------------------------------------------------- +* +* +.LIB moscap + +.subckt nmoscap_3p3 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.002003 +.param cvar2=0.00198 +.param cvar3=6.25 +.param cvar4=-3.9375 +c_moscap 1 2 c='nmoscap_3p3_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends nmoscap_3p3 +* +.subckt pmoscap_3p3 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001998 +.param cvar2=0.00196 +.param cvar3=-6.25 +.param cvar4=-4.9375 +c_moscap 1 2 c='pmoscap_3p3_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends pmoscap_3p3 +* +.subckt nmoscap_6p0 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001107 +.param cvar2=0.00107 +.param cvar3=6.25 +.param cvar4=-4.1875 +c_moscap 1 2 c='nmoscap_6p0_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends nmoscap_6p0 +* +.subckt pmoscap_6p0 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001107 +.param cvar2=0.00107 +.param cvar3=-6.25 +.param cvar4=-5.75 +c_moscap 1 2 c='pmoscap_6p0_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends pmoscap_6p0 +* +.subckt nmoscap_3p3_b 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.002458 +.param cvar2=0.001533 +.param cvar3=1.515152 +.param cvar4=0.560606 +c_moscap 1 2 c='nmoscap_3p3_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends nmoscap_3p3_b +* +.subckt pmoscap_3p3_b 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.002435 +.param cvar2=0.00154 +.param cvar3=-1.66667 +.param cvar4=0.65 +c_moscap 1 2 c='pmoscap_3p3_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends pmoscap_3p3_b +* +.subckt nmoscap_6p0_b 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001293 +.param cvar2=0.000863 +.param cvar3=1.052632 +.param cvar4=0.736842 +c_moscap 1 2 c='nmoscap_6p0_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends nmoscap_6p0_b +* +.subckt pmoscap_6p0_b 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001325 +.param cvar2=0.000865 +.param cvar3=-1.42857 +.param cvar4=0.642857 +c_moscap 1 2 c='pmoscap_6p0_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends pmoscap_6p0_b +* +.ENDL moscap +* +*************************************************************************************************** +* 3.3V NMOS statistical Models +*************************************************************************************************** +* +.lib nmos_3p3_stat + + +.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model nmos_3p3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_0 ++lvth0 = -3.8715455e-008 ++wvth0 = -1.430587e-008 ++pvth0 = 4.3636364e-016 ++k1 = 0.95938091 ++lk1 = -9.9985454e-008 ++k2 = 0.054714558 ++lk2 = -4.1647636e-008 ++wk2 = -1.9242857e-008 ++pk2 = 5.388e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.1262652 ++lvoff = 3.9354545e-009 ++wvoff = 5.3064935e-009 ++pvoff = -1.4858182e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023671338 ++lu0 = 4.6525455e-009 ++wu0 = 4.6066597e-009 ++pu0 = -6.5127273e-016 ++ua = -1.1554452e-009 ++lua = 7.0220545e-016 ++wua = 2.7073777e-016 ++pua = -1.4149745e-022 ++ub = 3.3771156e-018 ++lub = -7.9058636e-025 ++wub = -4.093733e-025 ++pub = 9.2644364e-032 ++uc = 2.2660166e-010 ++luc = -6.1360545e-017 ++wuc = -3.2577351e-017 ++puc = 5.4467782e-024 ++eu = 1.67 ++vsat = 92454.546 ++lvsat = -0.0027272727 ++wvsat = -0.00021818182 ++pvsat = 1.3090909e-009 ++a0 = 0.11197377 ++la0 = -3.1454545e-009 ++wa0 = -6.2322078e-009 ++pa0 = 1.7450182e-015 ++ags = 0.32403844 ++lags = -1.5116364e-008 ++wags = 4.7930493e-008 ++pags = -1.2213818e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.14896036 ++lketa = 3.8830182e-008 ++wketa = 8.1643636e-009 ++pketa = -2.4261818e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3741 ++lpclm = -4.729e-008 ++wpclm = 2.1028364e-008 ++ppclm = 8.5658182e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.652013e-006 ++lalpha0 = -3.0506364e-013 ++walpha0 = 4.8779221e-014 ++palpha0 = -1.3658182e-020 ++alpha1 = 0 ++beta0 = 19.905584 ++lbeta0 = 1.2863636e-007 ++wbeta0 = 1.3848312e-007 ++pbeta0 = 8.7272727e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.45934558 ++lkt1 = 4.2126364e-008 ++wkt1 = 3.2086753e-008 ++pkt1 = -8.6530909e-015 ++kt1l = 0 ++kt2 = -0.024730519 ++lkt2 = 1.2545455e-009 ++wkt2 = 1.0597403e-009 ++pkt2 = -2.9672727e-016 ++ute = -1.5675325 ++lute = 9.0909091e-008 ++wute = 1.0441558e-007 ++pute = -4.3636364e-014 ++ua1 = 1.675e-009 ++ub1 = -4.1945234e-018 ++lub1 = 2.8745455e-025 ++wub1 = 3.3492467e-025 ++pub1 = -5.7490909e-032 ++uc1 = -4.2363636e-011 ++luc1 = -3.8181818e-018 ++wuc1 = -6.5454545e-018 ++puc1 = 1.8327273e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_1 ++lvth0 = -2.3433061e-008 ++wvth0 = -1.2304653e-008 ++pvth0 = -5.642449e-016 ++k1 = 0.74639857 ++lk1 = 6.5057143e-009 ++k2 = 0.0237458 ++lk2 = -2.6163257e-008 ++wk2 = -3.01296e-009 ++pk2 = -2.7269486e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11273959 ++lvoff = -2.8273469e-009 ++wvoff = 1.6942041e-009 ++pvoff = 3.2032653e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029675694 ++lu0 = 1.6503673e-009 ++wu0 = 8.572898e-010 ++pu0 = 1.2234122e-015 ++ua = -1.2961984e-009 ++lua = 7.7258204e-016 ++wua = 4.7264816e-017 ++pua = -2.976098e-023 ++ub = 3.0836898e-018 ++lub = -6.4387347e-025 ++wub = -2.7080816e-026 ++pub = -9.8501878e-032 ++uc = 8.4613959e-011 ++luc = 9.6333061e-018 ++wuc = 2.2398367e-018 ++puc = -1.1961815e-023 ++eu = 1.67 ++vsat = 83571.429 ++lvsat = 0.0017142857 ++wvsat = -0.0017142857 ++pvsat = 2.0571429e-009 ++a0 = 1.0861147 ++la0 = -4.9021592e-007 ++wa0 = -5.1997224e-008 ++pa0 = 2.4627526e-014 ++ags = 0.47870122 ++lags = -9.2447755e-008 ++wags = 4.3304327e-008 ++pags = -9.9007347e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028417143 ++lketa = -2.1441429e-008 ++wketa = -7.4262857e-009 ++pketa = 5.3691429e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.082893878 ++lpclm = 9.8313061e-008 ++wpclm = 4.3902367e-008 ++ppclm = -2.8711837e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.5720816e-006 ++lalpha0 = -2.265098e-012 ++walpha0 = -1.5330612e-014 ++palpha0 = 1.8396735e-020 ++alpha1 = 0 ++beta0 = 22.625306 ++lbeta0 = -1.2312245e-006 ++wbeta0 = -3.5054694e-007 ++pbeta0 = 2.4538775e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33916633 ++lkt1 = -1.7963265e-008 ++wkt1 = -2.4641633e-009 ++pkt1 = 8.6223674e-015 ++kt1l = 0 ++kt2 = -0.020311225 ++lkt2 = -9.5510204e-010 ++wkt2 = -3.9183673e-011 ++pkt2 = 2.5273469e-016 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.804398e-018 ++lub1 = -4.0760816e-025 ++wub1 = 5.6899592e-026 ++pub1 = 8.1521633e-032 ++uc1 = -6.0285714e-011 ++luc1 = 5.1428571e-018 ++wuc1 = 2.0571429e-018 ++puc1 = -2.4685714e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_2 ++lvth0 = -3.224026e-009 ++wvth0 = -9.7008312e-009 ++pvth0 = -3.6888312e-015 ++k1 = 0.79593364 ++lk1 = -5.2936364e-008 ++k2 = 0.0056393844 ++lk2 = -4.4355584e-009 ++wk2 = -7.4596769e-009 ++pk2 = 2.6091117e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12631325 ++lvoff = 1.3461039e-008 ++wvoff = 2.0819221e-009 ++pvoff = -1.4493507e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032447266 ++lu0 = -1.6755195e-009 ++wu0 = 6.7095584e-010 ++pu0 = 1.447013e-015 ++ua = -8.1547091e-010 ++lua = 1.9570909e-016 ++wua = 6.0458182e-018 ++pua = 1.9701818e-023 ++ub = 2.7427942e-018 ++lub = -2.347987e-025 ++wub = -1.6048831e-026 ++pub = -1.1174026e-031 ++uc = 9.84685e-011 ++luc = -6.9921429e-018 ++wuc = -8.8975636e-018 ++puc = 1.4030649e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.224418 ++la0 = -6.5617987e-007 ++wa0 = 4.291948e-009 ++pa0 = -4.2919481e-014 ++ags = 0.25784649 ++lags = 1.7257792e-007 ++wags = -2.606026e-009 ++pags = 4.5191688e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019651071 ++lketa = -3.1960714e-008 ++wketa = -6.5992208e-010 ++pketa = -2.7504935e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18918506 ++lpclm = -2.9236364e-008 ++wpclm = 2.1551688e-009 ++ppclm = 4.7225454e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.5243347e-005 ++lalpha0 = -8.4670617e-011 ++walpha0 = 7.5358442e-012 ++palpha0 = -9.043013e-018 ++alpha1 = 0 ++beta0 = 24.210162 ++lbeta0 = -3.133052e-006 ++wbeta0 = 1.1381299e-007 ++pbeta0 = -3.1184416e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32898149 ++lkt1 = -3.0185065e-008 ++wkt1 = -7.3528831e-009 ++pkt1 = 1.4488831e-014 ++kt1l = 0 ++kt2 = -0.021107143 ++wkt2 = 1.7142857e-010 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5166039e-018 ++lub1 = -7.5296104e-025 ++wub1 = 2.224987e-026 ++pub1 = 1.231013e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_3 ++wvth0 = -1.0069714e-008 ++k1 = 0.79064 ++k2 = 0.0051958286 ++wk2 = -7.1987657e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12496714 ++wvoff = 2.0674286e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032279714 ++wu0 = 8.1565714e-010 ++ua = -7.959e-010 ++wua = 8.016e-018 ++ub = 2.7193143e-018 ++wub = -2.7222857e-026 ++uc = 9.7769286e-011 ++wuc = -8.7572571e-018 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1588 ++ags = 0.27510429 ++wags = 1.9131429e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022847143 ++wketa = -9.3497143e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18626143 ++wpclm = 6.8777143e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6776286e-005 ++walpha0 = 6.6315429e-012 ++alpha1 = 0 ++beta0 = 23.896857 ++wbeta0 = 8.2628571e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.332 ++wkt1 = -5.904e-009 ++kt1l = 0 ++kt2 = -0.021107143 ++wkt2 = 1.7142857e-010 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5919e-018 ++wub1 = 3.456e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_4 ++lvth0 = -4.1979273e-008 ++wvth0 = -2.1596758e-008 ++pvth0 = 2.0029964e-015 ++k1 = 0.95938091 ++lk1 = -9.9985454e-008 ++k2 = 0.041255727 ++lk2 = -3.7879164e-008 ++wk2 = -1.2782618e-008 ++pk2 = 3.5791331e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.079311948 ++lvoff = -9.2114546e-009 ++wvoff = -1.7231065e-008 ++pvoff = 4.8246982e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.033011551 ++lu0 = 4.0251818e-009 ++wu0 = 1.2335751e-010 ++pu0 = -3.5013818e-016 ++ua = -6.3005701e-010 ++lua = 3.9938436e-016 ++wua = 1.8551439e-017 ++pua = 3.8566691e-024 ++ub = 2.2836418e-018 ++lub = -9.0230909e-026 ++wub = 1.1549411e-025 ++pub = -2.4352626e-031 ++uc = 1.5877203e-010 ++luc = -3.4349127e-017 ++wuc = -1.9125195e-020 ++puc = -7.5187026e-024 ++eu = 1.67 ++vsat = 71618.182 ++lvsat = 0.0042909091 ++wvsat = 0.0097832727 ++pvsat = -2.0596364e-009 ++a0 = 0.10680558 ++la0 = -1.6983636e-009 ++wa0 = -3.7514805e-009 ++pa0 = 1.0504145e-015 ++ags = 0.35500309 ++lags = -1.1780546e-008 ++wags = 3.3067462e-008 ++pags = -1.3815011e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12490989 ++lketa = 3.0254945e-008 ++wketa = -3.3798633e-009 ++pketa = 1.6899316e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.45921829 ++lpclm = -8.0088e-008 ++wpclm = -1.9828414e-008 ++ppclm = 2.4308858e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6500109e-006 ++lalpha0 = -2.8170545e-013 ++walpha0 = 4.9740218e-014 ++palpha0 = -2.4870109e-020 ++alpha1 = 0 ++beta0 = 20.982852 ++lbeta0 = -8.9454546e-008 ++wbeta0 = -3.786053e-007 ++pbeta0 = 1.0555636e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37773746 ++lkt1 = 1.6718727e-008 ++wkt1 = -7.0851491e-009 ++pkt1 = 3.5425745e-015 ++kt1l = 0 ++kt2 = -0.014603854 ++lkt2 = -3.3230727e-009 ++wkt2 = -3.8010589e-009 ++pkt2 = 1.9005294e-015 ++ute = -1.4342857 ++wute = 4.0457143e-008 ++ua1 = 1.675e-009 ++ub1 = -3.65896e-018 ++lub1 = 2.4878e-025 ++wub1 = 7.7854254e-026 ++pub1 = -3.8927127e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_5 ++lvth0 = -1.7716408e-008 ++wvth0 = -1.0974289e-008 ++pvth0 = -3.3082384e-015 ++k1 = 0.76833212 ++lk1 = -4.4610612e-009 ++wk1 = -1.0528104e-008 ++pk1 = 5.2640522e-015 ++k2 = 0.0082103273 ++lk2 = -2.1356464e-008 ++wk2 = 4.4440669e-009 ++pk2 = -5.0342094e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12049225 ++lvoff = 1.1378694e-008 ++wvoff = 5.4154776e-009 ++pvoff = -6.4985731e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031181163 ++lu0 = 4.9403755e-009 ++wu0 = 1.3466449e-010 ++pu0 = -3.5579167e-016 ++ua = -1.1586455e-009 ++lua = 6.6367861e-016 ++wua = -1.8760555e-017 ++pua = 2.2512666e-023 ++ub = 2.8240225e-018 ++lub = -3.6042122e-025 ++wub = 9.755951e-026 ++pub = -2.3455895e-031 ++uc = 8.1997037e-011 ++luc = 4.0383673e-018 ++wuc = 3.4959595e-018 ++puc = -9.2762449e-024 ++eu = 1.67 ++vsat = 88428.571 ++lvsat = -0.0041142857 ++wvsat = -0.0040457143 ++pvsat = 4.8548571e-009 ++a0 = 0.97533082 ++la0 = -4.3596098e-007 ++wa0 = 1.1790367e-009 ++pa0 = -1.4148441e-015 ++ags = 0.441074 ++lags = -5.4816e-008 ++wags = 6.1365394e-008 ++pags = -2.7963977e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.21719837 ++lpclm = 4.0921959e-008 ++wpclm = -2.0563788e-008 ++ppclm = 2.4676545e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.8164074e-006 ++lalpha0 = -2.3649037e-012 ++walpha0 = -1.3260696e-013 ++palpha0 = 6.6303478e-020 ++alpha1 = 0 ++beta0 = 21.036008 ++lbeta0 = -1.1603265e-007 ++wbeta0 = 4.1231608e-007 ++pbeta0 = -2.8990433e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.4079911 ++lkt1 = 3.1845551e-008 ++wkt1 = 3.0571729e-008 ++pkt1 = -1.5285865e-014 ++kt1l = 0 ++kt2 = -0.031229592 ++lkt2 = 4.9897959e-009 ++wkt2 = 5.2016327e-009 ++pkt2 = -2.6008163e-015 ++ute = -1.4342857 ++wute = 4.0457143e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8098294e-018 ++lub1 = -1.7578531e-025 ++wub1 = 5.9506678e-026 ++pub1 = -2.9753339e-032 ++uc1 = -1.1888774e-010 ++luc1 = 3.1443869e-017 ++wuc1 = 3.0186115e-017 ++puc1 = -1.5093057e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_6 ++lvth0 = 1.325026e-008 ++wvth0 = -4.067414e-009 ++pvth0 = -1.1596488e-014 ++k1 = 0.79418892 ++lk1 = -3.5489221e-008 ++wk1 = 8.3746286e-010 ++pk1 = -8.3746286e-015 ++k2 = -0.0057236965 ++lk2 = -4.6356351e-009 ++wk2 = -2.005398e-009 ++pk2 = 2.7051485e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036490513 ++lu0 = -1.4308442e-009 ++wu0 = -1.2698026e-009 ++pu0 = 1.3295688e-015 ++ua = -7.881063e-010 ++lua = 2.1903156e-016 ++wua = -7.0891948e-018 ++pua = 8.5070338e-024 ++ub = 3.0594896e-018 ++lub = -6.4298182e-025 ++wub = -1.6806265e-025 ++pub = 8.4187636e-032 ++uc = 9.7557278e-011 ++luc = -1.4633922e-017 ++wuc = -8.460177e-018 ++puc = 5.071119e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.2333595 ++la0 = -7.4559545e-007 ++ags = 0.28370796 ++lags = 1.3402325e-007 ++wags = -1.501953e-008 ++pags = 6.3697932e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22708279 ++lpclm = 2.9060649e-008 ++wpclm = -1.603574e-008 ++ppclm = 1.9242888e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0921047e-005 ++lalpha0 = -1.0329047e-010 ++walpha0 = 1.0548281e-014 ++palpha0 = -1.0548281e-019 ++alpha1 = 0 ++beta0 = 24.039866 ++lbeta0 = -3.7206623e-006 ++wbeta0 = 1.9555512e-007 ++pbeta0 = -2.9791169e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33923366 ++lkt1 = -5.0663377e-008 ++wkt1 = -2.4318421e-009 ++pkt1 = 2.4318421e-014 ++kt1l = 0 ++kt2 = -0.021803571 ++lkt2 = -6.3214286e-009 ++wkt2 = 5.0571429e-010 ++pkt2 = 3.0342857e-015 ++ute = -1.7216234 ++lute = 3.448052e-007 ++wute = 1.7837922e-007 ++pute = -1.6550649e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5465249e-018 ++lub1 = 7.0824935e-025 ++wub1 = 5.1661197e-025 ++pub1 = -5.7827969e-031 ++uc1 = -5.0997566e-011 ++luc1 = -5.0024338e-017 ++wuc1 = -2.4011682e-018 ++puc1 = 2.4011682e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_7 ++wvth0 = -5.2270629e-009 ++k1 = 0.79064 ++k2 = -0.00618726 ++wk2 = -1.7348832e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036347429 ++wu0 = -1.1368457e-009 ++ua = -7.6620314e-010 ++wua = -6.2384914e-018 ++ub = 2.9951914e-018 ++wub = -1.5964389e-025 ++uc = 9.6093886e-011 ++wuc = -7.9530651e-018 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1588 ++ags = 0.29711029 ++wags = -8.6497371e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.22998886 ++wpclm = -1.4111451e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6678 ++wbeta0 = 1.92576e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022435714 ++wkt2 = 8.0914286e-010 ++ute = -1.6871429 ++wute = 1.6182857e-007 ++ua1 = 1.675e-009 ++ub1 = -3.4757e-018 ++wub1 = 4.58784e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_8 ++lvth0 = -5.5747725e-008 ++wvth0 = -5.7737207e-008 ++pvth0 = 1.824977e-014 ++k1 = 0.95060511 ++lk1 = -9.5597554e-008 ++wk1 = 1.0355446e-008 ++pk1 = -5.177723e-015 ++k2 = 0.013945175 ++lk2 = -3.0232209e-008 ++wk2 = 1.9443834e-008 ++pk2 = -5.4442735e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12424632 ++lvoff = 6.8691116e-010 ++wvoff = 3.5791497e-008 ++pvoff = -6.8553733e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046898182 ++lu0 = 1.7050207e-010 ++wu0 = -1.6262868e-008 ++pu0 = 4.1983839e-015 ++ua = -6.6207759e-010 ++lua = 2.5458994e-016 ++wua = 5.6335718e-017 ++pua = 1.7471409e-022 ++ub = 3.7962141e-018 ++lub = -3.3240512e-025 ++wub = -1.6693412e-024 ++pub = 4.2239319e-032 ++uc = 2.9436835e-010 ++luc = -6.8059408e-017 ++wuc = -1.6002278e-016 ++puc = 3.2259428e-023 ++eu = 1.67 ++vsat = 85682.645 ++lvsat = -0.00034132231 ++wvsat = -0.0068127934 ++pvsat = 3.4063967e-009 ++a0 = 0.10362636 ++la0 = -8.0818182e-010 ++ags = 0.2705431 ++lags = 3.2753448e-008 ++wags = 1.3273025e-007 ++pags = -6.6365124e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12424077 ++lketa = 2.9920384e-008 ++wketa = -4.1694295e-009 ++pketa = 2.0847148e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.20476889 ++lpclm = -9.798626e-009 ++wpclm = 2.8042187e-007 ++ppclm = -5.8632603e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5953123e-006 ++lalpha0 = -2.5435614e-013 ++walpha0 = 1.1428461e-013 ++palpha0 = -5.7142305e-020 ++alpha1 = 0 ++beta0 = 21.140586 ++wbeta0 = -5.6473191e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.59809917 ++lnoff = 1.2990496e-006 ++wnoff = 3.065757e-006 ++pnoff = -1.5328785e-012 ++voffcv = 0.22872521 ++lvoffcv = -1.118626e-007 ++wvoffcv = -2.6399574e-007 ++pvoffcv = 1.3199787e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28115299 ++lkt1 = -1.0099496e-008 ++wkt1 = -1.2105482e-007 ++pkt1 = 3.5188078e-014 ++kt1l = 0 ++kt2 = -0.025449687 ++lkt2 = 9.6575269e-010 ++wkt2 = 8.9970236e-009 ++pkt2 = -3.1602845e-015 ++ute = -1.5701136 ++wute = 2.0073409e-007 ++ua1 = 1.675e-009 ++ub1 = -5.3788142e-018 ++lub1 = 4.827456e-025 ++wub1 = 2.1072821e-024 ++pub1 = -3.1500653e-031 ++uc1 = -2.2938539e-010 ++luc1 = 4.973267e-017 ++wuc1 = 2.0459475e-016 ++puc1 = -5.8684551e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_9 ++lvth0 = -9.953513e-009 ++wvth0 = 3.6992425e-009 ++pvth0 = -1.2468455e-014 ++k1 = 0.75941 ++k2 = 0.017155231 ++lk2 = -3.1837237e-008 ++wk2 = -6.1109193e-009 ++pk2 = 7.3331031e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10253679 ++lvoff = -1.0167857e-008 ++wvoff = -1.5771964e-008 ++pvoff = 1.8926357e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038465008 ++lu0 = 4.387089e-009 ++wu0 = -8.4602728e-009 ++pu0 = 2.9708645e-016 ++ua = -9.289245e-010 ++lua = 3.880134e-016 ++wua = -2.8983135e-016 ++pua = 3.4779762e-022 ++ub = 3.4725304e-018 ++lub = -1.7056325e-025 ++wub = -6.6767982e-025 ++pub = -4.5859137e-031 ++uc = 1.5722431e-010 ++luc = 5.1261039e-019 ++wuc = -8.5272224e-017 ++puc = -5.1158517e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.57970277 ++la0 = -2.3884638e-007 ++wa0 = 4.6802014e-007 ++pa0 = -2.3401007e-013 ++ags = 0.63340774 ++lags = -1.4867887e-007 ++wags = -1.6558842e-007 ++pags = 8.279421e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.047719 ++lpclm = 6.8726318e-008 ++wpclm = 1.7942187e-007 ++ppclm = -8.1325983e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7040286e-006 ++lalpha0 = -2.3087143e-012 ++alpha1 = 0 ++beta0 = 21.043581 ++lbeta0 = 4.8502597e-008 ++wbeta0 = 4.0337993e-007 ++pbeta0 = -4.8405592e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30297354 ++lkt1 = 8.1077922e-010 ++wkt1 = -9.3348999e-008 ++pkt1 = 2.1335166e-014 ++kt1l = 0 ++kt2 = -0.021799026 ++lkt2 = -8.5957792e-010 ++wkt2 = -5.9264351e-009 ++pkt2 = 4.3014448e-015 ++ute = -1.5701136 ++wute = 2.0073409e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0334126e-018 ++lub1 = -6.899552e-025 ++wub1 = 3.2333483e-025 ++pub1 = 5.7696713e-031 ++uc1 = -1.4511739e-010 ++luc1 = 7.5986727e-018 ++wuc1 = 6.1137104e-017 ++puc1 = 1.3044275e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_10 ++lvth0 = 7.3817355e-009 ++wvth0 = -2.7981116e-009 ++pvth0 = -4.6716298e-015 ++k1 = 0.79747612 ++lk1 = -4.5679339e-008 ++wk1 = -3.0414256e-009 ++pk1 = 3.6497107e-015 ++k2 = -0.0074231864 ++lk2 = -2.3431364e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040494054 ++lu0 = 1.9522345e-009 ++wu0 = -5.9939808e-009 ++pu0 = -2.662464e-015 ++ua = -8.1072595e-010 ++lua = 2.4617513e-016 ++wua = 1.9601988e-017 ++pua = -2.3522386e-023 ++ub = 3.1895805e-018 ++lub = 1.6897655e-025 ++wub = -3.2156993e-025 ++pub = -8.7392324e-031 ++uc = 1.0432829e-010 ++luc = 6.3987831e-017 ++wuc = -1.6449976e-017 ++puc = -8.7702549e-023 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.175342 ++la0 = -9.536135e-007 ++wa0 = 6.8460666e-008 ++pa0 = 2.454613e-013 ++ags = 0.26729169 ++lags = 2.9066039e-007 ++wags = 4.3516718e-009 ++pags = -1.211339e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23344442 ++lpclm = -1.5414418e-007 ++wpclm = -2.3542459e-008 ++ppclm = 2.3542459e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0929986e-005 ++lalpha0 = -1.0337986e-010 ++alpha1 = 0 ++beta0 = 24.512311 ++lbeta0 = -4.1139731e-006 ++wbeta0 = -3.6192965e-007 ++pbeta0 = 4.3431558e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.128874 ++lnoff = -1.5464876e-007 ++wnoff = -1.5207128e-007 ++pnoff = 1.8248554e-013 ++voffcv = -0.065880682 ++lvoffcv = 8.5056818e-008 ++wvoffcv = 8.3639205e-008 ++pvoffcv = -1.0036705e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31506405 ++lkt1 = 1.5319401e-008 ++wkt1 = -3.095198e-008 ++pkt1 = -5.3541257e-014 ++kt1l = 0 ++kt2 = -0.016812862 ++lkt2 = -6.8429752e-009 ++wkt2 = -5.3833233e-009 ++pkt2 = 3.6497107e-015 ++ute = -1.5472572 ++lute = -2.7427686e-008 ++wute = -2.7372831e-008 ++pute = 2.7372831e-013 ++ua1 = 1.6533492e-009 ++lua1 = 2.5980992e-017 ++wua1 = 2.5547975e-017 ++pua1 = -3.065757e-023 ++ub1 = -2.1483391e-018 ++lub1 = -1.7520434e-024 ++wub1 = -1.1332474e-024 ++pub1 = 2.3248657e-030 ++uc1 = -4.4711114e-011 ++luc1 = -1.1288886e-016 ++wuc1 = -9.8191818e-018 ++puc1 = 9.8191818e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_11 ++wvth0 = -3.2652745e-009 ++k1 = 0.79290818 ++wk1 = -2.6764545e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040689277 ++wu0 = -6.2602272e-009 ++ua = -7.8610843e-010 ++wua = 1.7249749e-017 ++ub = 3.2064782e-018 ++wub = -4.0896225e-025 ++uc = 1.1072708e-010 ++wuc = -2.5220231e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.0799807 ++wa0 = 9.3006796e-008 ++ags = 0.29635773 ++wags = -7.7617182e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.100914 ++wbeta0 = -3.1849809e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1134091 ++wnoff = -1.3382273e-007 ++voffcv = -0.057375 ++wvoffcv = 7.36025e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31353211 ++wkt1 = -3.6306106e-008 ++kt1l = 0 ++kt2 = -0.017497159 ++wkt2 = -5.0183523e-009 ++ute = -1.55 ++ua1 = 1.6559473e-009 ++wua1 = 2.2482218e-017 ++ub1 = -2.3235434e-018 ++wub1 = -9.0076078e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_12 ++lvth0 = -5.3919091e-008 ++k1 = 0.95164273 ++lk1 = -9.6116364e-008 ++k2 = 0.015893454 ++lk2 = -3.0777727e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045268636 ++lu0 = 5.9118182e-010 ++ua = -6.5643273e-010 ++lua = 2.7209636e-016 ++ub = 3.6289455e-018 ++lub = -3.2817273e-025 ++uc = 2.78334e-010 ++luc = -6.4827e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.13211844 ++la0 = -1.5054221e-008 ++wa0 = -2.8435094e-007 ++pa0 = 1.4217547e-013 ++ags = 0.46155061 ++lags = -6.2750307e-008 ++wags = -1.7735247e-006 ++pags = 8.8676235e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12105603 ++lketa = 2.8328017e-008 ++wketa = -3.5953066e-008 ++pketa = 1.7976533e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23286727 ++lpclm = -1.5673636e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6067636e-006 ++lalpha0 = -2.6008182e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.3e-010 ++cgdo = 2.3e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.29090909 ++lnoff = 1.1454545e-006 ++voffcv = 0.20227273 ++lvoffcv = -9.8636364e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29328273 ++lkt1 = -6.5736364e-009 ++kt1l = 0 ++kt2 = -0.024548182 ++lkt2 = 6.4909091e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1676636e-018 ++lub1 = 4.5118182e-025 ++uc1 = -2.0888491e-010 ++luc1 = 4.3852454e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_13 ++lvth0 = -1.1202857e-008 ++k1 = 0.75941 ++k2 = 0.016542914 ++lk2 = -3.1102457e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10411714 ++lvoff = -8.2714286e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037617286 ++lu0 = 4.4168571e-009 ++ua = -9.5796571e-010 ++lua = 4.2286286e-016 ++ub = 3.4056286e-018 ++lub = -2.1651429e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.62659857 ++la0 = -2.6229429e-007 ++ags = 0.61681571 ++lags = -1.4038286e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.065697143 ++lpclm = 6.7911429e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7040286e-006 ++lalpha0 = -2.3087143e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31232714 ++lkt1 = 2.9485714e-009 ++kt1l = 0 ++kt2 = -0.022392857 ++lkt2 = -4.2857143e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -3.0010143e-018 ++lub1 = -6.3214286e-025 ++uc1 = -1.3899143e-010 ++luc1 = 8.9057143e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_14 ++lvth0 = 6.9136364e-009 ++k1 = 0.79717136 ++lk1 = -4.5313636e-008 ++k2 = -0.0074231864 ++lk2 = -2.3431364e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039893455 ++lu0 = 1.6854546e-009 ++ua = -8.0876182e-010 ++lua = 2.4381818e-016 ++ub = 3.1573591e-018 ++lub = 8.1409091e-026 ++uc = 1.0268e-010 ++luc = 5.52e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1822018 ++la0 = -9.2901818e-007 ++ags = 0.26772773 ++lags = 2.7852273e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23108545 ++lpclm = -1.3055455e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0929986e-005 ++lalpha0 = -1.0337986e-010 ++alpha1 = 0 ++beta0 = 24.476046 ++lbeta0 = -4.0704545e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1136364 ++lnoff = -1.3636364e-007 ++voffcv = -0.0575 ++lvoffcv = 7.5e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31816545 ++lkt1 = 9.9545454e-009 ++kt1l = 0 ++kt2 = -0.017352273 ++lkt2 = -6.4772727e-009 ++ute = -1.55 ++ua1 = 1.6559091e-009 ++lua1 = 2.2909091e-017 ++ub1 = -2.2618909e-018 ++lub1 = -1.5190909e-024 ++uc1 = -4.5695e-011 ++luc1 = -1.0305e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nmos_3p3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nmos_3p3_tox ++toxp = nmos_3p3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nmos_3p3_xl ++xw = nmos_3p3_xw ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nmos_3p3_vth0_15 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nmos_3p3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nmos_3p3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.3e-010 ++cgdo = 2.3e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nmos_3p3_noia ++noib = nmos_3p3_noib ++noic = nmos_3p3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +*resistor +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + +.endl nmos_3p3_stat +* +* +*************************************************************************************************** +* 3.3V PMOS statistical Models +*************************************************************************************************** +* +.lib pmos_3p3_stat + + +.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model pmos_3p3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_0 ++lvth0 = -7.6827273e-009 ++wvth0 = 4.2938493e-009 ++pvth0 = 2.3570182e-015 ++k1 = 0.86959286 ++lk1 = 4.91e-009 ++wk1 = 6.7137132e-008 ++pk1 = -2.0974909e-014 ++k2 = 0.029351195 ++lk2 = -2.4890454e-008 ++wk2 = -2.1522854e-008 ++pk2 = 3.4158327e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094658091 ++lvoff = -1.6014546e-009 ++wvoff = -1.6655127e-009 ++pvoff = 8.3275636e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0077071688 ++lu0 = 2.4492727e-009 ++wu0 = 6.0892675e-010 ++pu0 = -5.2642909e-016 ++ua = -2.4381818e-012 ++lua = 1.0386891e-015 ++wua = 3.3100364e-018 ++pua = -1.9180342e-022 ++ub = 6.7035533e-019 ++lub = -1.4361909e-025 ++wub = -4.8420779e-027 ++pub = 1.3557818e-033 ++uc = 8.6801065e-011 ++luc = 8.4861818e-018 ++wuc = -1.3364176e-017 ++puc = -4.4743636e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.0272635 ++la0 = -2.0434818e-007 ++wa0 = 1.1112467e-008 ++pa0 = -2.7370909e-015 ++ags = 0.19081247 ++lags = 1.0492091e-007 ++wags = -2.3219283e-008 ++pags = -1.2080073e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.066404636 ++lketa = 8.2658182e-009 ++wketa = -7.3229236e-009 ++pketa = 3.6614618e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35627558 ++lpclm = 7.0823636e-008 ++wpclm = 2.9266005e-008 ++ppclm = 6.5406545e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1485698e-005 ++lalpha0 = -3.0054064e-012 ++walpha0 = -1.0325417e-013 ++palpha0 = 6.39288e-020 ++alpha1 = 0 ++beta0 = 39.773597 ++lbeta0 = -3.6237273e-006 ++wbeta0 = 2.1005299e-007 ++pbeta0 = 1.1827636e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28373805 ++lkt1 = -1.5974545e-008 ++wkt1 = -1.1172031e-008 ++pkt1 = 1.9400727e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1563636e-009 ++lua1 = 1.7181818e-016 ++wua1 = 1.7869091e-016 ++pua1 = -8.9345454e-023 ++ub1 = -2.100161e-018 ++lub1 = -6.7359091e-025 ++wub1 = -1.4002317e-025 ++pub1 = 1.4950473e-031 ++uc1 = -2.5418182e-010 ++luc1 = 5.8570909e-017 ++wuc1 = 4.0843636e-017 ++puc1 = -1.4057018e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_1 ++lvth0 = 8.0902041e-009 ++wvth0 = 5.9668408e-009 ++pvth0 = 1.5205225e-015 ++k1 = 1.011648 ++lk1 = -6.6117551e-008 ++wk1 = -1.7990939e-008 ++pk1 = 2.1589126e-014 ++k2 = -0.018784 ++lk2 = -8.2285714e-010 ++wk2 = -2.5231886e-009 ++pk2 = -6.084e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12364214 ++lvoff = 1.2890571e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010288147 ++lu0 = 1.1587837e-009 ++wu0 = -2.4611069e-010 ++pu0 = -9.8910367e-017 ++ua = 3.7095469e-010 ++lua = 8.5199265e-016 ++wua = -4.3130498e-017 ++pua = -1.6858315e-022 ++ub = 1.0877988e-018 ++lub = -3.5234082e-025 ++wub = -1.9235628e-025 ++pub = 9.5112882e-032 ++uc = -1.3265853e-011 ++luc = 5.8519641e-017 ++wuc = -7.386721e-018 ++puc = -7.4630909e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1510659 ++la0 = -2.6624939e-007 ++wa0 = 3.8929322e-008 ++pa0 = -1.6645518e-014 ++ags = 0.19022326 ++lags = 1.0521551e-007 ++wags = 1.3854074e-008 ++pags = -3.0616751e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0050909592 ++lketa = -2.239102e-008 ++wketa = -2.2043755e-009 ++pketa = 1.1021878e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25657102 ++lpclm = 1.2067592e-007 ++wpclm = 8.5357469e-008 ++ppclm = -2.1505078e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.5504633e-005 ++lalpha0 = -3.5014873e-011 ++walpha0 = 4.8045453e-012 ++palpha0 = -2.3899709e-018 ++alpha1 = 0 ++beta0 = 42.422959 ++lbeta0 = -4.9484082e-006 ++wbeta0 = 2.7621551e-007 ++pbeta0 = 8.5195102e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30703735 ++lkt1 = -4.324898e-009 ++wkt1 = 2.5044049e-008 ++pkt1 = -1.6167967e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2391388e-018 ++lub1 = -6.0410204e-025 ++wub1 = -3.3103837e-026 ++pub1 = 9.6045061e-032 ++uc1 = -7.5563755e-011 ++luc1 = -3.0738122e-017 ++wuc1 = 6.2211526e-018 ++puc1 = 3.2542237e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_2 ++lvth0 = -9.5551948e-009 ++wvth0 = 3.6783584e-009 ++pvth0 = 4.2667013e-015 ++k1 = 0.95493474 ++lk1 = 1.9383117e-009 ++wk1 = 3.0592208e-008 ++pk1 = -3.6710649e-014 ++k2 = -0.010993416 ++lk2 = -1.0171558e-008 ++wk2 = -1.5055864e-008 ++pk2 = 8.9552104e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097716396 ++lvoff = -1.8220325e-008 ++wvoff = 9.9120779e-010 ++pvoff = -1.1894494e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087516409 ++lu0 = 3.0025909e-009 ++wu0 = 2.1888218e-010 ++pu0 = -6.5690182e-016 ++ua = 3.9822779e-010 ++lua = 8.1926494e-016 ++wua = -5.2662561e-017 ++pua = -1.5714468e-022 ++ub = 8.5181617e-019 ++lub = -6.9161688e-026 ++wub = -1.0966152e-025 ++pub = -4.1208312e-033 ++uc = -4.4095525e-011 ++luc = 9.5515247e-017 ++wuc = 1.8553586e-018 ++puc = -1.8553586e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2626103 ++la0 = -4.001026e-007 ++wa0 = -3.4170078e-009 ++pa0 = 3.4170078e-014 ++ags = 0.15731682 ++lags = 1.4470325e-007 ++wags = 7.2894545e-010 ++pags = -1.4866597e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.00016272403 ++lketa = -2.8304903e-008 ++wketa = -3.463048e-009 ++pketa = 2.6125948e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32143299 ++lpclm = 4.2841558e-008 ++wpclm = 4.9757922e-009 ++ppclm = 7.4952935e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020588939 ++lalpha0 = -2.415082e-009 ++walpha0 = 2.2256682e-011 ++palpha0 = -2.3332535e-017 ++alpha1 = 0 ++beta0 = 44.45026 ++lbeta0 = -7.3811688e-006 ++wbeta0 = 4.0343221e-007 ++pbeta0 = -6.7464935e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26850506 ++lkt1 = -5.0563636e-008 ++wkt1 = -1.0340166e-008 ++pkt1 = 2.6293091e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0202519e-018 ++lub1 = 3.3323377e-025 ++wub1 = 1.9133501e-025 ++pub1 = -1.7328156e-031 ++uc1 = -3.5566519e-011 ++luc1 = -7.8734805e-017 ++wuc1 = -1.2279955e-017 ++puc1 = 2.5455553e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_3 ++wvth0 = 4.1050286e-009 ++k1 = 0.95512857 ++wk1 = 2.6921143e-008 ++k2 = -0.012010571 ++wk2 = -1.4160343e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099538429 ++wvoff = 8.7226286e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090519 ++wu0 = 1.53192e-010 ++ua = 4.8015429e-010 ++wua = -6.8377029e-017 ++ub = 8.449e-019 ++wub = -1.100736e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2226 ++ags = 0.17178714 ++wags = -7.5771429e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0029932143 ++wketa = -3.2017886e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32571714 ++wpclm = 1.2471086e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018173857 ++walpha0 = 1.9923429e-011 ++alpha1 = 0 ++beta0 = 43.712143 ++wbeta0 = 3.9668571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27356143 ++wkt1 = -7.7108571e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9869286e-018 ++wub1 = 1.7400686e-025 ++uc1 = -4.344e-011 ++wuc1 = -9.7344e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_4 ++lvth0 = -2.1407273e-009 ++wvth0 = 1.4897689e-008 ++pvth0 = -5.2482182e-016 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = 0.014249873 ++lk2 = -2.0467636e-008 ++wk2 = -1.3670166e-008 ++pk2 = 1.1159673e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0091928468 ++lu0 = 1.8372909e-009 ++wu0 = -1.6362577e-010 ++pu0 = -2.0819854e-016 ++ua = -8.0522078e-011 ++lua = 7.4813818e-016 ++wua = 4.3913662e-017 ++pua = -4.0716945e-023 ++ub = 1.748897e-018 ++lub = -3.0903909e-025 ++wub = -5.6568377e-025 ++pub = 8.7374182e-032 ++uc = 8.6704408e-011 ++luc = 1.2453182e-017 ++wuc = -1.3313914e-017 ++puc = -6.5372036e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.66833429 ++la0 = -1.03128e-007 ++wa0 = 1.9775566e-007 ++pa0 = -5.5371585e-014 ++ags = 0.20459958 ++lags = 6.9689636e-008 ++wags = -3.0388584e-008 ++pags = 6.2401891e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10490303 ++lketa = 2.2143527e-008 ++wketa = 1.2696239e-008 ++pketa = -3.5549469e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3781492 ++lpclm = 2.7248545e-008 ++wpclm = 1.7891728e-008 ++ppclm = 2.9199702e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.2079775e-005 ++lalpha0 = -3.0255502e-012 ++walpha0 = -4.121738e-013 ++palpha0 = 7.4403585e-020 ++alpha1 = 0 ++beta0 = 38.238696 ++lbeta0 = -2.7152909e-006 ++wbeta0 = 1.0082017e-006 ++pbeta0 = -3.5411055e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33225761 ++lkt1 = 5.3309091e-010 ++wkt1 = 1.4058139e-008 ++pkt1 = -6.6438982e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 2.0124e-009 ++lua1 = -2.562e-016 ++wua1 = -2.66448e-016 ++pua1 = 1.33224e-022 ++ub1 = -2.8876353e-018 ++lub1 = -2.9730909e-026 ++wub1 = 2.6946346e-025 ++pub1 = -1.8530247e-031 ++uc1 = 6.432e-012 ++luc1 = -3.4608e-017 ++wuc1 = -9.4675549e-017 ++puc1 = 3.4396015e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_5 ++lvth0 = -3.242449e-010 ++wvth0 = 2.0559739e-009 ++pvth0 = 5.8960359e-015 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.026847376 ++lk2 = 8.0987755e-011 ++wk2 = 1.6697667e-009 ++pk2 = -6.5539994e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12364214 ++lvoff = 1.2890571e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097471347 ++lu0 = 1.5601469e-009 ++wu0 = 3.5215673e-011 ++pu0 = -3.0761926e-016 ++ua = 2.6778433e-010 ++lua = 5.7398498e-016 ++wua = 1.0518093e-017 ++pua = -2.4019161e-023 ++ub = 1.2732368e-018 ++lub = -7.120898e-026 ++wub = -2.8878406e-025 ++pub = -5.1075673e-032 ++uc = 8.0016841e-012 ++luc = 5.1804544e-017 ++wuc = -1.844584e-017 ++puc = -3.9712404e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.3454526 ++la0 = -4.4168718e-007 ++wa0 = -6.215178e-008 ++pa0 = 7.4582136e-014 ++ags = 0.19226653 ++lags = 7.5856163e-008 ++wags = 1.2791576e-008 ++pags = -1.5349891e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0016565918 ++lketa = -2.947969e-008 ++wketa = -3.9902465e-009 ++pketa = 4.7882958e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55246506 ++lpclm = -5.9909388e-008 ++wpclm = -6.8507432e-008 ++ppclm = 7.2399282e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.000123026 ++lalpha0 = -5.8498663e-011 ++walpha0 = -1.9906566e-011 ++palpha0 = 9.8215995e-018 ++alpha1 = 0 ++beta0 = 43.366204 ++lbeta0 = -5.2790449e-006 ++wbeta0 = -2.1427184e-007 ++pbeta0 = 2.571262e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28366163 ++lkt1 = -2.3764898e-008 ++wkt1 = 1.2888678e-008 ++pkt1 = -6.0591673e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.1375788e-018 ++lub1 = 9.5240816e-026 ++wub1 = 4.3408496e-025 ++pub1 = -2.6761322e-031 ++uc1 = -9.9154286e-011 ++luc1 = 1.8185143e-017 ++wuc1 = 1.8488229e-017 ++puc1 = -2.2185874e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_6 ++lvth0 = -1.3737662e-009 ++wvth0 = 6.9590384e-009 ++pvth0 = 1.2358442e-017 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.027452061 ++lk2 = 8.0661039e-010 ++wk2 = -6.4973683e-009 ++pk2 = 3.2465626e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095810227 ++lvoff = -2.0507727e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097323026 ++lu0 = 1.5779454e-009 ++wu0 = -2.910619e-010 ++pu0 = 8.3913818e-017 ++ua = 4.0315384e-010 ++lua = 4.1154156e-016 ++wua = -5.5224108e-017 ++pua = 5.487148e-023 ++ub = 1.1661759e-018 ++lub = 5.7264156e-026 ++wub = -2.7312856e-025 ++pub = -6.986227e-032 ++uc = 1.1632475e-012 ++luc = 6.0010667e-017 ++wuc = -2.1679203e-017 ++puc = -9.1205299e-026 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1189871 ++la0 = -1.6992857e-007 ++wa0 = 7.1267013e-008 ++pa0 = -8.5520416e-014 ++ags = 0.16561084 ++lags = 1.0784299e-007 ++wags = -3.583948e-009 ++pags = 4.3007377e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.3288581 ++lpclm = 2.0841896e-007 ++wpclm = 1.1147314e-009 ++ppclm = -1.1147314e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002173683 ++lalpha0 = -2.519287e-009 ++walpha0 = -3.7433637e-011 ++palpha0 = 3.0854085e-017 ++alpha1 = 0 ++beta0 = 44.354662 ++lbeta0 = -6.4651948e-006 ++wbeta0 = 4.5314286e-007 ++pbeta0 = -5.4377143e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33774851 ++lkt1 = 4.1139351e-008 ++wkt1 = 2.5666423e-008 ++pkt1 = -2.1392462e-014 ++kt1l = 0 ++kt2 = -0.016947818 ++lkt2 = 4.6581818e-009 ++wkt2 = 2.0185455e-009 ++pkt2 = -2.4222546e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5969484e-018 ++lub1 = -5.5351558e-025 ++wub1 = -2.878281e-026 ++pub1 = 2.878281e-031 ++uc1 = -4.2545455e-011 ++luc1 = -4.9745455e-017 ++wuc1 = -8.6509091e-018 ++puc1 = 1.0381091e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_7 ++wvth0 = 6.9602743e-009 ++k1 = 1.0069 ++k2 = -0.0273714 ++wk2 = -6.172712e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098900971 ++wu0 = -2.8267051e-010 ++ua = 4.44308e-010 ++wua = -4.973696e-017 ++ub = 1.1719023e-018 ++wub = -2.8011479e-025 ++uc = 7.1643143e-012 ++wuc = -2.1688323e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1019943 ++wa0 = 6.2714971e-008 ++ags = 0.17639514 ++wags = -3.1538743e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019217543 ++walpha0 = -3.4348229e-011 ++alpha1 = 0 ++beta0 = 43.708143 ++wbeta0 = 3.9876571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33363457 ++wkt1 = 2.3527177e-008 ++kt1l = 0 ++kt2 = -0.016482 ++wkt2 = 1.77632e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.752e-011 ++wuc1 = -7.6128e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_8 ++lvth0 = -8.7733719e-009 ++wvth0 = 4.2305517e-009 ++pvth0 = 7.5670046e-015 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = -0.00067810868 ++lk2 = -1.7691446e-008 ++wk2 = 4.5419708e-009 ++pk2 = -2.2709854e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011352976 ++lu0 = 1.7890915e-009 ++wu0 = -2.7989835e-009 ++pu0 = -1.493953e-016 ++ua = 3.4788822e-010 ++lua = 6.3071157e-016 ++wua = -4.7874691e-016 ++pua = 1.0254352e-022 ++ub = 9.2772209e-019 ++lub = 3.2915171e-026 ++wub = 4.3614967e-025 ++pub = -3.2981002e-031 ++uc = 1.3375779e-010 ++luc = -7.439668e-018 ++wuc = -7.0719038e-017 ++puc = 1.7732073e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.8879706 ++la0 = -1.4725376e-007 ++wa0 = -7.0200638e-008 ++pa0 = -1.5381528e-015 ++ags = 0.3341873 ++lags = -2.4436508e-009 ++wags = -1.884856e-007 ++pags = 9.42428e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.088919542 ++lketa = 1.7668152e-008 ++wketa = -6.803611e-009 ++pketa = 1.9050111e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32482036 ++lpclm = 5.0559583e-008 ++wpclm = 8.2952909e-008 ++ppclm = 7.6023645e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.723125e-006 ++lalpha0 = -2.2830898e-012 ++walpha0 = 2.4629388e-012 ++palpha0 = -8.3139811e-019 ++alpha1 = 0 ++beta0 = 37.805966 ++lbeta0 = -2.3737058e-006 ++wbeta0 = 1.5361323e-006 ++pbeta0 = -7.708444e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29596713 ++lkt1 = -8.3979897e-009 ++wkt1 = -3.0216242e-008 ++pkt1 = 4.2520201e-015 ++kt1l = 0 ++kt2 = -0.020842369 ++lkt2 = 2.1773833e-009 ++wkt2 = 9.4871699e-009 ++pkt2 = -2.6564076e-015 ++ute = -1 ++ua1 = 1.8116799e-009 ++lua1 = -1.5583996e-016 ++wua1 = -2.1569499e-017 ++pua1 = 1.078475e-023 ++ub1 = -2.5843988e-018 ++lub1 = -1.2857843e-025 ++wub1 = -1.0048507e-025 ++pub1 = -6.4708497e-032 ++uc1 = -8.5778578e-011 ++luc1 = 8.8928926e-019 ++wuc1 = 1.7821357e-017 ++puc1 = -8.9106783e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_9 ++lvth0 = -7.1445584e-009 ++wvth0 = -9.069076e-009 ++pvth0 = 1.4216818e-014 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.030551827 ++lk2 = -2.7545864e-009 ++wk2 = 6.1891978e-009 ++pk2 = -3.0945989e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094286796 ++lvoff = -1.787102e-009 ++wvoff = -3.5813523e-008 ++pvoff = 1.7906761e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010127025 ++lu0 = 2.4020669e-009 ++wu0 = -4.282509e-010 ++pu0 = -1.3347616e-015 ++ua = 3.2582253e-010 ++lua = 6.4174442e-016 ++wua = -6.0288518e-017 ++pua = -1.0668567e-022 ++ub = 9.6220002e-019 ++lub = 1.5676208e-026 ++wub = 9.0680837e-026 ++pub = -1.570756e-031 ++uc = -2.7758895e-011 ++luc = 7.3318673e-017 ++wuc = 2.5182066e-017 ++puc = -3.0218479e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1782327 ++la0 = -2.9238479e-007 ++wa0 = 1.4185662e-007 ++pa0 = -1.0756678e-013 ++ags = 0.20788505 ++lags = 6.0707474e-008 ++wags = -6.2630205e-009 ++pags = 3.1315103e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0066799724 ++lketa = -2.3451633e-008 ++wketa = 2.1382778e-009 ++pketa = -2.5659333e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37778426 ++lpclm = 2.407763e-008 ++wpclm = 1.4460314e-007 ++ppclm = -3.006488e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011108151 ++lalpha0 = -5.296228e-011 ++walpha0 = -5.3342836e-012 ++palpha0 = 3.0672131e-018 ++alpha1 = 0 ++beta0 = 43.187318 ++lbeta0 = -5.0643818e-006 ++wbeta0 = 3.968961e-009 ++pbeta0 = -4.7627532e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30269355 ++lkt1 = -5.0347792e-009 ++wkt1 = 3.6107623e-008 ++pkt1 = -2.8909912e-014 ++kt1l = 0 ++kt2 = -0.010621998 ++lkt2 = -2.9328019e-009 ++wkt2 = -2.981682e-009 ++pkt2 = 3.5780184e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4617658e-018 ++lub1 = -1.8989494e-025 ++wub1 = -3.9040685e-025 ++pub1 = 8.0252392e-032 ++uc1 = -3.4810909e-011 ++luc1 = -2.4594545e-017 ++wuc1 = -6.0010691e-017 ++puc1 = 3.0005345e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_10 ++lvth0 = -4.4690083e-009 ++wvth0 = -3.7885537e-010 ++pvth0 = 3.7885537e-015 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.036023042 ++lk2 = 3.8108709e-009 ++wk2 = 3.9592281e-009 ++pk2 = -4.1863519e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098145312 ++lvoff = 2.8431167e-009 ++wvoff = 2.848803e-009 ++pvoff = -2.848803e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011031559 ++lu0 = 1.3166262e-009 ++wu0 = -1.8761549e-009 ++pu0 = 4.0272326e-016 ++ua = 6.676128e-010 ++lua = 2.315961e-016 ++wua = -3.7786403e-016 ++pua = 2.7440495e-022 ++ub = 9.7526352e-019 ++wub = -4.0215498e-026 ++uc = -1.6606591e-011 ++luc = 5.9935909e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1832393 ++la0 = -2.9839274e-007 ++wa0 = -7.1205867e-009 ++pa0 = 7.1205867e-014 ++ags = 0.16685819 ++lags = 1.0993971e-007 ++wags = -5.1057076e-009 ++pags = 1.7427347e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34313423 ++lpclm = 6.5657665e-008 ++wpclm = -1.6302147e-008 ++ppclm = 1.6302147e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021426891 ++lalpha0 = -2.4908914e-009 ++walpha0 = 3.7885537e-013 ++palpha0 = -3.7885537e-018 ++alpha1 = 0 ++beta0 = 44.161948 ++lbeta0 = -6.233938e-006 ++wbeta0 = 6.8825393e-007 ++pbeta0 = -8.2590471e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29670927 ++lkt1 = -1.2215919e-008 ++wkt1 = -2.4401443e-008 ++pkt1 = 4.3700967e-014 ++kt1l = 0 ++kt2 = -0.012757219 ++lkt2 = -3.7053719e-010 ++wkt2 = -3.0939855e-009 ++pkt2 = 3.7127826e-015 ++ute = -1 ++ua1 = 1.39597e-009 ++lua1 = 1.2483595e-016 ++wua1 = 1.2691655e-016 ++pua1 = -1.5229986e-022 ++ub1 = -2.656703e-018 ++lub1 = 4.4029649e-026 ++wub1 = 4.4117708e-026 ++pub1 = -4.4117708e-031 ++uc1 = -6.6591694e-011 ++luc1 = 1.3542397e-017 ++wuc1 = 2.0685503e-017 ++puc1 = -6.6830088e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_11 ++k1 = 1.0069 ++k2 = -0.035641955 ++wk2 = 3.9173646e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011163222 ++wu0 = -1.8358826e-009 ++ua = 6.9077241e-010 ++wua = -3.5042354e-016 ++ub = 9.7526352e-019 ++wub = -4.0215498e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17785216 ++wags = -4.9314341e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538555 ++wbeta0 = 6.0566345e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29793086 ++wkt1 = -2.0031346e-008 ++kt1l = 0 ++kt2 = -0.012794273 ++wkt2 = -2.7227073e-009 ++ute = -1 ++ua1 = 1.4084536e-009 ++wua1 = 1.1168656e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5237455e-011 ++wuc1 = 1.4002494e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_12 ++lvth0 = -8.0181818e-009 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = -0.00022481818 ++lk2 = -1.7918091e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011073636 ++lu0 = 1.7741818e-009 ++ua = 3.0010909e-010 ++lua = 6.4094546e-016 ++ub = 9.7125e-019 ++uc = 1.267e-010 ++luc = -5.67e-018 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.88096455 ++la0 = -1.4740727e-007 ++ags = 0.31537636 ++lags = 6.9618182e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.089598545 ++lketa = 1.7858273e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33309909 ++lpclm = 5.0635454e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.9689273e-006 ++lalpha0 = -2.3660636e-012 ++alpha1 = 0 ++beta0 = 37.959273 ++lbeta0 = -2.4506364e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29898273 ++lkt1 = -7.9736364e-009 ++kt1l = 0 ++kt2 = -0.019895546 ++lkt2 = 1.9122727e-009 ++ute = -1 ++ua1 = 1.8095273e-009 ++lua1 = -1.5476364e-016 ++ub1 = -2.5944273e-018 ++lub1 = -1.3503636e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_13 ++lvth0 = -5.7257143e-009 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.029934143 ++lk2 = -3.0634286e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010084286 ++lu0 = 2.2688571e-009 ++ua = 3.1980571e-010 ++lua = 6.3109714e-016 ++ub = 9.7125e-019 ++uc = -2.5245714e-011 ++luc = 7.0302857e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.19239 ++la0 = -3.0312e-007 ++ags = 0.20726 ++lags = 6.102e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0064665714 ++lketa = -2.3707714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39221571 ++lpclm = 2.1077143e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011054914 ++lalpha0 = -5.2656171e-011 ++alpha1 = 0 ++beta0 = 43.187714 ++lbeta0 = -5.0648571e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29909 ++lkt1 = -7.92e-009 ++kt1l = 0 ++kt2 = -0.010919571 ++lkt2 = -2.5757143e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5007286e-018 ++lub1 = -1.8188571e-025 ++uc1 = -4.08e-011 ++luc1 = -2.16e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_14 ++lvth0 = -4.0909091e-009 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.035627909 ++lk2 = 3.7690909e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010844318 ++lu0 = 1.3568182e-009 ++ua = 6.2990182e-010 ++lua = 2.5898182e-016 ++ub = 9.7125e-019 ++uc = -1.6606591e-011 ++luc = 5.9935909e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1825286 ++la0 = -2.9128636e-007 ++ags = 0.16634864 ++lags = 1.1011364e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34150727 ++lpclm = 8.1927273e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002142727 ++lalpha0 = -2.4912696e-009 ++alpha1 = 0 ++beta0 = 44.230636 ++lbeta0 = -6.3163636e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29914454 ++lkt1 = -7.8545455e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4086364e-009 ++lua1 = 1.0963636e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4527273e-011 ++luc1 = 6.8727273e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pmos_3p3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pmos_3p3_tox ++toxp = pmos_3p3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pmos_3p3_xl ++xw = pmos_3p3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pmos_3p3_vth0_15 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pmos_3p3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pmos_3p3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pmos_3p3_noia ++noib = pmos_3p3_noib ++noic = pmos_3p3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + +.endl pmos_3p3_stat +* +*************************************************************************************************** +* 6V native NMOS statistical Models +*************************************************************************************************** +* +.lib nmos_6p0_nat_stat + +*.lib nmos_6p0_nat_t +.subckt nmos_6p0_nat d g s b w=1e-5 l=1.8e-6 as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 sa=0 sb=0 nf=1 sd=0 m=1 + +m0 d g s b nmos_6p0_nat w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs sa=sa sb=sb nf=nf sd=sd + +.ends nmos_6p0_nat + +.model nmos_6p0_nat.0 nmos ++level = 54 +************************************************************** +* MODEL FLAG PARAMETERS +************************************************************** ++lmin = 1.8e-6 lmax = 50.01e-6 wmin = 0.8e-6 ++wmax = 100.01e-6 version = 4.6 binunit = 1 ++paramchk= 1 mobmod = 0 capmod = 2 ++igcmod = 0 igbmod = 0 geomod = 0 ++diomod = 1 rdsmod = 0 rbodymod= 0 ++rgeomod = 0 rgatemod= 0 permod = 1 ++acnqsmod= 0 trnqsmod= 0 tempmod = 0 ++wpemod = 0 +************************************************************** +* GENERAL MODEL PARAMETERS +************************************************************** ++tnom = 25 toxe = nmos_6p0_nat_tox toxp = '8e-10+nmos_6p0_nat_tox' ++toxm = 1.52e-008 epsrox = 3.9 toxref = 1.52e-008 ++wint = 1e-009 lint = 1e-007 ll = 0 ++wl = 0 lln = 1 wln = 1 ++lw = 0 ww = 0 lwn = 1 ++wwn = 1 lwl = 0 wwl = 0 ++llc = 0 wlc = 0 lwc = 0 ++wwc = 0 lwlc = 0 wwlc = 0 ++xl = nmos_6p0_nat_xl xw = nmos_6p0_nat_xw dlc = 0 ++dwc = 0 dlcig = 0 xpart = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++vth0 = nmos_6p0_nat_vth0 lvth0 = -0.088 k1 = 0.165 ++k2 = -0.001 k3 = -0.6 k3b = -0.6 ++w0 = 1e-010 dvt0 = 2.2 dvt1 = 0.53 ++dvt2 = -0.032 dvt0w = 0 dvt1w = 5300000 ++dvt2w = -0.032 dsub = 0.4 minv = -0.5 ++voffl = 0 dvtp0 = 1e-008 dvtp1 = 0 ++lambda = 0 vtl = 200000 xn = 3 ++lpe0 = 1e-007 lpeb = 0 vbm = -3 ++xj = nmos_6p0_nat_xj ngate = 1e+020 ndep = 1.7e+017 ++nsd = 1e+020 phin = 0.5 cdsc = 0.00024 ++cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.06 ud1 = 0 up = 0 ++lp = 1e-008 nfactor = 0.40241 lnfactor= 0.45 ++eta0 = 0.06 etab = -0.43 u0 = 0.070102 ++lu0 = 0.042 ua = 2.278e-009 ub = 3.97e-019 ++lub = 3.65e-018 uc = 2.625e-012 eu = 1.67 ++vsat = 106700 pvsat = 23500 a0 = 0.88 ++ags = 0.72 a1 = 0 a2 = 0.47 ++b0 = 3.5e-007 b1 = 0 keta = -0.04 ++dwg = 0 dwb = 0 pclm = 3 ++pdiblc1 = 1.41 pdiblc2 = 1e-005 pdiblcb = 0 ++drout = 0.16 pvag = 1 delta = 0.005 ++pscbe1 = 5e+009 pscbe2 = 5e-006 fprout = 65 ++pdits = 0 pditsd = 0 pditsl = 0 ++rsh = 7 rdsw = nmos_6p0_nat_rdsw rsw = 100 ++rdw = 100 rdswmin = 0 rdwmin = 0 ++rswmin = 0 prwg = 1 prwb = 0 ++wr = 1 alpha0 = 1.36e-008 alpha1 = 1e-005 ++beta0 = 15 agidl = 2e-010 bgidl = 2.3e+009 ++cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 ++bigbacc = 0.054 cigbacc = 0.075 nigbacc = 1 ++aigbinv = 0.35 bigbinv = 0.03 cigbinv = 0.006 ++eigbinv = 1.1 nigbinv = 3 aigc = 0.43 ++bigc = 0.054 cigc = 0.075 aigsd = 0.43 ++bigsd = 0.054 cigsd = 0.075 nigc = 1 ++poxedge = 1 pigcd = 1 ntox = 1 ++vfbsdoff= 0 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cgso = 1e-010 cgdo = 1e-010 cgbo = 1e-013 ++cgdl = 1.5e-010 cgsl = 1.5e-010 clc = 1e-010 ++cle = 0.6 ckappas = 0.6 ckappad = 0.6 ++vfbcv = -1 acde = 0.3 moin = 15 ++noff = 1.5 voffcv = 0 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 ++kt1l = 3.5e-008 kt2 = -0.05 ute = -1.5 ++lute = -0.26 ua1 = 1e-009 ub1 = -1e-018 ++uc1 = -5.6e-011 prt = 0 at = 80000 ++lat = -30000 pat = -10000 +************************************************************** +* NOISE PARAMETERS +************************************************************** ++fnoimod = 1 tnoimod = 0 em = 4.1e+007 ++ef = 1 noia = nmos_6p0_nat_noia noib = nmos_6p0_nat_noib ++noic = nmos_6p0_nat_noic ntnoi = 1 lintnoi = 0 +************************************************************** +* DIODE PARAMETERS +************************************************************** ++jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 ++njs = 1.0541 ijthsfwd= 0.1 ijthsrev= 0.1 ++bvs = 11 xjbvs = 1 xjbvd = 1 ++jtss = 0 jtsd = 0 jtssws = 0 ++jtsswd = 0 jtsswgs = 0 jtsswgd = 0 ++njts = 20 njtssw = 20 njtsswg = 20 ++xtss = 0.02 xtsd = 0.02 xtssws = 0.02 ++xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 ++tnjts = 0 tnjtssw = 0 tnjtsswg= 0 ++vtss = 10 vtsd = 10 vtssws = 10 ++vtsswd = 10 vtsswgs = 10 vtsswgd = 10 ++pbs = 0.606 cjs = 0.00095 mjs = 0.296 ++pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 ++pbswgs = 0.861 cjswgs = 3.573e-010 mjswgs = 0.40313 ++tpb = 0.00146 tcj = 0.000825 tpbsw = 0.00313 ++tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 ++xtis = 3 +************************************************************** +* LAYOUT RELATED PARAMETERS +************************************************************** ++dmcg = 0 dmdg = 0 dmcgt = 0 ++xgw = 0 xgl = 0 +************************************************************** +* RF PARAMETERS +************************************************************** ++rshg = 0.1 gbmin = 1e-012 rbpb = 50 ++rbpd = 50 rbps = 50 rbdb = 50 ++rbsb = 50 ngcon = 1 xrcrg1 = 12 ++xrcrg2 = 1 rbps0 = 50 rbpsl = 0 ++rbpsw = 0 rbpsnf = 0 rbpd0 = 50 ++rbpdl = 0 rbpdw = 0 rbpdnf = 0 ++rbpbx0 = 100 rbpbxl = 0 rbpbxw = 0 ++rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 ++rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 ++rbsby0 = 100 rbdbx0 = 100 rbdby0 = 100 ++rbsdbxl = 0 rbsdbxw = 0 rbsdbxnf= 0 ++rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 +************************************************************** +* STRESS PARAMETERS +************************************************************** ++web = 0 wec = 0 scref = 1e-006 ++kvth0we = 0 k2we = 0 ku0we = 0 ++saref = 1e-006 sbref = 1e-006 wlod = 0 ++kvth0 = 0 lkvth0 = 0 wkvth0 = 0 ++pkvth0 = 0 llodvth = 0 wlodvth = 0 ++stk2 = 0 lodk2 = 1 lodeta0 = 1 ++ku0 = 0 lku0 = 0 wku0 = 0 ++pku0 = 0 llodku0 = 0 wlodku0 = 0 ++kvsat = 0 steta0 = 0 tku0 = 0 + +.endl nmos_6p0_nat_stat +* +*************************************************************************************************** +* 6V PMOS statistical Models +*************************************************************************************************** +* +.lib pmos_6p0_stat + + +.subckt pmos_6p0_sab d g s b w=10u l=0.5u par=1 s_sab=0.28u d_sab=2.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.01051 ++ par_k=0.00517 ++ par_l=3e-7 ++ par_w=-4e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pmos_6p0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 ++delvto='mis_vth*sw_stat_mismatch' +.ends + + +.model pmos_6p0.0 pmos +***** Flag Parameter *** ++level = 54 version = 4.6 binunit = 1 ++paramchk = 1 mobmod = 0 capmod = 2 ++rdsmod = 0 igcmod = 0 igbmod = 0 ++rbodymod = 0 trnqsmod = 0 acnqsmod = 0 ++fnoimod = 1 tnoimod = 0 diomod = 1 ++tempmod = 0 permod = 1 geomod = 1 +***** Geometry Range Parameter *** ++lmin = 0.5e-6 lmax = 50.01e-6 wmin = 0.3e-6 ++wmax = 100.01e-6 +***** Process Parameter *** ++epsrox = 3.9 toxe = pmos_6p0_tox xj = pmos_6p0_xj ++ndep = 1.7E17 ngate = 3.6E19 nsd = 6E16 ++rsh = 7 rshg = 0.1 phin = 0 ++lphin = 0.1408 +***** dW and dL Parameter *** ++wint = 4.9E-8 wl = 0 wln = 1 ++ww = -1.37E-14 wwn = 1 wwl = 3.04E-22 ++lint = 6.7E-8 ll = -5.4E-15 lln = 1 ++lw = 0 lwn = 1 lwl = -4.76E-21 ++dwg = -6.6E-9 dwb = -3E-9 xl = pmos_6p0_xl ++xw = pmos_6p0_xw +***** Vth Related Parameter *** ++vth0 = pmos_6p0_vth0 pvth0 = 7.6E-3 ++k1 = 0.9588 k2 = 8.936E-3 vfb = -1 ++k3 = -0.75 k3b = 1.2104 w0 = 3.1E-7 ++lpe0 = -4.4E-8 lpeb = -5.96E-8 dvtp0 = 0 ++dvtp1 = 0.3 dvt0 = 1 dvt1 = 1 ++dvt2 = 0 dvt0w = 0 dvt1w = 5.3E6 ++dvt2w = -0.032 +***** Mobility Related Parameter *** ++u0 = 0.0151 ua = 1.78E-9 ub = 4.88E-19 ++uc = -2.7435E-11 luc = 8.691408E-11 puc = -1.501336E-11 ++vsat = 8.55E4 a0 = 0.84 ags = 0.059 ++b0 = 2.625E-8 b1 = 0 keta = -8.6016E-5 ++wketa = 2.772E-3 a1 = 0 a2 = 1 ++rdsw = pmos_6p0_rdsw wrdsw = 213.9 prdsw = -120 ++rdswmin = 100 prwb = 0.569552 pprwb = -0.052 ++prwg = 0.0432 wr = 1 +***** Subthreshold Related Parameter *** ++voff = -0.1284 voffl = 2.19E-8 minv = 0 ++nfactor = 1 eta0 = 0.08 etab = -0.09408 ++petab = -0.012128 dsub = 0.4824 cit = 0 ++cdsc = 2.4E-4 cdscb = 0 cdscd = 0 +***** Output Resistance Related Parameter *** ++pclm = 0.42 ppclm = 0.071 pdiblc1 = 0.14 ++pdiblc2 = 1E-5 pdiblcb = 0 drout = 0.56 ++pscbe1 = 5.088E8 pscbe2 = 1E-8 pvag = 1.5 ++delta = 0.01 fprout = 0 pdits = 0.01 ++pditsl = 0 pditsd = 0 lambda = 0 ++vtl = 2E5 lc = 0 xn = 3 ++alpha0 = 9.6E-7 alpha1 = 51.5 beta0 = 50.8 ++wbeta0 = 0.22 pbeta0 = 0.14 +***** Noise Parameters *** ++ef = 1.1 noia = pmos_6p0_noia ++noib = pmos_6p0_noib noic = pmos_6p0_noic +***** Capacitance Parameter *** ++xpart = 1 cgso = 7.71E-11 cgdo = 7.71E-11 ++cgbo = 1E-13 ckappas = 0.6 ckappad = 0.6 ++dlc = 7.4E-9 noff = 1 voffcv = 0 ++acde = 0.7 moin = 15 cgsl = 5.25E-11 ++cgdl = 5.25E-11 +***** Souce/Drain Junction Diode Model Parameter *** ++ijthsrev = 0.1 ijthdrev = 0.1 ijthsfwd = 0.1 ++ijthdfwd = 0.1 xjbvs = 1 xjbvd = 1 ++bvs = 10.5 bvd = 10.5 jss = 2.0867e-007 ++jsd = 2.0867e-007 jsws = 1.6088e-013 jswd = 1.6088e-013 ++jswgs = 0 jswgd = 0 cjs = 0.000912 ++cjd = 0.000912 mjs = 0.32713 mjd = 0.32713 ++mjsws = 0.056777 mjswd = 0.056777 cjsws = 1.4649e-010 ++cjswd = 1.4649e-010 cjswgs = 3.3229e-010 cjswgd = 3.3229e-010 ++mjswgs = 0.50996 mjswgd =0.50996 pbs = 0.76836 ++pbd = 0.76836 pbsws = 0.5 pbswd = 0.5 ++pbswgs = 1.2295 pbswgd = 1.2295 +***** Temperature coefficient *** ++tnom = 25 ute = -1.2 lute = -0.152467 ++wute = -0.07 kt1 = -0.3828 pkt1 = 2.2E-3 ++kt1l = -3.158E-8 kt2 = -0.09064 ua1 = 1.41E-9 ++lua1 = -6.554813E-10 wua1 = -1.2E-10 pua1 = -3.823641E-10 ++ub1 = -4.31E-18 lub1 = 1.939773E-19 pub1 = 7.291324E-19 ++uc1 = 1.147552E-10 luc1 = -1.067674E-10 puc1 = 1.8536E-11 ++at = -2.18E4 pat = -6.1E3 prt = 454 ++njs = 1 njd = 1 xtis = 3 ++xtid = 3 tpb = 0.0019314 tpbsw = 0.0017642 ++tpbswg = 0.0016588 tcj = 0.001 tcjsw = 0.00071888 ++tcjswg = 0.0009411 + + + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m2 + + +.endl pmos_6p0_stat +* + +.LIB efuse +****************************************************************************** +* +* A single resistor is used to simulate the resistance. +* +* Rfuse +* in o--/\/\/\/--o out +* +******************************************************************************* +* +* SYNTAX: +* +* Specify fuse as intact (default, pblow=0) or programmed (pblow=1). +* +* xxx in out efuse (pblow=0) +* +* NOTES: +* +* 1. Model values based on PCELL layout as provided in the design kit. No +* other geometries or layouts are supported. +* +* 2. Resistance toggles between maximum spec value for intact fuse (<200 ohm) +* and minimum end of life value for programmed fuse (> 900 ohm). +* +******************************************************************************* +* +.subckt efuse in out pblow=0 +* +rfuse in out r='200*(1-pblow) + 900*pblow' +* +.ends efuse + +.ENDL efuse + +.lib fets_mm +.subckt nmos_3p3 d g s b w=1e-5 l=2.8e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b nmos_3p3 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd +.ends nmos_3p3 +*------------------------------------------------------------------------ +.subckt pmos_3p3 d g s b w=1e-5 l=2.8e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b pmos_3p3 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd +.ends pmos_3p3 +*------------------------------------------------------------------------ +.subckt nmos_6p0 d g s b w=1e-5 l=7e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.01155 ++ par_k=0.0000 ++ par_l=4e-7 ++ par_w=-5e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b nmos_6p0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd +.ends nmos_6p0 +*------------------------------------------------------------------------ +.subckt pmos_6p0 d g s b w=1e-5 l=5e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.01051 ++ par_k=0.00517 ++ par_l=3e-7 ++ par_w=-4e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b pmos_6p0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd +.ends pmos_6p0 +*------------------------------------------------------------------------ + +.endl fets_mm + +.LIB res_statistical_par +.param ++mc_rsh_nplus_u=0 ++mc_rsh_pplus_u=0 ++mc_rsh_nplus_s=0 ++mc_rsh_pplus_s=0 ++mc_rsh_npolyf_u=0 ++mc_rsh_ppolyf_u=0 ++mc_rsh_npolyf_s=0 ++mc_rsh_ppolyf_s=0 ++mc_rsh_ppolyf_u_1k=0 ++mc_rsh_ppolyf_u_2k=0 ++mc_rsh_ppolyf_u_1k_6p0=0 ++mc_rsh_ppolyf_u_2k_6p0=0 ++mc_rsh_ppolyf_u_3k=0 + ++mc_dw_nplus_u=0 ++mc_dw_pplus_u=0 ++mc_dw_nplus_s=0 ++mc_dw_pplus_s=0 ++mc_dw_npolyf_u=0 ++mc_dw_ppolyf_u=0 ++mc_dw_npolyf_s=0 ++mc_dw_ppolyf_s=0 ++mc_dw_ppolyf_u_1k=0 ++mc_dw_ppolyf_u_2k=0 ++mc_dw_ppolyf_u_1k_6p0=0 ++mc_dw_ppolyf_u_2k_6p0=0 ++mc_dw_ppolyf_u_3k=0 + ++mc_rt_nplus_u=0 ++mc_rt_pplus_u=0 ++mc_rt_npolyf_u=0 ++mc_rt_ppolyf_u=0 ++mc_rt_ppolyf_u_1k=0 ++mc_rt_ppolyf_u_2k=0 ++mc_rt_ppolyf_u_1k_6p0=0 ++mc_rt_ppolyf_u_2k_6p0=0 ++mc_rt_ppolyf_u_3k=0 + +.ENDL res_statistical_par + +.lib res_statistical +.param ++rsh_nplus_u=60 ++rsh_pplus_u=185 ++rsh_nplus_s=6.3 ++rsh_pplus_s=7 ++rsh_nwell=1000 ++rsh_npolyf_u=310 ++rsh_ppolyf_u=350 ++rsh_npolyf_s=6.8 ++rsh_ppolyf_s=7.3 +* ++rsh_ppolyf_u_1k=1000 ++rsh_ppolyf_u_2k=2000 ++rsh_ppolyf_u_1k_6p0=1000 ++rsh_ppolyf_u_2k_6p0=2000 ++rsh_ppolyf_u_3k=3000 ++rsh_rm1=0.09 ++rsh_rm2=0.09 ++rsh_rm3=0.09 ++rsh_tm6k=60e-3 ++rsh_tm9k=40e-3 ++rsh_tm11k=40e-3 ++rsh_tm30k=9.5e-3 + +.param ++ mc_rsh_nplus_u_temp=agauss(0, 3.8, 3) ++ mc_rsh_pplus_u_temp=agauss(0, 10.055, 3) ++ mc_rsh_nplus_s_temp=agauss(0, 1.33, 3) ++ mc_rsh_pplus_s_temp=agauss(0, 1.4917, 3) ++ mc_rsh_npolyf_u_temp=agauss(0, 15.135, 3) ++ mc_rsh_ppolyf_u_temp=agauss(0, 18.116, 3) ++ mc_rsh_npolyf_s_temp=agauss(0, 1.392, 3) ++ mc_rsh_ppolyf_s_temp=agauss(0, 1.5852, 3) ++ mc_rsh_ppolyf_u_1k_temp=agauss(0, 49.754, 3) ++ mc_rsh_ppolyf_u_2k_temp=agauss(0, 101.518, 3) ++ mc_rsh_ppolyf_u_1k_6p0_temp=agauss(0, 51.894, 3) ++ mc_rsh_ppolyf_u_2k_6p0_temp=agauss(0, 99.242, 3) ++ mc_rsh_ppolyf_u_3k_temp=agauss(0, 184.603, 3) + ++ mc_dw_nplus_u_temp=agauss(0, 0.0144, 3) ++ mc_dw_pplus_u_temp=agauss(0, 0.0144, 3) ++ mc_dw_nplus_s_temp=agauss(0, 0.012, 3) ++ mc_dw_pplus_s_temp=agauss(0, 0.018, 3) ++ mc_dw_npolyf_u_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_temp=agauss(0, 0.0167, 3) ++ mc_dw_npolyf_s_temp=agauss(0, 0.006, 3) ++ mc_dw_ppolyf_s_temp=agauss(0, 0.003, 3) ++ mc_dw_ppolyf_u_1k_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_2k_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_1k_6p0_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_2k_6p0_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_3k_temp=agauss(0, 0.0167, 3) + ++ mc_rt_nplus_u_temp=agauss(0, 0.64, 3) ++ mc_rt_pplus_u_temp=agauss(0, 7.2, 3) ++ mc_rt_npolyf_u_temp=agauss(0, 4.8, 3) ++ mc_rt_ppolyf_u_temp=agauss(0, 4.7, 3) ++ mc_rt_ppolyf_u_1k_temp=agauss(0, 6.838, 3) ++ mc_rt_ppolyf_u_2k_temp=agauss(0, 6.838, 3) ++ mc_rt_ppolyf_u_1k_6p0_temp=agauss(0, 6.838, 3) ++ mc_rt_ppolyf_u_2k_6p0_temp=agauss(0, 6.838, 3) ++ mc_rt_ppolyf_u_3k_temp=agauss(0, 6.93, 3) +************************************************************** ++ mc_rsh_nplus_u=mc_rsh_nplus_u_temp ++ mc_rsh_pplus_u=mc_rsh_pplus_u_temp ++ mc_rsh_nplus_s=mc_rsh_nplus_s_temp ++ mc_rsh_pplus_s=mc_rsh_pplus_s_temp ++ mc_rsh_npolyf_u=mc_rsh_npolyf_u_temp ++ mc_rsh_ppolyf_u=mc_rsh_ppolyf_u_temp ++ mc_rsh_npolyf_s=mc_rsh_npolyf_s_temp ++ mc_rsh_ppolyf_s=mc_rsh_ppolyf_s_temp ++ mc_rsh_ppolyf_u_1k=mc_rsh_ppolyf_u_1k_temp ++ mc_rsh_ppolyf_u_2k=mc_rsh_ppolyf_u_2k_temp ++ mc_rsh_ppolyf_u_1k_6p0=mc_rsh_ppolyf_u_1k_6p0_temp ++ mc_rsh_ppolyf_u_2k_6p0=mc_rsh_ppolyf_u_2k_6p0_temp ++ mc_rsh_ppolyf_u_3k=mc_rsh_ppolyf_u_3k_temp + ++ mc_dw_nplus_u=mc_dw_nplus_u_temp ++ mc_dw_pplus_u=mc_dw_pplus_u_temp ++ mc_dw_nplus_s=mc_dw_nplus_s_temp ++ mc_dw_pplus_s=mc_dw_pplus_s_temp ++ mc_dw_npolyf_u=mc_dw_npolyf_u_temp ++ mc_dw_ppolyf_u=mc_dw_ppolyf_u_temp ++ mc_dw_npolyf_s=mc_dw_npolyf_s_temp ++ mc_dw_ppolyf_s=mc_dw_ppolyf_s_temp ++ mc_dw_ppolyf_u_1k=mc_dw_ppolyf_u_1k_temp ++ mc_dw_ppolyf_u_2k=mc_dw_ppolyf_u_2k_temp ++ mc_dw_ppolyf_u_1k_6p0=mc_dw_ppolyf_u_1k_6p0_temp ++ mc_dw_ppolyf_u_2k_6p0=mc_dw_ppolyf_u_2k_6p0_temp ++ mc_dw_ppolyf_u_3k=mc_dw_ppolyf_u_3k_temp + ++ mc_rt_nplus_u=mc_rt_nplus_u_temp ++ mc_rt_pplus_u=mc_rt_pplus_u_temp ++ mc_rt_npolyf_u=mc_rt_npolyf_u_temp ++ mc_rt_ppolyf_u=mc_rt_ppolyf_u_temp ++ mc_rt_ppolyf_u_1k=mc_rt_ppolyf_u_1k_temp ++ mc_rt_ppolyf_u_2k=mc_rt_ppolyf_u_2k_temp ++ mc_rt_ppolyf_u_1k_6p0=mc_rt_ppolyf_u_1k_6p0_temp ++ mc_rt_ppolyf_u_2k_6p0=mc_rt_ppolyf_u_2k_6p0_temp ++ mc_rt_ppolyf_u_3k=mc_rt_ppolyf_u_3k_temp + +* +.lib 'sm141064.ngspice' res +.lib 'sm141064.ngspice' efuse +.endl res_statistical + +*------------------------------------------------------------------------ +.lib bjt_statistical +.param ++isa=1 bfa=1 rba=1 rea=1 ++rca=1 rbma=1 cjea=1 cjca=1 ++is_cor_npn =1 ++bf_cor_npn=1 ++rb_cor_npn=1 ++re_cor_npn=1 ++rc_cor_npn=1 ++rbm_cor_npn=1 ++cjc_cor_npn=1 ++cje_cor_npn=1 + ++ mc_xis_vnpn_temp=agauss(0, 0.7, 3) ++ mc_xbf_vnpn_temp=agauss(0, 0.48, 3) ++ mc_xrb_vnpn_temp=agauss(0, 0.2, 3) ++ mc_xre_vnpn_temp=agauss(0, 0.2, 3) ++ mc_xrc_vnpn_temp=agauss(0, 0.2, 3) ++ mc_xcje_vnpn_temp=agauss(0, 0.15, 3) ++ mc_xcjc_vnpn_temp=agauss(0, 0.15, 3) + ++ mc_xis_vpnp_temp=agauss(0, 0.3, 3) ++ mc_xbf_vpnp_temp=agauss(0, 0.2, 3) ++ mc_xrb_vpnp_temp=agauss(0, 0.2, 3) ++ mc_xre_vpnp_temp=agauss(0, 0.2, 3) ++ mc_xrc_vpnp_temp=agauss(0, 0.2, 3) ++ mc_xcje_vpnp_temp=agauss(0, 0.15, 3) ++ mc_xcjc_vpnp_temp=agauss(0, 0.15, 3) +** ++ mc_xis_vnpn=mc_xis_vnpn_temp ++ mc_xbf_vnpn=mc_xbf_vnpn_temp ++ mc_xrb_vnpn=mc_xrb_vnpn_temp ++ mc_xre_vnpn=mc_xre_vnpn_temp ++ mc_xrc_vnpn=mc_xrc_vnpn_temp ++ mc_xcje_vnpn=mc_xcje_vnpn_temp ++ mc_xcjc_vnpn=mc_xcjc_vnpn_temp ++ mc_xis_vpnp=mc_xis_vpnp_temp ++ mc_xbf_vpnp=mc_xbf_vpnp_temp ++ mc_xrb_vpnp=mc_xrb_vpnp_temp ++ mc_xre_vpnp=mc_xre_vpnp_temp ++ mc_xrc_vpnp=mc_xrc_vpnp_temp ++ mc_xcje_vpnp=mc_xcje_vpnp_temp ++ mc_xcjc_vpnp=mc_xcjc_vpnp_temp +.lib 'sm141064.ngspice' bjt_mc +.endl bjt_statistical + +.lib bjt_mc + +.subckt vpnp_0p42x10 c b e par=1 dtemp=0 + +.param ++mis_is_vpnp_0p42x10=agauss(0,0.0015,1) ++mis_bf_vpnp_0p42x10=agauss(0,0.01088,1) + ++isa_mis_vpnp_0p42x10= 'mis_is_vpnp_0p42x10*sw_stat_mismatch / sqrt(par)' ++bf_mis_vpnp_0p42x10= 'mis_bf_vpnp_0p42x10*sw_stat_mismatch / sqrt(par)' + +q0 c b e vpnp_0p42x10 dtemp=dtemp +.model vpnp_0p42x10 pnp ++tref = 25 level = 1 ++cjc = '2.04e-014*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' cje = '6.88e-015*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' cjs = 0 fc = 0.5 ++mjc = 0.22711 mje = 0.14469 mjs = 0.5 vjc = 0.43905 ++vje = 0.43905 vjs = 0.75 xcjc = 1 cbcp = 0 ++cbep = 0 ccsp = 0 itf = 0.1 ptf = 0 ++tf = 1e-010 tr = 0 vtf = 10 xtf = 1 ++af = 1 kf = 0 ++is = '9e-019*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + isa_mis_vpnp_0p42x10)' rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' irb = 0.1 ++rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' rbm = '10*rbma' bf = '1.69*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + bf_mis_vpnp_0p42x10)' nf = 1 ++vaf = 80 ikf = 0.00063375 ise = 2.7e-016 ne = 1.64 ++br = 0.0036 nr = 1 var = 23 ikr = 0.1 ++nkf = 0.4 isc = 1e-018 nc = 2 ++xtb = 0.0001 xti = 3 eg = 1.17 ctc = 0.0028626 ++cte = 0.001 tlevc = 1 tvjc = 0.0024779 tvje = 0.0019314 ++tbf1 = 0.0061 tikf1 = -0.0043 tbf2 = -4.235165e-022 + +.ends vpnp_0p42x10 + +.subckt vpnp_0p42x5 c b e par=1 dtemp=0 + +.param ++mis_is_vpnp_0p42x5=agauss(0,0.0017,1) ++mis_bf_vpnp_0p42x5=agauss(0,0.0119,1) +q0 c b e vpnp_0p42x5 dtemp=dtemp +.model vpnp_0p42x5 pnp ++level = 1 tlevc = 1 tref = 25 ++is = '4.388E-19*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_vpnp_0p42x5*sw_stat_mismatch / sqrt(par))' bf = '1.681*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + mis_bf_vpnp_0p42x5*sw_stat_mismatch / sqrt(par))' nf = 1 ++vaf = 180 ikf = 2.4777E-4 nkf = 0.4 ++ise = 1.2124E-16 ne = 1.64 br = 1.9872E-3 ++nr = 1 var = 23 ikr = 0.1 ++isc = 1E-16 nc = 2 ++rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' ++re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' ++cje = '3.5E-15*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.15395 ++cjc = '1.17E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.22711 ++cjs = 0 vjs = 0.75 mjs = 0.5 ++tf = 1E-10 xtf = 1 vtf = 10 ++itf = 0.1 xcjc = 1 fc = 0.5 ++tr = 0 ptf = 0 cbcp = 0 ++cbep = 0 ccsp = 0 ++xtb = 1E-4 xti = 3 eg = 1.17 ++tbf1 = 6.1E-3 tbf2 = -4.235165E-22 tikf1 = -4.3E-3 ++cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 ++tvjc = 2.4779E-3 ++kf = 0 af = 1 +.ends vpnp_0p42x5 + + +.subckt vpnp_10x10 c b e par=1 dtemp=0 + +.param ++mis_is_vpnp_10x10=agauss(0,0.00077,1) ++mis_bf_vpnp_10x10=agauss(0,0.0013,1) +q0 c b e vpnp_10x10 dtemp=dtemp +.model vpnp_10x10 pnp ++level = 1 tlevc = 1 tref = 25 ++is = '1.249175E-17*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_vpnp_10x10*sw_stat_mismatch / sqrt(par))' bf = '1.7*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + mis_bf_vpnp_10x10*sw_stat_mismatch / sqrt(par))' nf = 1 ++vaf = 206.4 ikf = 2.610625E-3 nkf = 0.4 ++ise = 2.7E-16 ne = 1.64 br = 0.017038 ++nr = 1 var = 23 ikr = 0.1 ++isc = 1E-18 nc = 2 ++rb = '27.88*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' ++re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' ++cje = '9.71E-14*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.24192 ++cjc = '4.69E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.24528 ++cjs = 0 vjs = 0.75 mjs = 0.5 ++tf = 1E-10 xtf = 1 vtf = 10 ++itf = 0.1 xcjc = 1 fc = 0.5 ++tr = 0 ptf = 0 cbcp = 0 ++cbep = 0 ccsp = 0 ++xtb = 1E-4 xti = 3 eg = 1.17 ++tbf1 = 4.26E-3 tbf2 = -1.4E-6 tikf1 = -4.3E-3 ++cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 ++tvjc = 2.4779E-3 ++kf = 0 af = 1 +.ends vpnp_10x10 + +.subckt vpnp_5x5 c b e par=1 dtemp=0 + +.param ++mis_is_vpnp_5x5=agauss(0,0.00052,1) ++mis_bf_vpnp_5x5=agauss(0,0.0031,1) + +q0 c b e vpnp_5x5 dtemp=dtemp +.model vpnp_5x5 pnp ++level = 1 tlevc = 1 tref = 25 ++is = '3.403E-18*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_vpnp_5x5*sw_stat_mismatch / sqrt(par))' bf = '1.65*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 +mis_bf_vpnp_5x5*sw_stat_mismatch / sqrt(par))' nf = 1 ++vaf = 208.8 ikf = 1.025275E-3 nkf = 0.4 ++ise = 2.7E-16 ne = 1.64 br = 8.372E-3 ++nr = 1 var = 27.37 ikr = 0.1 ++isc = 1E-18 nc = 2 ++rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' ++re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' ++cje = '2.57E-14*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.23266 ++cjc = '2.15E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.22711 ++cjs = 0 vjs = 0.75 mjs = 0.5 ++tf = 1E-10 xtf = 1 vtf = 10 ++itf = 0.1 xcjc = 1 fc = 0.5 ++tr = 0 ptf = 0 cbcp = 0 ++cbep = 0 ccsp = 0 ++xtb = 1E-4 xti = 3 eg = 1.17 ++tbf1 = 4.54E-3 tbf2 = -9E-7 tikf1 = -4.3E-3 ++cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 ++tvjc = 2.4779E-3 ++kf = 0 af = 1 +.ends vpnp_5x5 + +.subckt vnpn_10x10 c b e s par=1 dtemp=0 + +q0 c b e s vnpn_10x10 dtemp=dtemp +.model vnpn_10x10 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '7.053E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.031E-13*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 1.135E-13 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '1.8108e-017*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '65.442*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '6.9007*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '10.146*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '10.83*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.002 vaf = 95.696 ikf = 0.021028 ise = 2.9626e-016 ++ne = 1.345 br = 0.258 nr = 1.002 var = 29.681 ++ikr = 0.0038951 nkf = 0.584 isc = 1.5816e-016 nc = 1.284 ++iss=6.32E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 ++tnr1 = 0.0001584 tne1 = 0.00047174 tbf1 = 0.00484 tbr1 = 0.0003808 ++tikf1 = -0.0053169 tre1 = 0.002 tbf2 = 3.705e-006 + +.ends vnpn_10x10 + +.subckt vnpn_5x5 c b e s par=1 dtemp=0 + +q0 c b e s vnpn_5x5 dtemp=dtemp +.model vnpn_5x5 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '2.972E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '2.733E-14*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 6.618E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '5.1456e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '71.419*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '12.809*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '12.655*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '10.05*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.002 vaf = 77.796 ikf = 0.01158 ise = 2.5923e-016 ++ne = 1.4224 br = 0.16573 nr = 1 var = 28.001 ++ikr = 0.0038951 nkf = 0.584 isc = 1.2536e-016 nc = 1.284 ++iss=3.26E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 6e-005 ++tnr1 = 0.0001071 tne1 = 0.0005 tbf1 = 0.0050844 tbr1 = 0.00045 ++tikf1 = -0.005 tre1 = 0.001 tbf2 = 4.3586e-006 +.ends vnpn_5x5 + +.subckt vnpn_0p54x16 c b e s par=1 dtemp=0 + + +q0 c b e s vnpn_0p54x16 dtemp=dtemp +.model vnpn_0p54x16 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '3.540E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.354E-14*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 8.211E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '2.8872e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '137.43*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '14.121*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '12.9*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.4987*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.002 vaf = 37.389 ikf = 0.013121 ise = 5.2003e-016 ++ne = 1.4678 br = 0.079582 nr = 1 var = 23.969 ++ikr = 0.0038951 nkf = 0.584 isc = 1.0425e-016 nc = 1.284 ++iss=3.95E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 5.2528e-005 ++tnr1 = 0.0001 tne1 = 0.00034324 tbf1 = 0.0057737 tbr1 = 0.0007104 ++tikf1 = -0.003 tre1 = 0.0035596 tbf2 = 2.2189e-006 +.ends vnpn_0p54x16 + +.subckt vnpn_0p54x8 c b e s par=1 dtemp=0 + +q0 c b e s vnpn_0p54x8 dtemp=dtemp + +.model vnpn_0p54x8 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '2.064E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '6.857E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 5.703E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '1.4309e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '141.94*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '14.573*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '13.434*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.4*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.001 vaf = 37.389 ikf = 0.0077487 ise = 2.6232e-016 ++ne = 1.4685 br = 0.069974 nr = 0.996 var = 25.201 ++ikr = 0.0038951 nkf = 0.584 isc = 7.3712e-017 nc = 1.284 ++iss=2.62E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 4.56e-005 ++tnr1 = 0.0001 tne1 = 0.00065 tbf1 = 0.0050727 tbr1 = 0.00085272 ++tikf1 = -0.003 tre1 = 0.00336 tbf2 = 7.3496e-006 +.ends vnpn_0p54x8 + +.subckt vnpn_0p54x4 c b e s par=1 dtemp=0 + + +q0 c b e s vnpn_0p54x4 dtemp=dtemp +.model vnpn_0p54x4 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '1.326E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '3.513E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 4.449E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '7.6696e-019*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '167*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '17.058*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '14.542*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.39*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.001 vaf = 37.389 ikf = 0.0048817 ise = 1.3419e-016 ++ne = 1.4801 br = 0.057751 nr = 0.998 var = 25.201 ++ikr = 0.0038951 nkf = 0.584 isc = 6.2655e-017 nc = 1.284 ++iss=1.95E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 ++tnr1 = 0.0001 tne1 = 0.0004 tbf1 = 0.0058206 tbr1 = 0.0009 ++tbf2 = -6.2e-007 +.ends vnpn_0p54x4 +.subckt vnpn_0p54x2 c b e s par=1 dtemp=0 + + +q0 c b e s vnpn_0p54x2 dtemp=dtemp +.model vnpn_0p54x2 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '9.569E-15*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.841E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 3.822E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '4.5765e-019*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '231.74*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '25.055*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '17.312*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.25*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.004 vaf = 37.389 ikf = 0.0039054 ise = 8e-017 ++ne = 1.49 br = 0.043698 nr = 1.0005 var = 25.201 ++ikr = 0.0038951 nkf = 0.584 isc = 6.2655e-017 nc = 1.284 ++iss=1.61E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 ++tnr1 = 0.0001 tne1 = 0.0005 tbf1 = 0.005536 tbr1 = 0.001 ++tbf2 = -1.5876e-006 +.ends vnpn_0p54x2 +.endl bjt_mc + +.lib mimcap_statistical +.param mim_corner_1p5fF=1 +.param mim_corner_1p0fF=1 +.param mim_corner_2p0fF=1 + +.param ++ mc_c_cox_1p0fF2=agauss(0, 0.025, 3) ++ mc_c_cox_1p5fF2=agauss(0, 0.03875, 3) ++ mc_c_cox_2p0fF2=agauss(0, 0.025, 3) ++ mc_c_cox_1p0fF='mc_c_cox_1p0fF2*sw_stat_global*cap_mc_skew' ++ mc_c_cox_1p5fF='mc_c_cox_1p5fF2*sw_stat_global*cap_mc_skew' ++ mc_c_cox_2p0fF='mc_c_cox_2p0fF2*sw_stat_global*cap_mc_skew' + +.lib 'sm141064.ngspice' mim_cap +.endl mimcap_statistical + + +* +************************end of file************************* +* + From 6e15f6d13af92a5ac46119571bbab70dc46ec71c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Apr 2024 11:17:25 +0200 Subject: [PATCH 565/673] Fixed DRC rules for via/contact overlap --- Tech.GF180MCU/librecell_tech.py | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index d1aaa10d..d8b04049 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -283,12 +283,12 @@ # Via enclosure (l_ndiffusion, l_ndiff_contact): 70*nm, # (CO.4) (l_pdiffusion, l_pdiff_contact): 70*nm, # (CO.4) - (l_poly, l_poly_contact): 80*nm, # (licon.8a) !!! OR (licon.4) ? - (l_metal1, l_pdiff_contact): 80*nm, # (li.5) - (l_metal1, l_ndiff_contact): 80*nm, # (li.5) - (l_metal1, l_poly_contact): 80*nm, # (li.5) + (l_poly, l_poly_contact): 70*nm, # (CO.3 / CO.4) + (l_metal1, l_pdiff_contact): 60*nm, # (CO.6) + (l_metal1, l_ndiff_contact): 60*nm, # (CO.6) + (l_metal1, l_poly_contact): 60*nm, # (CO.6) (l_metal1, l_via1): 60*nm, # Vn.3 - (l_metal2, l_via1): 100*nm, # V1.4i + (l_metal2, l_via1): 60*nm, # V1.4 # l_*well must overlap l_*diffusion (l_nwell, l_pdiffusion): 430*nm, # (DF.7) From 05ba41b0d4cc4b607060ce8389e1985678984632 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 26 Apr 2024 22:41:27 +0200 Subject: [PATCH 566/673] Adding variants for 3.3V, 5V, 6V, 10V --- Tech.GF180MCU/librecell_tech.py | 240 ++++++++++++++++++-------------- 1 file changed, 132 insertions(+), 108 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index d8b04049..d5a26c9e 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -15,46 +15,67 @@ um = 1000 nm = 1 +targetvoltage="3.3V" # "3.3V" "5V" "6V" "10V" # unfortunately 1.8V does not seem to be available on GF180 +# "5V" => Operating Voltage VDD = 1.62 - 5.5V according to https://gf180mcu-pdk.readthedocs.io/en/latest/digital/standard_cells/gf180mcu_fd_sc_mcu7t5v0/spec/electrical.html + +tracks=9 + +use_deep_nwell = True + # Scale transistor width. transistor_channel_width_sizing = 1 # GDS2 layer numbers for final output. -my_diffusion = (22, 0) # = ndiffusion+pdiffusion -my_diffusion_label = (22, 0) -my_diffusion_pin = (22, 0) +# Keep those definitions always in mind: https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07.html +# GDS2 layers are taken from: https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_04_1.html + +my_ndiffusion = (22, 0) # warning: ndiffusion+pdiffusion is on the same GDS2 layer, called COMP +my_ndiffusion_label = (22, 0) +my_ndiffusion_pin = (22, 0) +my_pdiffusion = (22, 0) # warning: ndiffusion+pdiffusion is on the same GDS2 layer, called COMP +my_pdiffusion_label = (22, 0) +my_pdiffusion_pin = (22, 0) + my_nwell = (21, 0) my_nwell_label = (21, 0) my_nwell_pin = (21, 0) -my_pwell = (204, 0) +my_dnwell = (12, 0) + +my_pwell = (204, 0) # LVPWELL / Pwell implant + +my_dualgate = (55, 0) # Dualgate / 6V Gate Oxide -my_poly = (30, 0) # poly silicium for gates -> poly + ntransistor + ptransistor +my_poly = (30, 0) # "Poly2" / POLY2 gate & interconnect / poly silicium for gates -> poly + ntransistor + ptransistor my_poly_gate = (30, 0) # poly gates? Why do we have a second layer for gates? my_poly_label = (30, 0) -my_mcon = (33, 0) +my_mcon = (33, 0) # Contact / Metal1 to Active or Poly2 contact my_metal1 = (34, 0) my_metal1_label = (34, 0) my_metal1_pin = (34, 0) -my_via1 = (35, 0) +my_via1 = (35, 0) # Metal2 to Metal1 contact my_metal2 = (36, 0) my_metal2_label = (36, 0) my_metal2_pin = (36, 0) -my_via2 = (38, 0) +my_via2 = (38, 0) # Metal3 to Metal2 contact my_metal3 = (42, 0) -my_abutment_box = (63, 0) # prBndry ??? +my_abutment_box = (63, 0) # Border + +my_pplus = (31,0) # P-Plus +my_nplus = (32,0) # N-Plus + +my_sab = (49,0) # SAB / Unsalicided poly & active regions -my_pplus = (31,0) # TAP.DRAWING -my_nplus = (32,0) # TAP.DRAWING # lclayout internally uses its own layer numbering scheme. # For the final output the layers can be remapped with a mapping # defined in this dictioinary. output_map = { - l_ndiffusion: my_diffusion, - l_pdiffusion: my_diffusion, + l_ndiffusion: my_ndiffusion, + l_pdiffusion: my_pdiffusion, l_nwell: my_nwell, # [my_nwell, my_nwell2], # Map l_nwell to two output layers. l_pwell: my_pwell, # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. l_poly: my_poly, @@ -142,52 +163,52 @@ routing_layers = { l_ndiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. l_pdiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. - l_poly: 'v', + l_poly: 'v', # We dont want horizontal rouing on poly l_metal1: 'hv', l_metal2: 'hv', } # Minimum spacing rules for layer pairs. min_spacing = { - (l_ndiffusion, l_ndiffusion): 360*nm, # DF.3a for 5V - #(l_ndiffusion, l_outline): 360/2*nm, # DF.3a for 5V - (l_pdiffusion, l_ndiffusion): 360*nm, # DF.3a for 5V - #(l_pdiffusion, l_outline): 360/2*nm, # DF.3a for 5V - (l_pdiffusion, l_pdiffusion): 360*nm, # DF.3a for 5V - (l_ndiffusion, l_poly_contact): 170*nm, # (CO.8) - (l_pdiffusion, l_poly_contact): 170*nm, # (CO.8) - (l_nwell, l_nwell): 740*nm, # NW.2a - (l_nwell, l_pwell): 0*nm, # NW.4 - (l_pwell, l_pwell): 860*nm, # LPW.2b # If it would be the same potential, we could go down to 860*nm according to LPW.2b, if it is different potential we would have to go up to 1.7 + (l_ndiffusion, l_ndiffusion): 280*nm if targetvoltage=='3.3V' else 360*nm, # DF.3a for 5V https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + #(l_ndiffusion, l_outline): 360/2*nm, # DF.3a for 5V https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + (l_pdiffusion, l_ndiffusion): 280*nm if targetvoltage=='3.3V' else 360*nm, # DF.3a for 5V https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + #(l_pdiffusion, l_outline): 360/2*nm, # DF.3a for 5V https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + (l_pdiffusion, l_pdiffusion): 280*nm if targetvoltage=='3.3V' else 360*nm, # DF.3a for 5V https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + (l_ndiffusion, l_poly_contact): 170*nm, # CO.8 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_pdiffusion, l_poly_contact): 170*nm, # CO.8 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_nwell, l_nwell): 600*nm if targetvoltage=='3.3V' else 740*nm, # NW.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_05.html + (l_nwell, l_pwell): 0*nm, # NW.4 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_05.html + (l_pwell, l_pwell): 860*nm, # LPW.2b # If it would be the same potential, we could go down to 860*nm according to LPW.2b, if it is different potential we would have to go up to 1.7 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_04.html #(l_poly, l_ndiffusion): 300*nm, # PL.5b This is only needed when the poly isn't rectangular, and it doesn't mean the poly that is directly on top of diffusion - #(l_poly, l_pdiffusion): 300*nm, # PL.5b - (l_poly, l_poly): 240*nm, # PL.3a - #(l_poly, l_outline): 240/2*nm, # PL.3a - (l_poly, l_pdiff_contact): 150*nm, # CO.7 - (l_poly, l_ndiff_contact): 150*nm, # CO.7 - (l_pdiff_contact, l_pdiff_contact): 250*nm, # CO.2a-CO.6 #!!! HIER WEITERMACHEN + #(l_poly, l_pdiffusion): 300*nm, # PL.5b https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html + (l_poly, l_poly): 240*nm, # PL.3a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html + #(l_poly, l_outline): 240/2*nm, # PL.3a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html + (l_poly, l_pdiff_contact): 150*nm, # CO.7 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_poly, l_ndiff_contact): 150*nm, # CO.7 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_pdiff_contact, l_pdiff_contact): 250*nm, # CO.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html #(l_pdiff_contact, l_outline): 270/2*nm, # (difftap.3) - (l_ndiff_contact, l_ndiff_contact): 250*nm, # CO.2a-CO.6 + (l_ndiff_contact, l_ndiff_contact): 250*nm, # CO.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html #(l_ndiff_contact, l_outline): 270/2*nm, # (difftap.3) - (l_pdiff_contact, l_ndiff_contact): 250*nm, # CO.2a-CO.6 - (l_metal1, l_metal1): 250*nm, # Mn.2a ! WARNING: Spacing to huge_met1 (>=10um) needs to be 300nm ! + (l_pdiff_contact, l_ndiff_contact): 250*nm, # CO.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_metal1, l_metal1): 230*nm, # Mn.2a ! This was 250nm?!? DRC rule says 230nm. WARNING: Spacing to huge_met1 (>=10um) needs to be 300nm ! But we most likely wont have huge metal1 inside a standard cell https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html #(l_metal1, l_outline): 170/2*nm, # (li.3) # !!!! WARNING: Spacing to huge_met1 (>=?nm) needs to be 280nm ! # (l_metal1, l_border_vertical): 190*nm, # To move the VIAs at the right place # (l_metal2, l_border_vertical): 190*nm, # To move the VIAs at the right place - (l_metal2, l_metal2): 280*nm, # Mn.2a + (l_metal2, l_metal2): 280*nm, # Mn.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html # We need metal2 at the border for the power lanes, so we dont put border rules - (l_via1, l_via1): 260*nm, # Vn.2a + (l_via1, l_via1): 260*nm, # Vn.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_15.html #(l_via1, l_outline): 190/2*nm, # (ct.2) #(l_via1, l_diff_contact): 2*l, # NO RULES FOR LICON-MCON spacing found #(l_via1, l_ndiffusion): 2*l, # NO RULES FOR MCON-DIFF spacing found #(l_via1, l_pdiffusion): 2*l, # NO RULES FOR MCON-DIFF spacing found - (l_poly_contact, l_pdiff_contact): 280*nm, # CO.2b + (l_poly_contact, l_pdiff_contact): 250*nm, # CO.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html #(l_poly_contact, l_outline): 170/2*nm, # (licon.2) - (l_poly_contact, l_ndiff_contact): 170*nm, # CO.2b - (l_ndiffusion, l_pplus): 75*nm, - (l_pdiffusion, l_nplus): 75*nm, - (l_nplus, l_nplus): 400*nm, # NP.2 + (l_poly_contact, l_ndiff_contact): 250*nm, # CO.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_ndiffusion, l_pplus): 80*nm, # OR IS IT 160nm??? PP.3 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_10.html + (l_pdiffusion, l_nplus): 80*nm, # OR IS IT 160nm??? NP.3 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_09.html + (l_nplus, l_nplus): 400*nm, # NP.2 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_09.html } # Layer for the pins. @@ -198,14 +219,14 @@ # Layers that can be connected/merged without changing the schematic. # This can be used to resolve spacing/notch violations by just filling the space. -connectable_layers = {l_nwell, l_pwell, l_poly, l_metal1} -# Width of the gate polysilicon stripe. +connectable_layers = {l_pwell, l_poly, l_metal1} # l_nwell +# Width of the polysilicon stripe which forms the gate. # is reused as the minimum_width for the l_poly layer -gate_length_nmos = 500*nm # PL.2 -gate_length_pmos = 600*nm # PL.2 +gate_length_nmos = 280*nm if targetvoltage=='3.3V' else 500*nm if targetvoltage=='5V' else 550*nm # PL.2 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html +gate_length_pmos = 280*nm if targetvoltage=='3.3V' else 600*nm if targetvoltage=='5V' else 700*nm # PL.2 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html # Minimum length a polysilicon gate must overlap the silicon. -gate_extension = 220*nm # PL.4 +gate_extension = 220*nm # PL.4 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. transistor_offset_y = 340*nm # !!! This likely needs to be tuned later on # The 150/2*nm might have to be removed @@ -213,8 +234,8 @@ # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. # `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. -unit_cell_width = 2*560*nm # (unit SITE) # measured from gf180mcu_fd_sc_mcu9t5v0__inv_1 -unit_cell_height = 5040*nm # (unit SITE) # measured from gf180mcu_fd_sc_mcu9t5v0__inv_1 +unit_cell_width = 2*560*nm # (unit SITE) # measured from gf180mcu_fd_sc_mcu9t5v0__inv_1 -> 1.12 um = 2 Tracks = 2*0.56 nm +unit_cell_height = tracks*560*nm # (unit SITE) # measured from gf180mcu_fd_sc_mcu9t5v0__inv_1 -> 5.04 um = 9 Tracks = 9*0.56 nm #assert unit_cell_height >= 16*um, "minimum 16um due to pwell width + nwell-pwell spacing" # due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 @@ -227,98 +248,101 @@ grid_offset_x = routing_grid_pitch_x grid_offset_y = 0 # (routing_grid_pitch_y // 2 ) -10 -# Width of power rail. -power_rail_width = 480*nm # compatible to SKY130 # 3*130*nm # decided by the standard cell library architect +# Width of power rail metal. +power_rail_width = 480*nm # decided by the standard cell library architect - might need to be interoperable to other cells + -# Minimum width of polysilicon gate stripes. -# It increases w and l from the spice netlist, so it must be width from the spice netlist -minimum_gate_width_nfet = 300*nm # PL.2 -minimum_gate_width_pfet = 300*nm # PL.2 +# Minimum gate widths of transistors, i.e. minimal widths of l_ndiffusion and l_pdiffusion (width of COMP). +# It increases w from the spice netlist, so it must be width from the spice netlist +minimum_gate_width_nfet = 220*nm if targetvoltage=='3.3V' else 300*nm # DF.2 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html +minimum_gate_width_pfet = 220*nm if targetvoltage=='3.3V' else 300*nm # DF.2 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html # Minimum width for pins. minimum_pin_width = 220*nm # Width of routing wires. wire_width = { - l_ndiffusion: 150*nm, # (difftap.1) - l_pdiffusion: 150*nm, # (difftap.2) - l_poly: 390*nm, # (poly.1a) -> Magic requires 180nm -> But we want 390nm to avoid notches - l_metal1: 230*nm, # Mn.1 - l_metal2: 280*nm, # Mn.1 + l_ndiffusion: 220*nm if targetvoltage=='3.3V' else 300*nm, # DF.1a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_pdiffusion: 220*nm if targetvoltage=='3.3V' else 300*nm, # DF.1a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_poly: 280*nm if targetvoltage=='3.3V' else 390*nm, # PL.1 -> Magic requires 180nm -> But we want 390nm to avoid notches # Checked it again on 2024-04-23 and yes, 390nm makes sense. https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html + l_metal1: 230*nm, # Mn.1 voltage independent https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html + l_metal2: 280*nm, # Mn.1 voltage independent https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html } # Width of horizontal routing wires (overwrites `wire_width`). wire_width_horizontal = { - l_ndiffusion: 150*nm, # (difftap.1) - l_pdiffusion: 150*nm, # (difftap.2) - l_poly: 200*nm, # PL.1 - l_metal1: 230*nm, # Mn.1 - l_metal2: 280*nm, # Mn.1 + l_ndiffusion: 220*nm if targetvoltage=='3.3V' else 300*nm, # DF.1a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_pdiffusion: 220*nm if targetvoltage=='3.3V' else 300*nm, # DF.1a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_poly: 180*nm if targetvoltage=='3.3V' else 200*nm, # PL.1 -> Magic requires 180nm -> But we want 390nm to avoid notches # Checked it again on 2024-04-23 and yes, 390nm makes sense. https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html + l_metal1: 230*nm, # Mn.1 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html + l_metal2: 280*nm, # Mn.1 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html } # Side lengths of vias (square shaped). via_size = { - l_poly_contact: 230*nm, # CO.1 + magic extensions 2*CO.6 - l_ndiff_contact: 230*nm, # CO.1 + magic extension 2*CO.6 - l_pdiff_contact: 230*nm, # CO.1 + magic extension 2*CO.6 - l_via1: 260*nm, # Vn.1 - #l_via2: 260*nm # Vn.1 + l_poly_contact: 230*nm, # CO.1 requires 220nm + magic extensions 2*CO.6 - so the GDS2 file should be 220nm in the end I guess? https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + l_ndiff_contact: 230*nm, # CO.1 requires 220nm + magic extension 2*CO.6 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + l_pdiff_contact: 230*nm, # CO.1 requires 220nm + magic extension 2*CO.6 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + l_via1: 260*nm, # Vn.1 # Why do we not have an extension here like with poly, ndiff and pdiff? https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_15.html + #l_via2: 260*nm # Vn.1 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_15.html } # Minimum width rules. minimum_width = { - l_ndiffusion: 300*nm, # DF.1a - l_pdiffusion: 300*nm, # DF.1a - l_poly: 500*nm, # PL.2 - l_metal1: 230*nm, # Mn.1 - l_metal2: 280*nm, # Mn.1 - l_nwell: 900*nm, # NW.1a - l_pwell: 740*nm, # LPW.1 - l_nplus: 400*nm # NP.1 + l_ndiffusion: 220*nm if targetvoltage=='3.3V' else 300*nm, # DF.1a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_pdiffusion: 220*nm if targetvoltage=='3.3V' else 300*nm, # DF.1a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_poly: 280*nm if targetvoltage=='3.3V' else 500*nm if targetvoltage=='5V' else 550*nm, # PL.2 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html + l_metal1: 230*nm, # Mn.1 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html + l_metal2: 280*nm, # Mn.1 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html + l_nwell: 860*nm, # NW.1a (covering 3.3V, 5V, 6V) https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_05.html + l_pwell: 600*nm if targetvoltage=='3.3V' else 740*nm, # LPW.1 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_04.html + l_nplus: 400*nm # NP.1 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_09.html } # Minimum enclosure rules. # Syntax: {(outer layer, inner layer): minimum enclosure, ...} minimum_enclosure = { # Via enclosure - (l_ndiffusion, l_ndiff_contact): 70*nm, # (CO.4) - (l_pdiffusion, l_pdiff_contact): 70*nm, # (CO.4) - (l_poly, l_poly_contact): 70*nm, # (CO.3 / CO.4) - (l_metal1, l_pdiff_contact): 60*nm, # (CO.6) - (l_metal1, l_ndiff_contact): 60*nm, # (CO.6) - (l_metal1, l_poly_contact): 60*nm, # (CO.6) - (l_metal1, l_via1): 60*nm, # Vn.3 - (l_metal2, l_via1): 60*nm, # V1.4 - + (l_ndiffusion, l_ndiff_contact): 70*nm, # (CO.4) https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_pdiffusion, l_pdiff_contact): 70*nm, # (CO.4) https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_poly, l_poly_contact): 70*nm, # (CO.3) https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + # The minimum is 5nm, but since we want to do the overlap symmetrical to achieve reproducibility, when we use 40nm we evade the rule that we would have to use 60nm on the other side: + (l_metal1, l_pdiff_contact): 40*nm, # (CO.6) https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_metal1, l_ndiff_contact): 40*nm, # (CO.6) https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + (l_metal1, l_poly_contact): 40*nm, # (CO.6) https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_13.html + # The minimum is 5nm, but since we want to do the overlap symmetrical to achieve reproducibility, when we use 40nm we evade the rule that we would have to use 60nm on the other side: + (l_metal1, l_via1): 40*nm, # Vn.3 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_15.html + (l_metal2, l_via1): 40*nm, # V1.4 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_15.html # l_*well must overlap l_*diffusion - (l_nwell, l_pdiffusion): 430*nm, # (DF.7) - (l_pwell, l_ndiffusion): 430*nm, # (DF.7) - (l_abutment_box, l_nwell): 0, # The nwell and pwell should not go beyond the abutment - (l_abutment_box, l_pwell): 0, - (l_nplus, l_ndiff_contact): 230*nm, # NP.5a Implicitly encodes the size of well taps. - (l_pplus, l_pdiff_contact): 230*nm, # PP.5a Implicitly encodes the size of well taps. + +### CONTINUE HERE + + (l_nwell, l_pdiffusion): 430*nm if targetvoltage=='3.3V' else 600*nm, # (DF.4c) https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html +# (l_pwell, l_ndiffusion): 430*nm if targetvoltage=='3.3V' else 600*nm, # I CANNOT FIND A RULE FOR THIS OUTSIDE DNWELL https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + (l_nplus, l_ndiff_contact): 230*nm, # NP.5a Implicitly encodes the size of well taps. https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_09.html + (l_pplus, l_pdiff_contact): 230*nm, # PP.5a Implicitly encodes the size of well taps. https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_10.html #(l_dnwell, l_pwell): 2500*nm, } # Minimum notch rules. minimum_notch = { - l_ndiffusion: 130*nm, - l_pdiffusion: 130*nm, - l_poly: 180*nm, - l_metal1: 130*nm, - l_metal2: 130*nm, - l_nwell: 5*130*nm, - l_pwell: 5*130*nm, + l_ndiffusion: 280*nm if targetvoltage=='3.3V' else 360*nm, # DF.3a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_pdiffusion: 280*nm if targetvoltage=='3.3V' else 360*nm, # DF.3a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_poly: 240*nm, # PL.3a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_08.html + l_metal1: 230*nm, # Mn.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html + l_metal2: 280*nm, # Mn.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html + l_nwell: 600*nm if targetvoltage=='3.3V' else 740*nm, # NW.2a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_05.html + l_pwell: 860*nm, # LPW.2b https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_04.html } # Minimum area rules. min_area = { - l_ndiffusion: 0.2025 * um * um, - l_pdiffusion: 0.2025 * um * um, - l_metal1: 0.1444 * um * um ,# Mn.3 - #l_metal2: 0.1444 * um * um ,# Mn.3 - We don't need to enforce it here since that will be done by Openlane - #l_nplus: 0.35 * um * um, #NP.8a - #l_pplus: 0.35 * um * um, #PP.8a + l_ndiffusion: 0.2025 * um * um, # DF.9 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_pdiffusion: 0.2025 * um * um, # DF.9 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_06.html + l_metal1: 0.1444 * um * um ,# Mn.3 https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html + #l_metal2: 0.1444 * um * um ,# Mn.3 - We don't need to enforce it here since that will be done by Openlane https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_14.html + l_nplus: 0.35 * um * um, #NP.8a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_09.html + l_pplus: 0.35 * um * um, #PP.8a https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07_10.html } # ROUTING # @@ -372,10 +396,10 @@ print("Minimum Spacing "+str(min_spacing[(l_ndiff_contact, l_ndiff_contact)])+" for ndiff_contact too small because of ndiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_ndiffusion,l_ndiffusion)])+"+2*"+str(minimum_enclosure[(l_ndiffusion, l_ndiff_contact)])+") Fixing minimum_spacing") min_spacing[(l_ndiff_contact, l_ndiff_contact)]=newmin -if( min_spacing[(l_pdiff_contact, l_ndiff_contact)] < min_spacing[(l_pdiffusion,l_ndiffusion)]+minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]+minimum_enclosure[(l_pdiffusion, l_pdiff_contact)]): - newmin=min_spacing[(l_pdiffusion,l_ndiffusion)]+minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]+minimum_enclosure[(l_pdiffusion, l_pdiff_contact)] - print("Minimum Spacing "+str(min_spacing[(l_ndiff_contact, l_ndiff_contact)])+" for pdiff_contact - ndiff_contact too small because of ndiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_pdiffusion,l_ndiffusion)])+"+"+str(minimum_enclosure[(l_ndiffusion, l_ndiff_contact)])+"+"+str(minimum_enclosure[(l_pdiffusion, l_pdiff_contact)])+") Fixing minimum_spacing") - min_spacing[(l_pdiff_contact, l_ndiff_contact)]=newmin +#if( min_spacing[(l_pdiff_contact, l_ndiff_contact)] < min_spacing[(l_pdiffusion,l_ndiffusion)]+minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]+minimum_enclosure[(l_pdiffusion, l_pdiff_contact)]): +# newmin=min_spacing[(l_pdiffusion,l_ndiffusion)]+minimum_enclosure[(l_ndiffusion, l_ndiff_contact)]+minimum_enclosure[(l_pdiffusion, l_pdiff_contact)] +# print("Minimum Spacing "+str(min_spacing[(l_ndiff_contact, l_ndiff_contact)])+" for pdiff_contact - ndiff_contact too small because of ndiffusion, minimum should be "+ str(newmin)+"(="+str(min_spacing[(l_pdiffusion,l_ndiffusion)])+"+"+str(minimum_enclosure[(l_ndiffusion, l_ndiff_contact)])+"+"+str(minimum_enclosure[(l_pdiffusion, l_pdiff_contact)])+") Fixing minimum_spacing") +# min_spacing[(l_pdiff_contact, l_ndiff_contact)]=newmin if((l_poly_contact, l_poly_contact) in min_spacing and min_spacing[(l_poly_contact, l_poly_contact)] < min_spacing[(l_poly,l_poly)]+2*minimum_enclosure[(l_poly, l_poly_contact)]): newmin=min_spacing[(l_poly,l_poly)]+2*minimum_enclosure[(l_poly, l_poly_contact)] From 2a49791817e2614a600cd5cfe5d7696ea8009b58 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 26 Apr 2024 22:42:10 +0200 Subject: [PATCH 567/673] Addingt topological truth table for a Topology vs. Synthesis check --- .../08_Topological_Truth_Table15.csv | 97 +++++++++++++++++++ 1 file changed, 97 insertions(+) create mode 100644 Tech.GF180MCU/08_Topological_Truth_Table15.csv diff --git a/Tech.GF180MCU/08_Topological_Truth_Table15.csv b/Tech.GF180MCU/08_Topological_Truth_Table15.csv new file mode 100644 index 00000000..0c80e02d --- /dev/null +++ b/Tech.GF180MCU/08_Topological_Truth_Table15.csv @@ -0,0 +1,97 @@ +Truth Table,3.3V/(5V)6V Process,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +,Device Drawn Layers,,,,,,,,,,,,,,Marking Layers,,,,,,,,,,,,,,, +Layer Abbreviation =>,DNWELL,COMP,NWLL,LVPWELL,Dualgate,POLY2,Nplus,Pplus,Resistor ,ESD ,SAB ,FuseTop ,FuseWindow_D,POLYFUSE,RES_MK,V5_XTOR,CAP _MK&MIM_ L_MK,DIODE_MK,DRC_BJT,NAT,MOS_CAP_MK,ESD_MK,LVS_Source,WELL_DIODE_MK,EFUSE_MK,PLFUSE,MVSD,MVPSD,LDMOS_XTOR,Schottky _diode +Digitized Area Polarity =>,CL,CH,CL,CH,CH,CH,CL,CL,CL,CL,CH,CH,CL,CL,-,-,-,-,-,-,-,-,-,-,-,,,,, +(1) MOS Transistors:,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +3.3V NMOS (Outside DNWELL),0,1,0,X,0,1,1,0,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +3.3V NMOS (Inside DNWELL),1,1,0,1,0,1,1,0,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +3.3V PMOS (Outside DNWELL),0,1,1,0,0,1,0,1,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +3.3V PMOS (Inside DNWELL),1,1,X,0,0,1,0,1,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +3.3V native Vt NMOS,0,1,0,0,0,1,1,0,0,0,0,X,0,0,0,0,X,0,0,1,0,0,0,X,0,0,0,0,0,0 +6V NMOS (Outside DNWELL),0,1,0,X,1,1,1,0,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +6V NMOS (Inside DNWELL),1,1,0,1,1,1,1,0,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +6V PMOS (Outside DNWELL),0,1,1,0,1,1,0,1,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +6V PMOS (Inside DNWELL),1,1,X,0,1,1,0,1,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +6V native Vt NMOS,0,1,0,0,1,1,1,0,0,0,0,X,0,0,0,0,X,0,0,1,0,0,0,X,0,0,0,0,0,0 +5V NMOS (Outside DNWELL),0,1,0,X,1,1,1,0,0,0,0,X,0,0,0,1,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +5V NMOS (Inside DNWELL),1,1,0,1,1,1,1,0,0,0,0,X,0,0,0,1,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +5V PMOS (Outside DNWELL),0,1,1,0,1,1,0,1,0,0,0,X,0,0,0,1,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +5V PMOS (Inside DNWELL),1,1,X,0,1,1,0,1,0,0,0,X,0,0,0,1,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +10V LDNMOS,0,1,0,0,1,1,1,0,0,0,0,X,0,0,0,0,X,X,0,0,0,0,0,X,0,0,1,0,1,0 +10V LDPMOS,1,1,0,0,1,1,0,1,0,0,0,X,0,0,0,0,X,X,0,0,0,0,0,X,0,0,0,1,1,0 +(2) BJT:,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +VPNP (Psub as collector),0,1,1,0,0,0,1,1,0,0,0,X,0,0,0,0,X,0,1,0,0,0,0,0,0,0,0,0,0,0 +VNPN (Isolated collector),1,1,0,1,0,0,1,1,0,0,0,X,0,0,0,0,X,0,1,0,0,0,0,0,0,0,0,0,0,0 +(3) Diodes:,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +N+/LVPWELL (3.3V area),X,1,0,1,0,0,1,1,0,0,0,X,0,0,0,0,X,1,0,0,0,X,X,X,0,0,0,0,0,0 +N+/LVPWELL (5V/6V area),X,1,0,1,1,0,1,1,0,0,0,X,0,0,0,X,X,1,0,0,0,X,X,X,0,0,0,0,0,0 +P+/Nwell (3.3V area),X,1,1,0,0,0,1,1,0,0,0,X,0,0,0,0,X,1,0,0,0,X,X,X,0,0,0,0,0,0 +P+/Nwell (5V/6V area),X,1,1,0,1,0,1,1,0,0,0,X,0,0,0,X,X,1,0,0,0,X,X,X,0,0,0,0,0,0 +Nwell/Psub (3.3V area),0,1,1,0,0,0,1,1,0,0,0,X,0,0,0,0,X,X,0,0,0,X,X,1,0,0,0,0,0,0 +Nwell/Psub (5V/6V area),0,1,1,0,1,0,1,1,0,0,0,X,0,0,0,X,X,X,0,0,0,X,X,1,0,0,0,0,0,0 +LVPWELL/DNWELL (3.3V area),1,1,0,1,0,0,1,1,0,0,0,X,0,0,0,0,X,X,0,0,0,X,X,1,0,0,0,0,0,0 +LVPWELL/DNWELL (5V/6V area),1,1,0,1,1,0,1,1,0,0,0,X,0,0,0,X,X,X,0,0,0,X,X,1,0,0,0,0,0,0 +DNWELL/Psub (3.3V area),1,1,1,0,0,0,1,1,0,0,0,X,0,0,0,0,X,X,0,0,0,X,X,1,0,0,0,0,0,0 +DNWELL/Psub (5V/6V area),1,1,1,0,1,0,1,1,0,0,0,X,0,0,0,X,X,X,0,0,0,X,X,1,0,0,0,0,0,X +sc_diode,1,1,X,0,X,0,1,0,0,0,0,X,0,0,0,X,X,0,0,0,0,0,0,X,0,0,0,0,0,1 +(4) Resistors:,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +N+ Diffusion Unsalicided Rs (Outside DNWELL),0,1,0,X,0,0,1,0,0,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +P+ Diffusion Unsalicided Rs (Outside DNWELL),0,1,1,0,0,0,0,1,0,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +N+ Diffusion Salicided Rs (Outside DNWELL),0,1,0,X,0,0,1,0,0,0,0,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +P+ Diffusion Salicided Rs (Outside DNWELL),0,1,1,0,0,0,0,1,0,0,0,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +N+ Diffusion Unsalicided Rs (Intside DNWELL),1,1,0,1,0,0,1,0,0,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +P+ Diffusion Unsalicided Rs (Intside DNWELL),1,1,X,0,0,0,0,1,0,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +N+ Diffusion Salicided Rs (Intside DNWELL),1,1,0,1,0,0,1,0,0,0,0,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +P+ Diffusion Salicided Rs (Intside DNWELL),1,1,X,0,0,0,0,1,0,0,0,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +N+ Poly Unsalicided Rs,X,0,X,X,X,1,1,0,0,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +P+ Poly Unsalicided Rs,X,0,X,X,X,1,0,1,0,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +P+ Poly Salicided Rs,X,0,X,X,X,1,0,1,0,0,0,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +N+ Poly Salicided Rs,X,0,X,X,X,1,1,0,0,0,0,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +1K P+ HRS (3.3V area),X,0,X,X,0,1,0,1,1,0,1,X,0,0,1,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +1K P+ HRS (5V/6V area),X,0,X,X,1,1,0,1,1,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +2K P+ HRS (3.3V area),X,0,X,X,0,1,0,1,1,0,1,X,0,0,1,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +2K P+ HRS (5V/6V area),X,0,X,X,1,1,0,1,1,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +3K P+ HRS (3.3V area),X,0,X,X,0,1,0,1,1,0,1,X,0,0,1,0,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +3K P+ HRS (5V/6V area),X,0,X,X,1,1,0,1,1,0,1,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +Nwell (under STI),0,1,1,0,0,0,1,0,0,0,0,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +LVPWELL (under STI),1,1,0,1,0,0,0,1,0,0,0,X,0,0,1,X,X,0,0,0,0,0,0,X,0,0,0,0,0,0 +(5) Capacitors:,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +MIM capacitor,X,X,X,X,X,X,X,X,X,X,X,1,X,X,X,X,1,X,X,X,X,X,X,X,0,0,X,X,X,X +3.3V NMOS Cap (Outside DNWELL),0,1,0,X,0,1,1,0,0,0,0,X,0,0,0,0,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +3.3V NMOS Cap (Inside DNWELL),1,1,0,1,0,1,1,0,0,0,0,X,0,0,0,0,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +3.3V PMOS Cap (Outside DNWELL),0,1,1,0,0,1,0,1,0,0,0,X,0,0,0,0,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +3.3V PMOS Cap (Inside DNWELL),1,1,X,0,0,1,0,1,0,0,0,X,0,0,0,0,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +"3.3V NMOS Cap_b (Inside NWELL, Outside DNWELL)",0,1,1,0,0,1,1,0,0,0,0,X,0,0,0,0,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +"3.3V NMOS Cap_b (Inside NWELL, Inside DNWELL)",1,1,X,0,0,1,1,0,0,0,0,X,0,0,0,0,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +"3.3V PMOS Cap_b (Inside LVPWELL, Outside DNWELL)",0,1,0,X,0,1,0,1,0,0,0,X,0,0,0,0,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +"3.3V PMOS Cap_b (Inside LVPWELL, Inside DNWELL)",1,1,0,1,0,1,0,1,0,0,0,X,0,0,0,0,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +5V/6V NMOS Cap (Outside DNWELL),0,1,0,X,1,1,1,0,0,0,0,X,0,0,0,X,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +5V/6V NMOS Cap (Inside DNWELL),1,1,0,1,1,1,1,0,0,0,0,X,0,0,0,X,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +5V/6V PMOS Cap (Outside DNWELL),0,1,1,0,1,1,0,1,0,0,0,X,0,0,0,X,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +5V/6V PMOS Cap (Inside DNWELL),1,1,X,0,1,1,0,1,0,0,0,X,0,0,0,X,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +"5V/6V NMOS Cap_b (Inside NWELL, Outside DNWELL)",0,1,1,0,1,1,1,0,0,0,0,X,0,0,0,X,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +"5V/6V NMOS Cap_b (Inside NWELL, Inside DNWELL)",1,1,X,0,1,1,1,0,0,0,0,X,0,0,0,X,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +"5V/6V PMOS Cap_b (Inside LVPWELL, Outside DNWELL)",0,1,0,X,1,1,0,1,0,0,0,X,0,0,0,X,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +"5V/6V PMOS Cap_b (Inside LVPWELL, Inside DNWELL)",1,1,0,1,1,1,0,1,0,0,0,X,0,0,0,X,X,0,0,0,1,0,0,X,0,0,0,0,0,0 +(6) Well Taps,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +Nwell tap (Outside DNWELL),0,1,1,0,X,0,1,0,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,0,0,0,0,0,0,0 +DNWELL/Nwell tap (Inside DNWELL),1,1,X,0,X,0,1,0,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,0,0,0,0,0,0,0 +LVPWELL tap (Outside DNWELL),0,1,0,1,X,0,0,1,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,0,0,0,0,0,0,0 +LVPWELL tap (Inside DNWELL),1,1,0,1,X,0,0,1,0,0,0,X,0,0,0,0,X,0,0,0,0,0,0,0,0,0,0,0,0,0 +(7) N+ Poly Fuse (Optional):,X,0,X,X,X,1,1,0,0,X,1,X,0,1,0,0,X,0,0,0,0,0,0,0,0,0,0,0,0,0 +(8) Metal Fuse (Optional):,X,0,X,X,X,0,X,X,X,X,X,X,1,0,0,0,X,0,0,0,0,0,0,0,0,0,0,0,0,0 +(9) ESD,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +nfet_03v3_dn_dss (SAB 3.3V NMOS inside DNWELL),1,1,0,1,0,1,1,0,0,X,1,X,0,0,0,0,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +nfet_03v3_dss (SAB 3.3V NMOS outside DNWELL),0,1,0,X,0,1,1,0,0,X,1,X,0,0,0,0,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +nfet_05v0_dn_dss (SAB 5V NMOS inside DNWELL),1,1,0,1,1,1,1,0,0,X,1,X,0,0,0,1,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +nfet_05v0_dss (SAB 5V NMOS outside DNWELL),0,1,0,X,1,1,1,0,0,X,1,X,0,0,0,1,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +nfet_06v0_dn_dss (SAB 6V NMOS inside DNWELL),1,1,0,1,1,1,1,0,0,X,1,X,0,0,0,0,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +nfet_06v0_dss (SAB 6V NMOS outside DNWELL),0,1,0,X,1,1,1,0,0,X,1,X,0,0,0,0,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +pfet_03v3_dn_dss (SAB 3.3V PMOS inside DNWELL),1,1,X,0,0,1,0,1,0,X,1,X,0,0,0,0,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +pfet_03v3_dss (SAB 3.3V PMOS outside DNWELL),0,1,1,0,0,1,0,1,0,X,1,X,0,0,0,0,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +pfet_05v0_dn_dss (SAB 5V PMOS inside DNWELL),1,1,X,0,1,1,0,1,0,X,1,X,0,0,0,1,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +pfet_05v0_dss (SAB 5V PMOS outside DNWELL),0,1,1,0,1,1,0,1,0,X,1,X,0,0,0,1,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +pfet_06v0_dn_dss (SAB 6V PMOS inside DNWELL),1,1,X,0,1,1,0,1,0,X,1,X,0,0,0,0,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +pfet_06v0_dss (SAB 6V PMOS outside DNWELL),0,1,1,0,1,1,0,1,0,X,1,X,0,0,0,0,X,0,0,0,0,1,1,X,0,0,0,0,0,0 +(10) eFuse,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +eFuse,X,0,0,X,X,1,0,1,0,0,0,0,0,0,0,X,0,0,0,0,0,0,1,0,1,1,0,0,0,0 From ea85f5d05fa225215d8f45be6bfa52cc221085e2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 26 Apr 2024 22:43:29 +0200 Subject: [PATCH 568/673] Generating YAML for single cells --- Tools/perl/gencharlibyml.pl | 10 +++++++--- 1 file changed, 7 insertions(+), 3 deletions(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index ff11fd40..d3821050 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -45,10 +45,14 @@ loads: [0.06, 0.18, 0.42, 0.6, 1.2] cells: EOF -; +; + +my @cells=@ARGV; +@cells=<*.cell> if(!scalar(@cells)); -foreach my $cell(<*.cell>) +foreach my $cell(@cells) { + print "Handling $cell\n"; open IN,"<$cell"; my $cn=$cell; $cn=~s/\.cell$//; print OUT " $cn:\n"; @@ -85,7 +89,7 @@ print OUT " functions:\n"; while() { - s/function: //; s/\&\&/\&/g; s/\|\|/\|/g; s/ //g; + s/function: //; s/\&\&/\&/g; s/\|\|/\|/g; s/ //g; s/\!(\w+)/\(!$1\)/g; print OUT " - $_"; } close IN; From d00bbe7f6bc96ffb8313ce8b4d071e0fabc3bc2e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 26 Apr 2024 23:51:50 +0200 Subject: [PATCH 569/673] Environment variables --- Tech.GF180MCU/librecell_tech.py | 11 ++++++++--- 1 file changed, 8 insertions(+), 3 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index d5a26c9e..ee7342c8 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -1,3 +1,4 @@ +import os from lclayout.layout.layers import * from lclayout.writer.magic_writer import MagWriter from lclayout.writer.lef_writer import LefWriter @@ -15,12 +16,14 @@ um = 1000 nm = 1 -targetvoltage="3.3V" # "3.3V" "5V" "6V" "10V" # unfortunately 1.8V does not seem to be available on GF180 +targetvoltage=os.environ.get("TARGETVOLTAGE","3.3V") # "3.3V" "5V" "6V" "10V" # unfortunately 1.8V does not seem to be available on GF180 # "5V" => Operating Voltage VDD = 1.62 - 5.5V according to https://gf180mcu-pdk.readthedocs.io/en/latest/digital/standard_cells/gf180mcu_fd_sc_mcu7t5v0/spec/electrical.html -tracks=9 +tracks=int(os.environ.get("TRACKS","9")) -use_deep_nwell = True +use_deep_nwell =os.environ.get("DNWELL","True") + +print("GF180 standard cell configuration: TARGETVOLTAGE="+targetvoltage+" TRACKS="+str(tracks)+" DNWELL="+use_deep_nwell) # Scale transistor width. transistor_channel_width_sizing = 1 @@ -28,6 +31,8 @@ # GDS2 layer numbers for final output. # Keep those definitions always in mind: https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_07.html # GDS2 layers are taken from: https://gf180mcu-pdk.readthedocs.io/en/latest/physical_verification/design_manual/drm_04_1.html +# Topological Truthtable for the layers needed in the Standard Cells: +# https://docs.google.com/spreadsheets/d/1WnX2PdoPuBb3nwg5L60u95co2R2abTH1DZLeoyPBLPY/edit#gid=523905120 my_ndiffusion = (22, 0) # warning: ndiffusion+pdiffusion is on the same GDS2 layer, called COMP my_ndiffusion_label = (22, 0) From 0466821b5ea8f0747aca376fff5d765f588da72e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 12:42:38 +0200 Subject: [PATCH 570/673] Moving the DRC Fixing TCL code to a seperate file --- Tools/perl/drcfix.pl | 192 ++---------------------------------------- Tools/perl/drcfix.tcl | 178 +++++++++++++++++++++++++++++++++++++++ 2 files changed, 187 insertions(+), 183 deletions(-) create mode 100755 Tools/perl/drcfix.tcl diff --git a/Tools/perl/drcfix.pl b/Tools/perl/drcfix.pl index 33d2a9f3..7529a33d 100755 --- a/Tools/perl/drcfix.pl +++ b/Tools/perl/drcfix.pl @@ -1,4 +1,5 @@ #!/usr/bin/perl -w +use File::Basename (); if(scalar(@ARGV)<1) { @@ -33,191 +34,16 @@ ($) #{ print "Trying the fix on $mag:\nRuning magic ...\n"; + my $bindir = File::Basename::dirname($0); + open IN,"<$bindir/drcfix.tcl"; + undef $/; + $todo=; + close IN; + $todo=~s/\$OUTPUT/$output/sg; + $todo=~s/\$MAG/$mag/sg; + $todo=~s/\$DRCSTYLE/$drcstyle/sg; -my $todo=< 180} { - puts "WARNING: \$tries tries were tried, this is strange" - #undo print 20 - } - } -} - -#getCheckpoint - -proc fix_drc {} { - puts "select top cell" - select top cell - puts "drc style $drcstyle" - drc style $drcstyle - puts "drc on" - drc on - puts "drc check" - drc check - puts "drc catchup" - drc catchup - puts "drc listall catchup" - drc listall catchup - puts "drc find" - drc find - puts "drc check" - drc check - puts "drc catchup" - drc catchup - set ndebugfile 1 - puts "Redirecting Variable" - redirect_variable drccount {drc count total} - puts "Setting checkpoint" - set checkpoint [getCheckpoint] - puts "Checkpoint: \$checkpoint" - set nFixed 0 - puts "DRC count: \$drccount" - set drcc [string trim [string map {"Total DRC errors found: " ""} \$drccount] ] - if {\$drcc == 0} return - set yReposition {0 2 -2 9 -9} - - foreach yRepo \$yReposition { - puts "Trying Reposition \$yRepo" - set nRounds \$drcc - puts \$drccount - #puts \$drcc - for {set i 0} {\$i <= \$nRounds + 10 } {incr i} { - puts "I am inside the first loop: \$i" - if {\$drcc > 0} { - redirect_variable drcresult {drc find} - puts "move up \$yRepo" - move up \$yRepo - puts \$drcresult - if {[string first "\\[" \$drcresult] != -1} { - regexp {\\[(erase|paint) ([^\\]]+)\\]} \$drcresult full drccommand layernames - if {\$yRepo != 0 } { - puts "This is an addition for Sky130: We have some 20nm wide inter-net spacings that we need to paint on locali, so we reposition the box and try to paint on locali" - set drccommand "paint" - set layernames "locali" - } - if {\$drccommand == "erase" } { - redirect_variable bbox {box} - #lambda: 44 x 10 ( 0, 309 ), ( 44, 319 ) 440 - #lambda: 2.00 x 8.50 ( 463.50, 217.50), ( 465.50, 226.00) 17.00 - puts "BOX: \$bbox" - regexp {lambda:\\s*\\d+\\.?\\d* x \\d+\\.?\\d*\\s+\\([^\\)]*\\), \\(\\s*(\\d+\\.?\\d*),\\s*(\\d+\\.?\\d*)} \$bbox full boxX boxY - puts "Bounding box for erase: \$boxX \$boxY" - if {\$boxY >= 309 } { - puts "This is an addition for Sky130: We do not want to erase the power rails, so we skip ignore rules outside the core of the cell" - set layernames "" - } - } - foreach drcparts [split \$layernames ","] { - puts "Trying layers \$drcparts" - foreach layername [split \$drcparts " "] { - puts "\$drccommand \$layername" - \$drccommand \$layername - puts "done with this layer." - } - # save "$output.try.\$ndebugfile" - incr ndebugfile - drc check - drc catchup - redirect_variable drccountnew {drc count total} - set drccn [string trim [string map {"Total DRC errors found: " ""} \$drccountnew] ] - if {\$drccn == 0} { - puts "We have fixed all issues, no need to try more" - save $output - puts "File $output saved." - quit -noprompt - } - if {\$drccn < \$drcc} { - puts "Hoory, we fixed a DRC issue" - incr nFixed - set drcc \$drccn - set checkpoint [getCheckpoint] - puts "New Checkpoint: \$checkpoint" - # save $output - # exit - } else { - puts "Trying to fix this DRC issue did not reduce the number of DRC issues (\$drccn vs. \$drcc) so we undo and try something else" - undoToCheckpoint \$checkpoint - #foreach layername [split \$drcparts " "] { - # puts "Undoing \$layername" - # #erase \$layername - # undo - #} - } - } - } - } - } - } - - if {\$nFixed >0} { - puts "We have fixed some issues, \$drccn issues are remaining, we give up and save the file now." - save $output - puts "File $output saved." - } else { - puts "We could not fix any issues." - } -} -puts "Trying to FIX some DRC issues" -load $mag -puts "Calling fix_drc" -fix_drc -puts "Done trying to FIX some DRC issues" -quit -noprompt -EOF -; if($debug) { open OUT,">$tcl"; diff --git a/Tools/perl/drcfix.tcl b/Tools/perl/drcfix.tcl new file mode 100755 index 00000000..889f1625 --- /dev/null +++ b/Tools/perl/drcfix.tcl @@ -0,0 +1,178 @@ +proc redirect_variable {varname cmd} { + rename puts ::tcl::orig::puts + global __puts_redirect + set __puts_redirect {} + proc puts args { + global __puts_redirect + set __puts_redirect [concat $__puts_redirect [lindex $args end]] + set args [lreplace $args end end] + if {[lsearch -regexp $args {^-nonewline}]<0} { + set __puts_redirect "$__puts_redirect\n" + } + return + } + uplevel $cmd + upvar $varname destination + set destination $__puts_redirect + unset __puts_redirect + rename puts {} + rename ::tcl::orig::puts puts +} + +proc getCheckpoint {} { + #save checkpoint + #return + redirect_variable undostack {undo print 10} + #puts "Undostack: $undostack" + #head=0xd620c840 tail=0xd5b648a0 cur=0xd5b648a0 + regexp {cur=(0x\w+)} $undostack full cur + #puts "cur: $cur" + undo print 20 + return $cur +} + +proc undoToCheckpoint {checkpoint} { + #load checkpoint + #return + redirect_variable undostack {undo print 10} + regexp {cur=(0x\w+)} $undostack full cur + regexp {head=(0x\w+)} $undostack full head + #undo print 20 + if {$head ne 0x0} { + if {$checkpoint == 0x0} { + set checkpoint $head + } + set tries 0 + while {$cur ne $checkpoint && $cur ne 0x0 && $tries < 200 } { + #puts "Undo ..." + undo + #undo print 20 + redirect_variable undostack {undo print 10} + regexp {cur=(0x\w+)} $undostack full cur + incr tries + } + if {$tries > 180} { + puts "WARNING: $tries tries were tried, this is strange" + #undo print 20 + } + } +} + +#getCheckpoint + +proc fix_drc {} { + puts "select top cell" + select top cell + puts "drc style $DRCSTYLE" + drc style $DRCSTYLE + puts "drc on" + drc on + puts "drc check" + drc check + puts "drc catchup" + drc catchup + puts "drc listall catchup" + drc listall catchup + puts "drc find" + drc find + puts "drc check" + drc check + puts "drc catchup" + drc catchup + set ndebugfile 1 + puts "Redirecting Variable" + redirect_variable drccount {drc count total} + puts "Setting checkpoint" + set checkpoint [getCheckpoint] + puts "Checkpoint: $checkpoint" + set nFixed 0 + puts "DRC count: $drccount" + set drcc [string trim [string map {"Total DRC errors found: " ""} $drccount] ] + if {$drcc == 0} return + set yReposition {0 2 -2 9 -9} + + foreach yRepo $yReposition { + puts "Trying Reposition $yRepo" + set nRounds $drcc + puts $drccount + #puts $drcc + for {set i 0} {$i <= $nRounds + 10 } {incr i} { + puts "I am inside the first loop: $i" + if {$drcc > 0} { + redirect_variable drcresult {drc find} + puts "move up $yRepo" + move up $yRepo + puts $drcresult + if {[string first "\[" $drcresult] != -1} { + regexp {\[(erase|paint) ([^\]]+)\]} $drcresult full drccommand layernames + if {$yRepo != 0 } { + puts "This is an addition for Sky130: We have some 20nm wide inter-net spacings that we need to paint on locali, so we reposition the box and try to paint on locali" + set drccommand "paint" + set layernames "locali" + } + if {$drccommand == "erase" } { + redirect_variable bbox {box} + #lambda: 44 x 10 ( 0, 309 ), ( 44, 319 ) 440 + #lambda: 2.00 x 8.50 ( 463.50, 217.50), ( 465.50, 226.00) 17.00 + puts "BOX: $bbox" + regexp {lambda:\s*\d+\.?\d* x \d+\.?\d*\s+\([^\)]*\), \(\s*(\d+\.?\d*),\s*(\d+\.?\d*)} $bbox full boxX boxY + puts "Bounding box for erase: $boxX $boxY" + if {$boxY >= 309 } { + puts "This is an addition for Sky130: We do not want to erase the power rails, so we skip ignore rules outside the core of the cell" + set layernames "" + } + } + foreach drcparts [split $layernames ","] { + puts "Trying layers $drcparts" + foreach layername [split $drcparts " "] { + puts "$drccommand $layername" + $drccommand $layername + puts "done with this layer." + } + # save "$OUTPUT.try.$ndebugfile" + incr ndebugfile + drc check + drc catchup + redirect_variable drccountnew {drc count total} + set drccn [string trim [string map {"Total DRC errors found: " ""} $drccountnew] ] + if {$drccn == 0} { + puts "We have fixed all issues, no need to try more" + save $OUTPUT + puts "File $OUTPUT saved." + quit -noprompt + } + if {$drccn < $drcc} { + puts "Hoory, we fixed a DRC issue" + incr nFixed + set drcc $drccn + set checkpoint [getCheckpoint] + puts "New Checkpoint: $checkpoint" + } else { + puts "Trying to fix this DRC issue did not reduce the number of DRC issues ($drccn vs. $drcc) so we undo and try something else" + undoToCheckpoint $checkpoint + #foreach layername [split $drcparts " "] { + # puts "Undoing $layername" + # #erase $layername + # undo + #} + } + } + } + } + } + } + + if {$nFixed >0} { + puts "We have fixed some issues, $drccn issues are remaining, we give up and save the file now." + save $OUTPUT + puts "File $OUTPUT saved." + } else { + puts "We could not fix any issues." + } +} +puts "Trying to FIX some DRC issues" +load $MAG +puts "Calling fix_drc" +fix_drc +puts "Done trying to FIX some DRC issues" +quit -noprompt From c4a11c49551c93df30838de4081f17047071fda9 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 12:43:50 +0200 Subject: [PATCH 571/673] Making the Verilog output Python syntax compatible too --- Tools/perl/truthtable.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index 2e9817ca..a67af172 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -333,7 +333,7 @@ sub truth my @a=(); foreach(@ins) { - push @a,$res{$_}?"$_":"!$_"; # Here we are collecting all values for a AO representation, e.g. (A && !B && C) || (!A && B && C)) + push @a,$res{$_}?"$_":"(!$_)"; # Here we are collecting all values for a AO representation, e.g. (A && !B && C) || (!A && B && C)) } push @{$results{$out}{$res{$out}}},join($format eq "liberty"?"&":" && ",@a); # Here the single values are put together: (A && !B && C) } From 21266d8e79dbdd4d93f0fe430be5002ae629bb13 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 12:48:42 +0200 Subject: [PATCH 572/673] Skipping cells without a truthtable --- Tools/perl/gencharlibyml.pl | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index d3821050..fa7622eb 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -52,9 +52,15 @@ foreach my $cell(@cells) { + my $cn=$cell; $cn=~s/\.cell$//; + + if(! -f "$cn.truthtable.v") + { + print "Skipping $cell due to missing truthtable\n"; + next; + } print "Handling $cell\n"; open IN,"<$cell"; - my $cn=$cell; $cn=~s/\.cell$//; print OUT " $cn:\n"; print OUT " netlist: $cn.sp\n"; while() From 2e8d125a9c73644301efaaf3526aa0c76030704a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 13:34:41 +0200 Subject: [PATCH 573/673] Switched to parasitic extracted netlist --- Tools/perl/gencharlibyml.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index fa7622eb..67c52aca 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -62,7 +62,7 @@ print "Handling $cell\n"; open IN,"<$cell"; print OUT " $cn:\n"; - print OUT " netlist: $cn.sp\n"; + print OUT " netlist: $cn.spice\n"; while() { if(/^\.inputs (.*?)\s*$/) From afe7f06a1484854b56d4339da5263774f20e52a7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 13:46:00 +0200 Subject: [PATCH 574/673] Removed warnings for newer magic versions - is it the correct way to solve it? --- Tech.GF180MCU/libresilicon.tech | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.GF180MCU/libresilicon.tech b/Tech.GF180MCU/libresilicon.tech index 3e6afa97..66f58d1d 100644 --- a/Tech.GF180MCU/libresilicon.tech +++ b/Tech.GF180MCU/libresilicon.tech @@ -1211,7 +1211,7 @@ style import scalefactor 50 nanometers gridlimit 5 - options ignore-unknown-layer-labels options no-reconnect-labels + #options ignore-unknown-layer-labels options no-reconnect-labels ignore BJTDEF ignore MOSCAP From 612745394fb2b0932c62af3ee81ab00db156a2dd Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 23:04:14 +0200 Subject: [PATCH 575/673] Made it flexible for single cells and whole libraries --- Tools/perl/gencharlibyml.pl | 37 ++++++++++++++++++++++++++++++------- 1 file changed, 30 insertions(+), 7 deletions(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index 67c52aca..ae025938 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -3,10 +3,9 @@ # This tool is automatically used by the StdCellLib flow, it is called by librecells.pl # If you want to run it manually, run it from the CATALOG directory and make sure that the PDK environment variable is set. -open OUT,">libresilicon-charlib.yml"; - - -print OUT < if(!scalar(@cells)); +if(scalar(@cells)>1) # If we have more than one cell we create one yml file for the whole library +{ + my $fn="libresilicon-charlib.yml"; + open OUT,">$fn"; + print "Writing to $fn\n"; + header(); +} + + foreach my $cell(@cells) { my $cn=$cell; $cn=~s/\.cell$//; - if(! -f "$cn.truthtable.v") { print "Skipping $cell due to missing truthtable\n"; next; } print "Handling $cell\n"; + if(scalar(@cells)==1) # If we have only a single cell we create a yml file for that single cell + { + open OUT,">$cn.yml"; + print "Writing to $cn.yml\n"; + header(); + } open IN,"<$cell"; print OUT " $cn:\n"; print OUT " netlist: $cn.spice\n"; @@ -100,5 +114,14 @@ } close IN; } + if(scalar(@cells)==1) + { + close OUT; + } + +} +if(scalar(@cells)>1) +{ + close OUT; } From e32efbed499eafdc2395f27fd312b3519faadb9d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 23:05:03 +0200 Subject: [PATCH 576/673] Activating charlib support --- Tools/perl/librecells.pl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index db0c7f80..49a2cab6 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -248,9 +248,9 @@ ($) step("NEXT STEP: Characterization with CharLib:"); # system_v("python3 ../Tools/python/gen_CharLib.py"); # Old CharLib and Libretto support - system_v("perl ../Tools/perl/gencharlibyml.pl"); - $cmd="charlib run ."; # Which Path should we use for CharLib? - + system_v("perl ../Tools/perl/gencharlibyml.pl $cellname.cell"); + $cmd="charlib run $cellname.yml"; # Which Path should we use for CharLib? + system_v($md); step("NEXT STEP: Visualisation"); print "Visualisation:\nlibertyviz -l $cellname.lib --cell $cellname --pin Y --related-pin A --table cell_rise\n"; From b4e3818f5597494291e3df33f98aa01e4de8cdd3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 23:07:02 +0200 Subject: [PATCH 577/673] Activating Logging for charlib --- Tools/perl/librecells.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 49a2cab6..762744e8 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -249,7 +249,7 @@ ($) step("NEXT STEP: Characterization with CharLib:"); # system_v("python3 ../Tools/python/gen_CharLib.py"); # Old CharLib and Libretto support system_v("perl ../Tools/perl/gencharlibyml.pl $cellname.cell"); - $cmd="charlib run $cellname.yml"; # Which Path should we use for CharLib? + $cmd="charlib run $cellname.yml >>$cellname.log 2>>$cellname.err"; # Which Path should we use for CharLib? system_v($md); step("NEXT STEP: Visualisation"); From 65989ac394b0584eb93b3b58c1aa7554ecbc2f15 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 23:46:37 +0200 Subject: [PATCH 578/673] Fixed typo --- Tools/perl/librecells.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 762744e8..ab9a44fa 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -250,7 +250,7 @@ ($) # system_v("python3 ../Tools/python/gen_CharLib.py"); # Old CharLib and Libretto support system_v("perl ../Tools/perl/gencharlibyml.pl $cellname.cell"); $cmd="charlib run $cellname.yml >>$cellname.log 2>>$cellname.err"; # Which Path should we use for CharLib? - system_v($md); + system_v($cmd); step("NEXT STEP: Visualisation"); print "Visualisation:\nlibertyviz -l $cellname.lib --cell $cellname --pin Y --related-pin A --table cell_rise\n"; From c5ecdab90bea37bdc1ed47b0866e1289766e7fa7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 2 May 2024 23:48:01 +0200 Subject: [PATCH 579/673] Adding usage collection for charlib --- Tools/perl/librecells.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index ab9a44fa..ecf0683b 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -249,7 +249,7 @@ ($) step("NEXT STEP: Characterization with CharLib:"); # system_v("python3 ../Tools/python/gen_CharLib.py"); # Old CharLib and Libretto support system_v("perl ../Tools/perl/gencharlibyml.pl $cellname.cell"); - $cmd="charlib run $cellname.yml >>$cellname.log 2>>$cellname.err"; # Which Path should we use for CharLib? + $cmd="$usage charlib run $cellname.yml >>$cellname.log 2>>$cellname.err"; # Which Path should we use for CharLib? system_v($cmd); step("NEXT STEP: Visualisation"); From 76d19180b1b7de90035ed1d3de1ae25aeea5ef3b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 3 May 2024 01:42:36 +0200 Subject: [PATCH 580/673] Added some documentation --- Tools/perl/truthtable.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index a67af172..11d776f9 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -333,9 +333,9 @@ sub truth my @a=(); foreach(@ins) { - push @a,$res{$_}?"$_":"(!$_)"; # Here we are collecting all values for a AO representation, e.g. (A && !B && C) || (!A && B && C)) + push @a,$res{$_}?"$_":"(!$_)"; # Here we are collecting all values for a AO representation, e.g. (A && !B && C) || (!A && B && C)) "Sum-of-Product" } - push @{$results{$out}{$res{$out}}},join($format eq "liberty"?"&":" && ",@a); # Here the single values are put together: (A && !B && C) + push @{$results{$out}{$res{$out}}},join($format eq "liberty"?"&":" && ",@a); # Here the single values are put together: (A && !B && C) "Sum-of-Product" } if($format eq "text") From d8c5282faefb0de50c43be84950c271e100a86d2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 07:36:32 +0100 Subject: [PATCH 581/673] Use correct type for capacity values Capacity values need to be float according to asserts in lctime --- Synthesis/liberty/ls1u_Ptyp_V5_T25.lib | 2 +- Tools/perl/libgen.pl | 2 +- Tools/perl/libgenall.pl | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/Synthesis/liberty/ls1u_Ptyp_V5_T25.lib b/Synthesis/liberty/ls1u_Ptyp_V5_T25.lib index f2eefaea..2f227bf9 100644 --- a/Synthesis/liberty/ls1u_Ptyp_V5_T25.lib +++ b/Synthesis/liberty/ls1u_Ptyp_V5_T25.lib @@ -63,7 +63,7 @@ library(stdcelllib) { current_unit : "1uA"; pulling_resistance_unit : "1kohm"; leakage_power_unit : "1nW"; - capacitive_load_unit (1,pf); + capacitive_load_unit (1.0,pf); slew_upper_threshold_pct_rise : 80; slew_lower_threshold_pct_rise : 20; diff --git a/Tools/perl/libgen.pl b/Tools/perl/libgen.pl index e6dc4a22..a7535582 100755 --- a/Tools/perl/libgen.pl +++ b/Tools/perl/libgen.pl @@ -23,7 +23,7 @@ current_unit : "1uA"; pulling_resistance_unit : "1kohm"; leakage_power_unit : "1nW"; - capacitive_load_unit (1,pf); + capacitive_load_unit (1.0,pf); slew_upper_threshold_pct_rise : 80; slew_lower_threshold_pct_rise : 20; diff --git a/Tools/perl/libgenall.pl b/Tools/perl/libgenall.pl index 814b126c..4a4ed14b 100755 --- a/Tools/perl/libgenall.pl +++ b/Tools/perl/libgenall.pl @@ -27,7 +27,7 @@ current_unit : "1uA"; pulling_resistance_unit : "1kohm"; leakage_power_unit : "1nW"; - capacitive_load_unit (1,pf); + capacitive_load_unit (1.0,pf); slew_upper_threshold_pct_rise : 80; slew_lower_threshold_pct_rise : 20; From 07a20081b8ba08231e90fe2ba8ecfef02118360a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 08:03:39 +0000 Subject: [PATCH 582/673] Update .gitlab-ci.yml file --- .gitlab-ci.yml | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100644 .gitlab-ci.yml diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml new file mode 100644 index 00000000..9645341f --- /dev/null +++ b/.gitlab-ci.yml @@ -0,0 +1,23 @@ +name: CI + +on: + # Runs on Every Push + push: + # Runs on Pull Requests + pull_request: + workflow_dispatch: + +jobs: + pdk: + runs-on: ubuntu-latest + steps: + + - name: Test + run: | + ls + + #- name: Upload PDK Tarball + # uses: actions/upload-artifact@v2 + # with: + # name: pdk-tarball + # path: /tmp/pdk.tar From f0b39f869e58801eb47284df0207119e34486f49 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 09:07:26 +0100 Subject: [PATCH 583/673] Test CI job --- .gitlab-ci.yml | 37 ++++++++++++++----------------------- 1 file changed, 14 insertions(+), 23 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 9645341f..5336908d 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -1,23 +1,14 @@ -name: CI - -on: - # Runs on Every Push - push: - # Runs on Pull Requests - pull_request: - workflow_dispatch: - -jobs: - pdk: - runs-on: ubuntu-latest - steps: - - - name: Test - run: | - ls - - #- name: Upload PDK Tarball - # uses: actions/upload-artifact@v2 - # with: - # name: pdk-tarball - # path: /tmp/pdk.tar +default: + image: golang:latest + +stages: + - build + +compile: + stage: build + script: + - mkdir -p mybinaries + - touch mybinaries/foo + artifacts: + paths: + - mybinaries From 66113bfec6ec4a635509a658ac41de79ec32f92a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 13:36:48 +0100 Subject: [PATCH 584/673] Update build task --- .gitlab-ci.yml | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 5336908d..df028558 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -1,14 +1,15 @@ default: - image: golang:latest + image: leviathanch/riscv-toolchain:latest stages: - build -compile: +gf180_lib: stage: build script: - - mkdir -p mybinaries - - touch mybinaries/foo + - rm -f Tech && ln -s Tech.GF180MCU Tech + - cd Catalog && make layout + - cp -r Catalog/outputlib gf180_lib artifacts: paths: - - mybinaries + - gf180_lib From 9f8c719f80c46bdf3149a41b7ba04eaf31e8dd16 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 13:40:00 +0100 Subject: [PATCH 585/673] Use the correct image --- .gitlab-ci.yml | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index df028558..b5a44cb0 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -1,5 +1,5 @@ default: - image: leviathanch/riscv-toolchain:latest + image: leviathanch/libresilicon-tools:latest stages: - build @@ -13,3 +13,13 @@ gf180_lib: artifacts: paths: - gf180_lib + +ls1u_lib: + stage: build + script: + - rm -f Tech && ln -s Tech.LS1UM Tech + - cd Catalog && make layout + - cp -r Catalog/outputlib ls1u_lib + artifacts: + paths: + - ls1u_lib From b991469fc38f67c92c1e3559d8059f4855fd87ae Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 13:46:29 +0100 Subject: [PATCH 586/673] Add more build jobs --- .gitlab-ci.yml | 19 +++++++++++++++---- 1 file changed, 15 insertions(+), 4 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index b5a44cb0..e0003cb4 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -4,6 +4,16 @@ default: stages: - build +ls1u_lib: + stage: build + script: + - rm -f Tech && ln -s Tech.LS1UM Tech + - cd Catalog && make layout + - cp -r Catalog/outputlib ls1u_lib + artifacts: + paths: + - ls1u_lib + gf180_lib: stage: build script: @@ -14,12 +24,13 @@ gf180_lib: paths: - gf180_lib -ls1u_lib: +sky130_lib: stage: build script: - - rm -f Tech && ln -s Tech.LS1UM Tech + - rm -f Tech && ln -s Tech.SKY130 Tech - cd Catalog && make layout - - cp -r Catalog/outputlib ls1u_lib + - cp -r Catalog/outputlib sky130_lib artifacts: paths: - - ls1u_lib + - sky130_lib + From 9fe92e375f2cd0c82753896bb3f25bb50bd5cda0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 14:13:26 +0100 Subject: [PATCH 587/673] Define BASH as entry point in CI/CD definition --- .gitlab-ci.yml | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index e0003cb4..01271e07 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -1,5 +1,7 @@ default: - image: leviathanch/libresilicon-tools:latest + image: + name: leviathanch/libresilicon-tools:latest + entrypoint: ["/usr/bin/bash"] stages: - build From ca8e6c2bedc7f414bfb2a6cd31d138fe1bba4e66 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 14:18:48 +0100 Subject: [PATCH 588/673] different entrypoint? --- .gitlab-ci.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 01271e07..d9169713 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -1,7 +1,7 @@ default: image: name: leviathanch/libresilicon-tools:latest - entrypoint: ["/usr/bin/bash"] + entrypoint: ["/bin/bash", "-l", "-c"] stages: - build From d3782da714711d19ece341ca5979ab1fc4342ef0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 14:22:59 +0100 Subject: [PATCH 589/673] Again without -l --- .gitlab-ci.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index d9169713..e39ef40c 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -1,7 +1,7 @@ default: image: name: leviathanch/libresilicon-tools:latest - entrypoint: ["/bin/bash", "-l", "-c"] + entrypoint: ["/bin/bash", "-c"] stages: - build From 87418f308952f55994f818a68590775b7b65105d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 14:25:14 +0100 Subject: [PATCH 590/673] Maybe this way? --- .gitlab-ci.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index e39ef40c..dcb25388 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -1,7 +1,7 @@ default: image: name: leviathanch/libresilicon-tools:latest - entrypoint: ["/bin/bash", "-c"] + entrypoint: [""] stages: - build From 79d7ea15133df7f23e8a707aacffc7d2f2037ae4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 14:27:12 +0100 Subject: [PATCH 591/673] Activate venv --- .gitlab-ci.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index dcb25388..c7c34f1d 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -10,7 +10,7 @@ ls1u_lib: stage: build script: - rm -f Tech && ln -s Tech.LS1UM Tech - - cd Catalog && make layout + - . /root/env/bin/activate && cd Catalog && make layout - cp -r Catalog/outputlib ls1u_lib artifacts: paths: @@ -20,7 +20,7 @@ gf180_lib: stage: build script: - rm -f Tech && ln -s Tech.GF180MCU Tech - - cd Catalog && make layout + - . /root/env/bin/activate && cd Catalog && make layout - cp -r Catalog/outputlib gf180_lib artifacts: paths: @@ -30,7 +30,7 @@ sky130_lib: stage: build script: - rm -f Tech && ln -s Tech.SKY130 Tech - - cd Catalog && make layout + - . /root/env/bin/activate && cd Catalog && make layout - cp -r Catalog/outputlib sky130_lib artifacts: paths: From 553bd9122902a98690bed36c4abc49c686567a84 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 15:06:33 +0100 Subject: [PATCH 592/673] Try another approach --- .gitlab-ci.yml | 38 +++++++++++++++++++++++++++++--------- 1 file changed, 29 insertions(+), 9 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index c7c34f1d..3fc79e7e 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -9,9 +9,16 @@ stages: ls1u_lib: stage: build script: - - rm -f Tech && ln -s Tech.LS1UM Tech - - . /root/env/bin/activate && cd Catalog && make layout - - cp -r Catalog/outputlib ls1u_lib + - | + rm -f Tech + ln -s Tech.LS1UM Tech + . /root/env/bin/activate + cd Catalog + make layout + cd .. + ls + cp -r Catalog/outputlib ls1u_lib + artifacts: paths: - ls1u_lib @@ -19,9 +26,15 @@ ls1u_lib: gf180_lib: stage: build script: - - rm -f Tech && ln -s Tech.GF180MCU Tech - - . /root/env/bin/activate && cd Catalog && make layout - - cp -r Catalog/outputlib gf180_lib + - | + rm -f Tech + ln -s Tech.GF180MCU Tech + . /root/env/bin/activate + cd Catalog + make layout + cd .. + ls + cp -r Catalog/outputlib gf180_lib artifacts: paths: - gf180_lib @@ -29,9 +42,16 @@ gf180_lib: sky130_lib: stage: build script: - - rm -f Tech && ln -s Tech.SKY130 Tech - - . /root/env/bin/activate && cd Catalog && make layout - - cp -r Catalog/outputlib sky130_lib + - | + rm -f Tech + ln -s Tech.SKY130 Tech + . /root/env/bin/activate + cd Catalog + make layout + cd .. + ls + cp -r Catalog/outputlib sky130_lib + artifacts: paths: - sky130_lib From 2f2a7254f998a89a25276824eed441179e0accb8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 15:08:37 +0100 Subject: [PATCH 593/673] Remove tab --- .gitlab-ci.yml | 36 ++++++++++++++++++------------------ 1 file changed, 18 insertions(+), 18 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 3fc79e7e..6bd87859 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -10,13 +10,13 @@ ls1u_lib: stage: build script: - | - rm -f Tech - ln -s Tech.LS1UM Tech + rm -f Tech + ln -s Tech.LS1UM Tech . /root/env/bin/activate - cd Catalog - make layout - cd .. - ls + cd Catalog + make layout + cd .. + ls cp -r Catalog/outputlib ls1u_lib artifacts: @@ -27,13 +27,13 @@ gf180_lib: stage: build script: - | - rm -f Tech - ln -s Tech.GF180MCU Tech + rm -f Tech + ln -s Tech.GF180MCU Tech . /root/env/bin/activate - cd Catalog - make layout - cd .. - ls + cd Catalog + make layout + cd .. + ls cp -r Catalog/outputlib gf180_lib artifacts: paths: @@ -43,13 +43,13 @@ sky130_lib: stage: build script: - | - rm -f Tech - ln -s Tech.SKY130 Tech + rm -f Tech + ln -s Tech.SKY130 Tech . /root/env/bin/activate - cd Catalog - make layout - cd .. - ls + cd Catalog + make layout + cd .. + ls cp -r Catalog/outputlib sky130_lib artifacts: From 41072c79f7361bb037dd3dbfd21917642d469cb7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 15:13:18 +0100 Subject: [PATCH 594/673] Update technology from LibrePDK --- Tech.LS1UM/librecell_tech.py | 110 ++++++++++++++++++++++++++--------- 1 file changed, 82 insertions(+), 28 deletions(-) diff --git a/Tech.LS1UM/librecell_tech.py b/Tech.LS1UM/librecell_tech.py index ed8bee5d..a783d4d0 100644 --- a/Tech.LS1UM/librecell_tech.py +++ b/Tech.LS1UM/librecell_tech.py @@ -3,10 +3,15 @@ from lclayout.writer.lef_writer import LefWriter from lclayout.writer.gds_writer import GdsWriter -# Physical size of one data base unit in meters. -# Libresilicon: We wanted to choose 100nm, so 1 lambda is 5 units of 1e-7, so every lambda value has to be multiplied by 5 -# BUT GDS2 requires the database units to be in nanometers, and lclayout cannot convert to nanometers automatically yet -db_unit = 1e-9 +name = "LS1U" + +l_pad = 'pad' +l_via2 = 'via2' + +''' +This is a 1 micron process, which means one lamba is 500nm. +The dbunit dictated by KLayout is 0.001 micron which equals 1 nm. +''' # Lambda - how many db_units is 1 lambda? l = 500 @@ -19,11 +24,14 @@ my_ndiffusion = (1, 0) my_pdiffusion = (1, 7) my_nwell = (2, 0) -#my_nwell2 = (2, 1) # a copy of the nwell layer due to limitations of other tools we don't need my_pwell = (2, 7) my_poly = (3, 0) # poly silicium for gates -> poly + ntransistor + ptransistor my_poly_contact = (4, 0) # Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" -my_diff_contact = (5, 0) # Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" + +# Both poly_contact and diff_contact are the same in Libresilicon and they are both just one layer called "CONTACT" +my_pdiff_contact = (5, 0) +my_ndiff_contact = (5, 7) + my_metal1 = (6, 0) my_metal1_label = (6, 1) my_metal1_pin = (6, 2) @@ -31,8 +39,13 @@ my_metal2 = (8, 0) my_metal2_label = (8, 1) my_metal2_pin = (8, 2) +my_via2 = (9, 0) +my_pad = (10, 0) + my_abutment_box = (200, 0) +my_outline = (235, 5) + # lclayout internally uses its own layer numbering scheme. # For the final output the layers can be remapped with a mapping # defined in this dictioinary. @@ -43,17 +56,30 @@ l_pwell: my_pwell, # Output layer for pwell. Uncomment this if needed. For instance for twin-well processes. l_poly: my_poly, l_poly_contact: my_poly_contact, - l_diff_contact: my_diff_contact, + l_ndiff_contact: my_ndiff_contact, + l_pdiff_contact: my_pdiff_contact, l_metal1: my_metal1, l_metal1_label: my_metal1_label, l_metal1_pin: my_metal1_pin, l_via1: my_via1, + l_via2: my_via2, l_metal2: my_metal2, l_metal2_label: my_metal2_label, l_metal2_pin: my_metal2_pin, - l_abutment_box: my_abutment_box + l_abutment_box: my_abutment_box, + l_pad: my_pad } +# These are only the obstruction layers, only these layers will be generated into the OBS section of the LEF files +obstruction_layers = [ + l_poly_contact, + l_pdiff_contact, + l_ndiff_contact, + l_metal1, + l_via1, + l_metal2, +] + # Define a list of output writers. output_writers = [ MagWriter( @@ -71,17 +97,19 @@ l_pdiffusion: 'pdiffusion', l_metal2_pin: 'metal2', l_poly_contact: 'polycontact', - l_diff_contact: 'pdcontact' + l_pdiff_contact: 'pdcontact', + l_ndiff_contact: 'ndcontact' } ), LefWriter( - db_unit=db_unit, - output_map=output_map + db_unit=1e-3, + output_map=output_map, + obstruction_layers=obstruction_layers ), GdsWriter( - db_unit=db_unit, + db_unit=1e-3, output_map=output_map ) ] @@ -111,15 +139,21 @@ (l_poly, l_ndiffusion): 1*l, # 2.4.6 -> 1l (l_poly, l_pdiffusion): 1*l, # 2.4.6 -> 1l (l_poly, l_poly): 1*l, # 3 POLY -> 2l XXX: TODO: THIS NEEDS TO BE INCREASED TO 2l BUT AT THE MOMENT IT WOULD BREAK THE ROUTING - (l_poly, l_diff_contact): 2*l, # The maximum "minimum spacing" from poly to anything else is 2l - (l_diff_contact, l_diff_contact): 2*l, # 3 -> 2l + (l_poly, l_ndiff_contact): 2*l, # The maximum "minimum spacing" from poly to anything else is 2l + (l_poly, l_pdiff_contact): 2*l, # The maximum "minimum spacing" from poly to anything else is 2l + (l_ndiff_contact, l_ndiff_contact): 2*l, # 3 -> 2l + (l_pdiff_contact, l_pdiff_contact): 2*l, # 3 -> 2l (l_metal1, l_metal1): 4*l, # 3 METAL1 -> 4l # !!!! WARNING: Spacing to BigMetal (>=10um) needs to be 6l ! (l_metal2, l_metal2): 4*l, # 3 METAL2 -> 4l (l_via1, l_via1): 3*l, # 3 VIA1 -> 3l - (l_via1, l_diff_contact): 2*l, # 2.8.3 -> 2l + (l_via1, l_ndiff_contact): 2*l, # 2.8.3 -> 2l + (l_via1, l_pdiff_contact): 2*l, # 2.8.3 -> 2l (l_via1, l_ndiffusion): 2*l, # 2.8.4 -> 2l (l_via1, l_pdiffusion): 2*l, # 2.8.4 -> 2l - (l_poly_contact, l_diff_contact): 4*l, + (l_poly_contact, l_ndiff_contact): 4*l, + (l_poly_contact, l_pdiff_contact): 4*l, + (l_poly_contact, l_poly_contact): 4*l, + (l_via2, l_via2): 3*l, # 3 VIA2 -> 3l } # Layer for the pins. @@ -192,9 +226,10 @@ # Side lengths of vias (square shaped). via_size = { l_poly_contact: 2*l, # 2.6.1 -> 2l - l_diff_contact: 2*l, # 2.6.1 -> 2l - l_via1: 2*l # 2.8.1 -> 2l -# l_via2: 10 # 2.10.1 -> 2l librecell only goes to metal2, via2 would go to metal3 + l_ndiff_contact: 2*l, # 2.6.1 -> 2l + l_pdiff_contact: 2*l, # 2.6.1 -> 2l + l_via1: 2*l, # 2.8.1 -> 2l + l_via2: 2*l # 2.10.1 -> 2l librecell only goes to metal2, via2 would go to metal3 } # Minimum width rules. @@ -204,16 +239,20 @@ l_poly: gate_length, # 2.4.1-> 2l l_metal1: 4*l, # 2.7.1 -> 4l l_metal2: 4*l, # 2.9.1 -> 4l + l_nwell: 10*l, # 4.1 -> 10l + l_pwell: 10*l, # 4.2 -> 10l + } # Minimum enclosure rules. # Syntax: {(outer layer, inner layer): minimum enclosure, ...} minimum_enclosure = { # Via enclosure - (l_ndiffusion, l_diff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's - (l_pdiffusion, l_diff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's + (l_ndiffusion, l_ndiff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's + (l_pdiffusion, l_pdiff_contact): 1*l, # 2.3.3 -> 6l Source/Drain are DIFF's (l_poly, l_poly_contact): 1*l, # 2.6.2 -> 1l ?!?!? PLEASE VERIFY WHETHER THIS IS CORRECT - (l_metal1, l_diff_contact): 1*l, # 2.7.3 -> 1l + (l_metal1, l_ndiff_contact): 1*l, # 2.7.3 -> 1l + (l_metal1, l_pdiff_contact): 1*l, # 2.7.3 -> 1l (l_metal1, l_poly_contact): 1*l, # 2.7.3 -> 1l (l_metal1, l_via1): 1*l,# 2.7.3 -> 1l (l_metal2, l_via1): 1*l,# 2.9.3 -> 1l @@ -248,20 +287,35 @@ # This will avoid creating zig-zag routings. orientation_change_penalty = 100 +# Metal 1 and 2 are made from Aluminum and each 300nm thick +# rho = 0.0265 x 1e-6 x Ohm*m = 0.0265 x 1e-3 x mOhm*m +# t_met = 300 nm = 300 x 1e-9 m = 3 x 1e-7 m +# Rm = rho / t_met +Rm = (0.0265*1e-3)/(3*1e-7) + +# Polysilicon is 500nm thick +# t_poly = 500nm +Rpoly = 1000*1e3 # mOhm/square -> 1kOhm + # Routing edge weights per data base unit. +# unit: mohms/square weights_horizontal = { l_ndiffusion: 10000, l_pdiffusion: 10000, - l_poly: 10, - l_metal1: 1, - l_metal2: 2, + l_poly: Rpoly, + l_metal1: Rm, + l_metal2: Rm, + l_nwell: 100*1e3, + l_pwell: 100*1e3, } weights_vertical = { l_ndiffusion: 10000, l_pdiffusion: 10000, - l_poly: 10, - l_metal1: 1, - l_metal2: 2, + l_poly: Rpoly, + l_metal1: Rm, + l_metal2: Rm, + l_nwell: 100*1e3, + l_pwell: 100*1e3, } # Via weights. From 9399f4523bdaf7eefcc705f8d98499bffe898790 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 15:20:45 +0100 Subject: [PATCH 595/673] Set db_unit is 1e-3 For GDS Factory for it to fit in KLayout it has to be 0.001 um --- Tech.GF180MCU/librecell_tech.py | 4 +--- Tech.LS1UM/librecell_tech.py | 2 ++ 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index ee7342c8..7b26a87d 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -7,9 +7,7 @@ # This Tech file was created for 5V transistors for GlobalFoundries GF180MCU. There might be one layer missing for them. We could create additional cells for 3.3V and 6V, but that would change a lot of the DRC rules -# Physical size of one data base unit in meters. -# BUT GDS2 requires the database units to be in nanometers, and lclayout cannot convert to nanometers automatically yet -db_unit = 1e-9 +db_unit = 1e-3 # Lambda - how many db_units is 1 lambda? grid = 5 # grid basis diff --git a/Tech.LS1UM/librecell_tech.py b/Tech.LS1UM/librecell_tech.py index a783d4d0..76c3b2a5 100644 --- a/Tech.LS1UM/librecell_tech.py +++ b/Tech.LS1UM/librecell_tech.py @@ -13,6 +13,8 @@ The dbunit dictated by KLayout is 0.001 micron which equals 1 nm. ''' +db_unit = 1e-3 + # Lambda - how many db_units is 1 lambda? l = 500 um = 1000 From 2e1e7f069694764b31e8e07f8186625d43ac2a62 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 15:42:34 +0100 Subject: [PATCH 596/673] Update db unit, so that routing doesn't fail --- Tech.GF180MCU/librecell_tech.py | 2 +- Tech.LS1UM/librecell_tech.py | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/Tech.GF180MCU/librecell_tech.py b/Tech.GF180MCU/librecell_tech.py index 7b26a87d..5443345e 100644 --- a/Tech.GF180MCU/librecell_tech.py +++ b/Tech.GF180MCU/librecell_tech.py @@ -7,7 +7,7 @@ # This Tech file was created for 5V transistors for GlobalFoundries GF180MCU. There might be one layer missing for them. We could create additional cells for 3.3V and 6V, but that would change a lot of the DRC rules -db_unit = 1e-3 +db_unit = 1e-9 # Lambda - how many db_units is 1 lambda? grid = 5 # grid basis diff --git a/Tech.LS1UM/librecell_tech.py b/Tech.LS1UM/librecell_tech.py index 76c3b2a5..99ef3df0 100644 --- a/Tech.LS1UM/librecell_tech.py +++ b/Tech.LS1UM/librecell_tech.py @@ -13,7 +13,7 @@ The dbunit dictated by KLayout is 0.001 micron which equals 1 nm. ''' -db_unit = 1e-3 +db_unit = 1e-9 # Lambda - how many db_units is 1 lambda? l = 500 From 2c769ec7b3001e5d38ac2696d84b8e367264b2c8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 17:21:44 +0100 Subject: [PATCH 597/673] Solve issue with metal1 --- Tech.SKY130/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 8170a651..6a13061a 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -196,7 +196,7 @@ pin_layer = l_metal2 # lclayout.metal2 = sky130.metal1 # Power stripe layer -power_layer = l_metal1 # , l_metal2] # lclayout.metal2 = sky130.metal1 +power_layer = [l_metal1] # , l_metal2] # lclayout.metal2 = sky130.metal1 # Layers that can be connected/merged without changing the schematic. # This can be used to resolve spacing/notch violations by just filling the space. From 3328eb6f23f559a8e663b99bada02c72f785dcd1 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 17:22:44 +0100 Subject: [PATCH 598/673] Solve issue with metal1 --- Tech.LS1UM/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.LS1UM/librecell_tech.py b/Tech.LS1UM/librecell_tech.py index 99ef3df0..15f0db44 100644 --- a/Tech.LS1UM/librecell_tech.py +++ b/Tech.LS1UM/librecell_tech.py @@ -162,7 +162,7 @@ pin_layer = l_metal2 # Power stripe layer -power_layer = l_metal1 # Was recommended by leviathanch due to lesser resistance +power_layer = [l_metal1] # Was recommended by leviathanch due to lesser resistance # Layers that can be connected/merged without changing the schematic. # This can be used to resolve spacing/notch violations by just filling the space. From a2ddfed1c277403d14f88e594ec1079fd4de33bc Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 23 Jul 2025 18:01:12 +0100 Subject: [PATCH 599/673] Disable LS1U for now and store logs --- .gitlab-ci.yml | 27 ++++++++------------------- 1 file changed, 8 insertions(+), 19 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 6bd87859..0cb887f4 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -6,23 +6,6 @@ default: stages: - build -ls1u_lib: - stage: build - script: - - | - rm -f Tech - ln -s Tech.LS1UM Tech - . /root/env/bin/activate - cd Catalog - make layout - cd .. - ls - cp -r Catalog/outputlib ls1u_lib - - artifacts: - paths: - - ls1u_lib - gf180_lib: stage: build script: @@ -33,7 +16,10 @@ gf180_lib: cd Catalog make layout cd .. - ls + mkdir -p Catalog/outputlib/errors + cp Catalog/*.err Catalog/outputlib/errors/ + mkdir -p Catalog/outputlib/logs + cp Catalog/*.log Catalog/outputlib/logs/ cp -r Catalog/outputlib gf180_lib artifacts: paths: @@ -49,7 +35,10 @@ sky130_lib: cd Catalog make layout cd .. - ls + mkdir -p Catalog/outputlib/errors + cp Catalog/*.err Catalog/outputlib/errors/ + mkdir -p Catalog/outputlib/logs + cp Catalog/*.log Catalog/outputlib/logs/ cp -r Catalog/outputlib sky130_lib artifacts: From d8f15e516c5b5c1bddd893bcd7133f6b359e062c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 25 Jul 2025 17:46:32 +0100 Subject: [PATCH 600/673] Change back some values --- Tech.LS1UM/librecell_tech.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tech.LS1UM/librecell_tech.py b/Tech.LS1UM/librecell_tech.py index 15f0db44..79174eef 100644 --- a/Tech.LS1UM/librecell_tech.py +++ b/Tech.LS1UM/librecell_tech.py @@ -105,13 +105,13 @@ ), LefWriter( - db_unit=1e-3, + db_unit=db_unit, output_map=output_map, obstruction_layers=obstruction_layers ), GdsWriter( - db_unit=1e-3, + db_unit=db_unit, output_map=output_map ) ] From 27d787c27c9f3846d40b8b12f69a2039c04c1767 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sun, 27 Jul 2025 12:17:12 +0100 Subject: [PATCH 601/673] CI/CD: Artifacts Export whole Catalog folder --- .gitlab-ci.yml | 12 ++---------- 1 file changed, 2 insertions(+), 10 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 0cb887f4..ca79f593 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -16,11 +16,7 @@ gf180_lib: cd Catalog make layout cd .. - mkdir -p Catalog/outputlib/errors - cp Catalog/*.err Catalog/outputlib/errors/ - mkdir -p Catalog/outputlib/logs - cp Catalog/*.log Catalog/outputlib/logs/ - cp -r Catalog/outputlib gf180_lib + cp -r Catalog gf180_lib artifacts: paths: - gf180_lib @@ -35,11 +31,7 @@ sky130_lib: cd Catalog make layout cd .. - mkdir -p Catalog/outputlib/errors - cp Catalog/*.err Catalog/outputlib/errors/ - mkdir -p Catalog/outputlib/logs - cp Catalog/*.log Catalog/outputlib/logs/ - cp -r Catalog/outputlib sky130_lib + cp -r Catalog sky130_lib artifacts: paths: From 94cc7c601407817952bf7010e558c50eb2011d54 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sun, 27 Jul 2025 12:17:49 +0100 Subject: [PATCH 602/673] Hack for making make catalog work iNow there's a bug with Gauche tho and Popcorn crashes. Hagen promised to look at it --- Catalog/GNUmakefile | 2 ++ 1 file changed, 2 insertions(+) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 6c86bf64..1ed0ab9d 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -240,3 +240,5 @@ charter: .PHONY: cell cell: $(CELL) + +INV: INV.cell From 708ba980c1fa668334e90885ae9d5e71a82b89b5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sun, 27 Jul 2025 19:19:58 +0100 Subject: [PATCH 603/673] Moving to generic file name for compatibility --- Tech.GF180MCU/{sm141064.ngspice => transistors.ngspice} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename Tech.GF180MCU/{sm141064.ngspice => transistors.ngspice} (100%) diff --git a/Tech.GF180MCU/sm141064.ngspice b/Tech.GF180MCU/transistors.ngspice similarity index 100% rename from Tech.GF180MCU/sm141064.ngspice rename to Tech.GF180MCU/transistors.ngspice From 904a3cb50b63ea219d96e857b7c7689e4a5f4e3a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:10:56 +0100 Subject: [PATCH 604/673] Add SPICE expander A tool which extracts contents of a library into the transistors.ngspice file with .LIB typical as the library name. --- Tools/python/expandSICElib.py | 64 +++++++++++++++++++++++++++++++++++ 1 file changed, 64 insertions(+) create mode 100644 Tools/python/expandSICElib.py diff --git a/Tools/python/expandSICElib.py b/Tools/python/expandSICElib.py new file mode 100644 index 00000000..e668e27e --- /dev/null +++ b/Tools/python/expandSICElib.py @@ -0,0 +1,64 @@ +import sys +import os + +def expand_lib(ifpath,line): + ret="" + words = line.split() + if len(words) > 1: + _ifile = words[1] + else: + return ret + + _ifile = _ifile.replace('"','') + + if not os.path.isabs(_ifile): + _ifile = os.path.join(ifpath,_ifile) + + _ifpath = os.path.dirname(os.path.realpath(_ifile)) + + with open(_ifile,'r') as file: + lines = file.read() + file.close() + lines = lines.split('\n') + for line in lines: + if line.lower().startswith(".include"): + ret+=expand_lib(_ifpath,line) + else: + ret+=line+'\n' + return ret + +if __name__ == "__main__": + if len(sys.argv)==4: + libname = sys.argv[1] + ifname = sys.argv[2] + ifpath = os.path.dirname(os.path.realpath(ifname)) + ofname = sys.argv[3] + has_begun=False + ret="" + with open(ifname,'r') as file: + lines = file.read() + file.close() + lines = lines.split('\n') + for line in lines: + if not has_begun and line.lower().startswith(".lib"): + words = line.split() + if len(words) > 1: + if words[1] == libname: + has_begun=True + ret+=".LIB typical\n" + elif has_begun and line.lower().startswith(".endl"): + ret+=".ENDL typical\n" + break + elif line.lower().startswith(".include"): + ret+=expand_lib(ifpath,line) + else: + ret+=line+'\n' + + with open(ofname,'w') as file: + file.write(ret) + file.close() + else: + print("Input and output file requires!") + print("usage: python expand typical_name in.spice out.spice") + print("typical name -> tt or whatever, name of lib to select, will be renamed to typical") + print("the other two are file names") From a6952d3957540cca4c4a76e334bfc582258d57c5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:12:51 +0100 Subject: [PATCH 605/673] Adding SPICE models for SKY130 Now SKY130 also can be characterized using CharLib --- Tech.SKY130/design.ngspice | 1 + Tech.SKY130/transistors.ngspice | 265358 +++++++++++++++++++++++++++++ 2 files changed, 265359 insertions(+) create mode 100644 Tech.SKY130/design.ngspice create mode 100644 Tech.SKY130/transistors.ngspice diff --git a/Tech.SKY130/design.ngspice b/Tech.SKY130/design.ngspice new file mode 100644 index 00000000..fc5abea0 --- /dev/null +++ b/Tech.SKY130/design.ngspice @@ -0,0 +1 @@ +.include "invariant.spice" diff --git a/Tech.SKY130/transistors.ngspice b/Tech.SKY130/transistors.ngspice new file mode 100644 index 00000000..a646a5e5 --- /dev/null +++ b/Tech.SKY130/transistors.ngspice @@ -0,0 +1,265358 @@ +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +******* SkyWater sky130 model library ********* + +* Typical corner (tt) +.LIB typical +.param mc_mm_switch=0 +.param mc_pr_switch=0 +* MOSFET +* SKY130 Spice File. +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_01v8__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_01v8__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_01v8__voff_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__nfet_01v8__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_01v8__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_01v8__voff_slope_spectre dist=gauss std = 1.0 +* } +* } +* "special" standard cell nFET (w < 0.42um) is defined as a regular nFET because its model bins exist there. +.subckt sky130_fd_pr__special_nfet_01v8 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +xsky130_fd_pr__nfet_01v8 d g s b sky130_fd_pr__nfet_01v8 l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.ends + +.subckt sky130_fd_pr__nfet_01v8 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__nfet_01v8 d g s b sky130_fd_pr__nfet_01v8__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__nfet_01v8__model.0 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.5190093+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.54086565 ++ k2 = -0.026724591 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.1052686+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 2.63331 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0318614 ++ ua = -7.5866357e-10 ++ ub = 1.674192e-18 ++ uc = 4.9242e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.334619 ++ ags = 0.4051693 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 2.1073424e-24 ++ keta = -0.0087946 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.026316 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0030734587 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 754674160.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.31303 ++ kt2 = -0.045313337 ++ at = 140000.0 ++ ute = -1.8134 ++ ua1 = 3.7602e-10 ++ ub1 = -6.3962e-19 ++ uc1 = 1.5829713e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.1 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.5190093+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.54086565 ++ k2 = -0.026724591 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.1052686+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 2.63331 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0318614 ++ ua = -7.5866357e-10 ++ ub = 1.674192e-18 ++ uc = 4.9242e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.334619 ++ ags = 0.4051693 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 2.1073424e-24 ++ keta = -0.0087946 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.026316 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0030734587 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 754674160.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.31303 ++ kt2 = -0.045313337 ++ at = 140000.0 ++ ute = -1.8134 ++ ua1 = 3.7602e-10 ++ ub1 = -6.3962e-19 ++ uc1 = 1.5829713e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.2 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.194724788e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.694376992e-09 wvth0 = -4.629762938e-08 pvth0 = 3.692761884e-13 ++ k1 = 5.415457968e-01 lk1 = -5.424943089e-09 wk1 = -6.798494173e-08 pk1 = 5.422571412e-13 ++ k2 = -2.716644654e-02 lk2 = 3.524299843e-09 wk2 = 4.416623650e-08 pk2 = -3.522759090e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.049994782e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.146551938e-09 wvoff = -2.690041279e-08 pvoff = 2.145613508e-13 ++ nfactor = 2.636374809e+00 lnfactor = -2.444533584e-08 wnfactor = -3.063469433e-07 pnfactor = 2.443464883e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.183773360e-02 lu0 = 1.887663852e-10 wu0 = 2.365604853e-09 pu0 = -1.886838603e-14 ++ ua = -7.582789781e-10 lua = -3.067557542e-18 wua = -3.844237946e-17 pua = 3.066216468e-22 ++ ub = 1.672091096e-18 lub = 1.675709387e-26 wub = 2.099985257e-25 pub = -1.674976801e-30 ++ uc = 4.877008480e-11 luc = 3.764059839e-18 wuc = 4.717088911e-17 puc = -3.762414268e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.327488969e+00 la0 = 5.687009899e-08 wa0 = 7.126914150e-07 pa0 = -5.684523652e-12 ++ ags = 4.061230713e-01 lags = -7.607409863e-09 wags = -9.533543630e-08 pags = 7.604084055e-13 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 1.950656262e-24 lb1 = 1.249749944e-30 wb1 = 1.566176377e-29 pb1 = -1.249203578e-34 ++ keta = -8.288058846e-03 lketa = -4.040241132e-09 wketa = -5.063197041e-08 pketa = 4.038474820e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.800573404e-02 lpclm = -3.325229885e-07 wpclm = -4.167150812e-06 ppclm = 3.323776161e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.074732157e-03 lpdiblc2 = -1.015726833e-11 wpdiblc2 = -1.272900534e-10 ppdiblc2 = 1.015282777e-15 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 7.580442487e+08 lpscbe1 = -2.688028581e+01 wpscbe1 = -3.368615365e+02 ppscbe1 = 2.686853428e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.131868837e-01 lkt1 = 1.251326022e-09 wkt1 = 1.568151505e-08 pkt1 = -1.250778967e-13 ++ kt2 = -4.539671736e-02 lkt2 = 6.650530936e-10 wkt2 = 8.334390809e-09 pkt2 = -6.647623457e-14 ++ at = 140000.0 ++ ute = -1.816359229e+00 lute = 2.360321122e-08 wute = 2.957935066e-07 pute = -2.359289237e-12 ++ ua1 = 3.613755352e-10 lua1 = 1.168062433e-16 wua1 = 1.463806258e-15 pua1 = -1.167551779e-20 ++ ub1 = -6.204482125e-19 lub1 = -1.529167842e-25 wub1 = -1.916340595e-24 pub1 = 1.528499321e-29 ++ uc1 = 1.690988400e-11 luc1 = -8.615590785e-18 wuc1 = -1.079698769e-16 puc1 = 8.611824221e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.3 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.124282658e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.431437174e-08 wvth0 = 4.256783852e-08 pvth0 = 1.593500235e-14 ++ k1 = 5.304574419e-01 lk1 = 3.866386370e-08 wk1 = 1.369158390e-07 pk1 = -2.724562297e-13 ++ k2 = -2.007149225e-02 lk2 = -2.468620333e-08 wk2 = -7.809516520e-08 pk2 = 1.338520518e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 5.378281302e-01 ldsub = 8.815836977e-08 wdsub = 2.216217672e-06 pdsub = -8.811982869e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.094030449e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.536262827e-08 wvoff = 5.578493138e-08 pvoff = -1.142068228e-13 ++ nfactor = 2.592450448e+00 lnfactor = 1.502038973e-07 wnfactor = 1.313313239e-08 pnfactor = 1.173168653e-12 ++ eta0 = 7.412445450e-02 leta0 = 2.336196799e-08 weta0 = 5.872976830e-07 peta0 = -2.335175460e-12 ++ etab = -6.486356790e-02 letab = -2.042315258e-08 wetab = -5.134186554e-07 petab = 2.041422399e-12 ++ u0 = 3.209879152e-02 lu0 = -8.492353878e-10 wu0 = 7.707681347e-09 pu0 = -4.010920869e-14 ++ ua = -7.772766349e-10 lua = 7.246970951e-17 wua = 1.349633081e-15 pua = -5.212555164e-21 ++ ub = 1.715448650e-18 lub = -1.556384353e-25 wub = -1.333196930e-24 pub = 4.460978208e-30 ++ uc = 5.877915793e-11 luc = -3.603337616e-17 wuc = -3.264462550e-16 puc = 1.109311150e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.442796403e+00 la0 = -4.016079406e-07 wa0 = -1.342075208e-06 pa0 = 2.485507888e-12 ++ ags = 4.028351540e-01 lags = 5.465796758e-09 wags = -1.033597319e-06 pags = 4.491065254e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 4.502912266e-24 lb1 = -8.898367034e-30 wb1 = -3.132352754e-29 pb1 = 6.189955043e-35 ++ keta = -1.640202815e-02 lketa = 2.822200432e-08 wketa = 8.756343093e-08 pketa = -1.456362283e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -6.131369147e-01 lpclm = 2.375792818e-06 wpclm = 8.540188246e-06 ppclm = -1.728834668e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.181248537e-03 lpdiblc2 = -4.336808822e-10 wpdiblc2 = -1.246582526e-08 ppdiblc2 = 5.007497679e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 7.031489705e+08 lpscbe1 = 1.913908060e+02 wpscbe1 = 6.737230730e+02 ppscbe1 = -1.331368419e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.112847401e-01 lkt1 = -6.311855597e-09 wkt1 = 3.394151730e-08 pkt1 = -1.976821490e-13 ++ kt2 = -4.403955904e-02 lkt2 = -4.731192969e-09 wkt2 = -1.656662876e-08 pkt2 = 3.253360577e-14 ++ at = 1.381479098e+05 lat = 7.364162488e-03 wat = 1.851280495e-01 pat = -7.360943023e-7 ++ ute = -1.757935204e+00 lute = -2.086986568e-07 wute = -1.613263360e-06 pute = 5.231380497e-12 ++ ua1 = 6.225548039e-10 lua1 = -9.216780498e-16 wua1 = -5.190223011e-15 pua1 = 1.478180753e-20 ++ ub1 = -9.463016587e-19 lub1 = 1.142720834e-24 wub1 = 5.188563162e-24 pub1 = -1.296507040e-29 ++ uc1 = -2.357426518e-13 luc1 = 5.955775258e-17 wuc1 = 1.710346184e-16 puc1 = -2.481773957e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.4 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.265214792e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.535734467e-09 wvth0 = -1.282118392e-07 pvth0 = 3.534188715e-13 ++ k1 = 5.524870904e-01 lk1 = -4.869717742e-09 wk1 = -2.472758915e-07 pk1 = 4.867588799e-13 ++ k2 = -3.352973142e-02 lk2 = 1.909107599e-09 wk2 = 8.620493828e-08 pk2 = -1.908272975e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 5.824396194e-01 wdsub = -2.242980920e-6 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.029956789e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.700801503e-09 wvoff = 1.346030157e-07 pvoff = -2.699620766e-13 ++ nfactor = 2.660003227e+00 lnfactor = 1.671041853e-08 wnfactor = 1.452042175e-06 pnfactor = -1.670311306e-12 ++ eta0 = 8.609480828e-02 leta0 = -2.930790459e-10 weta0 = -6.092143748e-07 peta0 = 2.929509176e-14 ++ etab = -7.518471959e-02 letab = -2.715316148e-11 wetab = 5.182452938e-07 petab = 2.714129066e-15 ++ u0 = 3.138075868e-02 lu0 = 5.696951655e-10 wu0 = 1.622703524e-08 pu0 = -5.694461062e-14 ++ ua = -7.784147269e-10 lua = 7.471873419e-17 wua = 2.491281076e-15 pua = -7.468606865e-21 ++ ub = 1.671533075e-18 lub = -6.885528766e-26 wub = -2.558588474e-24 pub = 6.882518551e-30 ++ uc = 3.956352106e-11 luc = 1.939335609e-18 wuc = 3.330022481e-16 puc = -1.938487771e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.148217881e+04 lvsat = -2.928986896e-03 wvsat = -1.481530827e-01 pvsat = 2.927706402e-7 ++ a0 = 1.229249642e+00 la0 = 2.038950021e-08 wa0 = 9.470215552e-07 pa0 = -2.038058633e-12 ++ ags = 4.282285224e-01 lags = -4.471495281e-08 wags = -1.022704940e-06 pags = 4.469540432e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.862460185e-03 lketa = 9.370520639e-09 wketa = 4.878426501e-07 pketa = -9.366424034e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.768770306e-01 lpclm = 2.416342047e-08 wpclm = 1.013853514e-06 ppclm = -2.415285670e-12 ++ pdiblc1 = 4.131572185e-01 lpdiblc1 = -4.576181312e-08 wpdiblc1 = -2.314709462e-06 ppdiblc1 = 4.574180697e-12 ++ pdiblc2 = 2.903299932e-03 lpdiblc2 = 1.155833635e-10 wpdiblc2 = 1.872041904e-08 ppdiblc2 = -1.155328327e-14 ++ pdiblcb = -2.318864550e-02 lpdiblcb = -3.579482830e-09 wpdiblcb = -1.810562609e-07 ppdiblcb = 3.577917952e-13 ++ drout = 5.380958797e-01 ldrout = 4.328552063e-08 wdrout = 2.189454424e-06 pdrout = -4.326659707e-12 ++ pscbe1 = 7.606510411e+08 lpscbe1 = 7.775889417e+01 wpscbe1 = 3.933175629e+03 ppscbe1 = -7.772489954e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.205658464e-07 lalpha0 = -3.765840295e-13 walpha0 = -1.904825348e-11 palpha0 = 3.764193945e-17 ++ alpha1 = 8.524824670e-01 lalpha1 = -4.905692338e-09 walpha1 = -2.481381680e-07 palpha1 = 4.903547668e-13 ++ beta0 = 1.406239407e+01 lbeta0 = -3.999582106e-07 wbeta0 = -2.023055887e-05 pbeta0 = 3.997833569e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.107339048e-01 lkt1 = -7.400381177e-09 wkt1 = -4.404168957e-07 pkt1 = 7.397145879e-13 ++ kt2 = -4.496893626e-02 lkt2 = -2.894617183e-09 wkt2 = -1.465179912e-07 pkt2 = 2.893351714e-13 ++ at = 1.381088459e+05 lat = 7.441358137e-03 wat = 1.890327353e-01 pat = -7.438104924e-7 ++ ute = -1.803083395e+00 lute = -1.194796923e-07 wute = -5.009469552e-06 pute = 1.194274582e-11 ++ ua1 = 2.816514627e-10 lua1 = -2.480066846e-16 wua1 = -1.025466117e-14 pua1 = 2.478982610e-20 ++ ub1 = -4.339323422e-19 lub1 = 1.302093822e-25 wub1 = 5.213953787e-24 pub1 = -1.301524572e-29 ++ uc1 = 3.132149136e-11 luc1 = -2.803633615e-18 wuc1 = -9.636508894e-17 puc1 = 2.802407923e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.5 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.173374665e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.429110907e-09 wvth0 = 1.451912490e-07 pvth0 = 8.654027462e-14 ++ k1 = 5.406566785e-01 lk1 = 6.678373256e-09 wk1 = 9.474366135e-07 pk1 = -6.794430059e-13 ++ k2 = -2.740120282e-02 lk2 = -4.073169797e-09 wk2 = -3.944736425e-07 pk2 = 2.783803696e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.991414420e-01 ldsub = -3.091440504e-07 wdsub = -5.343647045e-06 pdsub = 3.026671828e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.009256027e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 6.801256000e-10 wvoff = -4.674916482e-08 pvoff = -9.293768455e-14 ++ nfactor = 2.605596465e+00 lnfactor = 6.981881780e-08 wnfactor = -8.349209003e-07 pnfactor = 5.620756817e-13 ++ eta0 = 2.018846564e-01 leta0 = -1.133197182e-07 weta0 = -4.615884383e-06 peta0 = 3.940349927e-12 ++ etab = -1.463451305e-01 letab = 6.943508571e-08 wetab = 1.015844159e-06 petab = -4.830100369e-13 ++ u0 = 3.352698870e-02 lu0 = -1.525317221e-09 wu0 = -3.802867750e-08 pu0 = -3.983656204e-15 ++ ua = -5.371888159e-10 lua = -1.607505616e-16 wua = -5.221570888e-15 pua = 6.018559916e-23 ++ ub = 1.475966341e-18 lub = 1.220444419e-25 wub = 5.223075908e-24 pub = -7.134441927e-31 ++ uc = 1.095109860e-11 luc = 2.986895122e-17 wuc = 2.023582373e-16 puc = -6.632245490e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.943645684e+04 lvsat = -9.320840406e-04 wvsat = 5.632967890e-02 pvsat = 9.316765521e-8 ++ a0 = 1.291158855e+00 la0 = -4.004231074e-08 wa0 = -4.167785480e-06 pa0 = 2.954688648e-12 ++ ags = 2.381856961e-01 lags = 1.407926915e-07 wags = 2.198736236e-06 pags = 1.324975729e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 3.561401696e-03 lketa = -8.045862015e-10 wketa = -7.306170793e-07 pketa = 2.527400029e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.404786183e-01 lpclm = -3.792037900e-08 wpclm = -2.690702931e-06 ppclm = 1.200865240e-12 ++ pdiblc1 = 3.871350928e-01 lpdiblc1 = -2.036067943e-08 wpdiblc1 = 2.863654728e-07 ppdiblc1 = 2.035177815e-12 ++ pdiblc2 = 1.217587306e-03 lpdiblc2 = 1.761068143e-09 wpdiblc2 = 2.331725074e-08 ppdiblc2 = -1.604041618e-14 ++ pdiblcb = -2.862270899e-02 lpdiblcb = 1.724902169e-09 wpdiblcb = 3.621125218e-07 ppdiblcb = -1.724148077e-13 ++ drout = 5.994456506e-01 ldrout = -1.660019935e-08 wdrout = -3.942840578e-06 pdrout = 1.659294207e-12 ++ pscbe1 = 8.616614397e+08 lpscbe1 = -2.084099226e+01 wpscbe1 = -6.163448258e+03 ppscbe1 = 2.083188100e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.410386908e-08 lalpha0 = -2.336172208e-13 walpha0 = -4.408458775e-12 palpha0 = 2.335150880e-17 ++ alpha1 = 8.450350661e-01 lalpha1 = 2.363983782e-09 walpha1 = 4.962763360e-07 palpha1 = -2.362950295e-13 ++ beta0 = 1.380708182e+01 lbeta0 = -1.507387305e-07 wbeta0 = 5.289504551e-06 pbeta0 = 1.506728306e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.095566483e-01 lkt1 = -8.549543620e-09 wkt1 = 2.833493486e-07 pkt1 = 3.322030121e-14 ++ kt2 = -4.861045418e-02 lkt2 = 6.599995580e-10 wkt2 = 2.187908274e-07 pkt2 = -6.725591757e-14 ++ at = 1.706731833e+05 lat = -2.434586389e-02 wat = -6.806270065e-01 pat = 1.050956893e-7 ++ ute = -2.105517726e+00 lute = 1.757373462e-07 wute = 1.205657763e-05 pute = -4.716037219e-12 ++ ua1 = -3.629341746e-10 lua1 = 3.811965610e-16 wua1 = 2.502228661e-14 pua1 = -9.645272601e-21 ++ ub1 = -9.356348756e-20 lub1 = -2.020369101e-25 wub1 = -1.133769218e-23 pub1 = 3.141411760e-30 ++ uc1 = 1.727110250e-11 luc1 = 1.091145677e-17 wuc1 = 7.294628321e-16 puc1 = -5.258795712e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.6 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.254411003e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.570679116e-09 wvth0 = 6.566827542e-07 pvth0 = -1.569992447e-13 ++ k1 = 5.733572999e-01 lk1 = -8.891569812e-09 wk1 = -2.346183834e-06 pk1 = 8.887682595e-13 ++ k2 = -4.124433183e-02 lk2 = 2.518042280e-09 wk2 = 7.188101962e-07 pk2 = -2.516941442e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.608544335e-01 ldsub = -5.232627283e-09 wdsub = -8.540599614e-08 pdsub = 5.230339683e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.000421031e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.594596381e-10 wvoff = -1.874716975e-07 pvoff = -2.593462075e-14 ++ nfactor = 2.753747772e+00 lnfactor = -7.213529842e-10 wnfactor = 1.941378547e-07 pnfactor = 7.210376231e-14 ++ eta0 = -3.611397891e-02 weta0 = 3.659797201e-6 ++ etab = -5.538291750e-04 letab = 1.859865862e-11 wetab = 5.311487409e-09 petab = -1.859052765e-15 ++ u0 = 2.991463485e-02 lu0 = 1.946544869e-10 wu0 = -5.531066347e-09 pu0 = -1.945693879e-14 ++ ua = -9.104153604e-10 lua = 1.695603236e-17 wua = -1.535549350e-15 pua = -1.694861952e-21 ++ ub = 1.754640735e-18 lub = -1.064246927e-26 wub = 1.490474610e-24 pub = 1.063781659e-30 ++ uc = 7.380749713e-11 luc = -5.924295007e-20 wuc = 5.062814353e-17 puc = 5.921705023e-24 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.910068385e+04 lvsat = -7.722104313e-04 wvsat = 8.989229878e-02 pvsat = 7.718728363e-8 ++ a0 = 1.207060381e+00 wa0 = 2.037770596e-6 ++ ags = 4.506321897e-01 lags = 3.963926782e-08 wags = 1.330306265e-05 pags = -3.962193833e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.079528043e-03 lketa = 3.771231919e-10 wketa = -1.206320433e-07 pketa = -3.769583212e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.524057554e-01 lpclm = 4.014281679e-09 wpclm = 6.741296190e-07 ppclm = -4.012526715e-13 ++ pdiblc1 = 2.847083853e-01 lpdiblc1 = 2.840836336e-08 wpdiblc1 = 1.052455833e-05 ppdiblc1 = -2.839594379e-12 ++ pdiblc2 = 4.856343117e-03 lpdiblc2 = 2.852550623e-11 wpdiblc2 = -4.383054714e-09 ppdiblc2 = -2.851303545e-15 ++ pdiblcb = -3.853174870e-02 lpdiblcb = 6.442952699e-09 wpdiblcb = 1.352583289e-06 ppdiblcb = -6.440135969e-13 ++ drout = 5.984950270e-01 ldrout = -1.614757321e-08 wdrout = -3.847819773e-06 pdrout = 1.614051381e-12 ++ pscbe1 = 8.340729560e+08 lpscbe1 = -7.705121978e+00 wpscbe1 = -3.405805999e+03 ppscbe1 = 7.701753453e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.438818033e-07 lalpha0 = 1.082416053e-13 walpha0 = 6.735871957e-11 palpha0 = -1.081942843e-17 ++ alpha1 = 0.85 ++ beta0 = 1.351261868e+01 lbeta0 = -1.053422766e-08 wbeta0 = 3.472294548e-05 pbeta0 = 1.052962231e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.285216740e-01 lkt1 = 4.803878418e-10 wkt1 = 4.539688434e-07 pkt1 = -4.801778259e-14 ++ kt2 = -4.748635604e-02 lkt2 = 1.247759616e-10 wkt2 = 1.037317342e-07 pkt2 = -1.247214120e-14 ++ at = 1.176801617e+05 lat = 8.860214216e-04 wat = -2.738963741e-01 pat = -8.856340708e-8 ++ ute = -1.698659221e+00 lute = -1.798263502e-08 wute = -1.623367921e-06 pute = 1.797477337e-12 ++ ua1 = 4.605278602e-10 lua1 = -1.088335838e-17 wua1 = 2.480129244e-15 pua1 = 1.087860040e-21 ++ ub1 = -4.886624463e-19 lub1 = -1.391607233e-26 wub1 = -7.661404497e-24 pub1 = 1.390998850e-30 ++ uc1 = 4.720982253e-11 luc1 = -3.343445632e-18 wuc1 = -1.076907545e-15 puc1 = 3.341983944e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.7 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.226971824e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.191177740e-09 wvth0 = 9.309545878e-07 pvth0 = -2.190219800e-13 ++ k1 = 5.548884107e-01 lk1 = -4.715089080e-09 wk1 = -5.001023353e-07 pk1 = 4.713027737e-13 ++ k2 = -3.619588693e-02 lk2 = 1.376407145e-09 wk2 = 2.141864145e-07 pk2 = -1.375805407e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.111893909e-01 ldsub = 5.998426783e-09 wdsub = 4.878927004e-06 pdsub = -5.995804390e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.009850091e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 4.726846397e-10 wvoff = -9.322231484e-08 pvoff = -4.724779914e-14 ++ nfactor = 2.807399696e+00 lnfactor = -1.285398430e-08 wnfactor = -5.168708917e-06 pnfactor = 1.284836480e-12 ++ eta0 = -1.126962478e-01 leta0 = 1.731800795e-08 weta0 = 1.131467606e-05 peta0 = -1.731043687e-12 ++ etab = -5.054282121e-03 letab = 1.036313086e-09 wetab = 4.551600312e-07 petab = -1.035860031e-13 ++ u0 = 3.278461961e-02 lu0 = -4.543523865e-10 wu0 = -2.924040723e-07 pu0 = 4.541537528e-14 ++ ua = -6.073824236e-10 lua = -5.157062385e-17 wua = -3.182559504e-14 pua = 5.154807821e-21 ++ ub = 1.544202603e-18 lub = 3.694516816e-26 wub = 2.252508788e-23 pub = -3.692901647e-30 ++ uc = 7.384766442e-11 luc = -6.832622020e-20 wuc = 4.661317063e-17 puc = 6.829634934e-24 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.743328342e+04 lvsat = -3.951511689e-04 wvsat = 2.565594458e-01 pvsat = 3.949784167e-8 ++ a0 = 1.207060381e+00 wa0 = 2.037770596e-6 ++ ags = 8.312126992e-01 lags = -4.642368628e-08 wags = -2.473835008e-05 pags = 4.640339077e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.632681265e-03 lketa = 2.589933484e-11 wketa = -2.758794648e-07 pketa = -2.588801217e-15 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.492089963e-01 lpclm = 4.737183991e-09 wpclm = 9.936657716e-07 ppclm = -4.735112989e-13 ++ pdiblc1 = 4.531641189e-01 lpdiblc1 = -9.685542409e-09 wpdiblc1 = -6.313650481e-06 ppdiblc1 = 9.681308084e-13 ++ pdiblc2 = 6.031854250e-03 lpdiblc2 = -2.372998792e-10 wpdiblc2 = -1.218827769e-07 ppdiblc2 = 2.371961364e-14 ++ pdiblcb = 1.309104138e-02 lpdiblcb = -5.230818559e-09 wpdiblcb = -3.807438874e-06 ppdiblcb = 5.228531750e-13 ++ drout = 4.798565019e-01 ldrout = 1.068086830e-08 wdrout = 8.010846098e-06 pdrout = -1.067619884e-12 ++ pscbe1 = 7.993153719e+08 lpscbe1 = 1.548190656e-01 wpscbe1 = 6.843288191e+01 ppscbe1 = -1.547513818e-5 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.040459718e-07 lalpha0 = 9.923328974e-14 walpha0 = 6.337687796e-11 palpha0 = -9.918990693e-18 ++ alpha1 = 9.069090007e-01 lalpha1 = -1.286917378e-08 walpha1 = -5.688412120e-06 palpha1 = 1.286354763e-12 ++ beta0 = 1.277748789e+01 lbeta0 = 1.557053069e-07 wbeta0 = 1.082038852e-04 pbeta0 = -1.556372356e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.209694650e-01 lkt1 = -1.227438479e-09 wkt1 = -3.009218830e-07 pkt1 = 1.226901867e-13 ++ kt2 = -4.630223396e-02 lkt2 = -1.429966677e-10 wkt2 = -1.462870566e-08 pkt2 = 1.429341524e-14 ++ at = 1.232736586e+05 lat = -3.788696079e-04 wat = -8.330015340e-01 pat = 3.787039736e-8 ++ ute = -1.937606266e+00 lute = 3.605189395e-08 wute = 2.226089029e-05 pute = -3.603613278e-12 ++ ua1 = 7.614258198e-11 lua1 = 7.603999089e-17 wua1 = 4.090185251e-14 pua1 = -7.600674773e-21 ++ ub1 = -2.691863256e-19 lub1 = -6.354752435e-26 wub1 = -2.959942151e-23 pub1 = 6.351974265e-30 ++ uc1 = 3.935986943e-11 luc1 = -1.568288639e-18 wuc1 = -2.922554192e-16 puc1 = 1.567603014e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.8 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.273127829e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.470516334e-09 wvth0 = 4.695963186e-07 pvth0 = -1.469873453e-13 ++ k1 = 5.297223808e-01 lk1 = -7.857658419e-10 wk1 = 2.015400442e-06 pk1 = 7.854223208e-14 ++ k2 = -2.971925463e-02 lk2 = 3.651716839e-10 wk2 = -4.331936701e-07 pk2 = -3.650120381e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.268343873e-01 ldsub = 3.555679632e-09 wdsub = 3.315111336e-06 pdsub = -3.554125160e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 3.701734074e-03 lcdscd = 2.651605064e-10 wcdscd = 1.697523848e-07 pcdscd = -2.650445835e-14 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.177707254e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.093539242e-09 wvoff = 1.584615478e-06 pvoff = -3.092186808e-13 ++ nfactor = 2.181380466e+00 lnfactor = 8.489015405e-08 wnfactor = 5.740584569e-05 pnfactor = -8.485304178e-12 ++ eta0 = -1.127808870e-02 leta0 = 1.482982266e-09 weta0 = 1.177293955e-06 peta0 = -1.482333935e-13 ++ etab = -2.892433920e-03 letab = 6.987707553e-10 wetab = 2.390697228e-07 petab = -6.984652667e-14 ++ u0 = 2.594768345e-02 lu0 = 6.131394777e-10 wu0 = 3.909906465e-07 pu0 = -6.128714254e-14 ++ ua = -1.451257292e-09 lua = 8.018862258e-17 wua = 5.252499926e-14 pua = -8.015356571e-21 ++ ub = 2.213250091e-18 lub = -6.751723050e-26 wub = -4.435041155e-23 pub = 6.748771332e-30 ++ uc = 6.294653549e-11 luc = 1.633732446e-18 wuc = 1.136249488e-15 puc = -1.633018211e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.018808429e+04 lvsat = -8.252747573e-04 wvsat = -1.880020667e-02 pvsat = 8.249139637e-8 ++ a0 = 1.207060381e+00 wa0 = 2.037770596e-6 ++ ags = 5.338841762e-01 wags = 4.981503615e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.221854762e-02 lketa = -3.032159495e-09 wketa = -2.233609846e-06 pketa = 3.030833895e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.427722098e-01 lpclm = 5.742198078e-09 wpclm = 1.637063011e-06 ppclm = -5.739687704e-13 ++ pdiblc1 = 3.948535541e-01 lpdiblc1 = -5.811640668e-10 wpdiblc1 = -4.851432247e-07 ppdiblc1 = 5.809099935e-14 ++ pdiblc2 = 4.739215410e-03 lpdiblc2 = -3.547242126e-11 wpdiblc2 = 7.324595465e-09 ppdiblc2 = 3.545691343e-15 ++ pdiblcb = 6.744804231e-03 lpdiblcb = -4.239942475e-09 wpdiblcb = -3.173092604e-06 ppdiblcb = 4.238088857e-13 ++ drout = 4.915551465e-01 ldrout = 8.854288734e-09 wdrout = 6.841493081e-06 pdrout = -8.850417816e-13 ++ pscbe1 = 7.986209646e+08 lpscbe1 = 2.632410390e-01 wpscbe1 = 1.378432507e+02 ppscbe1 = -2.631259553e-5 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.786292971e-08 lalpha0 = -9.917985016e-16 walpha0 = -7.859492192e-13 palpha0 = 9.913649071e-20 ++ alpha1 = 7.172123318e-01 lalpha1 = 1.674930532e-08 walpha1 = 1.327296161e-05 palpha1 = -1.674198286e-12 ++ beta0 = 1.341620656e+01 lbeta0 = 5.597832913e-08 wbeta0 = 4.435994207e-05 pbeta0 = -5.595385653e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.150847439e-01 lkt1 = -2.146255297e-09 wkt1 = -8.891367274e-07 pkt1 = 2.145316997e-13 ++ kt2 = -4.510229766e-02 lkt2 = -3.303499223e-10 wkt2 = -1.345698772e-07 pkt2 = 3.302054999e-14 ++ at = 1.198289709e+05 lat = 1.589701562e-04 wat = -4.886833555e-01 pat = -1.589006576e-8 ++ ute = -1.132927038e+00 lute = -8.958750189e-08 wute = -5.817185347e-05 pute = 8.954833602e-12 ++ ua1 = 1.362272965e-09 lua1 = -1.247712625e-16 wua1 = -8.765495871e-14 pua1 = 1.247167150e-20 ++ ub1 = -1.134148121e-18 lub1 = 7.150415049e-26 wub1 = 5.685894360e-23 pub1 = -7.147289030e-30 ++ uc1 = 3.786297182e-11 luc1 = -1.334569033e-18 wuc1 = -1.426310994e-16 puc1 = 1.333985586e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.9 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.188270027e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.822538042e-08 wvth0 = 1.268111480e-09 pvth0 = -1.267808858e-13 ++ k1 = 5.418171603e-01 lk1 = -9.512831965e-08 wk1 = -6.618973728e-09 pk1 = 6.617394176e-13 ++ k2 = -2.674260415e-02 lk2 = 1.800885350e-09 wk2 = 1.253045661e-10 pk2 = -1.252746634e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.045334456e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -7.349789870e-08 wvoff = -5.113941489e-09 pvoff = 5.112721098e-13 ++ nfactor = 2.682355773e+00 lnfactor = -4.903406830e-06 wnfactor = -3.411762250e-07 pnfactor = 3.410948067e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.155893251e-02 lu0 = 3.023953140e-08 wu0 = 2.104049190e-09 pu0 = -2.103547080e-13 ++ ua = -7.362717244e-10 lua = -2.238650203e-15 wua = -1.557639926e-16 pua = 1.557268211e-20 ++ ub = 1.621184695e-18 lub = 5.299465505e-24 wub = 3.687337596e-25 pub = -3.686457650e-29 ++ uc = 4.772038059e-11 luc = 1.521256295e-16 wuc = 1.058481374e-17 puc = -1.058228778e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.349496651e+00 la0 = -1.487410044e-06 wa0 = -1.034931348e-07 pa0 = 1.034684372e-11 ++ ags = 4.021842899e-01 lags = 2.984297781e-07 wags = 2.076457219e-08 pags = -2.075961693e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 8.033273090e-25 lb1 = 1.303703901e-28 wb1 = 9.071096705e-30 pb1 = -9.068931979e-34 ++ keta = -7.180997052e-03 lketa = -1.613217878e-07 wketa = -1.122467714e-08 pketa = 1.122199848e-12 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.760603957e-02 lpclm = -3.128257252e-06 wpclm = -2.176623391e-07 ppclm = 2.176103961e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.147856669e-03 lpdiblc2 = -7.438021498e-09 wpdiblc2 = -5.175332547e-10 ppdiblc2 = 5.174097506e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 7.174573788e+08 lpscbe1 = 3.720789982e+03 wpscbe1 = 2.588904254e+02 ppscbe1 = -2.588286437e-2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.200657108e-01 lkt1 = 7.034031752e-07 wkt1 = 4.894238807e-08 pkt1 = -4.893070846e-12 ++ kt2 = -4.543472374e-02 lkt2 = 1.213577724e-08 wkt2 = 8.444003956e-10 pkt2 = -8.441988879e-14 ++ at = 140000.0 ++ ute = -1.856901360e+00 lute = 4.349097908e-06 wute = 3.026077292e-07 pute = -3.025355149e-11 ++ ua1 = 3.036703693e-10 lua1 = 7.233236521e-15 wua1 = 5.032844339e-16 pua1 = -5.031643301e-20 ++ ub1 = -5.518932882e-19 lub1 = -8.770577673e-24 wub1 = -6.102517464e-25 pub1 = 6.101061160e-29 ++ uc1 = 1.657054426e-11 luc1 = -7.406544670e-17 wuc1 = -5.153431152e-18 puc1 = 5.152201337e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.10 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.197393603e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = -5.078505592e-9 ++ k1 = 5.370550621e-01 wk1 = 2.650752369e-8 ++ k2 = -2.665245232e-02 wk2 = -5.018170326e-10 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.082127306e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = 2.048020293e-8 ++ nfactor = 2.436892544e+00 wnfactor = 1.366335211e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.307271532e-02 wu0 = -8.426250962e-9 ++ ua = -8.483379519e-10 wua = 6.238002890e-16 ++ ub = 1.886474514e-18 wub = -1.476697033e-24 ++ uc = 5.533574872e-11 wuc = -4.238983453e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.275037304e+00 wa0 = 4.144670811e-7 ++ ags = 4.171236044e-01 wags = -8.315751231e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 7.329634002e-24 wb1 = -3.632773307e-29 ++ keta = -1.525672240e-02 wketa = 4.495234571e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.899367781e-02 wpclm = 8.716894561e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.775511312e-03 wpdiblc2 = 2.072606052e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 9.037191254e+08 wpscbe1 = -1.036798810e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.848535368e-01 wkt1 = -1.960034236e-7 ++ kt2 = -4.482720999e-02 wkt2 = -3.381636551e-9 ++ at = 140000.0 ++ ute = -1.639186688e+00 wute = -1.211876929e-6 ++ ua1 = 6.657642457e-10 wua1 = -2.015542681e-15 ++ ub1 = -9.909460496e-19 wub1 = 2.443923075e-24 ++ uc1 = 1.286284790e-11 wuc1 = 2.063835029e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.11 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.148619652e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.890276705e-08 wvth0 = -1.422559663e-08 pvth0 = 7.295844208e-14 ++ k1 = 5.247112438e-01 lk1 = 9.845597383e-08 wk1 = 4.912095597e-08 pk1 = -1.803678113e-13 ++ k2 = -1.921302920e-02 lk2 = -5.933785053e-08 wk2 = -1.115997735e-08 pk2 = 8.501093616e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.176438305e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.522373547e-08 wvoff = 6.105726766e-08 pvoff = -3.236481868e-13 ++ nfactor = 2.199884560e+00 lnfactor = 1.890407919e-06 wnfactor = 2.730002323e-06 pnfactor = -1.087679434e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.447003714e-02 lu0 = -1.114522883e-08 wu0 = -1.594544082e-08 pu0 = 5.997408090e-14 ++ ua = -8.875308152e-10 lua = 3.126076079e-16 wua = 8.606698490e-16 pua = -1.889303825e-21 ++ ub = 2.069398670e-18 lub = -1.459027940e-24 wub = -2.553784995e-24 pub = 8.591000067e-30 ++ uc = 1.754519487e-10 luc = -9.580631468e-16 wuc = -8.340638805e-16 puc = 6.314499858e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.649897199e+00 la0 = -2.989933508e-06 wa0 = -1.530071156e-06 pa0 = 1.550990144e-11 ++ ags = 5.315577429e-01 lags = -9.127422523e-07 wags = -9.678943846e-07 pags = 7.056781617e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 1.461553941e-23 lb1 = -5.811337240e-29 wb1 = -7.243873489e-29 pb1 = 2.880262616e-34 ++ keta = -2.849915097e-02 lketa = 1.056234113e-07 wketa = 8.996208595e-08 pketa = -3.590038095e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -1.019244331e+00 lpclm = 7.340044362e-06 wpclm = 3.396067243e-06 ppclm = -2.013478054e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.814622145e-03 lpdiblc2 = -3.119533207e-10 wpdiblc2 = 1.682108545e-09 ppdiblc2 = 3.114661224e-15 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 1.004186824e+09 lpscbe1 = -8.013440292e+02 wpscbe1 = -2.049098704e+03 ppscbe1 = 8.074241626e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.596744755e-01 lkt1 = -2.008316174e-07 wkt1 = -3.565658870e-07 pkt1 = 1.280668045e-12 ++ kt2 = -3.215028598e-02 lkt2 = -1.011128700e-07 wkt2 = -8.381152135e-08 pkt2 = 6.415196996e-13 ++ at = 140000.0 ++ ute = -1.119515970e+00 lute = -4.144964319e-06 wute = -4.551644711e-06 pute = 2.663844204e-11 ++ ua1 = 1.919486860e-09 lua1 = -9.999862079e-15 wua1 = -9.374855506e-15 pua1 = 5.869887996e-20 ++ ub1 = -2.193186578e-18 lub1 = 9.589233961e-24 wub1 = 9.024070989e-24 pub1 = -5.248415466e-29 ++ uc1 = -2.774183419e-11 luc1 = 3.238684666e-16 wuc1 = 2.026400666e-16 puc1 = -1.451670441e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.12 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.169068434e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.077205303e-08 wvth0 = 1.141358972e-08 pvth0 = -2.898644975e-14 ++ k1 = 5.755339035e-01 lk1 = -1.036218331e-07 wk1 = -1.766487394e-07 pk1 = 7.173232021e-13 ++ k2 = -4.335284486e-02 lk2 = 3.664533954e-08 wk2 = 8.385648891e-08 pk2 = -2.927874559e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.564204000e-01 ldsub = -1.178607824e-6 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-8.872126539e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -3.977631701e-08 wvoff = -8.808335947e-08 pvoff = 2.693552298e-13 ++ nfactor = 2.672677676e+00 lnfactor = 1.051818902e-08 wnfactor = -5.449500866e-07 pnfactor = 2.144861831e-12 ++ eta0 = 1.585514060e-01 leta0 = -3.123310732e-7 ++ etab = -1.386683513e-01 letab = 2.730347037e-07 wetab = -1.176909134e-11 petab = 4.679550776e-17 ++ u0 = 3.338360510e-02 lu0 = -6.825427303e-09 wu0 = -1.229844233e-09 pu0 = 1.462867558e-15 ++ ua = -4.089115672e-10 lua = -1.590447614e-15 wua = -1.212818208e-15 pua = 6.355166684e-21 ++ ub = 1.219303835e-18 lub = 1.921064737e-24 wub = 2.118126316e-24 pub = -9.985154686e-30 ++ uc = -2.097160150e-10 luc = 5.734170597e-16 wuc = 1.541281884e-15 puc = -3.130197947e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 5.067133469e-01 la0 = 1.555520962e-06 wa0 = 5.169582504e-06 pa0 = -1.112883267e-11 ++ ags = 1.121682116e-02 lags = 1.156204019e-06 wags = 1.690610240e-06 pags = -3.513794328e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.528191736e-05 lketa = -7.632325869e-09 wketa = -2.642739693e-08 pketa = 1.037766034e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 8.347779938e-01 lpclm = -3.180054735e-08 wpclm = -1.531916169e-06 ppclm = -5.404482921e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.329904060e-03 lpdiblc2 = 5.591487706e-09 wpdiblc2 = 4.126490088e-10 ppdiblc2 = 8.162204988e-15 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 8.052652765e+08 lpscbe1 = -1.040490249e+01 wpscbe1 = -3.662674833e+01 ppscbe1 = 7.237943593e-5 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.046813117e-01 lkt1 = -2.187831584e-08 wkt1 = -1.199379323e-08 pkt1 = -8.939746185e-14 ++ kt2 = -5.814765051e-02 lkt2 = 2.256187043e-09 wkt2 = 8.157323401e-08 pkt2 = -1.607258004e-14 ++ at = 1.677727274e+05 lat = -1.104281413e-01 wat = -2.095053599e-02 pat = 8.330218036e-8 ++ ute = -2.533689068e+00 lute = 1.477980245e-06 wute = 3.783099279e-06 pute = -6.501633589e-12 ++ ua1 = -1.721514169e-09 lua1 = 4.477253188e-15 wua1 = 1.111578179e-14 pua1 = -2.277468066e-20 ++ ub1 = 1.239867144e-18 lub1 = -4.061054535e-24 wub1 = -1.001904353e-23 pub1 = 2.323385853e-29 ++ uc1 = 6.727091944e-11 luc1 = -5.391516357e-17 wuc1 = -2.985607600e-16 puc1 = 5.411722084e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.13 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.143687095e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.578775095e-08 wvth0 = -4.367374611e-08 pvth0 = 7.987361772e-14 ++ k1 = 4.340894578e-01 lk1 = 1.758916280e-07 wk1 = 5.763314291e-07 pk1 = -7.706680160e-13 ++ k2 = 1.029185668e-02 lk2 = -6.936388639e-08 wk2 = -2.186303863e-07 pk2 = 3.049677476e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.133108041e+00 ldsub = -1.725380231e-06 wdsub = -6.073585748e-06 pdsub = 1.200223145e-11 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.040740042e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -9.437217258e-09 wvoff = 1.421041504e-07 pvoff = -1.855265952e-13 ++ nfactor = 2.605050780e+00 lnfactor = 1.441581330e-07 wnfactor = 1.834306898e-06 pnfactor = -2.556873549e-12 ++ eta0 = -6.411041806e-03 leta0 = 1.365715851e-08 weta0 = 3.428240498e-08 peta0 = -6.774669465e-14 ++ etab = -9.632053257e-04 letab = 9.106073408e-10 wetab = 1.939510043e-09 petab = -3.809197436e-15 ++ u0 = 3.384188646e-02 lu0 = -7.731053598e-09 wu0 = -8.932636692e-10 pu0 = 7.977385883e-16 ++ ua = -9.299748179e-10 lua = -5.607557664e-16 wua = 3.545575809e-15 pua = -3.048067035e-21 ++ ub = 2.074615850e-18 lub = 2.308518719e-25 wub = -5.362545924e-24 pub = 4.797671032e-30 ++ uc = 7.461009665e-11 luc = 1.154999470e-17 wuc = 8.920838520e-17 puc = -2.607032319e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.043538777e+04 lvsat = 1.177077746e-01 wvsat = 2.765056110e-01 pvsat = -5.464126921e-7 ++ a0 = 2.240715045e+00 la0 = -1.871102217e-06 wa0 = -6.089017016e-06 pa0 = 1.111969115e-11 ++ ags = 1.178946725e+00 lags = -1.151389082e-06 wags = -6.244912459e-06 pags = 1.216787776e-11 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 5.144506780e-02 lketa = -1.093249755e-07 wketa = 8.223904274e-08 pketa = -1.109630600e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.096795738e+00 lpclm = -5.495832446e-07 wpclm = -2.602847633e-06 ppclm = 1.575857928e-12 ++ pdiblc1 = -1.884416637e-01 lpdiblc1 = 1.143079395e-06 wpdiblc1 = 1.870182013e-06 ppdiblc1 = -3.695734003e-12 ++ pdiblc2 = 6.815002987e-03 lpdiblc2 = -5.247813747e-09 wpdiblc2 = -8.490490509e-09 ppdiblc2 = 2.575601950e-14 ++ pdiblcb = -4.898724213e-02 lpdiblcb = 4.740205271e-08 wpdiblcb = -1.593947540e-09 ppdiblcb = 3.149857116e-15 ++ drout = 8.528408000e-01 ldrout = -5.786932471e-7 ++ pscbe1 = 2.326916344e+09 lpscbe1 = -3.017394357e+03 wpscbe1 = -6.962207507e+03 ppscbe1 = 1.375826889e-2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.850882118e-07 lalpha0 = -7.017025985e-13 walpha0 = -2.019271745e-11 palpha0 = 3.990355590e-17 ++ alpha1 = 8.168113760e-01 lalpha1 = 6.558523468e-8 ++ beta0 = 1.182421474e+01 lbeta0 = 4.022988540e-06 wbeta0 = -4.661152279e-06 pbeta0 = 9.211070820e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.599484662e-01 lkt1 = 8.733709777e-08 wkt1 = -9.806652819e-08 pkt1 = 8.069396833e-14 ++ kt2 = -8.698809060e-02 lkt2 = 5.924881895e-08 wkt2 = 1.457790957e-07 pkt2 = -1.429520948e-13 ++ at = 1.562147447e+05 lat = -8.758799562e-02 wat = 6.308299700e-02 pat = -8.275950939e-8 ++ ute = -2.561971268e+00 lute = 1.533869719e-06 wute = 2.695685041e-07 pute = 4.415810628e-13 ++ ua1 = -8.561527015e-10 lua1 = 2.767181240e-15 wua1 = -2.339774547e-15 pua1 = 3.815328618e-21 ++ ub1 = -3.464362798e-19 lub1 = -9.263032314e-25 wub1 = 4.605306503e-24 pub1 = -5.665846047e-30 ++ uc1 = 1.177503932e-11 luc1 = 5.575224298e-17 wuc1 = 3.960554356e-17 puc1 = -1.270903981e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.14 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.410704426e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.723227935e-09 wvth0 = -1.990202568e-08 pvth0 = 5.666918563e-14 ++ k1 = 7.174267341e-01 lk1 = -1.006840875e-07 wk1 = -2.822257427e-07 pk1 = 6.740054740e-14 ++ k2 = -1.063608615e-01 lk2 = 4.450503134e-08 wk2 = 1.547920100e-07 pk2 = -5.954329653e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = -1.707103633e+00 ldsub = 1.047052631e-06 wdsub = 1.278612866e-05 pdsub = -6.407414734e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.070483564e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.533844985e-09 wvoff = -4.157563511e-09 pvoff = -4.275527082e-14 ++ nfactor = 2.540704899e+00 lnfactor = 2.069684633e-07 wnfactor = -3.835168691e-07 pnfactor = -3.919759284e-13 ++ eta0 = -4.518150604e-01 leta0 = 4.484320556e-07 weta0 = -6.856480996e-08 peta0 = 3.264617435e-14 ++ etab = 2.383608940e-04 letab = -2.622847026e-10 wetab = -3.831943721e-09 petab = 1.824526356e-15 ++ u0 = 2.910477426e-02 lu0 = -3.106987844e-09 wu0 = -7.266506832e-09 pu0 = 7.018890676e-15 ++ ua = -1.278540520e-09 lua = -2.205082364e-16 wua = -6.451937388e-17 pua = 4.758768363e-22 ++ ub = 2.255126144e-18 lub = 5.464927589e-26 wub = -1.969794008e-25 pub = -2.446244123e-31 ++ uc = 8.709476103e-11 luc = -6.367356515e-19 wuc = -3.273185511e-16 puc = 1.458837056e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.852060170e+05 lvsat = -4.313076834e-02 wvsat = -6.794332083e-01 pvsat = 3.867136032e-7 ++ a0 = -7.961271919e-01 la0 = 1.093268817e-06 wa0 = 1.035196488e-05 pa0 = -4.928943148e-12 ++ ags = -1.191493484e+00 lags = 1.162482941e-06 wags = 1.214398778e-05 pags = -5.782189765e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.110229117e-02 lketa = 2.005927328e-08 wketa = -1.416725565e-07 pketa = 1.076051128e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.650604639e-01 lpclm = 2.623034991e-07 wpclm = -7.918838127e-08 ppclm = -8.875767193e-13 ++ pdiblc1 = 6.792427227e-01 lpdiblc1 = 2.961014293e-07 wpdiblc1 = -1.745617576e-06 ppdiblc1 = -1.662218555e-13 ++ pdiblc2 = -1.452074582e-03 lpdiblc2 = 2.821978283e-09 wpdiblc2 = 4.188817168e-08 ppdiblc2 = -2.342040629e-14 ++ pdiblcb = 2.297448426e-02 lpdiblcb = -2.284237904e-08 wpdiblcb = 3.187895080e-09 ppdiblcb = -1.517871612e-15 ++ drout = 1.020293091e+00 ldrout = -7.421494565e-07 wdrout = -6.870374051e-06 pdrout = 6.706419445e-12 ++ pscbe1 = -1.461636461e+09 lpscbe1 = 6.807484247e+02 wpscbe1 = 9.998067113e+03 ppscbe1 = -2.797265733e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.045363005e-05 lalpha0 = 1.963972049e-11 walpha0 = 1.383882472e-10 palpha0 = -1.148930326e-16 ++ alpha1 = 9.163772480e-01 lalpha1 = -3.160459735e-8 ++ beta0 = 1.569617265e+00 lbeta0 = 1.403287030e-05 wbeta0 = 9.041675896e-05 pbeta0 = -8.359790114e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.686553668e-01 lkt1 = -1.777383129e-09 wkt1 = -1.171499994e-09 pkt1 = -1.388875692e-14 ++ kt2 = -1.757666685e-02 lkt2 = -8.506170576e-09 wkt2 = 2.911051178e-09 pkt2 = -3.493453254e-15 ++ at = 7.989657716e+04 lat = -1.309108480e-02 wat = -4.915933551e-02 pat = 2.680427210e-8 ++ ute = -6.803264328e-01 lute = -3.028715436e-07 wute = 2.142545094e-06 pute = -1.386698813e-12 ++ ua1 = 2.582253735e-09 lua1 = -5.891710656e-16 wua1 = 4.534728970e-15 pua1 = -2.895121747e-21 ++ ub1 = -1.239485765e-18 lub1 = -5.456547926e-26 wub1 = -3.366333665e-24 pub1 = 2.115558900e-30 ++ uc1 = 1.498316505e-10 luc1 = -7.900978524e-17 wuc1 = -1.926657220e-16 puc1 = 9.963794597e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.15 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.000559132e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.836187806e-08 wvth0 = 1.376410746e-07 pvth0 = -1.834275596e-14 ++ k1 = 2.822878334e-01 lk1 = 1.065012081e-07 wk1 = -3.214225439e-07 pk1 = 8.606355554e-14 ++ k2 = 5.208510788e-02 lk2 = -3.093679874e-08 wk2 = 6.958429471e-08 pk2 = -1.897283582e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 3.873282620e-01 ldsub = 4.981820621e-08 wdsub = -9.651936128e-07 pdsub = 1.400848462e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.031062123e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.410841694e-09 wvoff = -1.661568897e-07 pvoff = 3.437844034e-14 ++ nfactor = 3.336221671e+00 lnfactor = -1.718057102e-07 wnfactor = -3.857714841e-06 pnfactor = 1.262214797e-12 ++ eta0 = 0.49 ++ etab = -2.569930338e-04 letab = -2.642886484e-11 wetab = 3.246611503e-09 petab = -1.545828615e-15 ++ u0 = 2.615389718e-02 lu0 = -1.701969034e-09 wu0 = 2.062968544e-08 pu0 = -6.263490727e-15 ++ ua = -1.349419498e-09 lua = -1.867602034e-16 wua = 1.518287228e-15 pua = -2.777543679e-22 ++ ub = 1.999102462e-18 lub = 1.765513675e-25 wub = -2.100701032e-25 pub = -2.383914576e-31 ++ uc = 4.031218941e-12 luc = 3.891280702e-17 wuc = 5.360116115e-16 puc = -2.651788647e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 4.892861902e+04 lvsat = 2.175580681e-02 wvsat = 2.997776903e-01 pvsat = -7.952395719e-8 ++ a0 = 1.5 ++ ags = 2.363013912e+00 lags = -5.299459918e-07 wags = -3.898278820e-12 pags = 1.856110885e-18 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.540973132e-02 lketa = -6.457959399e-09 wketa = 6.363471476e-08 pketa = 9.850929874e-15 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.211362672e+00 lpclm = -1.882650489e-07 wpclm = -3.909760516e-06 ppclm = 9.362965747e-13 ++ pdiblc1 = 3.226103049e+00 lpdiblc1 = -9.165504588e-07 wpdiblc1 = -9.936612421e-06 ppdiblc1 = 3.733805666e-12 ++ pdiblc2 = 6.207590322e-03 lpdiblc2 = -8.250639262e-10 wpdiblc2 = -1.378271132e-08 ppdiblc2 = 3.086505259e-15 ++ pdiblcb = 6.042263145e-01 lpdiblcb = -2.995973005e-07 wpdiblcb = -3.118623057e-06 ppdiblcb = 1.484888708e-12 ++ drout = -1.929948742e+00 ldrout = 6.625668886e-07 wdrout = 1.374074810e-05 pdrout = -3.107277813e-12 ++ pscbe1 = -7.843924541e+08 lpscbe1 = 3.582881720e+02 wpscbe1 = 7.852695801e+03 ppscbe1 = -1.775777218e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 4.138540030e-05 lalpha0 = -9.804068065e-12 walpha0 = -2.250088190e-10 palpha0 = 5.813339291e-17 ++ alpha1 = 0.85 ++ beta0 = 4.244059302e+01 lbeta0 = -5.427272610e-06 wbeta0 = -1.665082017e-04 pbeta0 = 3.873332194e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.143827816e-01 lkt1 = -2.761851474e-08 wkt1 = -3.400134792e-07 pkt1 = 1.474461077e-13 ++ kt2 = -1.068137071e-03 lkt2 = -1.636647591e-08 wkt2 = -2.191664868e-07 pkt2 = 1.022456574e-13 ++ at = 7.917893229e+04 lat = -1.274938825e-02 wat = -6.070965093e-03 pat = 6.288347764e-9 ++ ute = -1.212504931e+00 lute = -4.948220236e-08 wute = -5.005194258e-06 pute = 2.016597211e-12 ++ ua1 = 1.746611269e-09 lua1 = -1.912916045e-16 wua1 = -6.466229626e-15 pua1 = 2.342830675e-21 ++ ub1 = -2.103656553e-18 lub1 = 3.568973429e-25 wub1 = 3.572949936e-24 pub1 = -1.188483836e-30 ++ uc1 = -1.358491248e-10 luc1 = 5.701311637e-17 wuc1 = 1.965021153e-16 puc1 = -8.565887140e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.16 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.860493656e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.519449341e-08 wvth0 = 4.902589364e-07 pvth0 = -9.808234876e-14 ++ k1 = 4.580806325e-01 lk1 = 6.674812771e-08 wk1 = 1.733198696e-07 pk1 = -2.581551488e-14 ++ k2 = 1.599447514e-02 lk2 = -2.277540741e-08 wk2 = -1.488644617e-07 pk2 = 3.042629217e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.052696561e+00 ldsub = -1.006455195e-07 wdsub = -9.748341775e-07 pdsub = 1.422649249e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.072898457e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.799385846e-08 wvoff = -3.732545739e-07 pvoff = 8.121068225e-14 ++ nfactor = 2.261485732e+00 lnfactor = 7.123077612e-08 wnfactor = -1.371177436e-06 pnfactor = 6.999191745e-13 ++ eta0 = 1.550355063e+00 leta0 = -2.397844526e-07 weta0 = -2.539778355e-07 peta0 = 5.743353180e-14 ++ etab = 7.951944849e-02 letab = -1.806675425e-08 wetab = -1.331586887e-07 petab = 2.930032036e-14 ++ u0 = -1.379440254e-02 lu0 = 7.331779670e-09 wu0 = 3.161274110e-08 pu0 = -8.747155001e-15 ++ ua = -5.194822301e-09 lua = 6.828238050e-16 wua = 8.593040434e-17 pua = 4.615307479e-23 ++ ub = 4.384694130e-18 lub = -3.629167898e-25 wub = 2.765827803e-24 pub = -9.113491065e-31 ++ uc = 3.482642912e-10 luc = -3.893068301e-17 wuc = -1.862306271e-15 puc = 2.771671479e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.842631280e+04 lvsat = 1.734667633e-02 wvsat = 3.192144735e-01 pvsat = -8.391931360e-8 ++ a0 = 1.5 ++ ags = -2.725049684e+00 lags = 6.206483575e-07 wags = 1.392242436e-11 pags = -2.173791649e-18 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.132303484e-01 lketa = 1.340144366e-08 wketa = 5.300964428e-07 pketa = -9.563285946e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.175664524e+00 lpclm = -1.801924126e-07 wpclm = -3.364135541e-06 ppclm = 8.129111254e-13 ++ pdiblc1 = -3.504829723e+00 lpdiblc1 = 6.055557544e-07 wpdiblc1 = 2.121927084e-05 ppdiblc1 = -3.311661150e-12 ++ pdiblc2 = -8.867346784e-03 lpdiblc2 = 2.583922051e-09 wpdiblc2 = -1.823973298e-08 ppdiblc2 = 4.094398308e-15 ++ pdiblcb = -2.245021456e+00 lpdiblcb = 3.447201932e-07 wpdiblcb = 1.190062844e-05 ppdiblcb = -1.911504749e-12 ++ drout = 2.107148649e+00 ldrout = -2.503661669e-07 wdrout = -3.309056973e-06 pdrout = 7.482969076e-13 ++ pscbe1 = 8.184696982e+08 lpscbe1 = -4.176663670e+00 wpscbe1 = -6.481001347e+01 ppscbe1 = 1.465587721e-5 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.095849207e-06 lalpha0 = -1.145422138e-12 walpha0 = 3.763936372e-11 palpha0 = -1.260816547e-18 ++ alpha1 = -2.783651019e+00 lalpha1 = 8.216993069e-07 walpha1 = 1.998416412e-05 palpha1 = -4.519138937e-12 ++ beta0 = 4.486413993e+01 lbeta0 = -5.975323815e-06 wbeta0 = -1.149999148e-04 pbeta0 = 2.708544396e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.848447432e-01 lkt1 = 5.615627142e-08 wkt1 = 1.534668965e-06 pkt1 = -2.764870815e-13 ++ kt2 = -1.553590431e-01 lkt2 = 1.852425241e-08 wkt2 = 7.440012128e-07 pkt2 = -1.155612335e-13 ++ at = 3.846530241e+04 lat = -3.542570839e-03 wat = -2.430506920e-01 pat = 5.987799529e-8 ++ ute = -6.059333123e-01 lute = -1.866498818e-07 wute = 1.299739770e-05 pute = -2.054436924e-12 ++ ua1 = 4.223758656e-09 lua1 = -7.514638060e-16 wua1 = 1.204986547e-14 pua1 = -1.844325005e-21 ++ ub1 = -4.489634735e-18 lub1 = 8.964529052e-25 wub1 = -2.407922044e-25 pub1 = -3.260594437e-31 ++ uc1 = -9.851794355e-11 luc1 = 4.857119238e-17 wuc1 = 6.668615295e-16 puc1 = -1.920240679e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.17 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.976807890e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.396977344e-09 wvth0 = 6.757248246e-07 pvth0 = -1.270402507e-13 ++ k1 = 8.024594106e-01 lk1 = 1.297820280e-08 wk1 = 1.181647507e-07 pk1 = -1.720381524e-14 ++ k2 = -2.242879796e-02 lk2 = -1.677615125e-08 wk2 = -4.839081427e-07 pk2 = 8.273867234e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.439592925e+00 ldsub = -1.610539701e-07 wdsub = -5.121179048e-06 pdsub = 7.896586276e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 6.411402419e-02 lcdscd = -9.167371879e-09 wcdscd = -2.504924994e-07 pcdscd = 3.911089689e-14 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {5.546602005e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.140782643e-07 wvoff = -3.093003668e-06 pvoff = 5.058614268e-13 ++ nfactor = 2.804112867e+01 lnfactor = -3.953899554e-06 wnfactor = -1.224818553e-04 pnfactor = 1.960965597e-11 ++ eta0 = 7.206115648e-02 leta0 = -8.969555154e-09 weta0 = 5.975626641e-07 peta0 = -7.552259564e-14 ++ etab = 3.506506218e-02 letab = -1.112582418e-08 wetab = -2.497332410e-08 petab = 1.240869027e-14 ++ u0 = 6.862122419e-02 lu0 = -5.536266624e-09 wu0 = 9.414146325e-08 pu0 = -1.851013956e-14 ++ ua = 6.033562110e-09 lua = -1.070331223e-15 wua = 4.584847861e-16 pua = -1.201607616e-23 ++ ub = -5.149634739e-18 lub = 1.125735182e-24 wub = 6.867891660e-24 pub = -1.551828949e-30 ++ uc = 1.721098632e-10 luc = -1.142663524e-17 wuc = 3.768785961e-16 puc = -7.245022046e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.279489769e+05 lvsat = -7.560554356e-03 wvsat = -1.046666644e+00 pvsat = 1.293439006e-7 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.086656882e+00 lketa = 1.653883689e-07 wketa = 5.480040346e-06 pketa = -8.684973007e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -8.711599916e-01 lpclm = 1.393905800e-07 wpclm = 1.147277413e-05 ppclm = -1.503664604e-12 ++ pdiblc1 = -1.280695971e-01 lpdiblc1 = 7.832193538e-08 wpdiblc1 = 3.152457680e-06 ppdiblc1 = -4.907812111e-13 ++ pdiblc2 = -6.906294732e-03 lpdiblc2 = 2.277731228e-09 wpdiblc2 = 8.833404804e-08 ppdiblc2 = -1.254560557e-14 ++ pdiblcb = -5.667230242e-01 lpdiblcb = 8.267738936e-08 wpdiblcb = 8.161113285e-07 ppdiblcb = -1.808125852e-13 ++ drout = -2.487432580e-01 ldrout = 1.174733719e-07 wdrout = 1.199121755e-05 pdrout = -1.640626755e-12 ++ pscbe1 = 8.143741681e+08 lpscbe1 = -3.537203979e+00 wpscbe1 = 2.825952501e+01 ppscbe1 = 1.243717468e-7 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.219442353e-05 lalpha0 = 2.803299886e-12 walpha0 = 1.538681049e-10 palpha0 = -1.940830728e-17 ++ alpha1 = 9.328519045e+00 lalpha1 = -1.069446478e-06 walpha1 = -4.662971627e-05 palpha1 = 5.881685892e-12 ++ beta0 = -2.395538075e+01 lbeta0 = 4.769880867e-06 wbeta0 = 3.043272422e-04 pbeta0 = -3.838662102e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.269357921e-01 lkt1 = 4.711459942e-08 wkt1 = 5.845589058e-07 pkt1 = -1.281406973e-13 ++ kt2 = -6.472487730e-02 lkt2 = 4.372996302e-09 wkt2 = 1.930320397e-09 pkt2 = 3.027473130e-16 ++ at = 2.162270652e+05 lat = -3.129758143e-02 wat = -1.159255683e+00 pat = 2.029305778e-7 ++ ute = -9.375262849e+00 lute = 1.182558155e-06 wute = -8.358412305e-07 pute = 1.054296695e-13 ++ ua1 = -1.321109607e-08 lua1 = 1.970744671e-15 wua1 = 1.372150595e-14 pua1 = -2.105328263e-21 ++ ub1 = 8.031610843e-18 lub1 = -1.058564294e-24 wub1 = -6.900660490e-24 pub1 = 7.137857510e-31 ++ uc1 = 2.439604408e-10 luc1 = -4.902012639e-18 wuc1 = -1.576303213e-15 puc1 = 1.582147024e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.18 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.201595472e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.149972668e-07 wvth0 = -5.336354696e-09 pvth0 = 5.335081228e-13 ++ k1 = 5.438271891e-01 lk1 = -2.960832397e-07 wk1 = -1.658124366e-08 pk1 = 1.657728671e-12 ++ k2 = -2.786182868e-02 lk2 = 1.136966286e-07 wk2 = 5.672496930e-09 pk2 = -5.671143245e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.061807979e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 9.119802101e-08 wvoff = 3.050801169e-09 pvoff = -3.050073126e-13 ++ nfactor = 2.614138722e+00 lnfactor = 1.916670325e-06 wnfactor = -3.073283702e-09 pnfactor = 3.072550294e-13 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.178890457e-02 lu0 = 7.247812509e-09 wu0 = 9.642427617e-10 pu0 = -9.640126548e-14 ++ ua = -7.611659229e-10 lua = 2.501755769e-16 wua = -3.238132445e-17 pua = 3.237359697e-21 ++ ub = 1.678526098e-18 lub = -4.333064184e-25 wub = 8.453359517e-26 pub = -8.451342208e-30 ++ uc = 5.878403900e-11 luc = -9.539761888e-16 wuc = -4.424979731e-17 puc = 4.423923754e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.352085454e+00 la0 = -1.746228537e-06 wa0 = -1.163239711e-07 pa0 = 1.162962115e-11 ++ ags = 4.118255806e-01 lags = -6.654692147e-07 wags = -2.702038349e-08 pags = 2.701393534e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 7.777924617e-25 lb0 = -7.776068493e-29 wb0 = -3.854958778e-30 pb0 = 3.854038830e-34 ++ b1 = 2.633549380e-24 lb1 = -5.260814057e-29 ++ keta = -9.250464793e-03 lketa = 4.557560051e-08 wketa = -9.678114294e-10 pketa = 9.675804709e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.614369198e-02 lpclm = 1.016988051e-06 wpclm = -1.216325199e-08 ppclm = 1.216034935e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.773573112e-03 lpdiblc2 = 2.998140236e-08 wpdiblc2 = 1.337521605e-09 ppdiblc2 = -1.337202419e-13 ++ pdiblcb = -9.427960644e-01 lpdiblcb = 9.175770416e-05 wpdiblcb = 4.548856114e-06 ppdiblcb = -4.547770575e-10 ++ drout = 0.56 ++ pscbe1 = 8.062271626e+08 lpscbe1 = -5.154069996e+03 wpscbe1 = -1.810776562e+02 ppscbe1 = 1.810344439e-2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.072159914e-01 lkt1 = -5.812621098e-07 wkt1 = -1.474444443e-08 pkt1 = 1.474092582e-12 ++ kt2 = -4.362268116e-02 lkt2 = -1.690252377e-07 wkt2 = -8.136593605e-09 pkt2 = 8.134651888e-13 ++ at = 140000.0 ++ ute = -1.761372561e+00 lute = -5.201502322e-06 wute = -1.708599392e-07 pute = 1.708191652e-11 ++ ua1 = 4.317087131e-10 lua1 = -5.567542354e-15 wua1 = -1.313097049e-16 pua1 = 1.312783691e-20 ++ ub1 = -6.481907438e-19 lub1 = 8.568698527e-25 wub1 = -1.329744002e-25 pub1 = 1.329426672e-29 ++ uc1 = 1.484440068e-11 luc1 = 9.850771891e-17 wuc1 = 3.401823218e-18 puc1 = -3.401011407e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.19 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.144028149e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 2.137091856e-8 ++ k1 = 5.290053417e-01 wk1 = 6.640420812e-8 ++ k2 = -2.217020600e-02 wk2 = -2.271709376e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016154495e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = -1.221778293e-8 ++ nfactor = 2.710086723e+00 wnfactor = 1.230782050e-8 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.215172812e-02 wu0 = -3.861578682e-9 ++ ua = -7.486422008e-10 wua = 1.296800320e-16 ++ ub = 1.656834896e-18 wub = -3.385383246e-25 ++ uc = 1.102824735e-11 wuc = 1.772106370e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.264669722e+00 wa0 = 4.658517386e-7 ++ ags = 3.785123705e-01 wags = 1.082106509e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -3.114886529e-24 wb0 = 1.543825604e-29 ++ b1 = 0.0 ++ keta = -6.968962479e-03 wketa = 3.875870406e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.705384049e-02 wpclm = 4.871113007e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.274434057e-03 wpdiblc2 = -5.356477769e-9 ++ pdiblcb = 3.650569948e+00 wpdiblcb = -1.821716117e-5 ++ drout = 0.56 ++ pscbe1 = 5.482158036e+08 wpscbe1 = 7.251759048e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.363138165e-01 wkt1 = 5.904823407e-8 ++ kt2 = -5.208403914e-02 wkt2 = 3.258525515e-8 ++ at = 140000.0 ++ ute = -2.021758369e+00 wute = 6.842562112e-7 ++ ua1 = 1.529990390e-10 wua1 = 5.258662831e-16 ++ ub1 = -6.052960693e-19 wub1 = 5.325330192e-25 ++ uc1 = 1.977567061e-11 wuc1 = -1.362354849e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.20 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.094048492e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.986445368e-08 wvth0 = 1.282140888e-08 pvth0 = 6.819205193e-14 ++ k1 = 5.143584298e-01 lk1 = 1.168257617e-07 wk1 = 1.004324218e-07 pk1 = -2.714136603e-13 ++ k2 = -1.480876321e-02 lk2 = -5.871586889e-08 wk2 = -3.298876161e-08 pk2 = 8.192821975e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016965986e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 6.472565646e-10 wvoff = -1.798171089e-08 pvoff = 4.597387324e-14 ++ nfactor = 2.903642792e+00 lnfactor = -1.543829529e-06 wnfactor = -7.580219357e-07 pnfactor = 6.144254900e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.163537691e-02 lu0 = 4.118487520e-09 wu0 = -1.896065341e-09 pu0 = -1.567720172e-14 ++ ua = -6.610039312e-10 lua = -6.990147567e-16 wua = -2.620612688e-16 pua = 3.124581892e-21 ++ ub = 1.468911821e-18 lub = 1.498900005e-24 wub = 4.223971663e-25 pub = -6.069324963e-30 ++ uc = -1.023161189e-10 luc = 9.040500801e-16 wuc = 5.426329932e-16 puc = -2.914658410e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.014825383e+00 la0 = 1.992792425e-06 wa0 = 1.617523854e-06 pa0 = -9.185893417e-12 ++ ags = 2.290185375e-01 lags = 1.192383143e-06 wags = 5.315752334e-07 pags = -3.376813488e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -6.211189646e-24 lb0 = 2.469653475e-29 wb0 = 3.078440744e-29 pb0 = -1.224029907e-34 ++ b1 = 0.0 ++ keta = -9.975873028e-03 lketa = 2.398352748e-08 wketa = -1.844503110e-09 pketa = 4.562647714e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.557238492e-01 lpclm = 3.372132351e-06 wpclm = 1.074726238e-07 ppclm = -4.686896653e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.687552554e-03 lpdiblc2 = -1.127122532e-08 wpdiblc2 = -1.255694473e-08 ppdiblc2 = 5.743190375e-14 ++ pdiblcb = 7.304211445e+00 lpdiblcb = -2.914194148e-05 wpdiblcb = -3.632563876e-05 ppdiblcb = 1.444356800e-10 ++ drout = 0.56 ++ pscbe1 = 3.005663900e+08 lpscbe1 = 1.975285403e+03 wpscbe1 = 1.438242589e+03 ppscbe1 = -5.687516851e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.574596798e-01 lkt1 = 1.686622815e-07 wkt1 = 1.280851606e-07 pkt1 = -5.506479151e-13 ++ kt2 = -6.250017945e-02 lkt2 = 8.308055170e-08 wkt2 = 6.661110936e-08 pkt2 = -2.713948407e-13 ++ at = 140000.0 ++ ute = -2.363712447e+00 lute = 2.727472228e-06 wute = 1.614943892e-06 pute = -7.423291513e-12 ++ ua1 = -4.847675260e-10 lua1 = 5.086912859e-15 wua1 = 2.541307231e-15 pua1 = -1.607543110e-20 ++ ub1 = -1.851937603e-19 lub1 = -3.350793150e-24 wub1 = -9.281076705e-25 pub1 = 1.165026879e-29 ++ uc1 = 2.776052890e-11 luc1 = -6.368831567e-17 wuc1 = -7.244529657e-17 puc1 = 4.691702624e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.21 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.091809945e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.075453062e-08 wvth0 = 4.970507577e-08 pvth0 = -7.846242378e-14 ++ k1 = 5.393348269e-01 lk1 = 1.751620993e-08 wk1 = 2.764092559e-09 pk1 = 1.169288997e-13 ++ k2 = -2.493727473e-02 lk2 = -1.844352959e-08 wk2 = -7.416269826e-09 pk2 = -1.975148544e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.294571546e+00 ldsub = -2.920756371e-06 wdsub = -2.171600641e-06 pdsub = 8.634579485e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.065550189e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.996499625e-08 wvoff = 3.057518639e-10 pvoff = -2.673956575e-14 ++ nfactor = 2.291134361e+00 lnfactor = 8.915872952e-07 wnfactor = 1.346086179e-06 pnfactor = -2.221965121e-12 ++ eta0 = 2.746614598e-01 leta0 = -7.740004382e-07 weta0 = -5.754741697e-07 peta0 = 2.288163563e-12 ++ etab = -2.401757413e-01 letab = 6.766418914e-07 wetab = 5.030874809e-07 petab = -2.000344244e-12 ++ u0 = 3.487846788e-02 lu0 = -8.776483274e-09 wu0 = -8.638805747e-09 pu0 = 1.113285115e-14 ++ ua = -8.425297392e-10 lua = 2.275654349e-17 wua = 9.363157329e-16 pua = -1.640328046e-21 ++ ub = 2.041556609e-18 lub = -7.780135537e-25 wub = -1.957190308e-24 pub = 3.392238460e-30 ++ uc = 1.663509328e-10 luc = -1.642066562e-16 wuc = -3.226119606e-16 puc = 5.256731990e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 2.316192760e+00 la0 = -3.181621248e-06 wa0 = -3.798707738e-06 pa0 = 1.234978000e-11 ++ ags = 5.898905223e-01 lags = -2.424929469e-07 wags = -1.177459808e-06 pags = 3.418542267e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 3.077719703e-24 lb0 = -1.223743211e-29 wb0 = -1.525404677e-29 pb0 = 6.065216449e-35 ++ b1 = 0.0 ++ keta = -1.066629930e-02 lketa = 2.672875624e-08 wketa = 2.636204881e-08 pketa = -6.652660941e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.023645232e-01 lpclm = -4.373573176e-07 wpclm = -3.800094678e-07 ppclm = 1.469605428e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.244423889e-04 lpdiblc2 = 1.005323008e-08 wpdiblc2 = 5.396000590e-09 ppdiblc2 = -1.395144844e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 7.825561225e+08 lpscbe1 = 5.882867629e+01 wpscbe1 = 7.592622305e+01 ppscbe1 = -2.707617044e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.953629437e-01 lkt1 = -7.824278632e-08 wkt1 = -5.817825285e-08 pkt1 = 1.899607487e-13 ++ kt2 = -4.019094801e-02 lkt2 = -5.623986592e-09 wkt2 = -7.425247400e-09 pkt2 = 2.298378271e-14 ++ at = 1.619829214e+05 lat = -8.740708497e-02 wat = 7.745375618e-03 pat = -3.079666683e-8 ++ ute = -1.522233160e+00 lute = -6.183638592e-07 wute = -1.229961432e-06 pute = 3.888438961e-12 ++ ua1 = 1.248403606e-09 lua1 = -1.804411272e-15 wua1 = -3.603968216e-15 pua1 = 8.359019832e-21 ++ ub1 = -1.501811367e-18 lub1 = 1.884257516e-24 wub1 = 3.569488326e-24 pub1 = -6.232784567e-30 ++ uc1 = 3.168071888e-12 luc1 = 3.409463800e-17 wuc1 = 1.915102945e-17 puc1 = 1.049708130e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.22 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.233547157e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.274532987e-08 wvth0 = -8.821092714e-08 pvth0 = 1.940783545e-13 ++ k1 = 4.322980265e-01 lk1 = 2.290354845e-07 wk1 = 5.852102679e-07 pk1 = -1.034063955e-12 ++ k2 = 4.167122191e-03 lk2 = -7.595777611e-08 wk2 = -1.882744749e-07 pk2 = 3.376489246e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = -1.489410334e+00 ldsub = 2.580770446e-06 wdsub = 6.924354866e-06 pdsub = -9.340265647e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-8.507121201e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.248992790e-08 wvoff = 4.792095379e-08 pvoff = -1.208336804e-13 ++ nfactor = 2.535915471e+00 lnfactor = 4.078665306e-07 wnfactor = 2.176960983e-06 pnfactor = -3.863886733e-12 ++ eta0 = -2.287746183e-01 leta0 = 2.208577195e-07 weta0 = 1.136378997e-06 peta0 = -1.094691106e-12 ++ etab = 8.131145842e-02 letab = 4.133946247e-08 wetab = -4.058369249e-07 petab = -2.041860044e-13 ++ u0 = 3.381781693e-02 lu0 = -6.680492730e-09 wu0 = -7.739682665e-10 pu0 = -4.409137331e-15 ++ ua = -3.458116995e-10 lua = -9.588258568e-16 wua = 6.502986599e-16 pua = -1.075119411e-21 ++ ub = 1.130976655e-18 lub = 1.021416273e-24 wub = -6.856039699e-25 pub = 8.794109197e-31 ++ uc = 1.502659292e-10 luc = -1.324205014e-16 wuc = -2.857632557e-16 puc = 4.528551467e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.427304155e+04 lvsat = 3.107860877e-02 wvsat = 5.923383665e-02 pvsat = -1.170541170e-7 ++ a0 = -6.103081915e-01 la0 = 2.601542635e-06 wa0 = 8.041458131e-06 pa0 = -1.104799802e-11 ++ ags = -4.217974222e-01 lags = 1.756740021e-06 wags = 1.688826944e-06 pags = -2.245630170e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -6.155439406e-24 lb0 = 6.008546000e-30 wb0 = 3.050809353e-29 pb0 = -2.978004839e-35 ++ b1 = 0.0 ++ keta = 9.343023049e-02 lketa = -1.789801438e-07 wketa = -1.258512634e-07 pketa = 2.342675965e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.227253434e+00 lpclm = -1.672222791e-06 wpclm = -3.249432765e-06 ppclm = 7.139976106e-12 ++ pdiblc1 = 2.243127512e-01 lpdiblc1 = 3.274205370e-07 wpdiblc1 = -1.755452639e-07 ppdiblc1 = 3.469013155e-13 ++ pdiblc2 = 3.212200966e-03 lpdiblc2 = 4.346626400e-09 wpdiblc2 = 9.366012297e-09 ppdiblc2 = -2.179673150e-14 ++ pdiblcb = -4.944563519e-02 lpdiblcb = 4.830789974e-08 wpdiblcb = 6.779777293e-10 ppdiblcb = -1.339776198e-15 ++ drout = 8.528408000e-01 ldrout = -5.786932471e-7 ++ pscbe1 = 5.784538022e+08 lpscbe1 = 4.621626191e+02 wpscbe1 = 1.703665918e+03 ppscbe1 = -3.487396714e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -9.144845511e-06 lalpha0 = 1.813074251e-11 walpha0 = 2.704032152e-11 palpha0 = -5.343535280e-17 ++ alpha1 = 1.086627438e+00 lalpha1 = -4.676079994e-07 walpha1 = -1.337284493e-06 palpha1 = 2.642656028e-12 ++ beta0 = 7.449738725e+00 lbeta0 = 1.266754807e-05 wbeta0 = 1.701998445e-05 pbeta0 = -3.363380400e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.679801847e-01 lkt1 = 6.525875782e-08 wkt1 = -5.825906639e-08 pkt1 = 1.901204472e-13 ++ kt2 = -7.282323658e-02 lkt2 = 5.886185362e-08 wkt2 = 7.557408473e-08 pkt2 = -1.410341855e-13 ++ at = 1.771510203e+05 lat = -1.173813113e-01 wat = -4.068308861e-02 pat = 6.490456476e-8 ++ ute = -3.275796075e+00 lute = 2.846914947e-06 wute = 3.807485547e-06 pute = -6.066241361e-12 ++ ua1 = -2.531529433e-09 lua1 = 5.665250484e-15 wua1 = 5.963864993e-15 pua1 = -1.054831981e-20 ++ ub1 = 5.443922638e-19 lub1 = -2.159319143e-24 wub1 = 1.901090271e-25 pub1 = 4.453285231e-31 ++ uc1 = -1.039974794e-10 luc1 = 2.458683418e-16 wuc1 = 6.134067940e-16 puc1 = -1.069359396e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.23 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.841667251e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.099813825e-08 wvth0 = 2.621288451e-07 pvth0 = -1.479009094e-13 ++ k1 = 9.874452943e-01 lk1 = -3.128637488e-07 wk1 = -1.620513872e-06 pk1 = 1.119022784e-12 ++ k2 = -1.851773823e-01 lk2 = 1.088682111e-07 wk2 = 5.454289133e-07 pk2 = -3.785453660e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.876654397e+00 ldsub = -7.049665158e-07 wdsub = -4.975986760e-06 pdsub = 2.276086227e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-7.758134817e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.980105363e-08 wvoff = -1.502043658e-07 pvoff = 7.256357659e-14 ++ nfactor = 3.264479119e+00 lnfactor = -3.033106744e-07 wnfactor = -3.970746006e-06 pnfactor = 2.137111376e-12 ++ eta0 = -4.715281226e-01 leta0 = 4.578181542e-07 weta0 = 2.913868551e-08 peta0 = -1.387397716e-14 ++ etab = 2.412787209e-01 letab = -1.148103413e-07 wetab = -1.198495941e-06 petab = 5.695569972e-13 ++ u0 = 2.766326795e-02 lu0 = -6.728159131e-10 wu0 = -1.219950617e-10 pu0 = -5.045551847e-15 ++ ua = -1.172891700e-09 lua = -1.514832936e-16 wua = -5.881447193e-16 pua = 1.337697550e-22 ++ ub = 2.093119325e-18 lub = 8.223417673e-26 wub = 6.059720805e-25 pub = -3.813429598e-31 ++ uc = -5.719003815e-11 luc = 7.008473667e-17 wuc = 3.877976020e-16 puc = -2.046318547e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.902380491e+04 lvsat = 1.667985763e-02 wvsat = -1.531642220e-01 pvsat = 9.027527433e-8 ++ a0 = 2.583189677e+00 la0 = -5.157455999e-07 wa0 = -6.396882493e-06 pa0 = 3.045786043e-12 ++ ags = 1.407338691e+00 lags = -2.874558805e-08 wags = -7.365573499e-07 pags = 1.218747529e-13 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.738030033e-01 lketa = 8.187583615e-08 wketa = 3.177783144e-07 pketa = -1.987752051e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -1.385751826e+00 lpclm = 8.784257117e-07 wpclm = 8.102702856e-06 ppclm = -3.941252151e-12 ++ pdiblc1 = -2.765528811e-01 lpdiblc1 = 8.163335119e-07 wpdiblc1 = 2.991574971e-06 ppdiblc1 = -2.744638762e-12 ++ pdiblc2 = 9.419806541e-03 lpdiblc2 = -1.712840875e-09 wpdiblc2 = -1.199593703e-08 ppdiblc2 = -9.445637253e-16 ++ pdiblcb = 2.389127038e-02 lpdiblcb = -2.327889391e-08 wpdiblcb = -1.355955459e-09 ppdiblcb = 6.456192082e-16 ++ drout = -2.494552533e-01 ldrout = 4.972976131e-07 wdrout = -5.771431887e-07 pdrout = 5.633702437e-13 ++ pscbe1 = 1.291806799e+09 lpscbe1 = -2.341669223e+02 wpscbe1 = -3.648774159e+03 ppscbe1 = 1.737312733e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.289806226e-05 lalpha0 = -1.314749331e-11 walpha0 = -7.647496508e-11 palpha0 = 4.760964499e-17 ++ alpha1 = 3.767451235e-01 lalpha1 = 2.253336839e-07 walpha1 = 2.674568986e-06 palpha1 = -1.273458578e-12 ++ beta0 = 3.253329128e+01 lbeta0 = -1.181741058e-05 wbeta0 = -6.304794120e-05 pbeta0 = 4.452338067e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.236107223e-01 lkt1 = 2.194812831e-08 wkt1 = 2.712027395e-07 pkt1 = -1.314790822e-13 ++ kt2 = 9.325787446e-03 lkt2 = -2.132676610e-08 wkt2 = -1.304250988e-07 pkt2 = 6.004903355e-14 ++ at = 4.960931399e+04 lat = 7.116739710e-03 wat = 1.009528817e-01 pat = -7.335140480e-8 ++ ute = 1.007947713e+00 lute = -1.334601580e-06 wute = -6.225017667e-06 pute = 3.726846196e-12 ++ ua1 = 5.416821995e-09 lua1 = -2.093421486e-15 wua1 = -9.514190675e-15 pua1 = 4.560367534e-21 ++ ub1 = -1.388221491e-18 lub1 = -2.728252830e-25 wub1 = -2.629157462e-24 pub1 = 3.197316037e-30 ++ uc1 = 3.920554572e-10 luc1 = -2.383467875e-16 wuc1 = -1.393195215e-15 puc1 = 8.893570623e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.24 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.462432295e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.617232026e-08 wvth0 = -9.127629017e-08 pvth0 = 2.036799811e-14 ++ k1 = -4.462581406e-02 lk1 = 1.785424604e-07 wk1 = 1.298853683e-06 pk1 = -2.709932064e-13 ++ k2 = 1.575393653e-01 lk2 = -5.431157018e-08 wk2 = -4.530767430e-07 pk2 = 9.687912315e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 3.153734590e-01 ldsub = 3.841554494e-08 wdsub = -6.085653180e-07 pdsub = 1.965996510e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.400137708e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -7.472967018e-11 wvoff = 1.676737799e-08 pvoff = -6.937681634e-15 ++ nfactor = 2.495136391e+00 lnfactor = 6.300109494e-08 wnfactor = 3.109409937e-07 pnfactor = 9.844605514e-14 ++ eta0 = 0.49 ++ etab = 1.910278903e-03 letab = -8.384087608e-10 wetab = -7.494999385e-09 petab = 2.478572728e-15 ++ u0 = 3.357104954e-02 lu0 = -3.485723408e-09 wu0 = -1.613181330e-08 pu0 = 2.577298969e-15 ++ ua = -8.915624399e-10 lua = -2.854342821e-16 wua = -7.509814663e-16 pua = 2.113021923e-22 ++ ub = 1.924065949e-18 lub = 1.627265745e-25 wub = 1.618320143e-25 pub = -1.698718852e-31 ++ uc = 1.640827545e-10 luc = -3.527120574e-17 wuc = -2.572489332e-16 puc = 1.024980224e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.165365894e+05 lvsat = -1.181329507e-03 wvsat = -3.530647615e-02 pvsat = 3.415895867e-8 ++ a0 = 1.5 ++ ags = 2.547688899e+00 lags = -5.717073745e-07 wags = -9.153052131e-07 pags = 2.069830455e-13 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.560495070e-02 lketa = -8.308109442e-09 wketa = -1.396456155e-07 pketa = 1.902079523e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.506056264e-01 lpclm = 5.168341970e-08 wpclm = 3.563941332e-07 ppclm = -2.529557012e-13 ++ pdiblc1 = 1.826620147e+00 lpdiblc1 = -1.850628808e-07 wpdiblc1 = -3.000380505e-06 ppdiblc1 = 1.083469502e-13 ++ pdiblc2 = 8.864730888e-03 lpdiblc2 = -1.448549374e-09 wpdiblc2 = -2.695224928e-08 ppdiblc2 = 6.176674961e-15 ++ pdiblcb = -2.924087145e-01 lpdiblcb = 1.273229157e-07 wpdiblcb = 1.325352999e-06 ppdiblcb = -6.310482753e-13 ++ drout = 6.095479465e-01 ldrout = 8.829526556e-08 wdrout = 1.154286377e-06 pdrout = -2.610257043e-13 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.465450645e-05 lalpha0 = 4.732636541e-12 walpha0 = 5.274076208e-11 palpha0 = -1.391461447e-17 ++ alpha1 = 0.85 ++ beta0 = -3.230690459e+00 lbeta0 = 5.211108629e-06 wbeta0 = 5.985155848e-05 pbeta0 = -1.399349550e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.446665968e-01 lkt1 = -1.564001184e-08 wkt1 = -1.899183510e-07 pkt1 = 8.807726938e-14 ++ kt2 = -3.116452394e-02 lkt2 = -2.047871194e-09 wkt2 = -7.000030631e-08 pkt2 = 3.127861454e-14 ++ at = 9.235246539e+04 lat = -1.323481343e-02 wat = -7.136271010e-02 pat = 8.694251837e-9 ++ ute = -2.354879333e+00 lute = 2.665614384e-07 wute = 6.567354300e-07 pute = 4.501958030e-13 ++ ua1 = 1.356030169e-09 lua1 = -1.599323086e-16 wua1 = -4.530399546e-15 pua1 = 2.187405161e-21 ++ ub1 = -3.727401675e-18 lub1 = 8.409426132e-25 wub1 = 1.162068866e-23 pub1 = -3.587548696e-30 ++ uc1 = -3.170077008e-10 luc1 = 9.926370828e-17 wuc1 = 1.094375105e-15 puc1 = -2.950647196e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.25 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {7.832310539e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.715019890e-08 wvth0 = -4.870291161e-07 pvth0 = 1.098619591e-13 ++ k1 = 4.931050082e-01 lk1 = 5.694216317e-08 wk1 = -2.708135376e-10 pk1 = 2.278561067e-14 ++ k2 = -3.118944394e-02 lk2 = -1.163319218e-08 wk2 = 8.499234707e-08 pk2 = -2.479766861e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.949779736e-01 ldsub = -9.265390157e-08 wdsub = -1.931363806e-07 pdsub = 1.026562128e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = -5.638404937e-03 lcdscd = 2.496180739e-09 wcdscd = 5.470944770e-08 pcdscd = -1.237177566e-14 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.446740146e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.359271923e-08 wvoff = 5.384288674e-07 pvoff = -1.249041242e-13 ++ nfactor = -1.540586399e+00 lnfactor = 9.756233037e-07 wnfactor = 1.747296423e-05 pnfactor = -3.782505231e-12 ++ eta0 = 1.377471867e+00 leta0 = -2.006893380e-07 weta0 = 6.028800406e-07 peta0 = -1.363328809e-13 ++ etab = -3.521303131e-02 letab = 7.556508117e-09 wetab = 4.354878357e-07 petab = -9.769579367e-14 ++ u0 = -4.339233702e-03 lu0 = 5.087156403e-09 wu0 = -1.524974200e-08 pu0 = 2.377830894e-15 ++ ua = -6.749666627e-09 lua = 1.039293966e-15 wua = 7.792177348e-15 pua = -1.720613569e-21 ++ ub = 7.661363531e-18 lub = -1.134682951e-24 wub = -1.347426977e-23 pub = 2.913741628e-30 ++ uc = -2.264736401e-10 luc = 5.304765511e-17 wuc = 9.862569928e-16 puc = -1.787034337e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.109476091e+05 lvsat = 8.254013386e-05 wvsat = 1.084669381e-01 pvsat = 1.646613870e-9 ++ a0 = 1.5 ++ ags = -2.725045681e+00 lags = 6.206477325e-07 wags = -5.916754457e-12 pags = 9.238183736e-19 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 7.781446191e-03 lketa = -6.538933426e-09 wketa = -6.967213643e-08 pketa = 3.197272573e-15 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.388206768e-01 lpclm = 9.121221069e-09 wpclm = -2.077578437e-07 ppclm = -1.253806297e-13 ++ pdiblc1 = 2.359042693e+00 lpdiblc1 = -3.054627857e-07 wpdiblc1 = -7.843734467e-06 ppdiblc1 = 1.203603642e-12 ++ pdiblc2 = -1.570608770e-02 lpdiblc2 = 4.107797258e-09 wpdiblc2 = 1.565499552e-08 ppdiblc2 = -3.458356948e-15 ++ pdiblcb = 1.120676856e+00 lpdiblcb = -1.922266030e-07 wpdiblcb = -4.780721519e-06 ppdiblcb = 7.497549918e-13 ++ drout = 4.516027116e-01 ldrout = 1.240123692e-07 wdrout = 4.896295556e-06 pdrout = -1.107228692e-12 ++ pscbe1 = 7.850767286e+08 lpscbe1 = 3.374688911e+00 wpscbe1 = 1.006949609e+02 ppscbe1 = -2.277075567e-5 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.083252961e-05 lalpha0 = -1.030899845e-12 walpha0 = -7.058061165e-13 palpha0 = -1.828421326e-18 ++ alpha1 = 1.837382488e+00 lalpha1 = -2.232827263e-07 walpha1 = -2.918981076e-06 palpha1 = 6.600867046e-13 ++ beta0 = 9.327764020e+00 lbeta0 = 2.371189967e-06 wbeta0 = 6.112838551e-05 pbeta0 = -1.428223206e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.331906060e-01 lkt1 = 4.378453513e-09 wkt1 = 2.874000947e-07 pkt1 = -1.986161466e-14 ++ kt2 = -2.650655854e-02 lkt2 = -3.101204857e-09 wkt2 = 1.053719629e-07 pkt2 = -8.379368922e-15 ++ at = 1.150888746e+05 lat = -1.837633407e-02 wat = -6.228187239e-01 pat = 1.333983090e-7 ++ ute = 1.987849026e+00 lute = -7.154857818e-07 wute = 1.418809837e-07 pute = 5.666229281e-13 ++ ua1 = 5.008738400e-09 lua1 = -9.859411372e-16 wua1 = 8.159284493e-15 pua1 = -6.821892292e-22 ++ ub1 = -2.016597456e-18 lub1 = 4.540681903e-25 wub1 = -1.249786236e-23 pub1 = 1.866523956e-30 ++ uc1 = 3.321514218e-10 luc1 = -4.753453906e-17 wuc1 = -1.467657294e-15 puc1 = 2.843030389e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.26 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.304860242e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.768760094e-08 wvth0 = 5.131328281e-07 pvth0 = -4.629932617e-14 ++ k1 = 7.069074261e-01 lk1 = 2.355990885e-08 wk1 = 5.917473316e-07 pk1 = -6.964973445e-14 ++ k2 = -1.005113253e-01 lk2 = -8.095509190e-10 wk2 = -9.690911799e-08 pk2 = 3.603698539e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 3.289742177e-01 ldsub = -4.280339138e-09 wdsub = 3.833604585e-07 pdsub = 1.264430231e-14 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 3.060418107e-02 lcdscd = -3.162591669e-09 wcdscd = -8.440829895e-08 pcdscd = 9.349512825e-15 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {9.710513754e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.977131047e-08 wvoff = -8.252317455e-07 pvoff = 8.801238925e-14 ++ nfactor = 9.877720445e+00 lnfactor = -8.071854536e-07 wnfactor = -3.245888202e-05 pnfactor = 4.013653515e-12 ++ eta0 = 4.763329940e-01 leta0 = -5.998911901e-08 weta0 = -1.406122568e-06 peta0 = 1.773447504e-13 ++ etab = 1.500326779e-01 letab = -2.136701594e-08 wetab = -5.947852485e-07 petab = 6.316692461e-14 ++ u0 = 1.635267749e-01 lu0 = -2.112277071e-08 wu0 = -3.762372093e-07 pu0 = 5.874097009e-14 ++ ua = 1.697280683e-08 lua = -2.664638150e-15 wua = -5.375949692e-14 pua = 7.889818645e-21 ++ ub = -1.396242758e-17 lub = 2.241569298e-24 wub = 5.054657819e-23 pub = -7.082217490e-30 ++ uc = 5.266491414e-10 luc = -6.454192351e-17 wuc = -1.380318047e-15 puc = 1.908041267e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -9.405219098e+04 lvsat = 3.209038892e-02 wvsat = 5.492619481e-01 pvsat = -6.717735582e-8 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 5.519339594e-01 lketa = -9.150073022e-08 wketa = -2.641277947e-06 pketa = 4.047175174e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.605040018e+00 lpclm = -3.134900019e-07 wpclm = -5.756253401e-06 ppclm = 7.409392726e-13 ++ pdiblc1 = 7.311991496e-01 lpdiblc1 = -5.129780627e-08 wpdiblc1 = -1.106320543e-06 ppdiblc1 = 1.516507813e-13 ++ pdiblc2 = 1.462683294e-02 lpdiblc2 = -6.282636394e-10 wpdiblc2 = -1.839020505e-08 ppdiblc2 = 1.857324488e-15 ++ pdiblcb = -8.436781848e-01 lpdiblcb = 1.144799357e-07 wpdiblcb = 2.188779206e-06 ppdiblcb = -3.384349734e-13 ++ drout = 4.635200135e+00 ldrout = -5.291977980e-07 wdrout = -1.221498318e-05 pdrout = 1.564457925e-12 ++ pscbe1 = 8.624336049e+08 lpscbe1 = -8.703504333e+00 wpscbe1 = -2.099365967e+02 ppscbe1 = 2.573001320e-5 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.188879730e-05 lalpha0 = -2.757181256e-12 walpha0 = -6.462076899e-11 palpha0 = 8.151005317e-18 ++ alpha1 = -1.453892472e+00 lalpha1 = 2.906037808e-07 walpha1 = 6.810955844e-06 palpha1 = -8.591067263e-13 ++ beta0 = 6.931149233e+01 lbeta0 = -6.994429437e-06 wbeta0 = -1.579296821e-04 pbeta0 = 1.992061838e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -6.425863786e-01 lkt1 = 5.268627187e-08 wkt1 = 1.157755826e-06 pkt1 = -1.557554772e-13 ++ kt2 = -1.167450682e-01 lkt2 = 1.098827508e-08 wkt2 = 2.597570561e-07 pkt2 = -3.248443984e-14 ++ at = -2.489356391e+05 lat = 3.846099742e-02 wat = 1.146221855e+00 pat = -1.428126109e-7 ++ ute = -2.170141203e+01 lute = 2.983260683e-06 wute = 6.025603010e-05 pute = -8.819359858e-12 ++ ua1 = -2.584295612e-08 lua1 = 3.831119038e-15 wua1 = 7.632856654e-14 pua1 = -1.132586825e-20 ++ ub1 = 1.540697490e-17 lub1 = -2.266378703e-24 wub1 = -4.345504462e-23 pub1 = 6.700054566e-30 ++ uc1 = -4.011452666e-10 luc1 = 6.695947268e-17 wuc1 = 1.621022593e-15 puc1 = -1.979510838e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.27 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.190746862e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.108125260e-08 wvth0 = -2.129199822e-09 pvth0 = 4.253318521e-14 ++ k1 = 5.344591002e-01 lk1 = 3.397603097e-07 wk1 = 1.111346905e-08 pk1 = -2.220041692e-13 ++ k2 = -2.587186719e-02 lk2 = -7.955865334e-08 wk2 = -2.103903829e-10 pk2 = 4.202786903e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.080533089e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 4.604577012e-08 wvoff = 8.586471678e-09 pvoff = -1.715245260e-13 ++ nfactor = 2.419326758e+00 lnfactor = 5.891426789e-06 wnfactor = 5.728458176e-07 pnfactor = -1.144324596e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.331007502e-02 lu0 = -4.923268569e-08 wu0 = -3.532766031e-09 pu0 = 7.057101470e-14 ++ ua = -8.605860935e-10 lua = 3.112478025e-15 wua = 2.615327364e-16 pua = -5.224413510e-21 ++ ub = 1.916544460e-18 lub = -7.475558700e-24 wub = -6.191158015e-25 pub = 1.236754145e-29 ++ uc = 4.982766889e-11 luc = 4.223820340e-16 wuc = -1.777224155e-17 puc = 3.550207143e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.253958055e+00 la0 = 3.361822778e-06 wa0 = 1.737682905e-07 pa0 = -3.471219004e-12 ++ ags = 4.144789130e-01 lags = 1.272653665e-08 wags = -3.486438228e-08 pags = 6.964556420e-13 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -5.261963924e-25 lb0 = 5.260708209e-29 ++ b1 = 7.785514628e-24 lb1 = -1.555244990e-28 wb1 = -1.523066213e-29 pb1 = 3.042497780e-34 ++ keta = -1.595293910e-02 lketa = 2.056551011e-07 wketa = 1.884659271e-08 pketa = -3.764820991e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -1.115928690e-01 lpclm = 3.897820945e-06 wpclm = 3.654620441e-07 ppclm = -7.300519495e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.932071753e-03 lpdiblc2 = -9.379484098e-09 wpdiblc2 = 8.689549233e-10 ppdiblc2 = -1.735836173e-14 ++ pdiblcb = 5.959123923e-01 lpdiblcb = -6.207642177e-05 wpdiblcb = -1.942890293e-22 ppdiblcb = 9.769962617e-27 ++ drout = 0.56 ++ pscbe1 = 8.920131824e+08 lpscbe1 = -1.967597506e+03 wpscbe1 = -4.346853224e+02 ppscbe1 = 8.683333118e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.844064645e-01 lkt1 = -6.379086479e-07 wkt1 = -8.217583833e-08 pkt1 = 1.641555722e-12 ++ kt2 = -4.589540693e-02 lkt2 = 9.655954614e-08 wkt2 = -1.417775330e-09 pkt2 = 2.832167281e-14 ++ at = 140000.0 ++ ute = -1.647300853e+00 lute = -2.856570414e-06 wute = -5.080880770e-07 pute = 1.014963653e-11 ++ ua1 = 6.731339193e-10 lua1 = -6.836910839e-15 wua1 = -8.450306964e-16 pua1 = 1.688044812e-20 ++ ub1 = -1.039765919e-18 lub1 = 1.227745143e-23 wub1 = 1.024632243e-24 pub1 = -2.046819303e-29 ++ uc1 = 1.306819906e-11 luc1 = 4.193256512e-17 wuc1 = 8.652776088e-18 puc1 = -1.728490319e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.28 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.5216318+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.55146741 ++ k2 = -0.029854552 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10574827+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 2.71425 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0308455 ++ ua = -7.0477628e-10 ++ ub = 1.54232e-18 ++ uc = 7.0972e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.42225 ++ ags = 0.415116 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.1073e-24 ++ b1 = 0.0 ++ keta = -0.0056579 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.083531 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0024625373 ++ pdiblcb = -2.5116166 ++ drout = 0.56 ++ pscbe1 = 793515780.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.31634 ++ kt2 = -0.041061662 ++ at = 140000.0 ++ ute = -1.7903 ++ ua1 = 3.3088e-10 ++ ub1 = -4.2516e-19 ++ uc1 = 1.5167332e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.29 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.137418539e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.293128287e-8 ++ k1 = 5.483309743e-01 lk1 = 2.501663785e-8 ++ k2 = -2.596763155e-02 lk2 = -3.100260617e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.077791411e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.619850412e-8 ++ nfactor = 2.647232228e+00 lnfactor = 5.345428661e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.099400868e-02 lu0 = -1.184525426e-9 ++ ua = -7.496494897e-10 lua = 3.579148231e-16 ++ ub = 1.611793036e-18 lub = -5.541263855e-25 ++ uc = 8.123639508e-11 luc = -8.187021115e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.561973407e+00 la0 = -1.114452897e-6 ++ ags = 4.088306236e-01 lags = 5.013301686e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 4.202027848e-24 lb0 = -1.670783420e-29 ++ b1 = 0.0 ++ keta = -1.059979967e-02 lketa = 3.941726389e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.193698668e-01 lpclm = 3.213592108e-06 wpclm = 1.110223025e-22 ppclm = -1.332267630e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.440006234e-03 lpdiblc2 = 8.155846848e-9 ++ pdiblcb = -4.983398045e+00 lpdiblcb = 1.971526497e-5 ++ drout = 0.56 ++ pscbe1 = 7.870702449e+08 lpscbe1 = 5.141046490e+1 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.141332445e-01 lkt1 = -1.760138183e-8 ++ kt2 = -3.996812420e-02 lkt2 = -8.722206193e-9 ++ at = 140000.0 ++ ute = -1.817437128e+00 lute = 2.164494252e-7 ++ ua1 = 3.748619787e-10 lua1 = -3.508062434e-16 ++ ub1 = -4.991379884e-19 lub1 = 5.900584965e-25 ++ uc1 = 3.254985601e-12 luc1 = 9.501449495e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.30 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.259943688e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.421361748e-8 ++ k1 = 5.402698164e-01 lk1 = 5.706889798e-8 ++ k2 = -2.744592236e-02 lk2 = -2.512472084e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.064515944e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.091999792e-8 ++ nfactor = 2.746465137e+00 lnfactor = 1.399793225e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.195628193e-02 lu0 = -5.010654727e-9 ++ ua = -5.258090296e-10 lua = -5.321052884e-16 ++ ub = 1.379512085e-18 lub = 3.694542658e-25 ++ uc = 5.722333245e-11 luc = 1.360899167e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.031231535e+00 la0 = 9.958489658e-7 ++ ags = 1.915997610e-01 lags = 9.138724701e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -2.082155696e-24 lb0 = 8.278934222e-30 ++ b1 = 0.0 ++ keta = -1.749000880e-03 lketa = 4.225284179e-09 pketa = -1.734723476e-30 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 4.738214857e-01 lpclm = 5.975541666e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.149708243e-03 lpdiblc2 = 5.333975140e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 8.082391335e+08 lpscbe1 = -3.275991519e+1 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.150424779e-01 lkt1 = -1.398614617e-8 ++ kt2 = -4.270263241e-02 lkt2 = 2.150570337e-9 ++ at = 1.646028932e+05 lat = -9.782444936e-2 ++ ute = -1.938283263e+00 lute = 6.969500930e-7 ++ ua1 = 2.931550244e-11 lua1 = 1.023133540e-15 ++ ub1 = -2.943865257e-19 lub1 = -2.240611654e-25 ++ uc1 = 9.646151264e-12 luc1 = 6.960235108e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.31 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.935162473e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.839480260e-8 ++ k1 = 6.302528454e-01 lk1 = -1.207498050e-7 ++ k2 = -5.951911442e-02 lk2 = 3.825626861e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.528408000e-01 ldsub = -5.786932471e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.886133968e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.336345769e-8 ++ nfactor = 3.272300222e+00 lnfactor = -8.991423180e-7 ++ eta0 = 1.556200357e-01 leta0 = -1.494354750e-7 ++ etab = -5.596804500e-02 letab = -2.772905143e-8 ++ u0 = 3.355601231e-02 lu0 = -8.171939531e-9 ++ ua = -1.258399038e-10 lua = -1.322498677e-15 ++ ub = 8.990623895e-19 lub = 1.318888206e-24 ++ uc = 5.360287210e-11 luc = 2.076351371e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.430964044e+04 lvsat = -8.516435621e-3 ++ a0 = 2.109817335e+00 la0 = -1.135583262e-6 ++ ags = 1.494697789e-01 lags = 9.971270444e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 4.164311393e-24 lb0 = -4.064934266e-30 ++ b1 = 0.0 ++ keta = 5.085943942e-02 lketa = -9.973614859e-08 wketa = -1.994931997e-23 pketa = -4.423544864e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.280914582e-01 lpclm = 7.429649702e-7 ++ pdiblc1 = 1.649323322e-01 lpdiblc1 = 4.447643207e-7 ++ pdiblc2 = 6.380373791e-03 lpdiblc2 = -3.026395354e-9 ++ pdiblcb = -4.921630060e-02 lpdiblcb = 4.785470340e-8 ++ drout = 8.528408000e-01 ldrout = -5.786932471e-7 ++ pscbe1 = 1.154740475e+09 lpscbe1 = -7.174936905e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.887283200e-09 lalpha0 = 5.555455173e-14 ++ alpha1 = 6.342739440e-01 lalpha1 = 4.263040254e-7 ++ beta0 = 1.320696502e+01 lbeta0 = 1.290485941e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.876870551e-01 lkt1 = 1.295694180e-7 ++ kt2 = -4.725934087e-02 lkt2 = 1.115524596e-8 ++ at = 1.633894479e+05 lat = -9.542651645e-2 ++ ute = -1.987865646e+00 lute = 7.949316238e-7 ++ ua1 = -5.141762198e-10 lua1 = 2.097147098e-15 pua1 = 8.271806126e-37 ++ ub1 = 6.086990610e-19 lub1 = -2.008681104e-24 pub1 = -7.703719778e-46 ++ uc1 = 1.034951732e-10 luc1 = -1.158560798e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.32 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.728351421e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.687738636e-10 ++ k1 = 4.392858589e-01 lk1 = 6.565994532e-8 ++ k2 = -6.791127560e-04 lk2 = -1.917957525e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.934635650e-01 ldsub = 6.494860950e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.283898860e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -5.255500608e-9 ++ nfactor = 1.921323762e+00 lnfactor = 4.195944397e-7 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = 1.977584763e-22 peta0 = -7.285838599e-29 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 2.762200157e-02 lu0 = -2.379538021e-9 ++ ua = -1.371839134e-09 lua = -1.062339720e-16 ++ ub = 2.298097091e-18 lub = -4.675993133e-26 ++ uc = 7.398743477e-11 luc = 8.654082393e-19 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.721405808e+04 lvsat = 4.721661776e-2 ++ a0 = 4.193627844e-01 la0 = 5.145302813e-7 ++ ags = 1.158188796e+00 lags = 1.248009777e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.631044528e-02 lketa = 1.463759397e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.355090508e+00 lpclm = -4.547529740e-7 ++ pdiblc1 = 7.353854155e-01 lpdiblc1 = -1.120754702e-7 ++ pdiblc2 = 5.362028211e-03 lpdiblc2 = -2.032351573e-9 ++ pdiblcb = 2.343260119e-02 lpdiblcb = -2.306050500e-08 wpdiblcb = 3.903127821e-24 ppdiblcb = -2.385244779e-30 ++ drout = -4.446812800e-01 ldrout = 6.878647659e-07 pdrout = 2.220446049e-28 ++ pscbe1 = 5.756251585e+07 lpscbe1 = 3.535012140e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.970567686e-06 lalpha0 = 2.957074856e-12 walpha0 = -5.293955920e-28 palpha0 = -6.882142696e-34 ++ alpha1 = 1.281452112e+00 lalpha1 = -2.054298828e-7 ++ beta0 = 1.120652299e+01 lbeta0 = 3.243189417e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.318729451e-01 lkt1 = -2.252634408e-8 ++ kt2 = -3.479216166e-02 lkt2 = -1.014416479e-9 ++ at = 8.375791069e+04 lat = -1.769530623e-2 ++ ute = -1.097743715e+00 lute = -7.394843689e-8 ++ ua1 = 2.198525948e-09 lua1 = -5.508191447e-16 ++ ub1 = -2.277567454e-18 lub1 = 8.087075466e-25 ++ uc1 = -7.921055025e-11 luc1 = 6.248955431e-17 puc1 = -1.292469707e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.33 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.153678631e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.928258575e-8 ++ k1 = 3.947279698e-01 lk1 = 8.687556041e-8 ++ k2 = 4.280392337e-03 lk2 = -2.154097416e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.095184973e-01 ldsub = 1.049178783e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.343419918e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.421488753e-9 ++ nfactor = 2.600316138e+00 lnfactor = 9.630172565e-8 ++ eta0 = 0.49 ++ etab = -0.000625 ++ u0 = 2.811425844e-02 lu0 = -2.613919240e-09 wu0 = 2.775557562e-23 ++ ua = -1.145591476e-09 lua = -2.139586271e-16 ++ ub = 1.978807687e-18 lub = 1.052652480e-25 ++ uc = 7.706502979e-11 luc = -5.999455450e-19 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.045937246e+05 lvsat = 1.037337287e-2 ++ a0 = 1.5 ++ ags = 2.238075265e+00 lags = -5.016927259e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.163195547e-02 lketa = -1.874083449e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 4.711604765e-01 lpclm = -3.388206463e-8 ++ pdiblc1 = 8.117032664e-01 lpdiblc1 = -1.484131464e-7 ++ pdiblc2 = -2.522103509e-04 lpdiblc2 = 6.407895190e-10 ++ pdiblcb = 1.559088000e-01 lpdiblcb = -8.613719240e-08 ppdiblcb = 2.081668171e-29 ++ drout = 1.0 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.185727360e-06 lalpha0 = 2.584115772e-14 ++ alpha1 = 0.85 ++ beta0 = 1.701486070e+01 lbeta0 = 4.776307318e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.089088954e-01 lkt1 = 1.415324515e-8 ++ kt2 = -5.484301818e-02 lkt2 = 8.532518139e-9 ++ at = 6.821312074e+04 lat = -1.029387212e-2 ++ ute = -2.132730218e+00 lute = 4.188458967e-7 ++ ua1 = -1.764351194e-10 lua1 = 5.799853180e-16 ++ ub1 = 2.034441167e-19 lub1 = -3.725913786e-25 pub1 = 1.925929944e-46 ++ uc1 = 5.317860243e-11 luc1 = -5.456872969e-19 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.34 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.974970253e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.372254007e-09 wvth0 = 3.576812492e-07 pvth0 = -8.088460698e-14 ++ k1 = 4.930134021e-01 lk1 = 6.464968589e-8 ++ k2 = -5.131989890e-03 lk2 = -1.941249570e-08 wk2 = 7.959164690e-09 pk2 = -1.799853666e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.296255798e-01 ldsub = -5.792425694e-08 wdsub = 6.372491664e-11 pdsub = -1.441049775e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 1.286776183e-02 lcdscd = -1.688729789e-09 wcdscd = 1.387778781e-23 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.737695956e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 6.494511867e-09 wvoff = 3.288154100e-07 pvoff = -7.435700155e-14 ++ nfactor = 5.138848303e+00 lnfactor = -4.777517840e-07 wnfactor = -2.273328352e-06 pnfactor = 5.140813803e-13 ++ eta0 = 1.581403705e+00 leta0 = -2.468056683e-07 weta0 = 1.573773112e-14 peta0 = -3.558867867e-21 ++ etab = 1.433521275e-01 letab = -3.255841171e-08 wetab = -9.240112913e-08 petab = 2.089522174e-14 ++ u0 = -8.717456487e-03 lu0 = 5.715057447e-09 wu0 = -2.306480789e-09 pu0 = 5.215783396e-16 ++ ua = -4.117754007e-09 lua = 4.581543190e-16 wua = 1.150144447e-17 pua = -2.600890647e-24 ++ ub = 2.543297387e-18 lub = -2.238619480e-26 wub = 1.656177044e-24 pub = -3.745212521e-31 ++ uc = 2.061964889e-10 luc = -2.980121719e-17 wuc = -2.928379216e-16 puc = 6.622119624e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.280291486e+05 lvsat = -1.753982017e-02 wvsat = -2.376591097e-01 pvsat = 5.374328043e-8 ++ a0 = 1.5 ++ ags = -2.725047683e+00 lags = 6.206480450e-07 wags = -3.330669074e-22 pags = 4.163336342e-29 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.859815628e-01 lketa = -7.369793403e-08 wketa = -8.921101337e-07 pketa = 2.017382172e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.289816123e-02 lpclm = 6.748618229e-08 wpclm = 1.317454603e-06 ppclm = -2.979239140e-13 ++ pdiblc1 = -2.942002886e-01 lpdiblc1 = 1.016714599e-07 ppdiblc1 = 5.551115123e-29 ++ pdiblc2 = -1.041058628e-02 lpdiblc2 = 2.937964019e-09 ppdiblc2 = -8.673617380e-31 ++ pdiblcb = -4.964630236e-01 lpdiblcb = 6.138756232e-8 ++ drout = 2.107836980e+00 ldrout = -2.505218234e-7 ++ pscbe1 = 8.191380802e+08 lpscbe1 = -4.327808912e+0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.059378171e-05 lalpha0 = -1.649386622e-12 ++ alpha1 = 0.85 ++ beta0 = 2.830344734e+01 lbeta0 = -2.075125096e-06 wbeta0 = 5.030914472e-06 pbeta0 = -1.137670875e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.923387179e-01 lkt1 = -1.220746851e-08 wkt1 = -1.289978070e-07 pkt1 = 2.917104808e-14 ++ kt2 = 9.136848570e-03 lkt2 = -5.935633008e-9 ++ at = -1.610402072e+05 lat = 4.154855845e-02 wat = 1.934967105e-01 pat = -4.375657212e-8 ++ ute = 2.035842074e+00 lute = -5.238183671e-7 ++ ua1 = 7.768720193e-09 lua1 = -1.216700324e-15 ++ ub1 = -6.244158411e-18 lub1 = 1.085443667e-24 ++ uc1 = -1.643023312e-10 luc1 = 4.863458112e-17 puc1 = -2.584939414e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.35 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {1.176143311e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.858886246e-08 wvth0 = -1.395612187e-06 pvth0 = 1.928676170e-13 ++ k1 = 0.90707349 ++ k2 = -1.372151317e-01 lk2 = 1.210437729e-09 wk2 = 1.159768416e-08 pk2 = -2.367957542e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.587010672e-01 ldsub = -9.587241274e-12 wdsub = -1.486914722e-10 pdsub = 1.875534753e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.321743718e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = -1.474168590e-7 ++ nfactor = -8.343868507e+00 lnfactor = 1.627385688e-06 wnfactor = 2.140927341e-05 pnfactor = -3.183625328e-12 ++ eta0 = 6.941575510e-04 leta0 = -2.367699262e-15 weta0 = -3.672137572e-14 peta0 = 4.631887448e-21 ++ etab = -6.517384797e-02 wetab = 4.142593021e-8 ++ u0 = 5.160786376e-02 lu0 = -3.703896755e-09 wu0 = -4.537334693e-08 pu0 = 7.245866552e-15 ++ ua = -1.262823224e-09 lua = 1.239684637e-17 wua = 1.501679709e-16 pua = -2.425172742e-23 ++ ub = 5.317291396e-18 lub = -4.555065233e-25 wub = -6.449707982e-24 pub = 8.910992123e-31 ++ uc = 1.532944232e-11 wuc = 1.312871760e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.165870995e+04 lvsat = 2.769088331e-02 wvsat = 4.534976832e-01 pvsat = -5.417117659e-8 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.045636319e+00 lketa = 1.342155556e-07 wketa = 2.081590312e-06 pketa = -2.625634756e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.645277110e+00 lpclm = -1.858255773e-07 wpclm = -2.918923594e-06 ppclm = 3.635272321e-13 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 1.986056660e+01 lbeta0 = -7.568874690e-07 wbeta0 = -1.173880043e-05 pbeta0 = 1.480685332e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.705235600e-01 wkt1 = 5.783321264e-8 ++ kt2 = -0.028878939 ++ at = 2.915115102e+05 lat = -2.911105650e-02 wat = -4.514923244e-01 pat = 5.694943583e-8 ++ ute = -1.3190432 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.36 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.179862952e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.282310025e-08 wvth0 = 8.881784197e-22 ++ k1 = 5.401400138e-01 lk1 = 2.262776067e-7 ++ k2 = -2.597941323e-02 lk2 = -7.741029901e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.036641300e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.163306452e-8 ++ nfactor = 2.712150501e+00 lnfactor = 4.193987468e-8 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.150421777e-02 lu0 = -1.315863568e-8 ++ ua = -7.268974247e-10 lua = 4.418949960e-16 ++ ub = 1.600068716e-18 lub = -1.153596196e-24 ++ uc = 4.074296558e-11 luc = 6.038593027e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.342783843e+00 la0 = 1.587426753e-6 ++ ags = 3.966571561e-01 lags = 3.687363767e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -5.261963924e-25 lb0 = 5.260708209e-29 ++ b1 = 0.0 ++ keta = -6.319055684e-03 lketa = 1.320733586e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 7.522173340e-02 lpclm = 1.659870397e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.376258697e-03 lpdiblc2 = -1.825262290e-8 ++ pdiblcb = 5.959123923e-01 lpdiblcb = -6.207642177e-05 wpdiblcb = -1.665334537e-22 ppdiblcb = 2.930988785e-26 ++ drout = 0.56 ++ pscbe1 = 6.698134574e+08 lpscbe1 = 2.471094420e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.264125957e-01 lkt1 = 2.012115416e-7 ++ kt2 = -4.662013646e-02 lkt2 = 1.110368417e-7 ++ at = 140000.0 ++ ute = -1.907022139e+00 lute = 2.331657318e-6 ++ ua1 = 2.411764120e-10 lua1 = 1.791931074e-15 ++ ub1 = -5.160008166e-19 lub1 = 1.814648506e-24 wub1 = 7.703719778e-40 ++ uc1 = 1.749127103e-11 luc1 = -4.642332218e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.37 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.5216318+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.55146741 ++ k2 = -0.029854552 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10574827+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 2.71425 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0308455 ++ ua = -7.0477628e-10 ++ ub = 1.54232e-18 ++ uc = 7.0972e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.42225 ++ ags = 0.415116 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.1073e-24 ++ b1 = 0.0 ++ keta = -0.0056579 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.083531 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0024625373 ++ pdiblcb = -2.5116166 ++ drout = 0.56 ++ pscbe1 = 793515780.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.31634 ++ kt2 = -0.041061662 ++ at = 140000.0 ++ ute = -1.7903 ++ ua1 = 3.3088e-10 ++ ub1 = -4.2516e-19 ++ uc1 = 1.5167332e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.38 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.137418539e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.293128287e-8 ++ k1 = 5.483309743e-01 lk1 = 2.501663785e-8 ++ k2 = -2.596763155e-02 lk2 = -3.100260617e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.077791411e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.619850412e-8 ++ nfactor = 2.647232228e+00 lnfactor = 5.345428661e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.099400868e-02 lu0 = -1.184525426e-9 ++ ua = -7.496494897e-10 lua = 3.579148231e-16 ++ ub = 1.611793036e-18 lub = -5.541263855e-25 ++ uc = 8.123639508e-11 luc = -8.187021115e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.561973407e+00 la0 = -1.114452897e-6 ++ ags = 4.088306236e-01 lags = 5.013301686e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 4.202027848e-24 lb0 = -1.670783420e-29 ++ b1 = 0.0 ++ keta = -1.059979967e-02 lketa = 3.941726389e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.193698668e-01 lpclm = 3.213592108e-06 ppclm = -1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.440006234e-03 lpdiblc2 = 8.155846848e-9 ++ pdiblcb = -4.983398045e+00 lpdiblcb = 1.971526497e-5 ++ drout = 0.56 ++ pscbe1 = 7.870702449e+08 lpscbe1 = 5.141046490e+1 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.141332445e-01 lkt1 = -1.760138183e-8 ++ kt2 = -3.996812420e-02 lkt2 = -8.722206193e-9 ++ at = 140000.0 ++ ute = -1.817437128e+00 lute = 2.164494252e-7 ++ ua1 = 3.748619787e-10 lua1 = -3.508062434e-16 ++ ub1 = -4.991379884e-19 lub1 = 5.900584965e-25 ++ uc1 = 3.254985601e-12 luc1 = 9.501449495e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.39 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.259943688e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.421361748e-8 ++ k1 = 5.402698164e-01 lk1 = 5.706889798e-8 ++ k2 = -2.744592236e-02 lk2 = -2.512472084e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.064515944e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.091999792e-8 ++ nfactor = 2.746465137e+00 lnfactor = 1.399793225e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.195628193e-02 lu0 = -5.010654727e-9 ++ ua = -5.258090296e-10 lua = -5.321052884e-16 ++ ub = 1.379512085e-18 lub = 3.694542658e-25 ++ uc = 5.722333245e-11 luc = 1.360899167e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.031231535e+00 la0 = 9.958489658e-7 ++ ags = 1.915997610e-01 lags = 9.138724701e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -2.082155696e-24 lb0 = 8.278934222e-30 ++ b1 = 0.0 ++ keta = -1.749000880e-03 lketa = 4.225284179e-09 wketa = 1.734723476e-24 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 4.738214857e-01 lpclm = 5.975541666e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.149708243e-03 lpdiblc2 = 5.333975140e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 8.082391335e+08 lpscbe1 = -3.275991519e+1 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.150424779e-01 lkt1 = -1.398614617e-8 ++ kt2 = -4.270263241e-02 lkt2 = 2.150570337e-9 ++ at = 1.646028932e+05 lat = -9.782444936e-2 ++ ute = -1.938283263e+00 lute = 6.969500930e-7 ++ ua1 = 2.931550244e-11 lua1 = 1.023133540e-15 ++ ub1 = -2.943865257e-19 lub1 = -2.240611654e-25 ++ uc1 = 9.646151264e-12 luc1 = 6.960235108e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.40 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.935162473e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.839480260e-8 ++ k1 = 6.302528454e-01 lk1 = -1.207498050e-7 ++ k2 = -5.951911442e-02 lk2 = 3.825626861e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.528408000e-01 ldsub = -5.786932471e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.886133968e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.336345769e-8 ++ nfactor = 3.272300222e+00 lnfactor = -8.991423180e-7 ++ eta0 = 1.556200358e-01 leta0 = -1.494354750e-7 ++ etab = -5.596804500e-02 letab = -2.772905143e-8 ++ u0 = 3.355601231e-02 lu0 = -8.171939531e-9 ++ ua = -1.258399038e-10 lua = -1.322498677e-15 ++ ub = 8.990623895e-19 lub = 1.318888206e-24 ++ uc = 5.360287210e-11 luc = 2.076351371e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.430964044e+04 lvsat = -8.516435621e-3 ++ a0 = 2.109817335e+00 la0 = -1.135583262e-6 ++ ags = 1.494697789e-01 lags = 9.971270444e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 4.164311393e-24 lb0 = -4.064934266e-30 ++ b1 = 0.0 ++ keta = 5.085943942e-02 lketa = -9.973614859e-08 wketa = -2.775557562e-23 pketa = 3.122502257e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.280914582e-01 lpclm = 7.429649702e-7 ++ pdiblc1 = 1.649323322e-01 lpdiblc1 = 4.447643207e-7 ++ pdiblc2 = 6.380373791e-03 lpdiblc2 = -3.026395354e-09 wpdiblc2 = 1.387778781e-23 ++ pdiblcb = -4.921630060e-02 lpdiblcb = 4.785470340e-8 ++ drout = 8.528408000e-01 ldrout = -5.786932471e-7 ++ pscbe1 = 1.154740475e+09 lpscbe1 = -7.174936905e+02 wpscbe1 = -1.907348633e-12 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.887283200e-09 lalpha0 = 5.555455173e-14 ++ alpha1 = 6.342739440e-01 lalpha1 = 4.263040254e-7 ++ beta0 = 1.320696502e+01 lbeta0 = 1.290485941e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.876870551e-01 lkt1 = 1.295694180e-7 ++ kt2 = -4.725934087e-02 lkt2 = 1.115524596e-8 ++ at = 1.633894479e+05 lat = -9.542651645e-2 ++ ute = -1.987865646e+00 lute = 7.949316238e-7 ++ ua1 = -5.141762198e-10 lua1 = 2.097147098e-15 pua1 = 1.654361225e-36 ++ ub1 = 6.086990610e-19 lub1 = -2.008681104e-24 ++ uc1 = 1.034951732e-10 luc1 = -1.158560798e-16 wuc1 = 1.033975766e-31 puc1 = 1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.41 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.728351421e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.687738636e-10 ++ k1 = 4.392858589e-01 lk1 = 6.565994532e-8 ++ k2 = -6.791127560e-04 lk2 = -1.917957525e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.934635650e-01 ldsub = 6.494860950e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.283898860e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -5.255500608e-9 ++ nfactor = 1.921323762e+00 lnfactor = 4.195944397e-7 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = -7.632783294e-23 peta0 = 2.879640970e-28 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 2.762200157e-02 lu0 = -2.379538021e-9 ++ ua = -1.371839134e-09 lua = -1.062339720e-16 ++ ub = 2.298097091e-18 lub = -4.675993133e-26 ++ uc = 7.398743477e-11 luc = 8.654082393e-19 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.721405808e+04 lvsat = 4.721661776e-2 ++ a0 = 4.193627844e-01 la0 = 5.145302813e-7 ++ ags = 1.158188796e+00 lags = 1.248009777e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.631044528e-02 lketa = 1.463759397e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.355090508e+00 lpclm = -4.547529740e-7 ++ pdiblc1 = 7.353854155e-01 lpdiblc1 = -1.120754702e-7 ++ pdiblc2 = 5.362028211e-03 lpdiblc2 = -2.032351573e-9 ++ pdiblcb = 2.343260119e-02 lpdiblcb = -2.306050500e-08 wpdiblcb = 1.387778781e-23 ppdiblcb = 7.806255642e-30 ++ drout = -4.446812800e-01 ldrout = 6.878647659e-07 pdrout = 4.440892099e-28 ++ pscbe1 = 5.756251585e+07 lpscbe1 = 3.535012140e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.970567686e-06 lalpha0 = 2.957074856e-12 walpha0 = -1.058791184e-27 palpha0 = 7.411538288e-34 ++ alpha1 = 1.281452112e+00 lalpha1 = -2.054298828e-7 ++ beta0 = 1.120652299e+01 lbeta0 = 3.243189417e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.318729451e-01 lkt1 = -2.252634408e-8 ++ kt2 = -3.479216166e-02 lkt2 = -1.014416479e-9 ++ at = 8.375791069e+04 lat = -1.769530623e-2 ++ ute = -1.097743715e+00 lute = -7.394843689e-8 ++ ua1 = 2.198525948e-09 lua1 = -5.508191447e-16 ++ ub1 = -2.277567454e-18 lub1 = 8.087075466e-25 ++ uc1 = -7.921055025e-11 luc1 = 6.248955431e-17 puc1 = -2.584939414e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.42 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.153678631e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.928258575e-8 ++ k1 = 3.947279698e-01 lk1 = 8.687556041e-8 ++ k2 = 4.280392337e-03 lk2 = -2.154097416e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.095184973e-01 ldsub = 1.049178783e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.343419918e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.421488753e-9 ++ nfactor = 2.600316138e+00 lnfactor = 9.630172565e-8 ++ eta0 = 0.49 ++ etab = -0.000625 ++ u0 = 2.811425844e-02 lu0 = -2.613919240e-09 wu0 = 5.551115123e-23 ++ ua = -1.145591476e-09 lua = -2.139586271e-16 ++ ub = 1.978807687e-18 lub = 1.052652480e-25 ++ uc = 7.706502979e-11 luc = -5.999455450e-19 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.045937246e+05 lvsat = 1.037337287e-2 ++ a0 = 1.5 ++ ags = 2.238075265e+00 lags = -5.016927259e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.163195547e-02 lketa = -1.874083449e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 4.711604765e-01 lpclm = -3.388206463e-8 ++ pdiblc1 = 8.117032664e-01 lpdiblc1 = -1.484131464e-7 ++ pdiblc2 = -2.522103509e-04 lpdiblc2 = 6.407895190e-10 ++ pdiblcb = 1.559088000e-01 lpdiblcb = -8.613719240e-08 wpdiblcb = 5.551115123e-23 ppdiblcb = 4.163336342e-29 ++ drout = 1.0 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.185727360e-06 lalpha0 = 2.584115772e-14 ++ alpha1 = 0.85 ++ beta0 = 1.701486070e+01 lbeta0 = 4.776307318e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.089088954e-01 lkt1 = 1.415324515e-8 ++ kt2 = -5.484301818e-02 lkt2 = 8.532518139e-9 ++ at = 6.821312074e+04 lat = -1.029387212e-2 ++ ute = -2.132730218e+00 lute = 4.188458967e-7 ++ ua1 = -1.764351194e-10 lua1 = 5.799853180e-16 ++ ub1 = 2.034441167e-19 lub1 = -3.725913786e-25 pub1 = 3.851859889e-46 ++ uc1 = 5.317860243e-11 luc1 = -5.456872969e-19 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.43 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.976466525e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.788878209e-08 wvth0 = -3.386786373e-08 pvth0 = 7.658743231e-15 ++ k1 = 4.930134021e-01 lk1 = 6.464968589e-8 ++ k2 = 1.163993855e-02 lk2 = -2.320523251e-08 wk2 = -2.485145702e-08 pk2 = 5.619809086e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.296581543e-01 ldsub = -5.793162321e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 1.286776183e-02 lcdscd = -1.688729789e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-5.687790480e-03+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -3.151483523e-8 ++ nfactor = 9.471475546e-01 lnfactor = 4.701426564e-07 wnfactor = 5.926820371e-06 pnfactor = -1.340267451e-12 ++ eta0 = 1.581403714e+00 leta0 = -2.468056702e-7 ++ etab = 9.611909611e-02 letab = -2.187732292e-08 wetab = 4.163336342e-23 petab = -1.301042607e-29 ++ u0 = -2.033475499e-02 lu0 = 8.342146862e-09 wu0 = 2.042023116e-08 pu0 = -4.617749394e-15 ++ ua = -4.068051533e-09 lua = 4.469148005e-16 wua = -8.573060992e-17 pua = 1.938677720e-23 ++ ub = 2.368417726e-18 lub = 1.716039230e-26 wub = 1.998290978e-24 pub = -4.518855286e-31 ++ uc = -1.503555767e-10 luc = 5.082804073e-17 wuc = 4.046784666e-16 puc = -9.151236971e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.796808285e+05 lvsat = -6.606524461e-03 wvsat = -1.430761614e-01 pvsat = 3.235467083e-8 ++ a0 = 1.5 ++ ags = -2.725047683e+00 lags = 6.206480450e-07 wags = 1.554312234e-21 pags = 1.526556659e-28 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.801076620e-01 lketa = 7.692881892e-08 wketa = 4.109482272e-07 pketa = -9.293018831e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.565153056e-01 lpclm = -5.318386426e-08 wpclm = 2.735489883e-07 ppclm = -6.185927401e-14 ++ pdiblc1 = -2.942002886e-01 lpdiblc1 = 1.016714599e-7 ++ pdiblc2 = -1.041058628e-02 lpdiblc2 = 2.937964019e-9 ++ pdiblcb = -4.964630236e-01 lpdiblcb = 6.138756232e-8 ++ drout = 2.107836980e+00 ldrout = -2.505218234e-7 ++ pscbe1 = 8.191380802e+08 lpscbe1 = -4.327808912e+0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.059378171e-05 lalpha0 = -1.649386622e-12 ++ alpha1 = 0.85 ++ beta0 = 3.041889995e+01 lbeta0 = -2.553505086e-06 wbeta0 = 8.924926207e-07 pbeta0 = -2.018247113e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.016961167e-02 lkt1 = -4.887974151e-08 wkt1 = -4.462463104e-07 pkt1 = 1.009123556e-13 ++ kt2 = 9.136848570e-03 lkt2 = -5.935633008e-9 ++ at = -6.212976870e+04 lat = 1.918134752e-2 ++ ute = 2.035842074e+00 lute = -5.238183671e-07 wute = 1.776356839e-21 pute = 2.220446049e-28 ++ ua1 = 7.768720193e-09 lua1 = -1.216700324e-15 ++ ub1 = -6.244158411e-18 lub1 = 1.085443667e-24 ++ uc1 = -1.643023312e-10 luc1 = 4.863458112e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.44 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.549813986e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.518388111e-8 ++ k1 = 0.90707349 ++ k2 = -1.369819840e-01 wk2 = 1.114158165e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.45862506 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.20753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.958257461e+00 wnfactor = -2.657154186e-6 ++ eta0 = 0.00069413878 ++ etab = -0.043998 ++ u0 = 3.309396652e-02 wu0 = -9.154943007e-9 ++ ua = -1.205708445e-09 wua = 3.843535568e-17 ++ ub = 2.478324425e-18 wub = -8.958879980e-25 ++ uc = 1.751813957e-10 wuc = -1.814283231e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.373682007e+05 wvsat = 6.414492044e-2 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.125962559e-01 wketa = -1.842392267e-7 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.158900541e-01 wpclm = -1.226394245e-7 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 1.406453525e+01 wbeta0 = -4.001286279e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.432283650e-01 wkt1 = 2.000643140e-7 ++ kt2 = -0.028878939 ++ at = 60720.487 ++ ute = -1.3190432 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.45 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.113909651e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.123654579e-07 wvth0 = 1.079181985e-08 pvth0 = 3.030207044e-13 ++ k1 = 4.509691655e-01 lk1 = 6.516985304e-06 wk1 = 1.459086540e-07 pk1 = -1.029337177e-11 ++ k2 = 7.432822160e-03 lk2 = -2.520072388e-06 wk2 = -5.467183935e-08 pk2 = 3.996884008e-12 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.017280520e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.476438112e-07 wvoff = -3.167969614e-09 pvoff = -4.733385448e-13 ++ nfactor = 2.855212708e+00 lnfactor = -1.474726349e-05 wnfactor = -2.340901143e-07 pnfactor = 2.419930727e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.177503734e-02 lu0 = 1.123728339e-07 wu0 = -4.431371950e-10 pu0 = -2.054048841e-13 ++ ua = -7.599440421e-10 lua = -1.379098595e-15 wua = 5.407358511e-17 pua = 2.979659036e-21 ++ ub = 1.640904249e-18 lub = 8.142629409e-24 wub = -6.681844800e-26 pub = -1.521124663e-29 ++ uc = -7.946279064e-11 luc = 5.618322440e-15 wuc = 1.966905152e-16 puc = -8.205075772e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.070406966e+00 la0 = 1.040847049e-05 wa0 = 4.456853816e-07 pa0 = -1.443371509e-11 ++ ags = 2.925775589e-01 lags = 6.497800980e-06 wags = 1.703035714e-07 pags = -1.002887809e-11 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -9.676380820e-24 lb0 = 3.572977822e-28 wb0 = 1.497228208e-29 pb0 = -4.985599081e-34 ++ b1 = 0.0 ++ keta = -5.251190380e-03 lketa = -2.467254744e-07 wketa = -1.747328776e-09 pketa = 4.253233787e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.115884910e-02 lpclm = 6.466704889e-07 wpclm = 3.937366444e-08 ppclm = -7.865336756e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 6.519696279e-03 lpdiblc2 = -1.208370063e-07 wpdiblc2 = -5.143550334e-09 ppdiblc2 = 1.678569801e-13 ++ pdiblcb = 9.806954753e+00 lpdiblcb = -2.630310212e-04 wpdiblcb = -1.507186282e-05 ppdiblcb = 3.288183938e-10 ++ drout = 0.56 ++ pscbe1 = 2.884416584e+08 lpscbe1 = 1.194071452e+04 wpscbe1 = 6.240318100e+02 ppscbe1 = -1.549496892e-2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.383284327e-01 lkt1 = -9.410351868e-07 wkt1 = 1.949766955e-08 pkt1 = 1.869037761e-12 ++ kt2 = -4.794737592e-02 lkt2 = -1.044018015e-06 wkt2 = 2.171738047e-09 pkt2 = 1.889995471e-12 ++ at = 140000.0 ++ ute = -1.881602031e+00 lute = -2.725108706e-05 wute = -4.159446421e-08 pute = 4.840571214e-11 ++ ua1 = 7.209456077e-10 lua1 = -6.695541727e-14 wua1 = -7.850376991e-16 pua1 = 1.124900486e-19 ++ ub1 = -1.152844987e-18 lub1 = 4.443852500e-23 wub1 = 1.042056652e-24 pub1 = -6.974468188e-29 ++ uc1 = -4.396503424e-12 luc1 = 2.680225168e-15 wuc1 = 3.581457136e-17 puc1 = -4.461565845e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.46 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.057659805e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 2.596095488e-8 ++ k1 = 7.772076985e-01 wk1 = -3.693747708e-7 ++ k2 = -1.187213244e-01 wk2 = 1.454111000e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-8.933106932e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = -2.686316997e-8 ++ nfactor = 2.116968661e+00 wnfactor = 9.773207044e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.740039121e-02 wu0 = -1.072565049e-8 ++ ua = -8.289813472e-10 wua = 2.032345157e-16 ++ ub = 2.048522089e-18 wub = -8.282893664e-25 ++ uc = 2.017889209e-10 wuc = -2.140533730e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.591452202e+00 wa0 = -2.768625169e-7 ++ ags = 6.178557299e-01 wags = -3.317393706e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 8.209850140e-24 wb0 = -9.985492947e-30 ++ b1 = 0.0 ++ keta = -1.760220133e-02 wketa = 1.954424527e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.083531 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.706282143e-04 wpdiblc2 = 3.259324983e-9 ++ pdiblcb = -3.360307483e+00 wpdiblcb = 1.388697615e-6 ++ drout = 0.56 ++ pscbe1 = 8.861906187e+08 wpscbe1 = -1.516421704e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.854364012e-01 wkt1 = 1.130611976e-7 ++ kt2 = -1.002106372e-01 wkt2 = 9.678440342e-8 ++ at = 140000.0 ++ ute = -3.245784127e+00 wute = 2.381582478e-6 ++ ua1 = -2.630824588e-09 wua1 = 4.846183907e-15 ++ ub1 = 1.071735633e-18 wub1 = -2.449343380e-24 ++ uc1 = 1.297748482e-10 wuc1 = -1.875302159e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.47 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.888921102e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.345882845e-07 wvth0 = 4.066118842e-08 pvth0 = -1.172510620e-13 ++ k1 = 8.131687922e-01 lk1 = -2.868305740e-07 wk1 = -4.333493544e-07 pk1 = 5.102699796e-13 ++ k2 = -1.282182141e-01 lk2 = 7.574848383e-08 wk2 = 1.673107877e-07 pk2 = -1.746748870e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-8.167790395e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.104268781e-08 wvoff = -4.270898453e-08 pvoff = 1.263883720e-13 ++ nfactor = 1.772105277e+00 lnfactor = 2.750677251e-06 wnfactor = 1.431954477e-06 pnfactor = -3.626220803e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.606554055e-02 lu0 = 1.064695042e-08 wu0 = -8.298456304e-09 pu0 = -1.935963096e-14 ++ ua = -1.111259757e-09 lua = 2.251490986e-15 wua = 5.916963713e-16 pua = -3.098424591e-21 ++ ub = 2.340001023e-18 lub = -2.324875619e-24 wub = -1.191553621e-24 pub = 2.897445097e-30 ++ uc = 2.405632926e-10 luc = -3.092696615e-16 wuc = -2.607037344e-16 puc = 3.720896274e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.763232061e+00 la0 = -1.370139517e-06 wa0 = -3.293159120e-07 pa0 = 4.183754130e-13 ++ ags = 5.683301264e-01 lags = 3.950229486e-07 wags = -2.609861654e-07 pags = -5.643371872e-13 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.637072031e-23 lb0 = -6.509221038e-29 wb0 = -1.991141244e-29 pb0 = 7.917048383e-35 ++ b1 = 0.0 ++ keta = -4.345760672e-03 lketa = -1.057351736e-07 wketa = -1.023337145e-08 pketa = 2.375103206e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -1.918176595e-01 lpclm = 2.196218356e-06 wpclm = -2.087113809e-07 ppclm = 1.664710358e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -2.953831242e-03 lpdiblc2 = 2.731395435e-08 wpdiblc2 = 7.189557173e-09 ppdiblc2 = -3.134806646e-14 ++ pdiblcb = -6.675716521e+00 lpdiblcb = 2.644415339e-05 wpdiblcb = 2.769110261e-06 ppdiblcb = -1.101035900e-11 ++ drout = 0.56 ++ pscbe1 = 8.142000026e+08 lpscbe1 = 5.742069444e+02 wpscbe1 = -4.439193428e+01 ppscbe1 = -8.554424690e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.803797996e-01 lkt1 = -4.033214272e-08 wkt1 = 1.083980456e-07 pkt1 = 3.719393489e-14 ++ kt2 = -9.612799359e-02 lkt2 = -3.256372064e-08 wkt2 = 9.189338339e-08 pkt2 = 3.901144094e-14 ++ at = 140000.0 ++ ute = -2.769448426e+00 lute = -3.799318332e-06 wute = 1.557758950e-06 pute = 6.570928497e-12 ++ ua1 = -2.166142124e-09 lua1 = -3.706370531e-15 wua1 = 4.157799275e-15 pua1 = 5.490649443e-21 ++ ub1 = 7.656477753e-19 lub1 = 2.441398380e-24 wub1 = -2.069546179e-24 pub1 = -3.029314127e-30 ++ uc1 = 1.009927653e-10 luc1 = 2.295698080e-16 wuc1 = -1.599265696e-16 puc1 = -2.201704368e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.48 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.741301717e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.043298405e-07 wvth0 = -7.876374788e-08 pvth0 = 3.575987265e-13 ++ k1 = 8.258033443e-01 lk1 = -3.370672714e-07 wk1 = -4.672133721e-07 pk1 = 6.449179195e-13 ++ k2 = -1.551818002e-01 lk2 = 1.829593694e-07 wk2 = 2.090119177e-07 pk2 = -3.404842514e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.644934323e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.682431159e-07 wvoff = 9.497281463e-08 pvoff = -4.210531862e-13 ++ nfactor = 8.994323591e-01 lnfactor = 6.220543455e-06 wnfactor = 3.022266488e-06 pnfactor = -9.949517640e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.981504366e-02 lu0 = -4.261583913e-09 wu0 = -1.285915037e-08 pu0 = -1.225691090e-15 ++ ua = -1.332158241e-09 lua = 3.129813399e-15 wua = 1.319414700e-15 pua = -5.991931634e-21 ++ ub = 2.992797430e-18 lub = -4.920482916e-24 wub = -2.639789771e-24 pub = 8.655828991e-30 ++ uc = 2.316169767e-10 luc = -2.736978929e-16 wuc = -2.853571810e-16 puc = 4.701150836e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = -1.170902345e-01 la0 = 6.106277652e-06 wa0 = 1.878978242e-06 pa0 = -8.362102472e-12 ++ ags = 2.335679244e-01 lags = 1.726082991e-06 wags = -6.867175038e-08 pags = -1.329005456e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -8.111890208e-24 lb0 = 3.225397868e-29 wb0 = 9.866346046e-30 pb0 = -3.922993370e-35 ++ b1 = 0.0 ++ keta = -8.830851688e-02 lketa = 2.281121641e-07 wketa = 1.416357780e-07 pketa = -3.663420716e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.704547550e-01 lpclm = -3.945323313e-08 wpclm = 1.691371210e-07 ppclm = 1.623333279e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.275440790e-03 lpdiblc2 = -1.430614430e-09 wpdiblc2 = -3.478297903e-09 ppdiblc2 = 1.106877615e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 1.147432962e+09 lpscbe1 = -7.507726199e+02 wpscbe1 = -5.550167553e+02 ppscbe1 = 1.174871265e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.710108522e-01 lkt1 = -7.758435171e-08 wkt1 = 9.158004335e-08 pkt1 = 1.040645990e-13 ++ kt2 = -9.784205172e-02 lkt2 = -2.574839239e-08 wkt2 = 9.022363930e-08 pkt2 = 4.565057053e-14 ++ at = 1.534436066e+05 lat = -5.345360828e-02 wat = 1.825973975e-02 pat = -7.260320858e-8 ++ ute = -2.510701879e+00 lute = -4.828129792e-06 wute = 9.366382773e-07 pute = 9.040588764e-12 ++ ua1 = 2.066007674e-09 lua1 = -2.053397370e-14 wua1 = -3.332602741e-15 pua1 = 3.527350655e-20 ++ ub1 = -3.516702540e-18 lub1 = 1.946860563e-23 wub1 = 5.272617693e-24 pub1 = -3.222275622e-29 ++ uc1 = 5.638247705e-11 luc1 = 4.069463810e-16 wuc1 = -7.647380863e-17 puc1 = -5.519899639e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.49 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {3.966554615e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.463843233e-07 wvth0 = 1.584915602e-07 pvth0 = -1.112500289e-13 ++ k1 = 7.232444938e-01 lk1 = -1.343970348e-07 wk1 = -1.521605605e-07 pk1 = 2.233071647e-14 ++ k2 = -8.586935061e-02 lk2 = 4.598854250e-08 wk2 = 4.311641718e-08 pk2 = -1.265218058e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.528408000e-01 ldsub = -5.786932471e-07 wdsub = 8.881784197e-22 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {2.410724960e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.044574812e-07 wvoff = -1.521228292e-07 pvoff = 6.724141104e-14 ++ nfactor = 4.589353468e+00 lnfactor = -1.071242486e-06 wnfactor = -2.155070521e-06 pnfactor = 2.816044065e-13 ++ eta0 = 1.556201771e-01 leta0 = -1.494357543e-07 weta0 = -2.312722238e-13 peta0 = 4.570253672e-19 ++ etab = -5.596804500e-02 letab = -2.772905143e-8 ++ u0 = 4.791401884e-02 lu0 = -2.026626032e-08 wu0 = -2.349374764e-08 pu0 = 1.978971941e-14 ++ ua = 2.195974806e-09 lua = -3.842257326e-15 wua = -3.799143616e-15 pua = 4.123035722e-21 ++ ub = -1.652587243e-18 lub = 4.259428972e-24 wub = 4.175218364e-24 pub = -4.811553926e-30 ++ uc = 9.755077482e-11 luc = -8.764844988e-18 wuc = -7.191116217e-17 puc = 4.831672182e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.250579846e+05 lvsat = -8.904070550e-02 wvsat = -6.667578212e-02 pvsat = 1.317604134e-7 ++ a0 = 4.119496706e+00 la0 = -2.265794318e-06 wa0 = -3.288402181e-06 pa0 = 1.849344008e-12 ++ ags = -3.651560575e-01 lags = 2.909243006e-06 wags = 8.420729928e-07 pags = -3.128760930e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.622378042e-23 lb0 = -1.583661612e-29 wb0 = -1.973269209e-29 pb0 = 1.926179113e-35 ++ b1 = 0.0 ++ keta = 2.757168205e-01 lketa = -4.912514101e-07 wketa = -3.679300853e-07 pketa = 6.406293751e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -7.433166066e-01 lpclm = 2.161510450e-06 wpclm = 1.425869331e-06 ppclm = -2.321140435e-12 ++ pdiblc1 = -6.318451294e-01 lpdiblc1 = 2.019304947e-06 wpdiblc1 = 1.303752619e-06 ppdiblc1 = -2.576392485e-12 ++ pdiblc2 = 1.095896664e-02 lpdiblc2 = -1.463817048e-08 wpdiblc2 = -7.491869069e-09 ppdiblc2 = 1.900013862e-14 ++ pdiblcb = -1.882408497e-01 lpdiblcb = 3.225861198e-07 wpdiblcb = 2.274833673e-07 ppdiblcb = -4.495380715e-13 ++ drout = 1.240960114e+00 ldrout = -1.345669796e-06 wdrout = -6.350726477e-07 pdrout = 1.254989922e-12 ++ pscbe1 = 2.182036796e+09 lpscbe1 = -2.795290502e+03 wpscbe1 = -1.680946478e+03 ppscbe1 = 3.399861523e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -8.291676297e-08 lalpha0 = 2.231388803e-13 walpha0 = 1.387633343e-13 palpha0 = -2.742152203e-19 ++ alpha1 = -4.229498316e-01 lalpha1 = 2.515521988e-06 walpha1 = 1.729916234e-06 palpha1 = -3.418549747e-12 ++ beta0 = 1.131583479e+01 lbeta0 = 5.027616468e-06 wbeta0 = 3.094422354e-06 pbeta0 = -6.114999413e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.666636745e-01 lkt1 = 3.090522339e-07 wkt1 = 2.928562207e-07 pkt1 = -2.936845010e-13 ++ kt2 = -1.852391368e-01 lkt2 = 1.469601338e-07 wkt2 = 2.257738565e-07 pkt2 = -2.222150934e-13 ++ at = 2.424843300e+05 lat = -2.294101872e-01 wat = -1.294215318e-01 pat = 2.192350687e-7 ++ ute = -8.285966809e+00 lute = 6.584579146e-06 wute = 1.030546957e-05 pute = -9.473496027e-12 ++ ua1 = -1.914313411e-08 lua1 = 2.137817490e-14 wua1 = 3.048222847e-14 pua1 = -3.154919874e-20 ++ ub1 = 1.585972640e-17 lub1 = -1.882185315e-23 wub1 = -2.495498152e-23 pub1 = 2.751109078e-29 ++ uc1 = 6.271597049e-10 luc1 = -7.209870469e-16 wuc1 = -8.568628472e-16 puc1 = 9.901649091e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.50 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.317985559e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.446628380e-08 wvth0 = 6.714742742e-08 pvth0 = -2.208573255e-14 ++ k1 = 5.557583055e-01 lk1 = 2.909226309e-08 wk1 = -1.905817679e-07 pk1 = 5.983504023e-14 ++ k2 = -2.167394797e-02 lk2 = -1.667490105e-08 wk2 = 3.435347095e-08 pk2 = -4.098353299e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.479196217e-01 ldsub = 1.094056921e-07 wdsub = 7.452273465e-08 pdsub = -7.274432412e-14 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-4.565762667e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -3.635747399e-08 wvoff = -1.353733068e-07 pvoff = 5.089159921e-14 ++ nfactor = 5.042173106e+00 lnfactor = -1.513256035e-06 wnfactor = -5.106589607e-06 pnfactor = 3.162688441e-12 ++ eta0 = -4.616718742e-01 leta0 = 4.531252395e-07 weta0 = 4.625444475e-13 peta0 = -2.202340631e-19 ++ etab = -1.632660008e-01 letab = 7.700834592e-08 wetab = -1.410113851e-09 petab = 1.376462894e-15 ++ u0 = 2.985084338e-02 lu0 = -2.634144481e-09 wu0 = -3.647013737e-09 pu0 = 4.166079678e-16 ++ ua = -1.576921233e-09 lua = -1.593976788e-16 wua = 3.355721464e-16 pua = 8.699081638e-23 ++ ub = 2.541609194e-18 lub = 1.653228380e-25 wub = -3.984544719e-25 pub = -3.470272179e-31 ++ uc = 1.055135756e-10 luc = -1.653762153e-17 wuc = -5.158565684e-17 puc = 2.847626436e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -5.918801558e+04 lvsat = 9.080844816e-02 wvsat = 1.413781579e-01 pvsat = -7.132852742e-8 ++ a0 = 2.082381437e+00 la0 = -2.772927679e-07 wa0 = -2.721167487e-06 pa0 = 1.295645803e-12 ++ ags = 3.731744844e+00 lags = -1.089889452e-06 wags = -4.211063437e-06 pags = 1.803787452e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.995377377e-01 lketa = 1.678888733e-07 wketa = 5.452538204e-07 pketa = -2.507623099e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.766725258e+00 lpclm = -1.264767775e-06 wpclm = -2.309832532e-06 ppclm = 1.325412638e-12 ++ pdiblc1 = 1.342014350e+00 lpdiblc1 = 9.254964994e-08 wpdiblc1 = -9.926160061e-07 ppdiblc1 = -3.348244008e-13 ++ pdiblc2 = -7.510332783e-03 lpdiblc2 = 3.390377589e-09 wpdiblc2 = 2.106281259e-08 ppdiblc2 = -8.873114118e-15 ++ pdiblcb = 3.014816994e-01 lpdiblcb = -1.554496904e-07 wpdiblcb = -4.549667345e-07 ppdiblcb = 2.166260411e-13 ++ drout = -1.220919908e+00 ldrout = 1.057459922e-06 wdrout = 1.270145295e-06 pdrout = -6.047619004e-13 ++ pscbe1 = -2.092469265e+09 lpscbe1 = 1.377208746e+03 wpscbe1 = 3.518058303e+03 ppscbe1 = -1.675074208e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.165315590e-05 lalpha0 = 1.151726583e-11 walpha0 = 1.420716281e-11 palpha0 = -1.400688641e-17 ++ alpha1 = 3.395899663e+00 lalpha1 = -1.212194482e-06 walpha1 = -3.459832468e-06 palpha1 = 1.647350792e-12 ++ beta0 = 3.522293653e+00 lbeta0 = 1.263517254e-05 wbeta0 = 1.257356615e-05 pbeta0 = -1.536793292e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.990952945e-01 lkt1 = -4.974449421e-08 wkt1 = -5.363347965e-08 pkt1 = 4.453656913e-14 ++ kt2 = -3.097782830e-02 lkt2 = -3.619882884e-09 wkt2 = -6.241325025e-09 pkt2 = 4.263277779e-15 ++ at = -2.004109801e+04 lat = 2.685033402e-02 wat = 1.698444496e-01 pat = -7.288922932e-8 ++ ute = -1.739299944e+00 lute = 1.941419392e-07 wute = 1.049766909e-06 pute = -4.386714567e-13 ++ ua1 = 4.535025217e-09 lua1 = -1.734928828e-15 wua1 = -3.823171697e-15 pua1 = 1.937537360e-21 ++ ub1 = -6.407326370e-18 lub1 = 2.913818675e-24 wub1 = 6.757450178e-24 pub1 = -3.444555447e-30 ++ uc1 = -3.025200316e-10 luc1 = 1.865068124e-16 wuc1 = 3.653972848e-16 puc1 = -2.029272071e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.51 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.989188996e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.749212820e-08 wvth0 = 2.691514276e-08 pvth0 = -2.929693463e-15 ++ k1 = 8.060266948e-01 lk1 = -9.006952671e-08 wk1 = -6.730007004e-07 pk1 = 2.895320610e-13 ++ k2 = -1.533906408e-01 lk2 = 4.604015822e-08 wk2 = 2.579942735e-07 pk2 = -1.105817905e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = -1.002345918e-01 ldsub = 2.275608468e-07 wdsub = 3.432152042e-07 pdsub = -2.006784818e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = -3.370010952e-03 lcdscd = 4.175717935e-09 wcdscd = 1.435021106e-08 pcdscd = -6.832652094e-15 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.887515309e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.177468520e-08 wvoff = 8.902934945e-08 pvoff = -5.595458391e-14 ++ nfactor = -1.052028069e+00 lnfactor = 1.388412535e-06 wnfactor = 5.976265084e-06 pnfactor = -2.114257660e-12 ++ eta0 = -7.917257321e-01 leta0 = 6.102757632e-07 weta0 = 2.097264744e-06 peta0 = -9.985832464e-13 ++ etab = -1.159631597e-01 letab = 5.448576041e-08 wetab = 1.887257547e-07 petab = -8.915406901e-14 ++ u0 = 5.344024449e-02 lu0 = -1.386590757e-08 wu0 = -4.144045510e-08 pu0 = 1.841142596e-14 ++ ua = 6.572337766e-10 lua = -1.223159308e-15 wua = -2.949930510e-15 pua = 1.651336909e-21 ++ ub = 1.575914872e-18 lub = 6.251246697e-25 wub = 6.592462614e-25 pub = -8.506366142e-31 ++ uc = 1.150341124e-10 luc = -2.107069180e-17 wuc = -6.212812636e-17 puc = 3.349591362e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.440334061e+05 lvsat = -5.952586690e-03 wvsat = -6.453444100e-02 pvsat = 2.671387377e-8 ++ a0 = 1.5 ++ ags = 5.953233758e+00 lags = -2.147620298e-06 wags = -6.079046970e-06 pags = 2.693201659e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.665092979e-01 lketa = 5.693564410e-08 wketa = 2.206973675e-07 pketa = -9.622929862e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.971661992e-01 lpclm = 1.940612477e-07 wpclm = 1.257199110e-06 ppclm = -3.729795390e-13 ++ pdiblc1 = 3.313561455e+00 lpdiblc1 = -8.461749024e-07 wpdiblc1 = -4.093745520e-06 ppdiblc1 = 1.141735002e-12 ++ pdiblc2 = 1.218033609e-02 lpdiblc2 = -5.985058727e-09 wpdiblc2 = -2.034315196e-08 ppdiblc2 = 1.084175622e-14 ++ pdiblcb = 4.747103024e-01 lpdiblcb = -2.379300645e-07 wpdiblcb = -5.216491600e-07 ppdiblcb = 2.483759444e-13 ++ drout = -3.010246809e-01 ldrout = 6.194646874e-07 wdrout = 2.128843267e-06 pdrout = -1.013618918e-12 ++ pscbe1 = 7.775245680e+08 lpscbe1 = 1.070136230e+01 wpscbe1 = 3.677614484e+01 ppscbe1 = -1.751044650e-5 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.230242466e-05 lalpha0 = 1.111515241e-13 walpha0 = -1.491748770e-11 palpha0 = -1.395918170e-19 ++ alpha1 = 0.85 ++ beta0 = 2.735601155e+01 lbeta0 = 1.287081431e-06 wbeta0 = -1.692103899e-05 pbeta0 = -1.324489608e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.413124179e-01 lkt1 = 1.797019807e-08 wkt1 = 5.302130068e-08 pkt1 = -6.245611361e-15 ++ kt2 = -8.882559683e-02 lkt2 = 2.392352224e-08 wkt2 = 5.560508177e-08 pkt2 = -2.518402296e-14 ++ at = 6.449632294e+04 lat = -1.340097544e-02 wat = 6.081729330e-03 pat = 5.084097239e-9 ++ ute = -5.002565161e+00 lute = 1.747899986e-06 wute = 4.695859259e-06 pute = -2.174707284e-12 ++ ua1 = -6.781547933e-09 lua1 = 3.653299046e-15 wua1 = 1.080782720e-14 pua1 = -5.028807933e-21 ++ ub1 = 6.395571014e-18 lub1 = -3.182101674e-24 wub1 = -1.013206578e-23 pub1 = 4.597151125e-30 ++ uc1 = 3.765168716e-10 luc1 = -1.368071026e-16 wuc1 = -5.290725897e-16 puc1 = 2.229621011e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.52 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.861294744e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.721357874e-08 wvth0 = -1.502251251e-08 pvth0 = 6.553920151e-15 ++ k1 = -7.060671499e-01 lk1 = 2.518693270e-07 wk1 = 1.962033924e-06 pk1 = -3.063441287e-13 ++ k2 = 4.775180688e-01 lk2 = -9.663101375e-08 wk2 = -7.871594558e-07 pk2 = 1.257650933e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.904090211e+00 ldsub = -2.256891467e-07 wdsub = -1.758073834e-06 pdsub = 2.744986161e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.418922952e-02 lcdscd = -6.579138468e-09 wcdscd = -5.125075379e-08 pcdscd = 8.002087694e-15 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {3.070581870e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.034574117e-08 wvoff = -5.117406135e-07 pvoff = 7.990113243e-14 ++ nfactor = 1.159930070e+01 lnfactor = -1.472508348e-06 wnfactor = -1.150310609e-05 pnfactor = 1.838457420e-12 ++ eta0 = 6.158995614e+00 leta0 = -9.615325591e-07 weta0 = -7.490231230e-06 peta0 = 1.169494743e-12 ++ etab = 5.018855292e-01 letab = -8.523207072e-08 wetab = -6.639483107e-07 petab = 1.036662334e-13 ++ u0 = -9.189377640e-02 lu0 = 1.899934658e-08 wu0 = 1.375109698e-07 pu0 = -2.205593346e-14 ++ ua = -1.269058834e-08 lua = 1.795263794e-15 wua = 1.402317116e-14 pua = -2.186892410e-21 ++ ub = 1.059986038e-17 lub = -1.415514271e-24 wub = -1.147067046e-23 pub = 1.892374234e-30 ++ uc = 3.517486663e-11 luc = -3.011641408e-18 wuc = 1.010983416e-16 puc = -3.415466940e-24 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.135073598e+04 lvsat = 2.918536167e-02 wvsat = 1.695053490e-01 pvsat = -2.621094819e-8 ++ a0 = 1.5 ++ ags = -1.423642612e+01 lags = 2.417988629e-06 wags = 1.883586134e-05 pags = -2.940956046e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.754556789e-01 lketa = -4.300854788e-08 wketa = -6.617382673e-07 pketa = 1.033211661e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.497935204e+00 lpclm = -2.118758031e-07 wpclm = -1.266879446e-06 ppclm = 1.978054891e-13 ++ pdiblc1 = -2.179936755e+00 lpdiblc1 = 3.961028088e-07 wpdiblc1 = 3.085596637e-06 ppdiblc1 = -4.817727165e-13 ++ pdiblc2 = -6.490204233e-02 lpdiblc2 = 1.144604200e-08 wpdiblc2 = 8.916338869e-08 ppdiblc2 = -1.392161486e-14 ++ pdiblcb = -1.635039818e+00 lpdiblcb = 2.391603887e-07 wpdiblcb = 1.863032714e-06 ppdiblcb = -2.908864758e-13 ++ drout = 6.754353698e+00 ldrout = -9.760103575e-07 wdrout = -7.603011667e-06 pdrout = 1.187103830e-12 ++ pscbe1 = 8.994074803e+08 lpscbe1 = -1.686075196e+01 wpscbe1 = -1.313433744e+02 ppscbe1 = 2.050742911e-5 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 4.126412212e-05 lalpha0 = -6.438130891e-12 walpha0 = -5.018532593e-11 palpha0 = 7.835736050e-18 ++ alpha1 = 0.85 ++ beta0 = 7.612893730e+01 lbeta0 = -9.742232906e-06 wbeta0 = -7.390201872e-05 pbeta0 = 1.156096122e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.530414395e-01 lkt1 = 2.062255210e-08 wkt1 = 8.206304986e-08 pkt1 = -1.281299635e-14 ++ kt2 = 1.192271299e-01 lkt2 = -2.312468917e-08 wkt2 = -1.801387456e-07 pkt2 = 2.812614319e-14 ++ at = -2.477114787e+05 lat = 5.720044798e-02 wat = 3.036640115e-01 pat = -6.220996973e-8 ++ ute = 1.175128629e+01 lute = -2.040748965e-06 wute = -1.589720649e-05 pute = 2.482126233e-12 ++ ua1 = 3.033529071e-08 lua1 = -4.740154379e-15 wua1 = -3.692527315e-14 pua1 = 5.765364448e-21 ++ ub1 = -2.637626537e-17 lub1 = 4.228790318e-24 wub1 = 3.294180424e-23 pub1 = -5.143401546e-30 ++ uc1 = -1.066345080e-09 luc1 = 1.894759278e-16 wuc1 = 1.475996313e-15 puc1 = -2.304561604e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.53 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.26e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.477886770e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 2.695320193e-8 ++ k1 = 0.90707349 ++ k2 = -1.413719613e-01 wk2 = 1.832482244e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.45862506 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.20753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 2.168366466e+00 wnfactor = 2.716122316e-7 ++ eta0 = 0.00069413878 ++ etab = -0.043998 ++ u0 = 2.979082280e-02 wu0 = -3.750068390e-9 ++ ua = -1.192511062e-09 wua = 1.684071653e-17 ++ ub = 1.533954559e-18 wub = 6.493674149e-25 ++ uc = 1.588628867e-11 wuc = 7.922339325e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.755719575e+05 wvsat = 1.632800816e-3 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 0.0 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.14094 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 1.373312271e+01 wbeta0 = 1.421557388e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.22096074 ++ kt2 = -0.028878939 ++ at = 1.186386775e+05 wat = -9.477049255e-2 ++ ute = -1.3190432 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.54 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.707480052e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.869322339e-06 wvth0 = -1.830312796e-07 pvth0 = 3.656257733e-12 ++ k1 = 4.949059275e-01 lk1 = -4.272885007e-07 wk1 = 9.246916123e-08 pk1 = -1.847176541e-12 ++ k2 = -7.087086284e-03 lk2 = 1.582016719e-07 wk2 = -3.701153607e-08 pk2 = 7.393474782e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-9.270573901e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -3.737860854e-07 wvoff = -1.414164645e-08 pvoff = 2.824954527e-13 ++ nfactor = -2.378170621e-01 lnfactor = 6.309097116e-05 wnfactor = 3.527906321e-06 pnfactor = -7.047393646e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 6.121108038e-02 lu0 = -6.518029472e-07 wu0 = -3.624566649e-08 pu0 = 7.240483633e-13 ++ ua = 8.888569869e-10 lua = -3.097786180e-14 wua = -1.951333428e-15 pua = 3.898010194e-20 ++ ub = 1.336014698e-18 lub = 6.293741821e-25 wub = 3.040132251e-25 pub = -6.073009531e-30 ++ uc = 1.853722886e-10 luc = -3.187657714e-15 wuc = -1.254236246e-16 puc = 2.505479383e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.711015575e+00 la0 = -6.935586063e-06 wa0 = -3.334753380e-07 pa0 = 6.661548704e-12 ++ ags = 7.554309923e-01 lags = -8.196687873e-06 wags = -3.926567282e-07 pags = 7.843764204e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -1.072251100e-07 lb0 = 2.141943380e-12 wb0 = 1.304159712e-13 pb0 = -2.605207178e-18 ++ b1 = -1.797840772e-08 lb1 = 3.591391176e-13 wb1 = 2.186681370e-14 pb1 = -4.368144443e-19 ++ keta = -1.179579840e-02 lketa = 2.050039111e-07 wketa = 6.212760153e-09 pketa = -1.241069417e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.899094693e-01 lpclm = -6.120257970e-06 wpclm = -3.726426174e-07 ppclm = 7.443959605e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 9.195559666e-03 lpdiblc2 = -1.207594770e-07 wpdiblc2 = -8.398154805e-09 ppdiblc2 = 1.677626825e-13 ++ pdiblcb = -1.401431107e+01 lpdiblcb = 2.356337033e-04 wpdiblcb = 1.390151402e-05 ppdiblcb = -2.776985346e-10 ++ drout = 0.56 ++ pscbe1 = 3.414639747e+09 lpscbe1 = -5.299920474e+04 wpscbe1 = -3.178306654e+03 ppscbe1 = 6.349028596e-2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.600064000e-01 lkt1 = 3.346530151e-06 wkt1 = 1.674923910e-07 pkt1 = -3.345850783e-12 ++ kt2 = -9.922157029e-02 lkt2 = 1.569822975e-06 wkt2 = 6.453561772e-08 pkt2 = -1.289172276e-12 ++ at = -2.087443630e+04 lat = 3.213649618e+00 wat = 1.956686811e-01 pat = -3.908704185e-6 ++ ute = -5.017918848e+00 lute = 7.451535901e-05 wute = 3.773051226e-06 pute = -7.537098442e-11 ++ ua1 = -3.556212340e-09 lua1 = 9.807907904e-14 wua1 = 4.417192524e-15 pua1 = -8.823843859e-20 ++ ub1 = 3.672190917e-19 lub1 = -2.615433720e-23 wub1 = -8.067699250e-25 pub1 = 1.611614574e-29 ++ uc1 = -6.067838646e-11 luc1 = 7.245357204e-16 wuc1 = 1.042692126e-16 puc1 = -2.082895972e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.55 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.5271105+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.47351598 ++ k2 = 0.0008324469 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.11141737+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 2.9205 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.028582 ++ ua = -6.6188645e-10 ++ ub = 1.367521e-18 ++ uc = 2.5799e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.363822 ++ ags = 0.345107 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.0015333577 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.083531 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0031503727 ++ pdiblcb = -2.2185512 ++ drout = 0.56 ++ pscbe1 = 761513800.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.29248 ++ kt2 = -0.020636654 ++ at = 140000.0 ++ ute = -1.2877 ++ ua1 = 1.3536e-9 ++ ub1 = -9.4206e-19 ++ uc1 = -2.4408323e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.56 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.223228346e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.818707001e-8 ++ k1 = 4.568785944e-01 lk1 = 1.327020504e-7 ++ k2 = 9.340993149e-03 lk2 = -6.786532205e-08 wk2 = -1.734723476e-24 pk2 = 6.938893904e-30 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.167922808e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 4.287101972e-08 wvoff = -1.110223025e-22 ++ nfactor = 2.949426389e+00 lnfactor = -2.307208158e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.924273440e-02 lu0 = -5.270107433e-9 ++ ua = -6.247801648e-10 lua = -2.959647776e-16 ++ ub = 1.360332146e-18 lub = 5.733927629e-26 ++ uc = 2.621848235e-11 luc = -3.345848257e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.492475844e+00 la0 = -1.026160561e-6 ++ ags = 3.537531077e-01 lags = -6.896253114e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.275941100e-02 lketa = 8.954052784e-08 wketa = -1.734723476e-24 pketa = 1.387778781e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.634155134e-01 lpclm = 3.564906175e-06 wpclm = 1.110223025e-22 ppclm = 4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.957262709e-03 lpdiblc2 = 1.540271552e-9 ++ pdiblcb = -4.399015674e+00 lpdiblcb = 1.739168118e-5 ++ drout = 0.56 ++ pscbe1 = 7.777019419e+08 lpscbe1 = -1.291188214e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.912573382e-01 lkt1 = -9.752116958e-9 ++ kt2 = -2.057529825e-02 lkt2 = -4.893818432e-10 ++ at = 140000.0 ++ ute = -1.488693675e+00 lute = 1.603152885e-6 ++ ua1 = 1.252307935e-09 lua1 = 8.079192830e-16 ++ ub1 = -9.358870489e-19 lub1 = -4.923629781e-26 ++ uc1 = -3.049530213e-11 luc1 = 4.855057335e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.57 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.093723706e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.967987643e-8 ++ k1 = 4.416709045e-01 lk1 = 1.931698936e-7 ++ k2 = 1.666314832e-02 lk2 = -9.697920683e-08 pk2 = -2.775557562e-29 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-8.640889714e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -7.793744597e-8 ++ nfactor = 3.384272625e+00 lnfactor = -1.959728587e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.924253632e-02 lu0 = -5.269319858e-09 wu0 = -2.775557562e-23 ++ ua = -2.473648295e-10 lua = -1.796619479e-15 ++ ub = 8.224210119e-19 lub = 2.196147102e-24 ++ uc = -2.997348756e-12 luc = 1.128202696e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.427763872e+00 la0 = -7.688569563e-7 ++ ags = 1.771075391e-01 lags = 6.334042736e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.814126858e-02 lketa = -7.308613666e-08 wketa = 1.387778781e-23 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.095155328e-01 lpclm = 9.401361739e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.415661641e-03 lpdiblc2 = 7.669887058e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.911105335e+08 lpscbe1 = 2.151803947e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.957157780e-01 lkt1 = 7.975246273e-9 ++ kt2 = -2.366218282e-02 lkt2 = 1.178449104e-8 ++ at = 1.684563584e+05 lat = -1.131463511e-1 ++ ute = -1.740618726e+00 lute = 2.604841151e-6 ++ ua1 = -6.739841536e-10 lua1 = 8.467118605e-15 ++ ub1 = 8.183264192e-19 lub1 = -7.024227620e-24 pub1 = 3.081487911e-45 ++ uc1 = -6.492586981e-12 luc1 = -4.688748644e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.58 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.269636961e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.491702467e-8 ++ k1 = 5.981414663e-01 lk1 = -1.160372166e-7 ++ k2 = -5.041999168e-02 lk2 = 3.558620112e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.528408000e-01 ldsub = -5.786932471e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.009647561e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.917308910e-8 ++ nfactor = 2.817502433e+00 lnfactor = -8.397136079e-7 ++ eta0 = 1.556199869e-01 leta0 = -1.494353785e-7 ++ etab = -5.596804500e-02 letab = -2.772905143e-8 ++ u0 = 2.859798223e-02 lu0 = -3.995593312e-9 ++ ua = -9.275965507e-10 lua = -4.523890865e-16 ++ ub = 1.780184404e-18 lub = 3.034763837e-25 ++ uc = 3.842701720e-11 luc = 3.096008872e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.023864000e+04 lvsat = 1.928977490e-2 ++ a0 = 1.415845595e+00 la0 = -7.453048199e-7 ++ ags = 3.271776224e-01 lags = 3.368453795e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.678710971e-02 lketa = 3.545980911e-08 pketa = -1.387778781e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 4.290014341e-01 lpclm = 2.531204263e-7 ++ pdiblc1 = 4.400712671e-01 lpdiblc1 = -9.894763339e-8 ++ pdiblc2 = 4.799318577e-03 lpdiblc2 = 9.833207738e-10 ++ pdiblcb = -1.209086295e-03 lpdiblcb = -4.701408105e-8 ++ drout = 7.188175127e-01 ldrout = -3.138450042e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.117136320e-08 lalpha0 = -2.314772989e-15 ++ alpha1 = 9.993488080e-01 lalpha1 = -2.951335560e-7 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.258838054e-01 lkt1 = 6.759137127e-8 ++ kt2 = 3.871048534e-04 lkt2 = -3.574017210e-8 ++ at = 1.360768260e+05 lat = -4.915999135e-02 wat = -1.164153218e-16 ++ ute = 1.869609889e-01 lute = -1.204318517e-6 ++ ua1 = 5.918675958e-09 lua1 = -4.560874379e-15 ++ ub1 = -4.657704193e-18 lub1 = 3.797153610e-24 ++ uc1 = -7.733386419e-11 luc1 = 9.310451173e-17 wuc1 = -5.169878828e-32 puc1 = -5.169878828e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.59 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.870056768e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.692114131e-9 ++ k1 = 3.990662161e-01 lk1 = 7.828730192e-8 ++ k2 = 6.570711535e-03 lk2 = -2.004447595e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.091905561e-01 ldsub = 4.959692731e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.569585476e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 5.484466620e-9 ++ nfactor = 8.436487450e-01 lnfactor = 1.087036036e-6 ++ eta0 = -4.616714939e-01 leta0 = 4.531250584e-07 weta0 = 4.510281038e-23 peta0 = 8.153200337e-29 ++ etab = -1.644253650e-01 letab = 7.814004309e-8 ++ u0 = 2.685234983e-02 lu0 = -2.291618679e-9 ++ ua = -1.301021280e-09 lua = -8.787576494e-17 ++ ub = 2.214008792e-18 lub = -1.199952198e-25 ++ uc = 6.310099629e-11 luc = 6.874929471e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.704996039e+04 lvsat = 3.216371986e-2 ++ a0 = -1.549019290e-01 la0 = 7.879583848e-7 ++ ags = 2.695021758e-01 lags = 3.931444592e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 4.875782245e-02 lketa = -3.828231879e-08 wketa = -1.387778781e-23 pketa = 6.938893904e-30 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 8.676323403e-01 lpclm = -1.750429920e-7 ++ pdiblc1 = 5.259075539e-01 lpdiblc1 = -1.827355231e-07 wpdiblc1 = -4.440892099e-22 ++ pdiblc2 = 9.807043116e-03 lpdiblc2 = -3.904899426e-9 ++ pdiblcb = -7.258182741e-02 lpdiblcb = 2.265542098e-8 ++ drout = -1.766347053e-01 ldrout = 5.602381421e-07 pdrout = 2.220446049e-28 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.765727360e-08 lalpha0 = 1.115456377e-15 ++ alpha1 = 5.513023840e-01 lalpha1 = 1.422206881e-7 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.431915482e-01 lkt1 = -1.312751794e-8 ++ kt2 = -3.610930687e-02 lkt2 = -1.147107452e-10 ++ at = 1.196012296e+05 lat = -3.307756865e-2 ++ ute = -8.762049469e-01 lute = -1.665239728e-7 ++ ua1 = 1.391698507e-09 lua1 = -1.419287174e-16 ++ ub1 = -8.515011762e-19 lub1 = 8.178182225e-26 wub1 = 7.703719778e-40 ++ uc1 = -2.098513587e-12 luc1 = 1.966457754e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.60 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.210479312e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.990085699e-8 ++ k1 = 2.527004922e-01 lk1 = 1.479772922e-7 ++ k2 = 5.872651086e-02 lk2 = -4.487772962e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.819493130e-01 ldsub = 6.256746383e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413312e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.155535806e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.422992879e-8 ++ nfactor = 3.861524120e+00 lnfactor = -3.498830736e-7 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.920295691e-02 letab = -1.881473159e-08 wetab = -9.540979118e-24 petab = -3.144186300e-30 ++ u0 = 1.936882429e-02 lu0 = 1.271557234e-9 ++ ua = -1.768133457e-09 lua = 1.345331586e-16 wua = -1.654361225e-30 ++ ub = 2.117932481e-18 lub = -7.424982916e-26 ++ uc = 6.395374912e-11 luc = 6.468903149e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.097462453e+04 lvsat = 1.601096598e-2 ++ a0 = 1.5 ++ ags = 9.551765888e-01 lags = 6.667018692e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.494316756e-02 lketa = -2.218194427e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 7.364749379e-01 lpclm = -1.125942310e-7 ++ pdiblc1 = -5.222503249e-02 lpdiblc1 = 9.253421406e-8 ++ pdiblc2 = -4.545350845e-03 lpdiblc2 = 2.928792025e-09 wpdiblc2 = 1.734723476e-24 ppdiblc2 = -8.673617380e-31 ++ pdiblcb = 4.582196898e-02 lpdiblcb = -3.372088902e-8 ++ drout = 1.449262890e+00 ldrout = -2.139102352e-7 ++ pscbe1 = 8.077610961e+08 lpscbe1 = -3.695337236e+0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.759816960e-08 lalpha0 = -3.617762081e-15 ++ alpha1 = 0.85 ++ beta0 = 1.344390976e+01 lbeta0 = 1.981155425e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.977194842e-01 lkt1 = 1.283519537e-8 ++ kt2 = -4.310833573e-02 lkt2 = 3.217778860e-9 ++ at = 6.949658549e+04 lat = -9.220943808e-3 ++ ute = -1.141734153e+00 lute = -4.009595862e-8 ++ ua1 = 2.104407137e-09 lua1 = -4.812749535e-16 ++ ub1 = -1.934788051e-18 lub1 = 5.975737015e-25 wub1 = -7.703719778e-40 pub1 = 1.925929944e-46 ++ uc1 = -5.847484063e-11 luc1 = 4.650737639e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.61 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {8.823857636e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.899874906e-08 wvth0 = -2.537255045e-07 pvth0 = 5.737647068e-14 ++ k1 = 0.90707349 ++ k2 = -2.164108118e-01 lk2 = 1.734072397e-08 wk2 = 5.685375095e-08 pk2 = -1.285667983e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586410187e-01 ldsub = -2.491733918e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835600e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280776e-8 ++ nfactor = -7.036451375e+00 lnfactor = 2.114541513e-06 wnfactor = 1.116322372e-05 pnfactor = -2.524406760e-12 ++ eta0 = 6.941427212e-04 leta0 = -6.153674857e-16 ++ etab = -0.043998 ++ u0 = 1.748935937e-02 lu0 = 1.696571914e-09 wu0 = 4.470230690e-09 pu0 = -1.010880087e-15 ++ ua = -1.154436704e-09 lua = -4.245770439e-18 wua = -8.042423965e-18 pua = 1.818681586e-24 ++ ub = 6.649713698e-20 lub = 3.896535538e-25 wub = 1.340869643e-24 pub = -3.032188976e-31 ++ uc = 3.575855327e-10 luc = -5.993181387e-17 wuc = -2.910439482e-16 puc = 6.581551426e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.385382466e+05 lvsat = 5.255118741e-03 wvsat = -1.280192249e-02 pvsat = 2.894975543e-9 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.885609012e-01 lketa = 1.142920518e-07 wketa = 3.891495467e-07 pketa = -8.800072189e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.637956964e-01 lpclm = -2.831803807e-08 wpclm = 1.125540227e-07 ppclm = -2.545251649e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.604864909e-08 lalpha0 = 1.982051491e-14 walpha0 = 8.381682544e-14 palpha0 = -1.895400164e-20 ++ alpha1 = 0.85 ++ beta0 = 1.725522692e+01 lbeta0 = -6.637604737e-07 wbeta0 = -2.294984506e-06 pbeta0 = 5.189786163e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.496479997e-01 lkt1 = 4.719170217e-08 wkt1 = 1.995638701e-07 pkt1 = -4.512857533e-14 ++ kt2 = -0.028878939 ++ at = 1.660312896e+05 lat = -3.105091565e-02 wat = -1.995638701e-01 pat = 4.512857533e-8 ++ ute = -1.3190432 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.62 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.0e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {3.188143043e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.379559551e-08 wvth0 = 5.328136997e-07 pvth0 = -6.543061450e-14 ++ k1 = 0.90707349 ++ k2 = -5.039519017e-02 lk2 = -8.580291123e-09 wk2 = -9.232858671e-08 pk2 = 1.043605365e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.45862506 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.20753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.118353774e+01 lnfactor = -3.852974707e-06 wnfactor = -3.501901831e-05 pnfactor = 4.686303782e-12 ++ eta0 = 0.00069413878 ++ etab = -0.043998 ++ u0 = 2.879608017e-02 lu0 = -6.881424387e-11 wu0 = -2.540180833e-09 pu0 = 8.369752616e-17 ++ ua = -1.178876828e-09 lua = -4.297872073e-19 wua = 2.576422700e-19 pua = 5.227424441e-25 ++ ub = 1.121291289e-18 lub = 2.249622141e-25 wub = 1.151282322e-24 pub = -2.736174917e-31 ++ uc = -4.773210041e-10 luc = 7.042715317e-17 wuc = 6.791025457e-16 puc = -8.565927871e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.151987049e+05 lvsat = -6.714338574e-03 wvsat = -4.656449874e-02 pvsat = 8.166529149e-9 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 7.465502318e-01 lketa = -9.416686003e-08 wketa = -9.080156090e-07 pketa = 1.145334569e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.824279268e-01 wpclm = -5.046101855e-8 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.907954345e-07 lalpha0 = -2.028209293e-14 walpha0 = -1.955725927e-13 palpha0 = 2.466874455e-20 ++ alpha1 = 0.85 ++ beta0 = 9.447267864e+00 lbeta0 = 5.553430207e-07 wbeta0 = 5.354963848e-06 pbeta0 = -6.754537199e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 1.618855327e-01 lkt1 = -4.829069745e-08 wkt1 = -4.656490302e-07 pkt1 = 5.873510608e-14 ++ kt2 = -0.028878939 ++ at = -3.421257857e+05 lat = 4.829069745e-02 wat = 4.656490302e-01 pat = -5.873510608e-8 ++ ute = -1.3190432 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.63 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.318551619e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.702352679e-06 wvth0 = 4.541764642e-08 pvth0 = -4.540680796e-12 ++ k1 = 7.090279257e-01 lk1 = -1.409865527e-05 wk1 = -1.122918515e-07 pk1 = 1.122650541e-11 ++ k2 = -9.215278855e-02 lk2 = 5.566455559e-06 wk2 = 4.433526382e-08 pk2 = -4.432468365e-12 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.035923811e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.684340787e-07 wvoff = -3.730946603e-09 pvoff = 3.730056250e-13 ++ nfactor = 3.979274815e+00 lnfactor = -6.338235233e-05 wnfactor = -5.048227337e-07 pnfactor = 5.047022628e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.806428851e-02 lu0 = 6.296308583e-07 wu0 = 5.014833931e-09 pu0 = -5.013637191e-13 ++ ua = -1.638741430e-09 lua = 5.847831441e-14 wua = 4.657634413e-16 pua = -4.656522915e-20 ++ ub = 1.938728517e-18 lub = -3.419468956e-23 wub = -2.723511518e-25 pub = 2.722861579e-29 ++ uc = 8.247136757e-11 luc = -3.392626948e-15 wuc = -2.702132608e-17 puc = 2.701487771e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.360776278e+00 la0 = 1.823287066e-07 wa0 = 1.452197224e-09 pa0 = -1.451850671e-13 ++ ags = 3.445412234e-01 lags = 3.386957151e-08 wags = 2.697616774e-10 pags = -2.696973014e-14 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 5.814288815e-08 lb0 = -3.480657992e-12 wb0 = -2.772246877e-14 pb0 = 2.771585308e-18 ++ b1 = 9.748803700e-09 lb1 = -5.836010662e-13 wb1 = -4.648219493e-15 pb1 = 4.647110242e-19 ++ keta = -9.043586181e-03 lketa = 4.495913021e-07 wketa = 3.580869151e-09 pketa = -3.580014612e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -8.260293143e-02 lpclm = 9.945419202e-06 wpclm = 7.921248620e-08 ppclm = -7.919358293e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -2.308113044e-03 lpdiblc2 = 3.267660523e-07 wpdiblc2 = 2.602600341e-09 ppdiblc2 = -2.601979257e-13 ++ pdiblcb = 3.248672826e+00 lpdiblcb = -3.272891596e-04 wpdiblcb = -2.606766745e-06 ppdiblcb = 2.606144666e-10 ++ drout = 0.56 ++ pscbe1 = -5.756973567e+08 lpscbe1 = 8.005062783e+04 wpscbe1 = 6.375808926e+02 ppscbe1 = -6.374287403e-2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.772763127e-01 lkt1 = -9.101514812e-07 wkt1 = -7.249102343e-09 pkt1 = 7.247372418e-13 ++ kt2 = -4.277241768e-02 lkt2 = 1.325132363e-06 wkt2 = 1.055430917e-08 pkt2 = -1.055179049e-12 ++ at = 2.272342715e+05 lat = -5.222180630e+00 wat = -4.159321017e-02 pat = 4.158328436e-6 ++ ute = -8.582581435e-01 lute = -2.570804922e-05 wute = -2.047574318e-07 pute = 2.047085685e-11 ++ ua1 = 7.738659558e-10 lua1 = 3.470512042e-14 wua1 = 2.764165910e-16 pua1 = -2.763506269e-20 ++ ub1 = -1.341379391e-20 lub1 = -5.559235088e-23 wub1 = -4.427775479e-25 pub1 = 4.426718835e-29 ++ uc1 = 1.207163183e-10 luc1 = -8.687721902e-15 wuc1 = -6.919527847e-17 puc1 = 6.917876571e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.64 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {8.437370908e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.525456749e-06 wvth0 = -3.027843095e-07 pvth0 = 2.415048831e-12 ++ k1 = -3.093204041e-01 lk1 = 6.244009465e-06 wk1 = 7.486123430e-07 pk1 = -5.971033859e-12 ++ k2 = 3.099132677e-01 lk2 = -2.465270662e-06 wk2 = -2.955684255e-07 pk2 = 2.357493959e-12 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.374274563e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.074599858e-07 wvoff = 2.487297736e-08 pvoff = -1.983902501e-13 ++ nfactor = -5.988435527e-01 lnfactor = 2.807076281e-05 wnfactor = 3.365484891e-06 pnfactor = -2.684356520e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 6.354263526e-02 lu0 = -2.788507815e-07 wu0 = -3.343222621e-08 pu0 = 2.666599830e-13 ++ ua = 2.585157423e-09 lua = -2.589886353e-14 wua = -3.105089609e-15 pua = 2.476661701e-20 ++ ub = -5.311598759e-19 lub = 1.514413689e-23 wub = 1.815674345e-24 pub = -1.448206551e-29 ++ uc = -1.625786688e-10 luc = 1.502525906e-15 wuc = 1.801421739e-16 puc = -1.436838478e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.373945912e+00 la0 = -8.074969904e-08 wa0 = -9.681314824e-09 pa0 = 7.721948369e-14 ++ ags = 3.469876285e-01 lags = -1.500014868e-08 wags = -1.798411182e-09 pags = 1.434437218e-14 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -1.932656459e-07 lb0 = 1.541513076e-12 wb0 = 1.848164584e-13 pb0 = -1.474121208e-18 ++ b1 = -3.240480314e-08 lb1 = 2.584651169e-13 wb1 = 3.098812995e-14 pb1 = -2.471655389e-19 ++ keta = 2.343047201e-02 lketa = -1.991149009e-07 wketa = -2.387246100e-08 pketa = 1.904099956e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.357564328e-01 lpclm = -4.404625155e-06 wpclm = -5.280832413e-07 ppclm = 4.212063752e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.129425593e-02 lpdiblc2 = -1.447180802e-07 wpdiblc2 = -1.735066894e-08 ppdiblc2 = 1.383912952e-13 ++ pdiblcb = -2.039148028e+01 lpdiblcb = 1.449497539e-04 wpdiblcb = 1.737844497e-05 ppdiblcb = -1.386128405e-10 ++ drout = 0.56 ++ pscbe1 = 5.206373459e+09 lpscbe1 = -3.545280514e+04 wpscbe1 = -4.250539284e+03 ppscbe1 = 3.390287940e-2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.430167130e-01 lkt1 = 4.030876957e-07 wkt1 = 4.832734896e-08 pkt1 = -3.854655078e-13 ++ kt2 = 5.294212413e-02 lkt2 = -5.868743411e-07 wkt2 = -7.036206111e-08 pkt2 = 5.612173686e-13 ++ at = -1.499647466e+05 lat = 2.312798254e+00 wat = 2.772880678e-01 pat = -2.211687340e-6 ++ ute = -2.715155024e+00 lute = 1.138557540e-05 wute = 1.365049545e-06 pute = -1.088782082e-11 ++ ua1 = 3.280622859e-09 lua1 = -1.537019640e-14 wua1 = -1.842777273e-15 pua1 = 1.469824215e-20 ++ ub1 = -4.028858998e-18 lub1 = 2.462072861e-23 wub1 = 2.951850319e-24 pub1 = -2.354435960e-29 ++ uc1 = -5.067993504e-10 luc1 = 3.847616440e-15 wuc1 = 4.613018565e-16 puc1 = -3.679406344e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.65 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.223228346e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.818707001e-8 ++ k1 = 4.568785944e-01 lk1 = 1.327020504e-7 ++ k2 = 9.340993149e-03 lk2 = -6.786532205e-08 pk2 = 2.081668171e-29 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.167922808e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 4.287101972e-8 ++ nfactor = 2.949426389e+00 lnfactor = -2.307208158e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.924273440e-02 lu0 = -5.270107433e-9 ++ ua = -6.247801648e-10 lua = -2.959647776e-16 ++ ub = 1.360332146e-18 lub = 5.733927629e-26 ++ uc = 2.621848235e-11 luc = -3.345848257e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.492475844e+00 la0 = -1.026160561e-6 ++ ags = 3.537531077e-01 lags = -6.896253114e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.275941100e-02 lketa = 8.954052784e-08 wketa = -3.469446952e-24 pketa = -6.938893904e-30 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.634155134e-01 lpclm = 3.564906175e-06 wpclm = 1.110223025e-22 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.957262709e-03 lpdiblc2 = 1.540271552e-9 ++ pdiblcb = -4.399015674e+00 lpdiblcb = 1.739168118e-5 ++ drout = 0.56 ++ pscbe1 = 7.777019419e+08 lpscbe1 = -1.291188214e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.912573382e-01 lkt1 = -9.752116958e-9 ++ kt2 = -2.057529825e-02 lkt2 = -4.893818432e-10 ++ at = 140000.0 ++ ute = -1.488693675e+00 lute = 1.603152885e-6 ++ ua1 = 1.252307935e-09 lua1 = 8.079192830e-16 ++ ub1 = -9.358870489e-19 lub1 = -4.923629781e-26 ++ uc1 = -3.049530213e-11 luc1 = 4.855057335e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.66 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.093723706e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.967987643e-8 ++ k1 = 4.416709045e-01 lk1 = 1.931698936e-7 ++ k2 = 1.666314832e-02 lk2 = -9.697920683e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-8.640889714e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -7.793744597e-8 ++ nfactor = 3.384272625e+00 lnfactor = -1.959728587e-06 wnfactor = 3.552713679e-21 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.924253632e-02 lu0 = -5.269319858e-9 ++ ua = -2.473648295e-10 lua = -1.796619479e-15 ++ ub = 8.224210119e-19 lub = 2.196147102e-24 ++ uc = -2.997348756e-12 luc = 1.128202696e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.427763872e+00 la0 = -7.688569563e-7 ++ ags = 1.771075391e-01 lags = 6.334042736e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.814126858e-02 lketa = -7.308613666e-08 wketa = 1.387778781e-23 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.095155328e-01 lpclm = 9.401361739e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.415661641e-03 lpdiblc2 = 7.669887058e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.911105335e+08 lpscbe1 = 2.151803947e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.957157780e-01 lkt1 = 7.975246273e-9 ++ kt2 = -2.366218282e-02 lkt2 = 1.178449104e-8 ++ at = 1.684563584e+05 lat = -1.131463511e-1 ++ ute = -1.740618726e+00 lute = 2.604841151e-6 ++ ua1 = -6.739841536e-10 lua1 = 8.467118605e-15 pua1 = -3.308722450e-36 ++ ub1 = 8.183264192e-19 lub1 = -7.024227620e-24 pub1 = -3.081487911e-45 ++ uc1 = -6.492586981e-12 luc1 = -4.688748644e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.67 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.269636961e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.491702467e-8 ++ k1 = 5.981414663e-01 lk1 = -1.160372166e-7 ++ k2 = -5.041999168e-02 lk2 = 3.558620112e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.528408000e-01 ldsub = -5.786932471e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.009647561e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.917308910e-8 ++ nfactor = 2.817502433e+00 lnfactor = -8.397136079e-7 ++ eta0 = 1.556199869e-01 leta0 = -1.494353785e-7 ++ etab = -5.596804500e-02 letab = -2.772905143e-8 ++ u0 = 2.859798223e-02 lu0 = -3.995593312e-9 ++ ua = -9.275965507e-10 lua = -4.523890865e-16 ++ ub = 1.780184404e-18 lub = 3.034763837e-25 ++ uc = 3.842701720e-11 luc = 3.096008872e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.023864000e+04 lvsat = 1.928977490e-2 ++ a0 = 1.415845595e+00 la0 = -7.453048199e-7 ++ ags = 3.271776224e-01 lags = 3.368453795e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.678710971e-02 lketa = 3.545980911e-08 wketa = 1.387778781e-23 pketa = -1.387778781e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 4.290014341e-01 lpclm = 2.531204263e-7 ++ pdiblc1 = 4.400712671e-01 lpdiblc1 = -9.894763339e-8 ++ pdiblc2 = 4.799318577e-03 lpdiblc2 = 9.833207738e-10 ++ pdiblcb = -1.209086295e-03 lpdiblcb = -4.701408105e-8 ++ drout = 7.188175127e-01 ldrout = -3.138450042e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.117136320e-08 lalpha0 = -2.314772989e-15 ++ alpha1 = 9.993488080e-01 lalpha1 = -2.951335560e-7 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.258838054e-01 lkt1 = 6.759137127e-8 ++ kt2 = 3.871048534e-04 lkt2 = -3.574017210e-8 ++ at = 1.360768260e+05 lat = -4.915999135e-02 wat = -1.164153218e-16 ++ ute = 1.869609889e-01 lute = -1.204318517e-6 ++ ua1 = 5.918675958e-09 lua1 = -4.560874379e-15 ++ ub1 = -4.657704193e-18 lub1 = 3.797153610e-24 ++ uc1 = -7.733386419e-11 luc1 = 9.310451173e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.68 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.870056768e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.692114131e-9 ++ k1 = 3.990662161e-01 lk1 = 7.828730192e-8 ++ k2 = 6.570711535e-03 lk2 = -2.004447595e-08 pk2 = -6.938893904e-30 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.091905561e-01 ldsub = 4.959692731e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.569585476e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 5.484466620e-9 ++ nfactor = 8.436487450e-01 lnfactor = 1.087036036e-6 ++ eta0 = -4.616714939e-01 leta0 = 4.531250584e-07 weta0 = 5.898059818e-23 peta0 = -1.162264729e-28 ++ etab = -1.644253650e-01 letab = 7.814004309e-8 ++ u0 = 2.685234983e-02 lu0 = -2.291618679e-9 ++ ua = -1.301021280e-09 lua = -8.787576494e-17 ++ ub = 2.214008792e-18 lub = -1.199952198e-25 ++ uc = 6.310099629e-11 luc = 6.874929471e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.704996039e+04 lvsat = 3.216371986e-2 ++ a0 = -1.549019290e-01 la0 = 7.879583848e-7 ++ ags = 2.695021758e-01 lags = 3.931444592e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 4.875782245e-02 lketa = -3.828231879e-08 wketa = -1.387778781e-23 pketa = -6.938893904e-30 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 8.676323403e-01 lpclm = -1.750429920e-07 wpclm = 8.881784197e-22 ++ pdiblc1 = 5.259075539e-01 lpdiblc1 = -1.827355231e-7 ++ pdiblc2 = 9.807043116e-03 lpdiblc2 = -3.904899426e-9 ++ pdiblcb = -7.258182741e-02 lpdiblcb = 2.265542098e-8 ++ drout = -1.766347053e-01 ldrout = 5.602381421e-07 pdrout = -2.220446049e-28 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.765727360e-08 lalpha0 = 1.115456377e-15 ++ alpha1 = 5.513023840e-01 lalpha1 = 1.422206881e-7 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.431915482e-01 lkt1 = -1.312751794e-8 ++ kt2 = -3.610930687e-02 lkt2 = -1.147107452e-10 ++ at = 1.196012296e+05 lat = -3.307756865e-2 ++ ute = -8.762049469e-01 lute = -1.665239728e-7 ++ ua1 = 1.391698507e-09 lua1 = -1.419287174e-16 ++ ub1 = -8.515011762e-19 lub1 = 8.178182225e-26 ++ uc1 = -2.098513587e-12 luc1 = 1.966457754e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.69 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.210479312e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.990085699e-8 ++ k1 = 2.527004922e-01 lk1 = 1.479772922e-7 ++ k2 = 5.872651086e-02 lk2 = -4.487772962e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.819493130e-01 ldsub = 6.256746383e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413312e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.155535806e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.422992879e-8 ++ nfactor = 3.861524120e+00 lnfactor = -3.498830736e-07 wnfactor = -3.552713679e-21 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.920295691e-02 letab = -1.881473159e-08 wetab = 1.387778781e-23 petab = 5.854691731e-30 ++ u0 = 1.936882429e-02 lu0 = 1.271557234e-9 ++ ua = -1.768133457e-09 lua = 1.345331586e-16 ++ ub = 2.117932481e-18 lub = -7.424982916e-26 ++ uc = 6.395374912e-11 luc = 6.468903149e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.097462453e+04 lvsat = 1.601096598e-2 ++ a0 = 1.5 ++ ags = 9.551765888e-01 lags = 6.667018692e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.494316756e-02 lketa = -2.218194427e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 7.364749379e-01 lpclm = -1.125942310e-7 ++ pdiblc1 = -5.222503249e-02 lpdiblc1 = 9.253421406e-8 ++ pdiblc2 = -4.545350845e-03 lpdiblc2 = 2.928792025e-09 ppdiblc2 = 4.336808690e-31 ++ pdiblcb = 4.582196898e-02 lpdiblcb = -3.372088902e-08 ppdiblcb = -6.938893904e-30 ++ drout = 1.449262890e+00 ldrout = -2.139102352e-7 ++ pscbe1 = 8.077610961e+08 lpscbe1 = -3.695337236e+0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.759816960e-08 lalpha0 = -3.617762081e-15 ++ alpha1 = 0.85 ++ beta0 = 1.344390976e+01 lbeta0 = 1.981155425e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.977194842e-01 lkt1 = 1.283519537e-8 ++ kt2 = -4.310833573e-02 lkt2 = 3.217778860e-9 ++ at = 6.949658549e+04 lat = -9.220943808e-3 ++ ute = -1.141734153e+00 lute = -4.009595862e-8 ++ ua1 = 2.104407137e-09 lua1 = -4.812749535e-16 ++ ub1 = -1.934788051e-18 lub1 = 5.975737015e-25 wub1 = -7.703719778e-40 pub1 = 1.925929944e-46 ++ uc1 = -5.847484063e-11 luc1 = 4.650737639e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.70 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.170607816e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.899921892e-8 ++ k1 = 0.90707349 ++ k2 = -1.569578983e-01 lk2 = 3.896279940e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586410187e-01 ldsub = -2.491733918e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835600e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280776e-8 ++ nfactor = 4.637117428e+00 lnfactor = -5.252726419e-7 ++ eta0 = 6.941427212e-04 leta0 = -6.153674857e-16 ++ etab = -0.043998 ++ u0 = 2.216395399e-02 lu0 = 6.394777856e-10 ++ ua = -1.162846800e-09 lua = -2.343944841e-18 ++ ub = 1.468666835e-18 lub = 7.257250696e-26 ++ uc = 5.323603314e-11 luc = 8.892564569e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.251510632e+05 lvsat = 8.282442839e-3 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.816207447e-01 lketa = 2.226823259e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 4.814953109e-01 lpclm = -5.493415810e-08 wpclm = -4.440892099e-22 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.16e-8 ++ alpha1 = 0.85 ++ beta0 = 1.485532343e+01 lbeta0 = -1.210558988e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.24096074 ++ kt2 = -0.028878939 ++ at = -4.265597014e+04 lat = 1.614078651e-2 ++ ute = -1.3190432 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.71 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.112311471e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.313819688e-08 wvth0 = 1.700483939e-07 pvth0 = -2.655067602e-14 ++ k1 = 0.90707349 ++ k2 = -9.208700750e-02 lk2 = -6.232401474e-09 wk2 = -5.245945226e-08 pk2 = 8.190809038e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.587284293e-01 ldsub = -1.613967235e-11 wdsub = -9.885022130e-11 pdsub = 1.543407815e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.20753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = -1.665995528e+01 lnfactor = 2.799967102e-06 wnfactor = 1.073285287e-05 pnfactor = -1.675784716e-12 ++ eta0 = -1.383068870e-02 leta0 = 2.267848679e-09 weta0 = 1.388983239e-08 peta0 = -2.168702870e-15 ++ etab = -0.043998 ++ u0 = 2.657082505e-02 lu0 = -4.859343515e-11 wu0 = -4.122094249e-10 pu0 = 6.436073076e-17 ++ ua = -1.268375502e-09 lua = 1.413288451e-17 wua = 8.584361323e-17 pua = -1.340327840e-23 ++ ub = 8.366006974e-18 lub = -1.004350593e-24 wub = -5.776708883e-24 pub = 9.019522181e-31 ++ uc = 1.487513236e-10 luc = -6.020810825e-18 wuc = 8.040084801e-17 puc = -1.255346681e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.553423676e+05 lvsat = -1.204510666e-02 wvsat = -8.495316084e-02 pvsat = 1.326424672e-8 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -7.679508232e-01 lketa = 1.138154657e-07 wketa = 5.402744889e-07 pketa = -8.435629760e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 9.659855716e-02 lpclm = 5.162081439e-09 wpclm = 3.161606268e-08 ppclm = -4.936405563e-15 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.371808000e-08 lalpha0 = 5.514423739e-15 ++ alpha1 = 0.85 ++ beta0 = 1.923203543e+01 lbeta0 = -8.044182041e-07 wbeta0 = -4.002033251e-06 pbeta0 = 6.248614637e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -7.435506831e-01 lkt1 = 7.847238336e-08 wkt1 = 4.002033251e-07 pkt1 = -6.248614637e-14 ++ kt2 = -0.028878939 ++ at = 4.168356834e+05 lat = -5.560240230e-02 wat = -2.601321613e-01 pat = 4.061599514e-8 ++ ute = -2.727950088e-01 lute = -1.633570076e-07 wute = -1.000508313e-06 pute = 1.562153659e-13 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.72 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.73 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.634895033e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.074497225e-7 ++ k1 = 6.308143008e-01 lk1 = -1.254632799e-6 ++ k2 = -6.127234930e-02 lk2 = 4.953563007e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.061910635e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.168573159e-8 ++ nfactor = 3.627655214e+00 lnfactor = -5.640366163e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.155722531e-02 lu0 = 5.603055831e-8 ++ ua = -1.314327444e-09 lua = 5.203958101e-15 ++ ub = 1.749030239e-18 lub = -3.042969578e-24 ++ uc = 6.365044836e-11 luc = -3.019082999e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.361787763e+00 la0 = 1.622534711e-8 ++ ags = 3.447291181e-01 lags = 3.014037474e-9 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 3.883360838e-08 lb0 = -3.097421418e-13 ++ b1 = 6.511221529e-09 lb1 = -5.193438844e-14 ++ keta = -6.549435865e-03 lketa = 4.000892163e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.742977677e-02 lpclm = 8.850382462e-07 ppclm = -2.220446049e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = -4.953474300e-04 lpdiblc2 = 2.907875958e-08 ppdiblc2 = 1.387778781e-29 ++ pdiblcb = 1.433005225e+00 lpdiblcb = -2.912531065e-05 wpdiblcb = 5.551115123e-23 ppdiblcb = -6.439293543e-27 ++ drout = 0.56 ++ pscbe1 = -1.316089196e+08 lpscbe1 = 7.123668276e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.823254642e-01 lkt1 = -8.099395856e-8 ++ kt2 = -3.542112043e-02 lkt2 = 1.179229150e-7 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -1.000875981e+00 lute = -2.287747387e-6 ++ ua1 = 9.663958972e-10 lua1 = 3.088392584e-15 ++ ub1 = -3.218176240e-19 lub1 = -4.947137544e-24 ++ uc1 = 7.252035853e-11 luc1 = -7.731163462e-16 puc1 = -4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.74 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.223228346e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.818707001e-8 ++ k1 = 4.568785944e-01 lk1 = 1.327020504e-7 ++ k2 = 9.340993149e-03 lk2 = -6.786532205e-08 wk2 = 1.734723476e-24 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.167922808e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 4.287101972e-8 ++ nfactor = 2.949426389e+00 lnfactor = -2.307208158e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.924273440e-02 lu0 = -5.270107433e-9 ++ ua = -6.247801648e-10 lua = -2.959647776e-16 ++ ub = 1.360332146e-18 lub = 5.733927629e-26 ++ uc = 2.621848235e-11 luc = -3.345848257e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.492475844e+00 la0 = -1.026160561e-6 ++ ags = 3.537531077e-01 lags = -6.896253114e-8 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.275941100e-02 lketa = 8.954052784e-08 wketa = 3.469446952e-24 pketa = -1.387778781e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.634155134e-01 lpclm = 3.564906175e-06 wpclm = 2.220446049e-22 ppclm = -4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.957262709e-03 lpdiblc2 = 1.540271552e-9 ++ pdiblcb = -4.399015674e+00 lpdiblcb = 1.739168118e-5 ++ drout = 0.56 ++ pscbe1 = 7.777019419e+08 lpscbe1 = -1.291188214e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.912573382e-01 lkt1 = -9.752116958e-9 ++ kt2 = -2.057529825e-02 lkt2 = -4.893818432e-10 ++ at = 140000.0 ++ ute = -1.488693675e+00 lute = 1.603152885e-6 ++ ua1 = 1.252307935e-09 lua1 = 8.079192830e-16 ++ ub1 = -9.358870489e-19 lub1 = -4.923629781e-26 ++ uc1 = -3.049530213e-11 luc1 = 4.855057335e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.75 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.093723706e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.967987643e-8 ++ k1 = 4.416709045e-01 lk1 = 1.931698936e-7 ++ k2 = 1.666314832e-02 lk2 = -9.697920683e-08 pk2 = 2.775557562e-29 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-8.640889714e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -7.793744597e-8 ++ nfactor = 3.384272625e+00 lnfactor = -1.959728587e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.924253632e-02 lu0 = -5.269319858e-9 ++ ua = -2.473648295e-10 lua = -1.796619479e-15 ++ ub = 8.224210119e-19 lub = 2.196147102e-24 ++ uc = -2.997348756e-12 luc = 1.128202696e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.427763872e+00 la0 = -7.688569563e-7 ++ ags = 1.771075391e-01 lags = 6.334042736e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.814126858e-02 lketa = -7.308613666e-08 wketa = -1.387778781e-23 pketa = -2.775557562e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.095155328e-01 lpclm = 9.401361739e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.415661641e-03 lpdiblc2 = 7.669887058e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.911105335e+08 lpscbe1 = 2.151803947e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.957157780e-01 lkt1 = 7.975246273e-9 ++ kt2 = -2.366218282e-02 lkt2 = 1.178449104e-8 ++ at = 1.684563584e+05 lat = -1.131463511e-1 ++ ute = -1.740618726e+00 lute = 2.604841151e-6 ++ ua1 = -6.739841536e-10 lua1 = 8.467118605e-15 ++ ub1 = 8.183264192e-19 lub1 = -7.024227620e-24 pub1 = 3.081487911e-45 ++ uc1 = -6.492586981e-12 luc1 = -4.688748644e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.76 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.269636961e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.491702467e-8 ++ k1 = 5.981414663e-01 lk1 = -1.160372166e-7 ++ k2 = -5.041999168e-02 lk2 = 3.558620112e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.528408000e-01 ldsub = -5.786932471e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.009647561e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.917308910e-8 ++ nfactor = 2.817502433e+00 lnfactor = -8.397136079e-7 ++ eta0 = 1.556199869e-01 leta0 = -1.494353785e-7 ++ etab = -5.596804500e-02 letab = -2.772905143e-8 ++ u0 = 2.859798223e-02 lu0 = -3.995593312e-9 ++ ua = -9.275965507e-10 lua = -4.523890865e-16 ++ ub = 1.780184404e-18 lub = 3.034763837e-25 ++ uc = 3.842701720e-11 luc = 3.096008872e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.023864000e+04 lvsat = 1.928977490e-2 ++ a0 = 1.415845595e+00 la0 = -7.453048199e-7 ++ ags = 3.271776224e-01 lags = 3.368453795e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.678710971e-02 lketa = 3.545980911e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 4.290014341e-01 lpclm = 2.531204263e-7 ++ pdiblc1 = 4.400712671e-01 lpdiblc1 = -9.894763339e-8 ++ pdiblc2 = 4.799318577e-03 lpdiblc2 = 9.833207738e-10 ++ pdiblcb = -1.209086295e-03 lpdiblcb = -4.701408105e-8 ++ drout = 7.188175127e-01 ldrout = -3.138450042e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.117136320e-08 lalpha0 = -2.314772989e-15 ++ alpha1 = 9.993488080e-01 lalpha1 = -2.951335560e-7 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.258838054e-01 lkt1 = 6.759137127e-8 ++ kt2 = 3.871048534e-04 lkt2 = -3.574017210e-8 ++ at = 1.360768260e+05 lat = -4.915999135e-2 ++ ute = 1.869609889e-01 lute = -1.204318517e-6 ++ ua1 = 5.918675958e-09 lua1 = -4.560874379e-15 ++ ub1 = -4.657704193e-18 lub1 = 3.797153610e-24 ++ uc1 = -7.733386419e-11 luc1 = 9.310451173e-17 puc1 = -5.169878828e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.77 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.955018131e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.562810135e-08 wvth0 = 7.286287958e-08 pvth0 = -7.112407982e-14 ++ k1 = -1.877614812e-01 lk1 = 6.511109430e-07 wk1 = 4.672803325e-07 pk1 = -4.561291546e-13 ++ k2 = 1.683158353e-01 lk2 = -1.779297141e-07 wk2 = -1.287947306e-07 pk2 = 1.257211732e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.889748954e-01 ldsub = 6.933016149e-08 wdsub = 1.609736674e-08 pdsub = -1.571321918e-14 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.450009742e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.187751262e-09 wvoff = -9.521600473e-09 pvoff = 9.294376999e-15 ++ nfactor = -1.073338238e-01 lnfactor = 2.015324357e-06 wnfactor = 7.572503019e-07 pnfactor = -7.391792807e-13 ++ eta0 = -4.616714938e-01 leta0 = 4.531250583e-07 weta0 = -1.059644698e-16 peta0 = 1.034357981e-22 ++ etab = -1.664973958e-01 letab = 8.016262691e-08 wetab = 1.649920800e-09 petab = -1.610547090e-15 ++ u0 = 3.316325832e-02 lu0 = -8.451923647e-09 wu0 = -5.025262832e-09 pu0 = 4.905339960e-15 ++ ua = -1.077074867e-09 lua = -3.064779208e-16 wua = -1.783244976e-16 pua = 1.740689618e-22 ++ ub = 1.779380939e-18 lub = 3.042606742e-25 wub = 3.460863361e-25 pub = -3.378273318e-31 ++ uc = -1.026813584e-10 luc = 1.687010541e-16 wuc = 1.320095050e-16 puc = -1.288592301e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 4.676203749e+05 lvsat = -3.686088423e-01 wvsat = -3.269298308e-01 pvsat = 3.191279773e-7 ++ a0 = -9.200614575e-01 la0 = 1.534858146e-06 wa0 = 6.092827597e-07 pa0 = -5.947428360e-13 ++ ags = -5.956204410e+00 lags = 6.470280783e-06 wags = 4.957418091e-06 pags = -4.839114266e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.213199662e-16 lb0 = -1.184247865e-22 wb0 = -9.660490534e-23 pb0 = 9.429952588e-29 ++ b1 = -9.314584709e-18 lb1 = 9.092301459e-24 wb1 = 7.417036141e-24 pb1 = -7.240035991e-30 ++ keta = 2.114101305e-01 lketa = -1.970530922e-07 wketa = -1.295171052e-07 pketa = 1.264263090e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.481086146e-01 lpclm = 1.368556195e-07 wpclm = 2.544309914e-07 ppclm = -2.483592502e-13 ++ pdiblc1 = -1.973953426e-01 lpdiblc1 = 5.233064731e-07 wpdiblc1 = 5.759530771e-07 ppdiblc1 = -5.622085328e-13 ++ pdiblc2 = -5.324133019e-04 lpdiblc2 = 6.187816203e-09 wpdiblc2 = 8.233123035e-09 ppdiblc2 = -8.036647787e-15 ++ pdiblcb = -5.340990697e-01 lpdiblcb = 4.731590158e-07 wpdiblcb = 3.674978727e-07 ppdiblcb = -3.587279035e-13 ++ drout = -1.766353686e-01 ldrout = 5.602387895e-07 wdrout = 5.281445228e-13 pdrout = -5.155408820e-19 ++ pscbe1 = -1.607222313e+09 lpscbe1 = 2.349776360e+03 wpscbe1 = 1.916827798e+03 ppscbe1 = -1.871084619e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.568768658e-05 lalpha0 = -2.504656291e-11 walpha0 = -2.043261945e-11 palpha0 = 1.994501542e-17 ++ alpha1 = 5.513023840e-01 lalpha1 = 1.422206881e-7 ++ beta0 = 4.142961286e+01 lbeta0 = -2.691169162e-05 wbeta0 = -2.195318647e-05 pbeta0 = 2.142929563e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.974760364e-01 lkt1 = 3.986152520e-08 wkt1 = 4.322576081e-08 pkt1 = -4.219422125e-14 ++ kt2 = -3.855180350e-02 lkt2 = 2.269498146e-09 wkt2 = 1.944916102e-09 pkt2 = -1.898502624e-15 ++ at = -1.421385482e+05 lat = 2.224160511e-01 wat = 2.084186737e-01 pat = -2.034449705e-7 ++ ute = -1.435378758e+00 lute = 3.793057145e-07 wute = 4.452600407e-07 pute = -4.346343550e-13 ++ ua1 = -3.451243119e-10 lua1 = 1.553446562e-15 wua1 = 1.383000748e-15 pua1 = -1.349996818e-21 ++ ub1 = -5.341608751e-19 lub1 = -2.279854699e-25 wub1 = -2.526923696e-25 pub1 = 2.466621189e-31 ++ uc1 = -2.239642498e-10 luc1 = 2.362357099e-16 wuc1 = 1.766676922e-16 puc1 = -1.724516944e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.78 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {7.019307173e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.266013141e-08 wvth0 = -6.440550672e-08 pvth0 = -5.765659441e-15 ++ k1 = 1.426355886e+00 lk1 = -1.174284437e-07 wk1 = -9.345606642e-07 pk1 = 2.113378102e-13 ++ k2 = -2.731314950e-01 lk2 = 3.225925199e-08 wk2 = 2.642525566e-07 pk2 = -6.142278999e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.223806346e-01 ldsub = 5.342448646e-08 wdsub = -3.219473359e-08 pdsub = 7.280388301e-15 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413304e-03 lcdscd = -1.441936597e-09 wcdscd = 6.155763399e-18 pcdscd = -2.930981846e-24 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.394687272e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.821853256e-09 wvoff = 1.904320076e-08 pvoff = -4.306353200e-15 ++ nfactor = 5.763489260e+00 lnfactor = -7.799858629e-07 wnfactor = -1.514500605e-06 pnfactor = 3.424831092e-13 ++ eta0 = 9.325986799e-01 leta0 = -2.107371651e-07 weta0 = -5.891998001e-17 peta0 = 8.103628879e-23 ++ etab = 4.334701840e-02 letab = -1.975185307e-08 wetab = -3.299841571e-09 petab = 7.462129661e-16 ++ u0 = 1.667671323e-02 lu0 = -6.020860148e-10 wu0 = 2.143679585e-09 pu0 = 1.491948394e-15 ++ ua = -2.216026280e-09 lua = 2.358178492e-16 wua = 3.566489928e-16 pua = -8.065117599e-23 ++ ub = 2.987188189e-18 lub = -2.708198382e-25 wub = -6.921726734e-25 pub = 1.565251600e-31 ++ uc = 3.955184586e-10 luc = -6.850981403e-17 wuc = -2.640190100e-16 puc = 5.970420287e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.277956344e+05 lvsat = 1.529581547e-01 wvsat = 5.723438193e-01 pvsat = -1.090485814e-7 ++ a0 = 3.030319055e+00 la0 = -3.460602291e-07 wa0 = -1.218565517e-06 pa0 = 2.755615313e-13 ++ ags = 1.340658976e+01 lags = -2.749042582e-06 wags = -9.914836182e-06 pags = 2.242101395e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -2.426399324e-16 lb0 = 5.486962376e-23 wb0 = 1.932098107e-22 pb0 = -4.369169375e-29 ++ b1 = 1.862916942e-17 lb1 = -4.212725855e-24 wb1 = -1.483407228e-23 pb1 = 3.354517770e-30 ++ keta = -3.103614485e-01 lketa = 5.138114037e-08 wketa = 2.590342103e-07 pketa = -5.857696016e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.375522390e+00 lpclm = -2.571058657e-07 wpclm = -5.088619830e-07 ppclm = 1.150720135e-13 ++ pdiblc1 = 1.394380761e+00 lpdiblc1 = -2.345954338e-07 wpdiblc1 = -1.151906154e-06 ppdiblc1 = 2.604874502e-13 ++ pdiblc2 = 1.613356198e-02 lpdiblc2 = -1.747454603e-09 wpdiblc2 = -1.646624606e-08 ppdiblc2 = 3.723611017e-15 ++ pdiblcb = 9.688564536e-01 lpdiblcb = -2.424522152e-07 wpdiblcb = -7.349957455e-07 ppdiblcb = 1.662089979e-13 ++ drout = 1.449264214e+00 ldrout = -2.139105341e-07 wdrout = -1.054410701e-12 pdrout = 2.379706321e-19 ++ pscbe1 = 5.622205722e+09 lpscbe1 = -1.092414587e+03 wpscbe1 = -3.833655595e+03 ppscbe1 = 8.669275417e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.128246043e-05 lalpha0 = 1.160169501e-11 walpha0 = 4.086523891e-11 palpha0 = -9.241101665e-18 ++ alpha1 = 0.85 ++ beta0 = -4.169531597e+01 lbeta0 = 1.266707949e-05 wbeta0 = 4.390637294e-05 pbeta0 = -9.928811551e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.891505079e-01 lkt1 = -1.171615863e-08 wkt1 = -8.645152155e-08 pkt1 = 1.954980126e-14 ++ kt2 = -3.822334249e-02 lkt2 = 2.113106030e-09 wkt2 = -3.889832193e-09 pkt2 = 8.796310899e-16 ++ at = 5.929761411e+05 lat = -1.275985166e-01 wat = -4.168373475e-01 pat = 9.426193042e-8 ++ ute = -2.338653081e-02 lute = -2.929946166e-07 wute = -8.905200814e-07 pute = 2.013786492e-13 ++ ua1 = 5.578052773e-09 lua1 = -1.266791282e-15 wua1 = -2.766001494e-15 pua1 = 6.254925134e-22 ++ ub1 = -2.569468657e-18 lub1 = 7.410978359e-25 wub1 = 5.053847423e-25 pub1 = -1.142856848e-31 ++ uc1 = 3.852566319e-10 luc1 = -5.383628390e-17 wuc1 = -3.533353844e-16 puc1 = 7.990185051e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.79 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {8.841191988e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.385950585e-08 wvth0 = -2.126538106e-07 pvth0 = 2.775861900e-14 ++ k1 = 9.070734930e-01 lk1 = -4.748850202e-16 wk1 = -2.421877809e-15 pk1 = 3.781419622e-22 ++ k2 = -1.581819230e-01 lk2 = 6.265015584e-09 wk2 = 9.746688340e-10 pk2 = -1.886181556e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.587177398e-01 ldsub = -1.984115670e-11 wdsub = -6.109158814e-11 pdsub = 1.381503307e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000031e-03 lcdscd = -5.025100128e-18 wcdscd = -2.450002701e-17 pcdscd = 4.001398171e-24 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835613e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280753e-08 wvoff = 9.995093642e-16 pvoff = -1.791236048e-22 ++ nfactor = 2.330204247e+01 lnfactor = -4.746084131e-06 wnfactor = -1.486254384e-05 pnfactor = 3.360956214e-12 ++ eta0 = -1.008629497e-02 leta0 = 2.437844358e-09 weta0 = 8.584268489e-09 peta0 = -1.941212071e-15 ++ etab = -4.399799987e-02 letab = -2.050434822e-17 wetab = -1.045705744e-16 petab = 1.632724511e-23 ++ u0 = 3.008992169e-02 lu0 = -3.635295323e-09 wu0 = -6.311305412e-09 pu0 = 3.403924881e-15 ++ ua = -1.214258677e-09 lua = 9.282130514e-18 wua = 4.093835200e-17 pua = -9.257634536e-24 ++ ub = 2.675122220e-18 lub = -2.002504882e-25 wub = -9.606787065e-25 pub = 2.172440403e-31 ++ uc = -4.621647650e-10 luc = 1.254432394e-16 wuc = 4.104043783e-16 puc = -9.280720448e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.181362131e+05 lvsat = 3.770581185e-02 wvsat = 1.937252790e-01 pvsat = -2.342929912e-8 ++ a0 = 1.500000009e+00 la0 = -1.450684017e-15 wa0 = -7.398387680e-15 pa0 = 1.155153306e-21 ++ ags = 1.250000002e+00 lags = -3.106048752e-16 wags = -1.584059106e-15 pags = 2.473292682e-22 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 4.747288614e-03 lketa = -1.987628900e-08 wketa = -1.484015103e-07 pketa = 3.355892394e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.253453660e+00 lpclm = -2.295017314e-07 wpclm = -6.146965380e-07 ppclm = 1.390050164e-13 ++ pdiblc1 = 3.569721484e-01 lpdiblc1 = 2.483540040e-16 wpdiblc1 = 1.266587724e-15 ppdiblc1 = -1.977599196e-22 ++ pdiblc2 = 8.406112143e-03 lpdiblc2 = -6.658944229e-18 wpdiblc2 = -3.396011250e-17 ppdiblc2 = 5.302397410e-24 ++ pdiblcb = -1.032957699e-01 lpdiblcb = -1.971667274e-17 wpdiblcb = -1.005533434e-16 ppdiblcb = 1.569999686e-23 ++ drout = 5.033266684e-01 ldrout = -1.315386911e-15 wdrout = -6.708376077e-15 pdrout = 1.047419040e-21 ++ pscbe1 = 7.914198808e+08 lpscbe1 = -1.299495697e-07 wpscbe1 = -6.627311707e-07 ppscbe1 = 1.034765244e-13 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.520576188e-07 lalpha0 = -2.950116442e-14 walpha0 = -1.038810536e-13 palpha0 = 2.349124621e-20 ++ alpha1 = 0.85 ++ beta0 = 1.148724706e+01 lbeta0 = 6.405874201e-07 wbeta0 = 2.681938591e-06 pbeta0 = -6.064828653e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -9.585292216e-02 lkt1 = -3.281410148e-08 wkt1 = -1.155467434e-07 pkt1 = 2.612927835e-14 ++ kt2 = -2.887893895e-02 lkt2 = -7.882083874e-18 wkt2 = -4.019806710e-17 pkt2 = 6.276368314e-24 ++ at = -1.513706568e+05 lat = 4.072509088e-02 wat = 8.656754808e-02 pat = -1.957603905e-8 ++ ute = -1.268048809e+00 lute = -1.153166767e-08 wute = -4.060591577e-08 pute = 9.182459393e-15 ++ ua1 = -2.384732647e-11 lua1 = -1.487216600e-24 wua1 = -7.584694177e-24 pua1 = 1.184243813e-30 ++ ub1 = 7.077531835e-19 lub1 = -2.112662719e-33 wub1 = -1.077442402e-32 pub1 = 1.682275540e-39 ++ uc1 = 1.471862498e-10 luc1 = 3.058996623e-26 wuc1 = 1.560062635e-25 puc1 = -2.435824599e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.80 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 7.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {8.450674508e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.776212212e-08 wvth0 = -1.754076457e-07 pvth0 = 2.194315179e-14 ++ k1 = 0.90707349 ++ k2 = -1.448299398e-01 lk2 = 4.180290326e-09 wk2 = -1.046120466e-08 pk2 = -1.006300131e-16 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.584252728e-01 ldsub = 2.582347576e-11 wdsub = 1.425478955e-10 pdsub = -1.798042135e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999993e-03 lcdscd = 8.702153581e-19 wcdscd = 5.565652106e-18 pcdscd = -6.929378946e-25 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075299990e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.217839163e-16 wvoff = -7.688072401e-16 pvoff = 9.697420644e-23 ++ nfactor = -4.482171118e+01 lnfactor = 5.890486268e-06 wnfactor = 3.315755219e-05 pnfactor = -4.136709499e-12 ++ eta0 = 2.876702308e-02 leta0 = -3.628556861e-09 weta0 = -2.002995755e-08 peta0 = 2.526498726e-15 ++ etab = -0.043998 ++ u0 = -6.292865836e-02 lu0 = 1.088825369e-08 wu0 = 7.085461823e-08 pu0 = -8.644453773e-15 ++ ua = -1.029853534e-09 lua = -1.951015083e-17 wua = -1.040871361e-16 pua = 1.338606508e-23 ++ ub = -3.284610577e-18 lub = 7.302783517e-25 wub = 3.500468162e-24 pub = -4.793015873e-31 ++ uc = 1.452323505e-09 luc = -1.734773010e-16 wuc = -9.576102154e-16 puc = 1.207891221e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -2.889479130e+05 lvsat = 6.437566743e-02 wvsat = 3.484553924e-01 pvsat = -4.758824011e-8 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.243131449e-01 lketa = 6.272909085e-08 wketa = 3.462701911e-07 pketa = -4.367713683e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.768628110e-01 lpclm = 1.187309611e-07 wpclm = 8.863940278e-07 ppclm = -9.536926020e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -3.181192019e-07 lalpha0 = 4.391036365e-14 walpha0 = 2.423891341e-13 palpha0 = -3.057399582e-20 ++ alpha1 = 0.85 ++ beta0 = 1.955789333e+01 lbeta0 = -6.195310072e-07 wbeta0 = -4.261508034e-06 pbeta0 = 4.776391168e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.541912705e-01 lkt1 = 2.313541487e-08 wkt1 = 1.697916332e-07 pkt1 = -1.842231442e-14 ++ kt2 = -0.028878939 ++ at = 3.438198224e+05 lat = -3.659196977e-02 wat = -2.019909455e-01 pat = 2.547832990e-8 ++ ute = -2.275028667e+00 lute = 1.456941394e-07 wute = 5.938343087e-07 pute = -8.987649950e-14 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.81 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.82 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.292369027e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.191684330e-06 wvth0 = 2.384946925e-08 pvth0 = -4.764202412e-13 ++ k1 = 7.553195353e-01 lk1 = -3.741766297e-06 wk1 = -8.669075371e-08 pk1 = 1.731746286e-12 ++ k2 = -1.261738584e-01 lk2 = 1.791837673e-06 wk2 = 4.518975254e-08 pk2 = -9.027166426e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.365377804e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 5.645244138e-07 wvoff = 2.112987279e-08 pvoff = -4.220932124e-13 ++ nfactor = 3.370194296e+00 lnfactor = -4.972918408e-07 wnfactor = 1.792654032e-07 pnfactor = -3.581030075e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.647114083e-02 lu0 = 1.576308735e-07 wu0 = 3.541349070e-09 pu0 = -7.074247065e-14 ++ ua = -1.802840015e-09 lua = 1.496255165e-14 wua = 3.401425097e-16 pua = -6.794733032e-21 ++ ub = 2.179925653e-18 lub = -1.165059497e-23 wub = -3.000247207e-25 pub = 5.993334623e-30 ++ uc = 6.597431300e-11 luc = -3.483301360e-16 wuc = -1.618065118e-18 puc = 3.232268885e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.165571201e+00 la0 = 3.935874094e-06 wa0 = 1.366220609e-07 pa0 = -2.729180869e-12 ++ ags = 5.054877156e-01 lags = -3.208321569e-06 wags = -1.119333178e-07 pags = 2.235995179e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.372239775e-07 lb0 = -2.275201536e-12 wb0 = -6.850744297e-14 pb0 = 1.368513998e-18 ++ b1 = 1.531817597e-08 lb1 = -2.278633082e-13 wb1 = -6.132123855e-15 pb1 = 1.224961401e-19 ++ keta = -3.274795282e-02 lketa = 5.633540592e-07 wketa = 1.824155578e-08 pketa = -3.643957991e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -1.467841810e-01 lpclm = 3.269278057e-06 wpclm = 8.310432329e-08 ppclm = -1.660103264e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -7.548456606e-03 lpdiblc2 = 1.699726277e-07 wpdiblc2 = 4.910952963e-09 ppdiblc2 = -9.810186428e-14 ++ pdiblcb = 1.125480882e+01 lpdiblcb = -2.253269950e-04 wpdiblcb = -6.838745051e-06 ppdiblcb = 1.366117012e-10 ++ drout = 0.56 ++ pscbe1 = -5.189850205e+08 lpscbe1 = 1.486194595e+04 wpscbe1 = 2.697230063e+02 ppscbe1 = -5.388023456e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.763255054e-01 lkt1 = -2.008499515e-07 wkt1 = -4.177663310e-09 pkt1 = 8.345357045e-14 ++ kt2 = -3.512857767e-02 lkt2 = 1.120790409e-07 wkt2 = -2.036922630e-10 pkt2 = 4.068984347e-15 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -8.996826457e-01 lute = -4.309199207e-06 wute = -7.045909762e-08 pute = 1.407500517e-12 ++ ua1 = 5.387868935e-10 lua1 = 1.163036820e-14 wua1 = 2.977364523e-16 pua1 = -5.947623864e-21 ++ ub1 = 8.810493406e-20 lub1 = -1.313580631e-23 wub1 = -2.854216986e-25 pub1 = 5.701622668e-30 ++ uc1 = 9.414572346e-11 luc1 = -1.205107577e-15 wuc1 = -1.505735234e-17 puc1 = 3.007877181e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.83 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.921751317e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.079331442e-07 wvth0 = -4.863689711e-08 pvth0 = 1.017408750e-13 ++ k1 = 5.911392919e-01 lk1 = -2.432242347e-06 wk1 = -9.348330698e-08 pk1 = 1.785924615e-12 ++ k2 = -2.735954208e-02 lk2 = 1.003681247e-06 wk2 = 2.555392207e-08 pk2 = -7.460985882e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.086682430e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.422331929e-07 wvoff = -5.656621301e-09 pvoff = -2.084404926e-13 ++ nfactor = 3.715913743e+00 lnfactor = -3.254797166e-06 wnfactor = -5.336913473e-07 pnfactor = 2.105609929e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 5.298182253e-02 lu0 = -1.335832891e-07 wu0 = -1.652909976e-08 pu0 = 8.934215879e-14 ++ ua = 1.746990347e-09 lua = -1.335137810e-14 wua = -1.651421116e-15 pua = 9.090249297e-21 ++ ub = -4.422287084e-19 lub = 9.264064832e-24 wub = 1.255090677e-24 pub = -6.410477284e-30 ++ uc = 1.381727663e-10 luc = -9.241948184e-16 wuc = -7.795175273e-17 puc = 6.411705627e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 2.051688700e+00 la0 = -3.131919594e-06 wa0 = -3.893698455e-07 pa0 = 1.466202111e-12 ++ ags = 3.051349040e-01 lags = -1.610280296e-06 wags = 3.385198015e-08 pags = 1.073191816e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -7.292167675e-08 lb0 = -5.990512180e-13 wb0 = 5.077405093e-14 pb0 = 4.171085802e-19 ++ b1 = -4.030522242e-09 lb1 = -7.353545979e-14 wb1 = 2.806380088e-15 pb1 = 5.120141701e-20 ++ keta = 1.893225442e-02 lketa = 1.511456978e-07 wketa = -2.206633618e-08 pketa = -4.289457096e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.867328499e+00 lpclm = -1.279555860e-05 wpclm = -1.553226902e-06 ppclm = 1.139149713e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.394918562e-02 lpdiblc2 = -1.610182104e-07 wpdiblc2 = -2.157911807e-08 ppdiblc2 = 1.131865449e-13 ++ pdiblcb = -3.386442646e+01 lpdiblcb = 1.345501618e-04 wpdiblcb = 2.051623515e-05 ppdiblcb = -8.157534117e-11 ++ drout = 0.56 ++ pscbe1 = 1.711985740e+09 lpscbe1 = -2.932580246e+03 wpscbe1 = -6.505249915e+02 ppscbe1 = 1.951999728e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.248595398e-01 lkt1 = 1.862641072e-07 wkt1 = 2.339660812e-08 pkt1 = -1.364825686e-13 ++ kt2 = -9.319538423e-02 lkt2 = 5.752277871e-07 wkt2 = 5.056405871e-08 pkt2 = -4.008615018e-13 ++ at = 140000.0 ++ ute = -3.224607310e+00 lute = 1.423471610e-05 wute = 1.208685418e-06 pute = -8.795130101e-12 ++ ua1 = -5.233895304e-10 lua1 = 2.010243181e-14 wua1 = 1.236386183e-15 pua1 = -1.343442177e-20 ++ ub1 = -3.190224405e-19 lub1 = -9.888503004e-24 wub1 = -4.295117233e-25 pub1 = 6.850904301e-30 ++ uc1 = 2.437299205e-10 luc1 = -2.398211476e-15 wuc1 = -1.909380865e-16 puc1 = 1.703636373e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.84 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {3.324039326e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.249524725e-07 wvth0 = 1.232199380e-07 pvth0 = -5.815852738e-13 ++ k1 = -3.497273802e-01 lk1 = 1.308771499e-06 wk1 = 5.510363804e-07 pk1 = -7.767733172e-13 ++ k2 = 3.963939237e-01 lk2 = -6.812201634e-07 wk2 = -2.643997038e-07 pk2 = 4.067964617e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = -1.436826144e+00 ldsub = 7.939652317e-06 wdsub = 1.390354101e-06 pdsub = -5.528236994e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {2.560079898e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.107769105e-06 wvoff = -2.384187149e-07 pvoff = 7.170532471e-13 ++ nfactor = 9.065216592e+00 lnfactor = -2.452435280e-05 wnfactor = -3.955539027e-06 pnfactor = 1.571134168e-11 ++ eta0 = -4.491589281e-01 leta0 = 2.104007864e-06 weta0 = 3.684438368e-07 peta0 = -1.464982803e-12 ++ etab = 3.925980567e-01 letab = -1.839352787e-06 wetab = -3.220987001e-07 petab = 1.280708237e-12 ++ u0 = 2.347866857e-02 lu0 = -1.627473659e-08 wu0 = 4.013277368e-09 pu0 = 7.662873572e-15 ++ ua = 2.838977358e-09 lua = -1.769326696e-14 wua = -2.148964511e-15 pua = 1.106854950e-20 ++ ub = -4.919382610e-18 lub = 2.706583764e-23 wub = 3.997914510e-24 pub = -1.731631787e-29 ++ uc = -2.628408164e-10 luc = 6.702897242e-16 wuc = 1.809243293e-16 puc = -3.881559468e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.960688333e-01 la0 = 4.246277360e-06 wa0 = 8.576070848e-07 pa0 = -3.491947752e-12 ++ ags = -8.312115197e-01 lags = 2.907987628e-06 wags = 7.020744109e-07 pags = -1.583751447e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -4.345130239e-09 lb0 = -8.717208933e-13 wb0 = 3.025435973e-15 pb0 = 6.069635671e-19 ++ b1 = 8.800153735e-09 lb1 = -1.245519724e-13 wb1 = -6.127388643e-15 pb1 = 8.672329648e-20 ++ keta = 2.142250194e-01 lketa = -6.253648954e-07 wketa = -1.295667662e-07 pketa = 3.845417587e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.578301750e+00 lpclm = 8.857007879e-06 wpclm = 2.846273593e-06 ppclm = -6.101515171e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -5.715063365e-03 lpdiblc2 = -3.307762063e-09 wpdiblc2 = 4.964995469e-09 ppdiblc2 = 7.643539485e-15 ++ pdiblcb = 5.820108933e-02 lpdiblcb = -3.308188465e-07 wpdiblcb = -5.793142088e-08 ppdiblcb = 2.303432081e-13 ++ drout = 0.56 ++ pscbe1 = 1.146799543e+09 lpscbe1 = -6.853230616e+02 wpscbe1 = -3.172880548e+02 ppscbe1 = 6.270043475e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.449986400e-01 lkt1 = 1.061567109e-06 wkt1 = 1.735711697e-07 pkt1 = -7.335970491e-13 ++ kt2 = -8.362615554e-02 lkt2 = 5.371792324e-07 wkt2 = 4.175183485e-08 pkt2 = -3.658229013e-13 ++ at = 1.562757189e+05 lat = -6.471447193e-02 wat = 8.481160017e-03 pat = -3.372224567e-8 ++ ute = -7.581647527e+00 lute = 3.155890056e-05 wute = 4.067003215e-06 pute = -2.016019040e-11 ++ ua1 = -1.963254543e-08 lua1 = 9.608303450e-14 wua1 = 1.320050496e-14 pua1 = -6.100538515e-20 ++ ub1 = 1.634205074e-17 lub1 = -7.613519586e-23 wub1 = -1.080888982e-23 pub1 = 4.812072319e-29 ++ uc1 = -1.069321342e-10 luc1 = -1.003931456e-15 wuc1 = 6.993424884e-17 puc1 = 6.663724895e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.85 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {8.114216701e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.165172333e-08 wvth0 = -1.980629670e-07 pvth0 = 5.331344099e-14 ++ k1 = 6.424935211e-01 lk1 = -6.519919438e-07 wk1 = -3.088153742e-08 pk1 = 3.731756294e-13 ++ k2 = -5.325272419e-02 lk2 = 2.073427648e-07 wk2 = 1.972380662e-09 pk2 = -1.195910037e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.846493088e+00 ldsub = -4.477041017e-06 wdsub = -2.780708202e-06 pdsub = 2.714349382e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-3.444927014e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.890192907e-08 wvoff = 1.695641248e-07 pvoff = -8.917632980e-14 ++ nfactor = -2.448385145e+00 lnfactor = -1.771909917e-06 wnfactor = 3.666542735e-06 pnfactor = 6.490715103e-13 ++ eta0 = 1.213937514e+00 leta0 = -1.182496888e-06 weta0 = -7.368874447e-07 peta0 = 7.193021338e-13 ++ etab = -9.811641583e-01 letab = 8.753881819e-07 wetab = 6.441974002e-07 petab = -6.288242734e-13 ++ u0 = 1.338629348e-02 lu0 = 3.669169144e-09 wu0 = 1.059162506e-08 pu0 = -5.336836133e-15 ++ ua = -9.031842606e-09 lua = 5.765087721e-15 wua = 5.642840652e-15 pua = -4.329117186e-21 ++ ub = 1.335822209e-17 lub = -9.053194994e-24 wub = -8.061579233e-24 pub = 6.514881860e-30 ++ uc = -1.187470309e-10 luc = 3.855408074e-16 wuc = 1.094374606e-16 puc = -2.468881719e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.694418099e+04 lvsat = 1.048455140e-01 wvsat = 3.014515251e-02 pvsat = -5.957092110e-8 ++ a0 = 7.598366558e+00 la0 = -1.038166966e-05 wa0 = -4.304778061e-06 pa0 = 6.709627380e-12 ++ ags = 4.408247860e+00 lags = -7.445896674e-06 wags = -2.841575747e-06 pags = 5.418983202e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -1.294931649e-07 lb0 = -6.244113568e-13 wb0 = 9.016375983e-14 pb0 = 4.347663883e-19 ++ b1 = -9.267407280e-08 lb1 = 7.597489968e-14 wb1 = 6.452728876e-14 pb1 = -5.289995510e-20 ++ keta = -6.862209452e-01 lketa = 1.154038791e-06 wketa = 4.591519098e-07 pketa = -7.788464108e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.310888861e+00 lpclm = -2.780833698e-06 wpclm = -1.310324341e-06 ppclm = 2.112487645e-12 ++ pdiblc1 = 5.430714416e-01 lpdiblc1 = -3.024899864e-07 wpdiblc1 = -7.171716755e-08 ppdiblc1 = 1.417228766e-13 ++ pdiblc2 = -2.758207008e-02 lpdiblc2 = 3.990441711e-08 wpdiblc2 = 2.254657805e-08 ppdiblc2 = -2.710005880e-14 ++ pdiblcb = 1.557888629e-01 lpdiblcb = -5.236655591e-07 wpdiblcb = -1.093148461e-07 ppdiblcb = 3.318838444e-13 ++ drout = 4.153991572e+00 ldrout = -7.102216130e-06 wdrout = -2.391849865e-06 pdrout = 4.726620624e-12 ++ pscbe1 = 3.653269851e+08 lpscbe1 = 8.589729929e+02 wpscbe1 = 3.026549961e+02 ppscbe1 = -5.980874334e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.613969708e-05 lalpha0 = -7.135767235e-11 walpha0 = -2.514171650e-11 palpha0 = 4.968345108e-17 ++ alpha1 = 2.005432075e+00 lalpha1 = -2.283290919e-06 walpha1 = -7.005176692e-07 palpha1 = 1.384318185e-12 ++ beta0 = 3.755885028e+01 lbeta0 = -4.683215121e-05 wbeta0 = -1.650108287e-05 pbeta0 = 3.260838391e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 1.166165634e-01 lkt1 = -2.458745130e-07 wkt1 = -3.081050418e-07 pkt1 = 2.182606528e-13 ++ kt2 = 4.671301857e-01 lkt2 = -5.511902008e-07 wkt2 = -3.249848058e-07 pkt2 = 3.588985769e-13 ++ at = 9.019482680e+03 lat = 2.262838777e-01 wat = 8.846774109e-02 pat = -1.917866080e-7 ++ ute = 1.741548466e+01 lute = -1.783883224e-05 wute = -1.199591092e-05 pute = 1.158231248e-11 ++ ua1 = 5.630177954e-08 lua1 = -5.397351870e-14 wua1 = -3.508084813e-14 pua1 = 3.440513481e-20 ++ ub1 = -4.245147974e-17 lub1 = 4.004881629e-23 wub1 = 2.631512563e-23 pub1 = -2.524138019e-29 ++ uc1 = -1.081824386e-09 luc1 = 9.225882190e-16 wuc1 = 6.994086697e-16 puc1 = -5.775545747e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.86 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {1.002349301e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.080230570e-07 wvth0 = -2.800459028e-07 pvth0 = 1.333399360e-13 ++ k1 = -5.019757873e-01 lk1 = 4.651657491e-07 wk1 = 6.860620979e-07 pk1 = -3.266588630e-13 ++ k2 = 3.213237447e-01 lk2 = -1.582948112e-07 wk2 = -2.353313838e-07 pk2 = 1.120497438e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.120939287e-01 ldsub = 4.676284081e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-3.779586465e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.115692428e-07 wvoff = 1.526826335e-07 pvoff = -7.269769837e-14 ++ nfactor = -1.116460866e+01 lnfactor = 6.736309639e-06 wnfactor = 8.456231739e-06 pnfactor = -4.026316355e-12 ++ eta0 = -4.616708363e-01 leta0 = 4.531247453e-07 weta0 = -4.578546857e-13 peta0 = 2.180010989e-19 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 1.157814824e-02 lu0 = 5.434164814e-09 wu0 = 1.000406078e-08 pu0 = -4.763293485e-15 ++ ua = -4.719923498e-09 lua = 1.556068250e-15 wua = 2.358125433e-15 pua = -1.122788411e-21 ++ ub = 6.166566058e-18 lub = -2.033160645e-24 wub = -2.708631692e-24 pub = 1.289677060e-30 ++ uc = 4.892255971e-10 luc = -2.079231619e-16 wuc = -2.801246538e-16 puc = 1.333774322e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.467276777e+04 lvsat = 4.849456217e-02 wvsat = -6.029030502e-02 pvsat = 2.870638467e-8 ++ a0 = -7.247776846e+00 la0 = 4.110185382e-06 wa0 = 5.015157086e-06 pa0 = -2.387896834e-12 ++ ags = -6.434486981e+00 lags = 3.138087144e-06 wags = 5.290437637e-06 pags = -2.518967815e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -1.501628594e-06 lb0 = 7.149794320e-13 wb0 = 1.045556960e-12 pb0 = -4.978273089e-19 ++ b1 = -2.897519808e-08 lb1 = 1.379613491e-14 wb1 = 2.017490887e-14 pb1 = -9.606000411e-21 ++ keta = 9.751614542e-01 lketa = -4.676963786e-07 wketa = -6.613034043e-07 pketa = 3.148703577e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -1.480429790e+00 lpclm = 9.200089246e-07 wpclm = 1.666865769e-06 ppclm = -7.936547997e-13 ++ pdiblc1 = 4.237879451e-01 lpdiblc1 = -1.860530712e-07 wpdiblc1 = 1.434343351e-07 ppdiblc1 = -6.829425058e-14 ++ pdiblc2 = 2.591690982e-02 lpdiblc2 = -1.231786313e-08 wpdiblc2 = -1.018306457e-08 ppdiblc2 = 4.848523631e-15 ++ pdiblcb = -6.530989907e-01 lpdiblcb = 2.659189948e-07 wpdiblcb = 4.503553757e-07 ppdiblcb = -2.144304072e-13 ++ drout = -7.046982730e+00 ldrout = 3.831458121e-06 wdrout = 4.783699729e-06 pdrout = -2.277691654e-12 ++ pscbe1 = 2.015071226e+09 lpscbe1 = -7.514017517e+02 wpscbe1 = -6.053099923e+02 ppscbe1 = 2.882098785e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -7.587468680e-05 lalpha0 = 3.798360027e-11 walpha0 = 5.028343301e-11 palpha0 = -2.394175266e-17 ++ alpha1 = -1.460864150e+00 lalpha1 = 1.100285613e-06 walpha1 = 1.401035338e-06 palpha1 = -6.670833619e-13 ++ beta0 = -3.749724754e+01 lbeta0 = 2.643280790e-05 wbeta0 = 3.300216575e-05 pbeta0 = -1.571351919e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 1.554099991e-03 lkt1 = -1.335579002e-07 wkt1 = -1.649835406e-07 pkt1 = 7.855460309e-14 ++ kt2 = -1.554491249e-01 lkt2 = 5.653187723e-08 wkt2 = 8.333841687e-08 pkt2 = -3.968042045e-14 ++ at = 4.600295332e+05 lat = -2.139632689e-01 wat = -2.108601223e-01 pat = 1.003980952e-7 ++ ute = -4.301595081e-01 lute = -4.190565268e-07 wute = -2.546560291e-07 pute = 1.212509031e-13 ++ ua1 = 1.177374702e-09 lua1 = -1.646026586e-16 wua1 = 3.229120899e-16 pua1 = -1.537500708e-22 ++ ub1 = -2.177482010e-18 lub1 = 7.359172347e-25 wub1 = 8.915225567e-25 pub1 = -4.244859841e-31 ++ uc1 = -2.723054692e-10 luc1 = 1.323876614e-16 wuc1 = 2.103268131e-16 puc1 = -1.001441675e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.87 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.094315479e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.094076978e-8 ++ k1 = 8.414013965e-02 lk1 = 1.860948561e-7 ++ k2 = 1.063879477e-01 lk2 = -5.595614061e-08 wk2 = 1.387778781e-23 pk2 = 1.040834086e-29 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.761425678e-01 ldsub = 6.388057796e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.121188879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.500663643e-8 ++ nfactor = 3.588363944e+00 lnfactor = -2.881117239e-07 wnfactor = 3.552713679e-21 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.860778692e-02 letab = -1.868014223e-08 wetab = 1.040834086e-23 petab = -1.301042607e-30 ++ u0 = 1.975546521e-02 lu0 = 1.540649821e-9 ++ ua = -1.703807103e-09 lua = 1.199866544e-16 ++ ub = 1.993090002e-18 lub = -4.601845034e-26 ++ uc = 1.633443546e-11 luc = 1.723734427e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.942043876e+05 lvsat = -3.657385172e-3 ++ a0 = 1.280215623e+00 la0 = 4.970115974e-8 ++ ags = -8.330949981e-01 lags = 4.710627705e-07 pags = -5.551115123e-29 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 3.484793983e-17 lb0 = -7.880373722e-24 ++ b1 = -2.675520754e-18 lb1 = 6.050315613e-25 ++ keta = 6.166340678e-02 lketa = -3.274707228e-08 wketa = -1.387778781e-23 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.446949620e-01 lpclm = -9.183947440e-8 ++ pdiblc1 = -2.599865133e-01 lpdiblc1 = 1.395165643e-07 wpdiblc1 = -5.551115123e-23 ppdiblc1 = -2.775557562e-29 ++ pdiblc2 = -7.515255686e-03 lpdiblc2 = 3.600394425e-09 wpdiblc2 = 1.843143693e-24 ppdiblc2 = 3.117081246e-31 ++ pdiblcb = -8.674421607e-02 lpdiblcb = -3.742902200e-9 ++ drout = 1.449262699e+00 ldrout = -2.139101923e-7 ++ pscbe1 = 1.163107030e+08 lpscbe1 = 1.526664888e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.408183450e-06 lalpha0 = -1.670372435e-12 ++ alpha1 = 0.85 ++ beta0 = 2.136300371e+01 lbeta0 = -1.592676687e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.133121573e-01 lkt1 = 1.636126009e-8 ++ kt2 = -4.380991832e-02 lkt2 = 3.376431938e-9 ++ at = -5.685532619e+03 lat = 7.780439653e-3 ++ ute = -1.302351205e+00 lute = -3.774660934e-9 ++ ua1 = 1.605522255e-09 lua1 = -3.684591219e-16 wua1 = -8.271806126e-31 ++ ub1 = -1.843635241e-18 lub1 = 5.769607696e-25 wub1 = 7.703719778e-40 ++ uc1 = -1.222035414e-10 luc1 = 6.091872988e-17 wuc1 = -1.292469707e-32 puc1 = 1.615587134e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.88 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.787058597e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.399258556e-8 ++ k1 = 9.070734896e-01 lk1 = 6.820277676e-17 ++ k2 = -1.567821040e-01 lk2 = 3.556082198e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586300001e-01 ldsub = -1.033728658e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999996e-03 lcdscd = 7.217056813e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280779e-8 ++ nfactor = 1.956461452e+00 lnfactor = 8.092017789e-8 ++ eta0 = 2.242428860e-03 leta0 = -3.501238375e-10 ++ etab = -4.399800002e-02 letab = 2.944838817e-18 ++ u0 = 2.102562674e-02 lu0 = 1.253420572e-9 ++ ua = -1.155463028e-09 lua = -4.013681436e-18 ++ ub = 1.295395749e-18 lub = 1.117553374e-25 ++ uc = 1.272578803e-10 luc = -7.846439865e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.600919746e+05 lvsat = 4.056659463e-3 ++ a0 = 1.499999999e+00 la0 = 2.083471173e-16 ++ ags = 1.250000000e+00 lags = 4.460787295e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.083869161e-01 lketa = 2.832102752e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.706266759e-01 lpclm = -2.986276845e-8 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.566857920e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.563461134e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831734847e-18 ++ drout = 5.033266588e-01 ldrout = 1.889157719e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866340637e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.863680696e-09 lalpha0 = 4.236956351e-15 ++ alpha1 = 0.85 ++ beta0 = 1.533904646e+01 lbeta0 = -2.304430895e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.618011205e-01 lkt1 = 4.712760272e-9 ++ kt2 = -2.887893901e-02 lkt2 = 1.132038907e-18 ++ at = -2.704237011e+04 lat = 1.260998945e-2 ++ ute = -1.326367013e+00 lute = 1.656177765e-9 ++ ua1 = -2.384733737e-11 lua1 = 2.135939962e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034206331e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.393363028e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.89 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 6.5e-07 wmax = 7.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {9.046558635e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.223929776e-08 wvth0 = 3.500080497e-07 pvth0 = -5.464885685e-14 ++ k1 = 0.90707349 ++ k2 = -2.212298632e-01 lk2 = 1.361869753e-08 wk2 = 4.273468679e-08 pk2 = -6.672423057e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.45863 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999998e-03 lcdscd = 3.262303622e-19 wcdscd = 2.012161271e-18 pcdscd = -3.141705646e-25 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300001e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.749067557e-17 ++ nfactor = 4.984644527e+00 lnfactor = -3.918882146e-07 wnfactor = -1.521716776e-06 pnfactor = 2.375947705e-13 ++ eta0 = 1.166700699e-09 leta0 = -1.471364695e-16 weta0 = 5.265131670e-19 peta0 = -8.220765985e-26 ++ etab = -0.043998 ++ u0 = 8.366079997e-02 lu0 = -8.526184836e-09 wu0 = -3.121298300e-08 pu0 = 4.873470314e-15 ++ ua = -1.167043358e-09 lua = -2.205575086e-18 wua = -8.564331521e-18 pua = 1.337200466e-24 ++ ub = -6.524880699e-20 lub = 3.242009357e-25 wub = 1.258884510e-24 pub = -1.965571919e-31 ++ uc = 7.700399986e-11 luc = 1.717288990e-26 wuc = -1.381391623e-28 puc = 2.155839471e-35 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.028209694e+05 lvsat = -2.614874881e-03 wvsat = 6.045571396e-03 pvsat = -9.439313354e-10 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.700000007e-02 lketa = 9.226508446e-18 wketa = 7.893685705e-20 pketa = -1.232347557e-26 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.083066820e+00 lpclm = -1.411003228e-07 wpclm = -5.478978956e-07 ppclm = 8.554658583e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.000000002e-08 lalpha0 = -2.058713578e-24 walpha0 = -1.577598864e-25 palpha0 = 2.463012992e-32 ++ alpha1 = 0.85 ++ beta0 = 1.057036139e+01 lbeta0 = 5.141203221e-07 wbeta0 = 1.996348684e-06 pbeta0 = -3.117018981e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -6.697880826e-02 lkt1 = -2.570601627e-08 wkt1 = -9.981743435e-08 pkt1 = 1.558509493e-14 ++ kt2 = -0.028878939 ++ at = 5.372048692e+04 lat = 1.012865687e-11 wat = -3.352761269e-14 pat = 5.267793313e-21 ++ ute = -2.138952857e+00 lute = 1.285300811e-07 wute = 4.990871718e-07 pute = -7.792547465e-14 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.90 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.91 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.685741570e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.058779873e-7 ++ k1 = 6.123320250e-01 lk1 = -8.854283449e-7 ++ k2 = -5.163799463e-02 lk2 = 3.028991216e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016862216e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.316750651e-7 ++ nfactor = 3.665874199e+00 lnfactor = -6.403833805e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.231223305e-02 lu0 = 4.094842102e-8 ++ ua = -1.241809818e-09 lua = 3.755336140e-15 ++ ub = 1.685065637e-18 lub = -1.765203975e-24 wub = -1.232595164e-38 ++ uc = 6.330548048e-11 luc = -2.950171746e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.390915283e+00 la0 = -5.656299401e-7 ++ ags = 3.208651839e-01 lags = 4.797232332e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.422797401e-08 lb0 = -1.797800326e-14 ++ b1 = 5.203866371e-09 lb1 = -2.581848400e-14 ++ keta = -2.660376772e-03 lketa = -3.767945174e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.712120000e-03 lpclm = 5.311079250e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.516568119e-04 lpdiblc2 = 8.163660446e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -7.410457490e+07 lpscbe1 = 5.974953667e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.832161327e-01 lkt1 = -6.320184307e-8 ++ kt2 = -3.546454717e-02 lkt2 = 1.187904134e-07 wkt2 = 2.220446049e-22 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -1.015897703e+00 lute = -1.987671409e-6 ++ ua1 = 1.029872646e-09 lua1 = 1.820372413e-15 ++ ub1 = -3.826688949e-19 lub1 = -3.731564281e-24 ++ uc1 = 6.931016453e-11 luc1 = -7.089890741e-16 puc1 = 3.308722450e-36 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.92 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.119535564e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.987799812e-8 ++ k1 = 4.369481614e-01 lk1 = 5.134572035e-7 ++ k2 = 1.478903246e-02 lk2 = -2.269318805e-07 pk2 = 8.881784197e-28 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.179982599e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.568029407e-9 ++ nfactor = 2.835644582e+00 lnfactor = 2.181905348e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.571876712e-02 lu0 = 1.377744198e-8 ++ ua = -9.768594712e-10 lua = 1.642056141e-15 wua = -6.617444900e-30 ++ ub = 1.627914685e-18 lub = -1.309360213e-24 ++ uc = 9.599342154e-12 luc = 1.333502887e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.409463060e+00 la0 = -7.135695335e-7 ++ ags = 3.609702746e-01 lags = 1.598395755e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.082491466e-08 lb0 = 8.892662098e-14 ++ b1 = 5.983139888e-10 lb1 = 1.091602815e-14 ++ keta = -1.746390476e-02 lketa = 8.039550078e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -6.945600321e-01 lpclm = 5.993548011e-06 wpclm = -8.881784197e-22 ppclm = 1.065814104e-26 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.643357236e-03 lpdiblc2 = 2.567139102e-08 ppdiblc2 = -5.551115123e-29 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.390114615e+08 lpscbe1 = 2.870431763e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.862692334e-01 lkt1 = -3.884989683e-8 ++ kt2 = -9.795153463e-03 lkt2 = -8.595216188e-8 ++ at = 140000.0 ++ ute = -1.231004634e+00 lute = -2.719492778e-7 ++ ua1 = 1.515902718e-09 lua1 = -2.056269539e-15 ++ ub1 = -1.027457992e-18 lub1 = 1.411361252e-24 ++ uc1 = -7.120287721e-11 luc1 = 4.117620565e-16 puc1 = -8.271806126e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.93 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.356425871e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.431280957e-8 ++ k1 = 5.591506344e-01 lk1 = 2.756355115e-8 ++ k2 = -3.970628007e-02 lk2 = -1.025110655e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.564204000e-01 ldsub = -1.178607824e-6 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.372392365e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.493671045e-8 ++ nfactor = 2.540960508e+00 lnfactor = 1.389894491e-6 ++ eta0 = 1.585514060e-01 leta0 = -3.123310732e-7 ++ etab = -1.386707260e-01 letab = 2.730441458e-7 ++ u0 = 3.009815813e-02 lu0 = -3.635612266e-9 ++ ua = -7.055192805e-10 lua = 5.631706405e-16 ++ ub = 1.674767488e-18 lub = -1.495653328e-24 ++ uc = 3.557531560e-11 luc = 3.006628558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.610603794e+00 la0 = -1.513332445e-6 ++ ags = 3.267882410e-01 lags = 2.957519899e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 6.450162160e-10 lb0 = 1.294032816e-13 ++ b1 = -1.306345622e-09 lb1 = 1.848921380e-14 pb1 = -5.293955920e-35 ++ keta = 5.179224004e-04 lketa = 8.897310460e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.116334728e+00 lpclm = -1.206815837e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.474187624e-03 lpdiblc2 = 9.299472665e-9 ++ pdiblcb = -3.735085000e-02 lpdiblcb = 4.910865932e-8 ++ drout = 0.56 ++ pscbe1 = 6.234654264e+08 lpscbe1 = 3.488563261e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.587107910e-01 lkt1 = -1.484260119e-7 ++ kt2 = -1.476078455e-02 lkt2 = -6.620813734e-8 ++ at = 1.702645228e+05 lat = -1.203358588e-1 ++ ute = -8.735426920e-01 lute = -1.693266573e-6 ++ ua1 = 2.140334125e-09 lua1 = -4.539093737e-15 ++ ub1 = -1.486104833e-18 lub1 = 3.235003467e-24 pub1 = -1.232595164e-44 ++ uc1 = 8.417239227e-12 luc1 = 9.518164525e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.94 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.847371124e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.628333163e-8 ++ k1 = 5.915575913e-01 lk1 = -3.647700292e-8 ++ k2 = -4.999948451e-02 lk2 = 1.008966530e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.481406205e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.818528415e-8 ++ nfactor = 3.599201184e+00 lnfactor = -7.013330064e-07 wnfactor = 2.842170943e-20 ++ eta0 = -1.482776250e-03 leta0 = 3.918235528e-09 peta0 = -6.938893904e-30 ++ etab = 8.137340700e-02 letab = -1.617929870e-07 wetab = 1.318389842e-22 petab = -3.538835891e-28 ++ u0 = 3.085609312e-02 lu0 = -5.133394898e-9 ++ ua = 2.754445177e-10 lua = -1.375347236e-15 ++ ub = 6.147365314e-20 lub = 1.692434697e-24 ++ uc = 6.175884023e-11 luc = -2.167592006e-17 wuc = -4.135903063e-31 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.666551942e+04 lvsat = 6.589387108e-3 ++ a0 = 4.980764928e-01 la0 = 6.851728046e-7 ++ ags = -2.786400028e-01 lags = 1.492160538e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.922271293e-08 lb0 = 9.269122637e-14 ++ b1 = 1.375707435e-08 lb1 = -1.127815269e-14 ++ keta = 7.110305558e-02 lketa = -1.305885123e-07 wketa = -5.551115123e-23 pketa = 2.220446049e-28 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.496432008e-01 lpclm = 7.034980908e-7 ++ pdiblc1 = 4.247813265e-01 lpdiblc1 = -6.873263151e-8 ++ pdiblc2 = 9.606198839e-03 lpdiblc2 = -4.794351448e-9 ++ pdiblcb = -2.451476819e-02 lpdiblcb = 2.374281595e-8 ++ drout = 2.088804448e-01 ldrout = 6.938599933e-7 ++ pscbe1 = 8.645253716e+08 lpscbe1 = -1.275109097e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.328986640e-06 lalpha0 = 1.059008642e-11 walpha0 = 6.776263578e-27 palpha0 = 9.317362420e-33 ++ alpha1 = 0.85 ++ beta0 = 1.034200586e+01 lbeta0 = 6.952034876e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.915711146e-01 lkt1 = 1.141240567e-7 ++ kt2 = -6.889893246e-02 lkt2 = 4.077620572e-8 ++ at = 1.549379515e+05 lat = -9.004846934e-02 wat = -9.313225746e-16 ++ ute = -2.370540520e+00 lute = 1.265004727e-6 ++ ua1 = -1.560482787e-09 lua1 = 2.774223792e-15 pua1 = 3.308722450e-36 ++ ub1 = 9.526220239e-19 lub1 = -1.584252469e-24 wub1 = -3.081487911e-39 pub1 = 3.081487911e-45 ++ uc1 = 7.177850760e-11 luc1 = -3.002883819e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.95 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.404422957e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.190749679e-8 ++ k1 = 6.296133048e-01 lk1 = -7.362455486e-8 ++ k2 = -6.683124558e-02 lk2 = 2.651975321e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.120939287e-01 ldsub = 4.676284081e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.261242963e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.338157294e-9 ++ nfactor = 2.783078620e+00 lnfactor = 9.531360915e-8 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = 1.193489751e-21 peta0 = 5.412337245e-28 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 2.807882083e-02 lu0 = -2.422399434e-9 ++ ua = -8.304373629e-10 lua = -2.958561203e-16 ++ ub = 1.698955783e-18 lub = 9.402944022e-26 ++ uc = 2.718870036e-11 luc = 1.206923800e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.476990910e+04 lvsat = 9.584280056e-2 ++ a0 = 1.024210589e+00 la0 = 1.715943729e-7 ++ ags = 2.291547499e+00 lags = -1.016692010e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.229104169e-07 lb0 = -1.061356743e-13 ++ b1 = 4.301245672e-09 lb1 = -2.047977910e-15 ++ keta = -1.155907112e-01 lketa = 5.164999443e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.268894400e+00 lpclm = -3.890432982e-7 ++ pdiblc1 = 6.603681753e-01 lpdiblc1 = -2.986974357e-7 ++ pdiblc2 = 9.120988834e-03 lpdiblc2 = -4.320720496e-09 ppdiblc2 = 2.775557562e-29 ++ pdiblcb = 8.971602891e-02 lpdiblcb = -8.776197740e-08 wpdiblcb = 1.647987302e-22 ppdiblcb = -2.203098814e-28 ++ drout = 8.432395257e-01 ldrout = 7.463925758e-8 ++ pscbe1 = 1.016674453e+09 lpscbe1 = -2.760291058e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.062680640e-06 lalpha0 = -1.505866109e-12 walpha0 = 5.421010862e-26 ++ alpha1 = 0.85 ++ beta0 = 1.693644131e+01 lbeta0 = 5.149690257e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.705693353e-01 lkt1 = -3.990136186e-9 ++ kt2 = -1.799095058e-02 lkt2 = -8.916908083e-9 ++ at = 1.122373799e+05 lat = -4.836690423e-2 ++ ute = -8.501885195e-01 lute = -2.190655934e-7 ++ ua1 = 1.709985088e-09 lua1 = -4.181976372e-16 ++ ub1 = -7.070069559e-19 lub1 = 3.577112441e-26 ++ uc1 = 7.460704527e-11 luc1 = -3.278987563e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.96 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.094315479e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.094076978e-8 ++ k1 = 8.414013965e-02 lk1 = 1.860948561e-7 ++ k2 = 1.063879477e-01 lk2 = -5.595614061e-08 wk2 = 2.220446049e-22 pk2 = -8.326672685e-29 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.761425678e-01 ldsub = 6.388057796e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.121188879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.500663643e-8 ++ nfactor = 3.588363944e+00 lnfactor = -2.881117239e-7 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.860778692e-02 letab = -1.868014223e-08 wetab = -7.632783294e-23 petab = -4.510281038e-29 ++ u0 = 1.975546521e-02 lu0 = 1.540649821e-9 ++ ua = -1.703807103e-09 lua = 1.199866544e-16 ++ ub = 1.993090002e-18 lub = -4.601845034e-26 ++ uc = 1.633443546e-11 luc = 1.723734427e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.942043876e+05 lvsat = -3.657385172e-3 ++ a0 = 1.280215623e+00 la0 = 4.970115974e-8 ++ ags = -8.330949981e-01 lags = 4.710627705e-07 wags = 1.776356839e-21 pags = 1.332267630e-27 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 3.484793983e-17 lb0 = -7.880373722e-24 ++ b1 = -2.675520754e-18 lb1 = 6.050315613e-25 ++ keta = 6.166340678e-02 lketa = -3.274707228e-08 wketa = 1.665334537e-22 pketa = 4.163336342e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.446949620e-01 lpclm = -9.183947440e-08 wpclm = 3.552713679e-21 ++ pdiblc1 = -2.599865133e-01 lpdiblc1 = 1.395165643e-07 wpdiblc1 = -4.440892099e-22 ppdiblc1 = -1.110223025e-28 ++ pdiblc2 = -7.515255686e-03 lpdiblc2 = 3.600394425e-09 wpdiblc2 = 4.336808690e-25 ppdiblc2 = 8.456776945e-30 ++ pdiblcb = -8.674421607e-02 lpdiblcb = -3.742902200e-9 ++ drout = 1.449262699e+00 ldrout = -2.139101923e-7 ++ pscbe1 = 1.163107030e+08 lpscbe1 = 1.526664888e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.408183450e-06 lalpha0 = -1.670372435e-12 ++ alpha1 = 0.85 ++ beta0 = 2.136300371e+01 lbeta0 = -1.592676687e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.133121573e-01 lkt1 = 1.636126009e-8 ++ kt2 = -4.380991832e-02 lkt2 = 3.376431938e-9 ++ at = -5.685532619e+03 lat = 7.780439653e-03 pat = -2.910383046e-23 ++ ute = -1.302351205e+00 lute = -3.774660934e-9 ++ ua1 = 1.605522255e-09 lua1 = -3.684591219e-16 ++ ub1 = -1.843635241e-18 lub1 = 5.769607696e-25 wub1 = 6.162975822e-39 ++ uc1 = -1.222035414e-10 luc1 = 6.091872988e-17 wuc1 = -2.584939414e-31 puc1 = 5.169878828e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.97 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.787058597e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.399258556e-8 ++ k1 = 9.070734896e-01 lk1 = 6.820144449e-17 ++ k2 = -1.567821040e-01 lk2 = 3.556082198e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586300001e-01 ldsub = -1.033839681e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999996e-03 lcdscd = 7.217143549e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280779e-8 ++ nfactor = 1.956461452e+00 lnfactor = 8.092017789e-8 ++ eta0 = 2.242428860e-03 leta0 = -3.501238375e-10 ++ etab = -4.399800002e-02 letab = 2.944977595e-18 ++ u0 = 2.102562674e-02 lu0 = 1.253420572e-9 ++ ua = -1.155463028e-09 lua = -4.013681436e-18 ++ ub = 1.295395749e-18 lub = 1.117553374e-25 ++ uc = 1.272578803e-10 luc = -7.846439865e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.600919746e+05 lvsat = 4.056659463e-3 ++ a0 = 1.499999999e+00 la0 = 2.083524464e-16 ++ ags = 1.250000000e+00 lags = 4.461497838e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.083869161e-01 lketa = 2.832102752e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.706266759e-01 lpclm = -2.986276845e-8 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.566924534e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.562906023e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831512802e-18 ++ drout = 5.033266588e-01 ldrout = 1.889137735e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866149902e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.863680696e-09 lalpha0 = 4.236956351e-15 ++ alpha1 = 0.85 ++ beta0 = 1.533904646e+01 lbeta0 = -2.304430895e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.618011205e-01 lkt1 = 4.712760272e-9 ++ kt2 = -2.887893901e-02 lkt2 = 1.132094418e-18 ++ at = -2.704237011e+04 lat = 1.260998945e-2 ++ ute = -1.326367013e+00 lute = 1.656177765e-9 ++ ua1 = -2.384733737e-11 lua1 = 2.135939574e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034217886e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.392329053e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.98 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 6.4e-07 wmax = 6.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.030118625e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.090183690e-07 wvth0 = -2.644814674e-06 pvth0 = 4.129507839e-13 ++ k1 = 0.90707349 ++ k2 = -2.873543739e-01 lk2 = 2.394311414e-08 wk2 = 8.282478742e-08 pk2 = -1.293193101e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.45863 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000024e-03 lcdscd = -3.677003146e-18 wcdscd = -1.353256396e-17 pcdscd = 2.112914010e-24 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300001e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.749178580e-17 ++ nfactor = 4.984644660e+00 lnfactor = -3.918882354e-07 wnfactor = -1.521716856e-06 pnfactor = 2.375947830e-13 ++ eta0 = 1.166700809e-09 leta0 = -1.471364686e-16 weta0 = 5.265167660e-19 peta0 = -8.220822178e-26 ++ etab = -0.043998 ++ u0 = -2.244160702e-01 lu0 = 3.957570537e-08 wu0 = 1.555684780e-07 pu0 = -2.428983988e-14 ++ ua = -1.167043295e-09 lua = -2.205584809e-18 wua = -8.564369276e-18 pua = 1.337206361e-24 ++ ub = -6.524872088e-20 lub = 3.242009223e-25 wub = 1.258884458e-24 pub = -1.965571837e-31 ++ uc = 7.700399986e-11 luc = 1.744689348e-26 wuc = 9.280966473e-28 puc = -1.449634023e-34 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.450773213e+06 lvsat = 2.555707064e-01 wvsat = 1.008589960e+00 pvsat = -1.574772019e-7 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.700000007e-02 lketa = 9.068967799e-18 wketa = -5.320188734e-19 pketa = 8.304468224e-26 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.083066832e+00 lpclm = -1.411003247e-07 wpclm = -5.478979030e-07 ppclm = 8.554658699e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.000000001e-08 lalpha0 = -1.744040838e-24 walpha0 = 1.064720415e-24 palpha0 = -1.662302159e-31 ++ alpha1 = 0.85 ++ beta0 = 1.057036138e+01 lbeta0 = 5.141203238e-07 wbeta0 = 1.996348691e-06 pbeta0 = -3.117018992e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -6.697881003e-02 lkt1 = -2.570601599e-08 wkt1 = -9.981743328e-08 pkt1 = 1.558509476e-14 ++ kt2 = -0.028878939 ++ at = 5.372048692e+04 lat = 1.019611955e-11 wat = 2.272427082e-13 pat = -3.562308848e-20 ++ ute = -2.138952848e+00 lute = 1.285300797e-07 wute = 4.990871664e-07 pute = -7.792547381e-14 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.99 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.100 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.685741570e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.058779873e-7 ++ k1 = 6.123320250e-01 lk1 = -8.854283449e-7 ++ k2 = -5.163799463e-02 lk2 = 3.028991216e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016862216e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.316750651e-7 ++ nfactor = 3.665874199e+00 lnfactor = -6.403833805e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.231223305e-02 lu0 = 4.094842102e-8 ++ ua = -1.241809818e-09 lua = 3.755336140e-15 ++ ub = 1.685065637e-18 lub = -1.765203975e-24 ++ uc = 6.330548048e-11 luc = -2.950171746e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.390915283e+00 la0 = -5.656299401e-7 ++ ags = 3.208651839e-01 lags = 4.797232332e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.422797401e-08 lb0 = -1.797800326e-14 wb0 = -5.293955920e-29 ++ b1 = 5.203866371e-09 lb1 = -2.581848400e-14 ++ keta = -2.660376772e-03 lketa = -3.767945174e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.712120000e-03 lpclm = 5.311079250e-07 ppclm = -4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.516568119e-04 lpdiblc2 = 8.163660446e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -7.410457490e+07 lpscbe1 = 5.974953667e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.832161327e-01 lkt1 = -6.320184307e-8 ++ kt2 = -3.546454717e-02 lkt2 = 1.187904134e-7 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -1.015897703e+00 lute = -1.987671409e-6 ++ ua1 = 1.029872646e-09 lua1 = 1.820372413e-15 ++ ub1 = -3.826688949e-19 lub1 = -3.731564281e-24 ++ uc1 = 6.931016453e-11 luc1 = -7.089890741e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.101 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.119535564e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.987799812e-8 ++ k1 = 4.369481614e-01 lk1 = 5.134572035e-7 ++ k2 = 1.478903246e-02 lk2 = -2.269318805e-07 pk2 = -2.220446049e-28 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.179982599e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.568029407e-9 ++ nfactor = 2.835644582e+00 lnfactor = 2.181905348e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.571876712e-02 lu0 = 1.377744198e-8 ++ ua = -9.768594712e-10 lua = 1.642056141e-15 ++ ub = 1.627914685e-18 lub = -1.309360213e-24 ++ uc = 9.599342154e-12 luc = 1.333502887e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.409463060e+00 la0 = -7.135695335e-7 ++ ags = 3.609702746e-01 lags = 1.598395755e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.082491466e-08 lb0 = 8.892662098e-14 ++ b1 = 5.983139888e-10 lb1 = 1.091602815e-14 ++ keta = -1.746390476e-02 lketa = 8.039550078e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -6.945600321e-01 lpclm = 5.993548011e-06 wpclm = 2.220446049e-22 ppclm = -5.329070518e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.643357236e-03 lpdiblc2 = 2.567139102e-08 ppdiblc2 = -2.775557562e-29 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.390114615e+08 lpscbe1 = 2.870431763e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.862692334e-01 lkt1 = -3.884989683e-8 ++ kt2 = -9.795153463e-03 lkt2 = -8.595216188e-8 ++ at = 140000.0 ++ ute = -1.231004634e+00 lute = -2.719492778e-7 ++ ua1 = 1.515902718e-09 lua1 = -2.056269539e-15 wua1 = -3.308722450e-30 ++ ub1 = -1.027457992e-18 lub1 = 1.411361252e-24 ++ uc1 = -7.120287721e-11 luc1 = 4.117620565e-16 wuc1 = 5.169878828e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.102 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.356425871e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.431280957e-8 ++ k1 = 5.591506344e-01 lk1 = 2.756355115e-8 ++ k2 = -3.970628007e-02 lk2 = -1.025110655e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.564204000e-01 ldsub = -1.178607824e-6 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.372392365e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.493671045e-8 ++ nfactor = 2.540960508e+00 lnfactor = 1.389894491e-6 ++ eta0 = 1.585514060e-01 leta0 = -3.123310732e-7 ++ etab = -1.386707260e-01 letab = 2.730441458e-7 ++ u0 = 3.009815813e-02 lu0 = -3.635612266e-9 ++ ua = -7.055192805e-10 lua = 5.631706405e-16 ++ ub = 1.674767488e-18 lub = -1.495653328e-24 ++ uc = 3.557531560e-11 luc = 3.006628558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.610603794e+00 la0 = -1.513332445e-06 wa0 = 3.552713679e-21 ++ ags = 3.267882410e-01 lags = 2.957519899e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 6.450162160e-10 lb0 = 1.294032816e-13 ++ b1 = -1.306345622e-09 lb1 = 1.848921380e-14 ++ keta = 5.179224004e-04 lketa = 8.897310460e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.116334728e+00 lpclm = -1.206815837e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.474187624e-03 lpdiblc2 = 9.299472665e-9 ++ pdiblcb = -3.735085000e-02 lpdiblcb = 4.910865932e-8 ++ drout = 0.56 ++ pscbe1 = 6.234654264e+08 lpscbe1 = 3.488563261e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.587107910e-01 lkt1 = -1.484260119e-7 ++ kt2 = -1.476078455e-02 lkt2 = -6.620813734e-8 ++ at = 1.702645228e+05 lat = -1.203358588e-1 ++ ute = -8.735426920e-01 lute = -1.693266573e-6 ++ ua1 = 2.140334125e-09 lua1 = -4.539093737e-15 ++ ub1 = -1.486104833e-18 lub1 = 3.235003467e-24 ++ uc1 = 8.417239227e-12 luc1 = 9.518164525e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.103 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.847371124e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.628333163e-8 ++ k1 = 5.915575913e-01 lk1 = -3.647700292e-8 ++ k2 = -4.999948451e-02 lk2 = 1.008966530e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.481406205e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.818528415e-8 ++ nfactor = 3.599201184e+00 lnfactor = -7.013330064e-7 ++ eta0 = -1.482776250e-03 leta0 = 3.918235528e-09 peta0 = -1.734723476e-30 ++ etab = 8.137340700e-02 letab = -1.617929870e-07 wetab = -3.122502257e-23 petab = -3.989863995e-29 ++ u0 = 3.085609312e-02 lu0 = -5.133394898e-9 ++ ua = 2.754445177e-10 lua = -1.375347236e-15 ++ ub = 6.147365314e-20 lub = 1.692434697e-24 ++ uc = 6.175884023e-11 luc = -2.167592006e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.666551942e+04 lvsat = 6.589387108e-3 ++ a0 = 4.980764928e-01 la0 = 6.851728046e-7 ++ ags = -2.786400028e-01 lags = 1.492160538e-06 pags = 1.776356839e-27 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.922271293e-08 lb0 = 9.269122637e-14 ++ b1 = 1.375707435e-08 lb1 = -1.127815269e-14 ++ keta = 7.110305558e-02 lketa = -1.305885123e-07 wketa = -2.775557562e-23 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.496432008e-01 lpclm = 7.034980908e-7 ++ pdiblc1 = 4.247813265e-01 lpdiblc1 = -6.873263151e-8 ++ pdiblc2 = 9.606198839e-03 lpdiblc2 = -4.794351448e-9 ++ pdiblcb = -2.451476819e-02 lpdiblcb = 2.374281595e-8 ++ drout = 2.088804448e-01 ldrout = 6.938599933e-7 ++ pscbe1 = 8.645253716e+08 lpscbe1 = -1.275109097e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.328986640e-06 lalpha0 = 1.059008642e-11 walpha0 = 2.646977960e-27 palpha0 = 5.505714157e-33 ++ alpha1 = 0.85 ++ beta0 = 1.034200586e+01 lbeta0 = 6.952034876e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.915711146e-01 lkt1 = 1.141240567e-07 wkt1 = 8.881784197e-22 ++ kt2 = -6.889893246e-02 lkt2 = 4.077620572e-8 ++ at = 1.549379515e+05 lat = -9.004846934e-2 ++ ute = -2.370540520e+00 lute = 1.265004727e-6 ++ ua1 = -1.560482787e-09 lua1 = 2.774223792e-15 wua1 = 1.240770919e-30 pua1 = 3.308722450e-36 ++ ub1 = 9.526220239e-19 lub1 = -1.584252469e-24 wub1 = -3.851859889e-40 pub1 = 7.703719778e-46 ++ uc1 = 7.177850760e-11 luc1 = -3.002883819e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.104 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.404422957e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.190749679e-8 ++ k1 = 6.296133048e-01 lk1 = -7.362455486e-8 ++ k2 = -6.683124558e-02 lk2 = 2.651975321e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.120939287e-01 ldsub = 4.676284081e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.261242963e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.338157294e-9 ++ nfactor = 2.783078620e+00 lnfactor = 9.531360915e-8 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = 4.232725281e-22 peta0 = 2.081668171e-29 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 2.807882083e-02 lu0 = -2.422399434e-9 ++ ua = -8.304373629e-10 lua = -2.958561203e-16 ++ ub = 1.698955783e-18 lub = 9.402944022e-26 ++ uc = 2.718870036e-11 luc = 1.206923800e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.476990910e+04 lvsat = 9.584280056e-2 ++ a0 = 1.024210589e+00 la0 = 1.715943729e-7 ++ ags = 2.291547499e+00 lags = -1.016692010e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.229104169e-07 lb0 = -1.061356743e-13 ++ b1 = 4.301245672e-09 lb1 = -2.047977910e-15 ++ keta = -1.155907112e-01 lketa = 5.164999443e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.268894400e+00 lpclm = -3.890432982e-7 ++ pdiblc1 = 6.603681753e-01 lpdiblc1 = -2.986974357e-7 ++ pdiblc2 = 9.120988834e-03 lpdiblc2 = -4.320720496e-9 ++ pdiblcb = 8.971602891e-02 lpdiblcb = -8.776197740e-08 wpdiblcb = 4.770489559e-23 ppdiblcb = -1.951563910e-29 ++ drout = 8.432395257e-01 ldrout = 7.463925758e-8 ++ pscbe1 = 1.016674453e+09 lpscbe1 = -2.760291058e+02 wpscbe1 = -1.907348633e-12 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.062680640e-06 lalpha0 = -1.505866109e-12 walpha0 = -1.355252716e-26 ++ alpha1 = 0.85 ++ beta0 = 1.693644131e+01 lbeta0 = 5.149690257e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.705693353e-01 lkt1 = -3.990136186e-9 ++ kt2 = -1.799095058e-02 lkt2 = -8.916908083e-9 ++ at = 1.122373799e+05 lat = -4.836690423e-2 ++ ute = -8.501885195e-01 lute = -2.190655934e-7 ++ ua1 = 1.709985088e-09 lua1 = -4.181976372e-16 ++ ub1 = -7.070069559e-19 lub1 = 3.577112441e-26 ++ uc1 = 7.460704527e-11 luc1 = -3.278987563e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.105 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.094315479e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.094076978e-8 ++ k1 = 8.414013965e-02 lk1 = 1.860948561e-7 ++ k2 = 1.063879477e-01 lk2 = -5.595614061e-08 wk2 = 5.551115123e-23 pk2 = 4.857225733e-29 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.761425678e-01 ldsub = 6.388057796e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.121188879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.500663643e-8 ++ nfactor = 3.588363944e+00 lnfactor = -2.881117239e-7 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.860778692e-02 letab = -1.868014223e-08 wetab = -3.469446952e-24 petab = -3.035766083e-30 ++ u0 = 1.975546521e-02 lu0 = 1.540649821e-9 ++ ua = -1.703807103e-09 lua = 1.199866544e-16 ++ ub = 1.993090002e-18 lub = -4.601845034e-26 ++ uc = 1.633443546e-11 luc = 1.723734427e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.942043876e+05 lvsat = -3.657385172e-03 wvsat = -4.656612873e-16 ++ a0 = 1.280215623e+00 la0 = 4.970115974e-8 ++ ags = -8.330949981e-01 lags = 4.710627705e-07 wags = -8.881784197e-22 pags = 1.110223025e-28 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 3.484793983e-17 lb0 = -7.880373722e-24 ++ b1 = -2.675520754e-18 lb1 = 6.050315613e-25 ++ keta = 6.166340678e-02 lketa = -3.274707228e-08 wketa = 2.775557562e-23 pketa = -2.081668171e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.446949620e-01 lpclm = -9.183947440e-8 ++ pdiblc1 = -2.599865133e-01 lpdiblc1 = 1.395165643e-07 ppdiblc1 = -1.110223025e-28 ++ pdiblc2 = -7.515255686e-03 lpdiblc2 = 3.600394425e-09 wpdiblc2 = 1.734723476e-24 ppdiblc2 = -4.878909776e-31 ++ pdiblcb = -8.674421607e-02 lpdiblcb = -3.742902200e-9 ++ drout = 1.449262699e+00 ldrout = -2.139101923e-7 ++ pscbe1 = 1.163107030e+08 lpscbe1 = 1.526664888e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.408183450e-06 lalpha0 = -1.670372435e-12 ++ alpha1 = 0.85 ++ beta0 = 2.136300371e+01 lbeta0 = -1.592676687e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.133121573e-01 lkt1 = 1.636126009e-8 ++ kt2 = -4.380991832e-02 lkt2 = 3.376431938e-9 ++ at = -5.685532619e+03 lat = 7.780439653e-03 pat = 7.275957614e-24 ++ ute = -1.302351205e+00 lute = -3.774660934e-9 ++ ua1 = 1.605522255e-09 lua1 = -3.684591219e-16 ++ ub1 = -1.843635241e-18 lub1 = 5.769607696e-25 pub1 = 3.851859889e-46 ++ uc1 = -1.222035414e-10 luc1 = 6.091872988e-17 wuc1 = -1.033975766e-31 puc1 = 4.523643975e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.106 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.787058597e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.399258556e-8 ++ k1 = 9.070734896e-01 lk1 = 6.820144449e-17 ++ k2 = -1.567821040e-01 lk2 = 3.556082198e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586300001e-01 ldsub = -1.033750863e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999996e-03 lcdscd = 7.217074161e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280779e-8 ++ nfactor = 1.956461452e+00 lnfactor = 8.092017789e-8 ++ eta0 = 2.242428860e-03 leta0 = -3.501238375e-10 ++ etab = -4.399800002e-02 letab = 2.944811062e-18 ++ u0 = 2.102562674e-02 lu0 = 1.253420572e-9 ++ ua = -1.155463028e-09 lua = -4.013681436e-18 ++ ub = 1.295395749e-18 lub = 1.117553374e-25 ++ uc = 1.272578803e-10 luc = -7.846439865e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.600919746e+05 lvsat = 4.056659463e-3 ++ a0 = 1.499999999e+00 la0 = 2.083488937e-16 ++ ags = 1.250000000e+00 lags = 4.460964931e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.083869161e-01 lketa = 2.832102752e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.706266759e-01 lpclm = -2.986276845e-8 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.566880125e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.563599912e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831734847e-18 ++ drout = 5.033266588e-01 ldrout = 1.889164380e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866340637e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.863680696e-09 lalpha0 = 4.236956351e-15 ++ alpha1 = 0.85 ++ beta0 = 1.533904646e+01 lbeta0 = -2.304430895e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.618011205e-01 lkt1 = 4.712760272e-9 ++ kt2 = -2.887893901e-02 lkt2 = 1.132038907e-18 ++ at = -2.704237011e+04 lat = 1.260998945e-2 ++ ute = -1.326367013e+00 lute = 1.656177765e-9 ++ ua1 = -2.384733737e-11 lua1 = 2.135939574e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034202479e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.393156233e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.107 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 6.1e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.524716387e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.144273503e-07 wvth0 = 1.263715457e-06 pvth0 = -1.973114765e-13 ++ k1 = 0.90707349 ++ k2 = -1.638207879e-01 lk2 = 4.655074161e-09 wk2 = 9.163933717e-09 pk2 = -1.430819955e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.585131626e-01 ldsub = 1.824252398e-11 wdsub = 6.966803737e-11 pdsub = -1.087768868e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000001e-03 lcdscd = -1.335147271e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300001e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.749045353e-17 ++ nfactor = 6.350352411e+00 lnfactor = -6.051243808e-07 wnfactor = -2.336063805e-06 pnfactor = 3.647436583e-13 ++ eta0 = 1.641780072e-02 leta0 = -2.563409442e-09 weta0 = -9.789637375e-09 peta0 = 1.528514821e-15 ++ etab = -0.043998 ++ u0 = -4.312098015e-02 lu0 = 1.126901519e-08 wu0 = 4.746547912e-08 pu0 = -7.411070048e-15 ++ ua = -1.067214444e-09 lua = -1.779246228e-17 wua = -6.809051619e-17 pua = 1.063138084e-23 ++ ub = 7.948211664e-18 lub = -9.269887284e-25 wub = -3.519397727e-24 pub = 5.495046835e-31 ++ uc = 3.253499464e-10 luc = -3.877574270e-17 wuc = -1.480842177e-16 puc = 2.312127742e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.172496790e+06 lvsat = -1.540161788e-01 wvsat = -5.556187243e-01 pvsat = 8.675208514e-8 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.700000007e-02 lketa = 9.208467322e-18 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.993692896e-01 lpclm = 7.474772162e-08 wpclm = 2.764238727e-07 ppclm = -4.315971778e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.000000002e-08 lalpha0 = -2.022661738e-24 ++ alpha1 = 0.85 ++ beta0 = 1.391835560e+01 lbeta0 = -8.622102004e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.708984644e-01 lkt1 = 3.736038317e-08 wkt1 = 1.410325861e-07 pkt1 = -2.202026386e-14 ++ kt2 = -0.028878939 ++ at = 5.372048692e+04 lat = 1.013639849e-11 ++ ute = 2.117733343e-01 lute = -2.385029035e-07 wute = -9.026085430e-07 pute = 1.409296875e-13 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.108 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.109 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.685741570e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.058779873e-7 ++ k1 = 6.123320250e-01 lk1 = -8.854283449e-7 ++ k2 = -5.163799463e-02 lk2 = 3.028991216e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016862216e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.316750651e-7 ++ nfactor = 3.665874199e+00 lnfactor = -6.403833805e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.231223305e-02 lu0 = 4.094842102e-8 ++ ua = -1.241809818e-09 lua = 3.755336140e-15 ++ ub = 1.685065637e-18 lub = -1.765203975e-24 ++ uc = 6.330548048e-11 luc = -2.950171746e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.390915283e+00 la0 = -5.656299401e-7 ++ ags = 3.208651839e-01 lags = 4.797232332e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.422797401e-08 lb0 = -1.797800326e-14 ++ b1 = 5.203866371e-09 lb1 = -2.581848400e-14 ++ keta = -2.660376772e-03 lketa = -3.767945174e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.712120000e-03 lpclm = 5.311079250e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.516568119e-04 lpdiblc2 = 8.163660446e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -7.410457490e+07 lpscbe1 = 5.974953667e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.832161327e-01 lkt1 = -6.320184307e-8 ++ kt2 = -3.546454717e-02 lkt2 = 1.187904134e-07 wkt2 = 2.220446049e-22 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -1.015897703e+00 lute = -1.987671409e-06 wute = 7.105427358e-21 ++ ua1 = 1.029872646e-09 lua1 = 1.820372413e-15 ++ ub1 = -3.826688949e-19 lub1 = -3.731564281e-24 ++ uc1 = 6.931016453e-11 luc1 = -7.089890741e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.110 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.119535564e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.987799812e-8 ++ k1 = 4.369481614e-01 lk1 = 5.134572035e-7 ++ k2 = 1.478903246e-02 lk2 = -2.269318805e-07 pk2 = -4.440892099e-28 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.179982599e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.568029407e-9 ++ nfactor = 2.835644582e+00 lnfactor = 2.181905348e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.571876712e-02 lu0 = 1.377744198e-8 ++ ua = -9.768594712e-10 lua = 1.642056141e-15 wua = -6.617444900e-30 ++ ub = 1.627914685e-18 lub = -1.309360213e-24 ++ uc = 9.599342154e-12 luc = 1.333502887e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.409463060e+00 la0 = -7.135695335e-7 ++ ags = 3.609702746e-01 lags = 1.598395755e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.082491466e-08 lb0 = 8.892662098e-14 ++ b1 = 5.983139888e-10 lb1 = 1.091602815e-14 ++ keta = -1.746390476e-02 lketa = 8.039550078e-08 wketa = -5.551115123e-23 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -6.945600321e-01 lpclm = 5.993548011e-06 wpclm = -8.881784197e-22 ppclm = 5.329070518e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.643357236e-03 lpdiblc2 = 2.567139102e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.390114615e+08 lpscbe1 = 2.870431763e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.862692334e-01 lkt1 = -3.884989683e-8 ++ kt2 = -9.795153463e-03 lkt2 = -8.595216188e-8 ++ at = 140000.0 ++ ute = -1.231004634e+00 lute = -2.719492778e-7 ++ ua1 = 1.515902718e-09 lua1 = -2.056269539e-15 ++ ub1 = -1.027457992e-18 lub1 = 1.411361252e-24 ++ uc1 = -7.120287721e-11 luc1 = 4.117620565e-16 wuc1 = -2.067951531e-31 puc1 = 8.271806126e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.111 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.356425871e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.431280957e-8 ++ k1 = 5.591506344e-01 lk1 = 2.756355115e-8 ++ k2 = -3.970628007e-02 lk2 = -1.025110655e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.564204000e-01 ldsub = -1.178607824e-6 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.372392365e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.493671045e-8 ++ nfactor = 2.540960508e+00 lnfactor = 1.389894491e-6 ++ eta0 = 1.585514060e-01 leta0 = -3.123310732e-7 ++ etab = -1.386707260e-01 letab = 2.730441458e-7 ++ u0 = 3.009815813e-02 lu0 = -3.635612266e-9 ++ ua = -7.055192805e-10 lua = 5.631706405e-16 ++ ub = 1.674767488e-18 lub = -1.495653328e-24 ++ uc = 3.557531560e-11 luc = 3.006628558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.610603794e+00 la0 = -1.513332445e-6 ++ ags = 3.267882410e-01 lags = 2.957519899e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 6.450162160e-10 lb0 = 1.294032816e-13 ++ b1 = -1.306345622e-09 lb1 = 1.848921380e-14 pb1 = 5.293955920e-35 ++ keta = 5.179224004e-04 lketa = 8.897310460e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.116334728e+00 lpclm = -1.206815837e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.474187624e-03 lpdiblc2 = 9.299472665e-9 ++ pdiblcb = -3.735085000e-02 lpdiblcb = 4.910865932e-8 ++ drout = 0.56 ++ pscbe1 = 6.234654264e+08 lpscbe1 = 3.488563261e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.587107910e-01 lkt1 = -1.484260119e-7 ++ kt2 = -1.476078455e-02 lkt2 = -6.620813734e-8 ++ at = 1.702645228e+05 lat = -1.203358588e-1 ++ ute = -8.735426920e-01 lute = -1.693266573e-6 ++ ua1 = 2.140334125e-09 lua1 = -4.539093737e-15 ++ ub1 = -1.486104833e-18 lub1 = 3.235003467e-24 ++ uc1 = 8.417239227e-12 luc1 = 9.518164525e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.112 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.847371124e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.628333163e-8 ++ k1 = 5.915575913e-01 lk1 = -3.647700292e-8 ++ k2 = -4.999948451e-02 lk2 = 1.008966530e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.481406205e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.818528415e-8 ++ nfactor = 3.599201184e+00 lnfactor = -7.013330064e-7 ++ eta0 = -1.482776250e-03 leta0 = 3.918235528e-09 peta0 = -6.938893904e-30 ++ etab = 8.137340700e-02 letab = -1.617929870e-07 wetab = 1.387778781e-23 petab = -2.081668171e-29 ++ u0 = 3.085609312e-02 lu0 = -5.133394898e-9 ++ ua = 2.754445177e-10 lua = -1.375347236e-15 pua = -3.308722450e-36 ++ ub = 6.147365314e-20 lub = 1.692434697e-24 ++ uc = 6.175884023e-11 luc = -2.167592006e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.666551942e+04 lvsat = 6.589387108e-3 ++ a0 = 4.980764928e-01 la0 = 6.851728046e-7 ++ ags = -2.786400028e-01 lags = 1.492160538e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.922271293e-08 lb0 = 9.269122637e-14 ++ b1 = 1.375707435e-08 lb1 = -1.127815269e-14 ++ keta = 7.110305558e-02 lketa = -1.305885123e-07 pketa = 5.551115123e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.496432008e-01 lpclm = 7.034980908e-7 ++ pdiblc1 = 4.247813265e-01 lpdiblc1 = -6.873263151e-8 ++ pdiblc2 = 9.606198839e-03 lpdiblc2 = -4.794351448e-9 ++ pdiblcb = -2.451476819e-02 lpdiblcb = 2.374281595e-8 ++ drout = 2.088804448e-01 ldrout = 6.938599933e-7 ++ pscbe1 = 8.645253716e+08 lpscbe1 = -1.275109097e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.328986640e-06 lalpha0 = 1.059008642e-11 walpha0 = 7.623296525e-27 palpha0 = -2.159934015e-32 ++ alpha1 = 0.85 ++ beta0 = 1.034200586e+01 lbeta0 = 6.952034876e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.915711146e-01 lkt1 = 1.141240567e-7 ++ kt2 = -6.889893246e-02 lkt2 = 4.077620572e-8 ++ at = 1.549379515e+05 lat = -9.004846934e-2 ++ ute = -2.370540520e+00 lute = 1.265004727e-06 wute = 1.421085472e-20 ++ ua1 = -1.560482787e-09 lua1 = 2.774223792e-15 wua1 = 1.654361225e-30 pua1 = -4.963083675e-36 ++ ub1 = 9.526220239e-19 lub1 = -1.584252469e-24 wub1 = 1.540743956e-39 pub1 = 1.540743956e-45 ++ uc1 = 7.177850760e-11 luc1 = -3.002883819e-17 wuc1 = 4.135903063e-31 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.113 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.404422957e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.190749679e-8 ++ k1 = 6.296133048e-01 lk1 = -7.362455486e-8 ++ k2 = -6.683124558e-02 lk2 = 2.651975321e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.120939287e-01 ldsub = 4.676284081e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.261242963e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.338157294e-9 ++ nfactor = 2.783078620e+00 lnfactor = 9.531360915e-8 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = 3.885780586e-22 peta0 = -3.885780586e-28 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 2.807882083e-02 lu0 = -2.422399434e-9 ++ ua = -8.304373629e-10 lua = -2.958561203e-16 ++ ub = 1.698955783e-18 lub = 9.402944022e-26 ++ uc = 2.718870036e-11 luc = 1.206923800e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.476990910e+04 lvsat = 9.584280056e-2 ++ a0 = 1.024210589e+00 la0 = 1.715943729e-7 ++ ags = 2.291547499e+00 lags = -1.016692010e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.229104169e-07 lb0 = -1.061356743e-13 ++ b1 = 4.301245672e-09 lb1 = -2.047977910e-15 ++ keta = -1.155907112e-01 lketa = 5.164999443e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.268894400e+00 lpclm = -3.890432982e-7 ++ pdiblc1 = 6.603681753e-01 lpdiblc1 = -2.986974357e-7 ++ pdiblc2 = 9.120988834e-03 lpdiblc2 = -4.320720496e-9 ++ pdiblcb = 8.971602891e-02 lpdiblcb = -8.776197740e-08 wpdiblcb = 6.765421556e-23 ppdiblcb = 7.632783294e-29 ++ drout = 8.432395257e-01 ldrout = 7.463925758e-8 ++ pscbe1 = 1.016674453e+09 lpscbe1 = -2.760291058e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.062680640e-06 lalpha0 = -1.505866109e-12 ++ alpha1 = 0.85 ++ beta0 = 1.693644131e+01 lbeta0 = 5.149690257e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.705693353e-01 lkt1 = -3.990136186e-9 ++ kt2 = -1.799095058e-02 lkt2 = -8.916908083e-9 ++ at = 1.122373799e+05 lat = -4.836690423e-2 ++ ute = -8.501885195e-01 lute = -2.190655934e-7 ++ ua1 = 1.709985088e-09 lua1 = -4.181976372e-16 ++ ub1 = -7.070069559e-19 lub1 = 3.577112441e-26 ++ uc1 = 7.460704527e-11 luc1 = -3.278987563e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.114 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.094315479e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.094076978e-8 ++ k1 = 8.414013965e-02 lk1 = 1.860948561e-7 ++ k2 = 1.063879477e-01 lk2 = -5.595614061e-08 wk2 = -2.220446049e-22 pk2 = -5.551115123e-29 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.761425678e-01 ldsub = 6.388057796e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.121188879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.500663643e-8 ++ nfactor = 3.588363944e+00 lnfactor = -2.881117239e-7 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.860778692e-02 letab = -1.868014223e-08 wetab = 6.245004514e-23 petab = 5.204170428e-30 ++ u0 = 1.975546521e-02 lu0 = 1.540649821e-9 ++ ua = -1.703807103e-09 lua = 1.199866544e-16 ++ ub = 1.993090002e-18 lub = -4.601845034e-26 ++ uc = 1.633443546e-11 luc = 1.723734427e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.942043876e+05 lvsat = -3.657385172e-3 ++ a0 = 1.280215623e+00 la0 = 4.970115974e-8 ++ ags = -8.330949981e-01 lags = 4.710627705e-07 pags = 4.440892099e-28 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 3.484793983e-17 lb0 = -7.880373722e-24 ++ b1 = -2.675520754e-18 lb1 = 6.050315613e-25 ++ keta = 6.166340678e-02 lketa = -3.274707228e-08 pketa = 5.551115123e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.446949620e-01 lpclm = -9.183947440e-8 ++ pdiblc1 = -2.599865133e-01 lpdiblc1 = 1.395165643e-07 ppdiblc1 = 1.110223025e-28 ++ pdiblc2 = -7.515255686e-03 lpdiblc2 = 3.600394425e-09 wpdiblc2 = 1.214306433e-23 ppdiblc2 = -3.252606517e-31 ++ pdiblcb = -8.674421607e-02 lpdiblcb = -3.742902200e-9 ++ drout = 1.449262699e+00 ldrout = -2.139101923e-7 ++ pscbe1 = 1.163107030e+08 lpscbe1 = 1.526664888e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.408183450e-06 lalpha0 = -1.670372435e-12 ++ alpha1 = 0.85 ++ beta0 = 2.136300371e+01 lbeta0 = -1.592676687e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.133121573e-01 lkt1 = 1.636126009e-8 ++ kt2 = -4.380991832e-02 lkt2 = 3.376431938e-9 ++ at = -5.685532619e+03 lat = 7.780439653e-3 ++ ute = -1.302351205e+00 lute = -3.774660934e-9 ++ ua1 = 1.605522255e-09 lua1 = -3.684591219e-16 ++ ub1 = -1.843635241e-18 lub1 = 5.769607696e-25 ++ uc1 = -1.222035414e-10 luc1 = 6.091872988e-17 wuc1 = 1.550963649e-31 puc1 = -2.584939414e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.115 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.787058597e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.399258556e-8 ++ k1 = 9.070734896e-01 lk1 = 6.820499721e-17 ++ k2 = -1.567821040e-01 lk2 = 3.556082198e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586300001e-01 ldsub = -1.033662045e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999996e-03 lcdscd = 7.217074161e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280779e-8 ++ nfactor = 1.956461452e+00 lnfactor = 8.092017789e-8 ++ eta0 = 2.242428860e-03 leta0 = -3.501238375e-10 ++ etab = -4.399800002e-02 letab = 2.944977595e-18 ++ u0 = 2.102562674e-02 lu0 = 1.253420572e-9 ++ ua = -1.155463028e-09 lua = -4.013681436e-18 ++ ub = 1.295395749e-18 lub = 1.117553374e-25 ++ uc = 1.272578803e-10 luc = -7.846439865e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.600919746e+05 lvsat = 4.056659463e-3 ++ a0 = 1.499999999e+00 la0 = 2.083453410e-16 ++ ags = 1.250000000e+00 lags = 4.460787295e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.083869161e-01 lketa = 2.832102752e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.706266759e-01 lpclm = -2.986276845e-8 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.567102169e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.563461134e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831512802e-18 ++ drout = 5.033266588e-01 ldrout = 1.889155499e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866531372e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.863680696e-09 lalpha0 = 4.236956351e-15 ++ alpha1 = 0.85 ++ beta0 = 1.533904646e+01 lbeta0 = -2.304430895e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.618011205e-01 lkt1 = 4.712760272e-9 ++ kt2 = -2.887893901e-02 lkt2 = 1.131983396e-18 ++ at = -2.704237011e+04 lat = 1.260998945e-2 ++ ute = -1.326367013e+00 lute = 1.656177765e-9 ++ ua1 = -2.384733737e-11 lua1 = 2.135940608e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034217886e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.393156233e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.116 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 6.0e-07 wmax = 6.1e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {2.866190766e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.161267641e-08 wvth0 = 2.379887877e-07 pvth0 = -3.715861736e-14 ++ k1 = 0.90707349 ++ k2 = -1.315305804e-01 lk2 = -3.865896863e-10 wk2 = -9.121429602e-09 pk2 = 1.424183532e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.585131590e-01 ldsub = 1.824309235e-11 wdsub = 6.967009877e-11 pdsub = -1.087801054e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000001e-03 lcdscd = -1.335043187e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300001e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.749089762e-17 ++ nfactor = 6.350352394e+00 lnfactor = -6.051243782e-07 wnfactor = -2.336063796e-06 pnfactor = 3.647436568e-13 ++ eta0 = 1.641776644e-02 leta0 = -2.563404346e-09 weta0 = -9.789618892e-09 peta0 = 1.528511935e-15 ++ etab = -0.043998 ++ u0 = -1.256786806e-01 lu0 = 2.415924431e-08 wu0 = 9.421641885e-08 pu0 = -1.471057477e-14 ++ ua = -1.067214413e-09 lua = -1.779246712e-17 wua = -6.809053374e-17 pua = 1.063138358e-23 ++ ub = 7.948211668e-18 lub = -9.269887290e-25 wub = -3.519397730e-24 pub = 5.495046839e-31 ++ uc = 3.253499468e-10 luc = -3.877574275e-17 wuc = -1.480842179e-16 puc = 2.312127745e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.813028449e+05 lvsat = 7.448790184e-04 wvsat = 5.676565231e-03 pvsat = -8.863161888e-10 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.700000007e-02 lketa = 9.208189766e-18 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.993692910e-01 lpclm = 7.474772183e-08 wpclm = 2.764238734e-07 ppclm = -4.315971790e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.000000002e-08 lalpha0 = -2.022714678e-24 ++ alpha1 = 0.85 ++ beta0 = 1.391835560e+01 lbeta0 = -8.622102004e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.708984687e-01 lkt1 = 3.736038383e-08 wkt1 = 1.410325885e-07 pkt1 = -2.202026424e-14 ++ kt2 = -0.028878939 ++ at = 5.372048692e+04 lat = 1.013628207e-11 ++ ute = 2.117733753e-01 lute = -2.385029099e-07 wute = -9.026085663e-07 pute = 1.409296911e-13 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.117 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.118 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.685741570e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.058779873e-7 ++ k1 = 6.123320250e-01 lk1 = -8.854283449e-07 wk1 = -1.776356839e-21 ++ k2 = -5.163799463e-02 lk2 = 3.028991216e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016862216e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.316750651e-7 ++ nfactor = 3.665874199e+00 lnfactor = -6.403833805e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.231223305e-02 lu0 = 4.094842102e-8 ++ ua = -1.241809818e-09 lua = 3.755336140e-15 ++ ub = 1.685065637e-18 lub = -1.765203975e-24 ++ uc = 6.330548048e-11 luc = -2.950171746e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.390915283e+00 la0 = -5.656299401e-7 ++ ags = 3.208651839e-01 lags = 4.797232332e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.422797401e-08 lb0 = -1.797800326e-14 ++ b1 = 5.203866371e-09 lb1 = -2.581848400e-14 ++ keta = -2.660376772e-03 lketa = -3.767945174e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.712120000e-03 lpclm = 5.311079250e-07 ppclm = -4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.516568119e-04 lpdiblc2 = 8.163660446e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -7.410457490e+07 lpscbe1 = 5.974953667e+03 ppscbe1 = -7.629394531e-18 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.832161327e-01 lkt1 = -6.320184307e-8 ++ kt2 = -3.546454717e-02 lkt2 = 1.187904134e-7 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -1.015897703e+00 lute = -1.987671409e-6 ++ ua1 = 1.029872646e-09 lua1 = 1.820372413e-15 ++ ub1 = -3.826688949e-19 lub1 = -3.731564281e-24 ++ uc1 = 6.931016453e-11 luc1 = -7.089890741e-16 wuc1 = 1.033975766e-31 puc1 = 8.271806126e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.119 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.119535564e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.987799812e-8 ++ k1 = 4.369481614e-01 lk1 = 5.134572035e-7 ++ k2 = 1.478903246e-02 lk2 = -2.269318805e-07 pk2 = 2.220446049e-28 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.179982599e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.568029407e-9 ++ nfactor = 2.835644582e+00 lnfactor = 2.181905348e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.571876712e-02 lu0 = 1.377744198e-8 ++ ua = -9.768594712e-10 lua = 1.642056141e-15 ++ ub = 1.627914685e-18 lub = -1.309360213e-24 ++ uc = 9.599342154e-12 luc = 1.333502887e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.409463060e+00 la0 = -7.135695335e-7 ++ ags = 3.609702746e-01 lags = 1.598395755e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.082491466e-08 lb0 = 8.892662098e-14 ++ b1 = 5.983139888e-10 lb1 = 1.091602815e-14 ++ keta = -1.746390476e-02 lketa = 8.039550078e-08 wketa = -2.775557562e-23 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -6.945600321e-01 lpclm = 5.993548011e-06 ppclm = -8.881784197e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.643357236e-03 lpdiblc2 = 2.567139102e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.390114615e+08 lpscbe1 = 2.870431763e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.862692334e-01 lkt1 = -3.884989683e-8 ++ kt2 = -9.795153463e-03 lkt2 = -8.595216188e-8 ++ at = 140000.0 ++ ute = -1.231004634e+00 lute = -2.719492778e-7 ++ ua1 = 1.515902718e-09 lua1 = -2.056269539e-15 ++ ub1 = -1.027457992e-18 lub1 = 1.411361252e-24 ++ uc1 = -7.120287721e-11 luc1 = 4.117620565e-16 wuc1 = -1.033975766e-31 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.120 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.356425871e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.431280957e-8 ++ k1 = 5.591506344e-01 lk1 = 2.756355115e-8 ++ k2 = -3.970628007e-02 lk2 = -1.025110655e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.564204000e-01 ldsub = -1.178607824e-6 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.372392365e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.493671045e-08 wvoff = -4.440892099e-22 ++ nfactor = 2.540960508e+00 lnfactor = 1.389894491e-6 ++ eta0 = 1.585514060e-01 leta0 = -3.123310732e-7 ++ etab = -1.386707260e-01 letab = 2.730441458e-7 ++ u0 = 3.009815813e-02 lu0 = -3.635612266e-9 ++ ua = -7.055192805e-10 lua = 5.631706405e-16 ++ ub = 1.674767488e-18 lub = -1.495653328e-24 ++ uc = 3.557531560e-11 luc = 3.006628558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.610603794e+00 la0 = -1.513332445e-6 ++ ags = 3.267882410e-01 lags = 2.957519899e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 6.450162160e-10 lb0 = 1.294032816e-13 ++ b1 = -1.306345622e-09 lb1 = 1.848921380e-14 ++ keta = 5.179224004e-04 lketa = 8.897310460e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.116334728e+00 lpclm = -1.206815837e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.474187624e-03 lpdiblc2 = 9.299472665e-9 ++ pdiblcb = -3.735085000e-02 lpdiblcb = 4.910865932e-08 wpdiblcb = -1.110223025e-22 ++ drout = 0.56 ++ pscbe1 = 6.234654264e+08 lpscbe1 = 3.488563261e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.587107910e-01 lkt1 = -1.484260119e-7 ++ kt2 = -1.476078455e-02 lkt2 = -6.620813734e-8 ++ at = 1.702645228e+05 lat = -1.203358588e-1 ++ ute = -8.735426920e-01 lute = -1.693266573e-6 ++ ua1 = 2.140334125e-09 lua1 = -4.539093737e-15 ++ ub1 = -1.486104833e-18 lub1 = 3.235003467e-24 ++ uc1 = 8.417239227e-12 luc1 = 9.518164525e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.121 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.847371124e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.628333163e-8 ++ k1 = 5.915575913e-01 lk1 = -3.647700292e-8 ++ k2 = -4.999948451e-02 lk2 = 1.008966530e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.481406205e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.818528415e-8 ++ nfactor = 3.599201184e+00 lnfactor = -7.013330064e-7 ++ eta0 = -1.482776250e-03 leta0 = 3.918235528e-09 weta0 = 1.734723476e-24 ++ etab = 8.137340700e-02 letab = -1.617929870e-07 wetab = -7.112366252e-23 petab = -1.457167720e-28 ++ u0 = 3.085609312e-02 lu0 = -5.133394898e-9 ++ ua = 2.754445177e-10 lua = -1.375347236e-15 ++ ub = 6.147365314e-20 lub = 1.692434697e-24 ++ uc = 6.175884023e-11 luc = -2.167592006e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.666551942e+04 lvsat = 6.589387108e-3 ++ a0 = 4.980764928e-01 la0 = 6.851728046e-7 ++ ags = -2.786400028e-01 lags = 1.492160538e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.922271293e-08 lb0 = 9.269122637e-14 ++ b1 = 1.375707435e-08 lb1 = -1.127815269e-14 ++ keta = 7.110305558e-02 lketa = -1.305885123e-07 pketa = -8.326672685e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.496432008e-01 lpclm = 7.034980908e-7 ++ pdiblc1 = 4.247813265e-01 lpdiblc1 = -6.873263151e-8 ++ pdiblc2 = 9.606198839e-03 lpdiblc2 = -4.794351448e-9 ++ pdiblcb = -2.451476819e-02 lpdiblcb = 2.374281595e-8 ++ drout = 2.088804448e-01 ldrout = 6.938599933e-7 ++ pscbe1 = 8.645253716e+08 lpscbe1 = -1.275109097e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.328986640e-06 lalpha0 = 1.059008642e-11 walpha0 = -5.082197684e-27 palpha0 = -4.658681210e-33 ++ alpha1 = 0.85 ++ beta0 = 1.034200586e+01 lbeta0 = 6.952034876e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.915711146e-01 lkt1 = 1.141240567e-7 ++ kt2 = -6.889893246e-02 lkt2 = 4.077620572e-8 ++ at = 1.549379515e+05 lat = -9.004846934e-2 ++ ute = -2.370540520e+00 lute = 1.265004727e-06 wute = 7.105427358e-21 ++ ua1 = -1.560482787e-09 lua1 = 2.774223792e-15 wua1 = 8.271806126e-31 pua1 = -1.654361225e-36 ++ ub1 = 9.526220239e-19 lub1 = -1.584252469e-24 pub1 = 7.703719778e-46 ++ uc1 = 7.177850760e-11 luc1 = -3.002883819e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.122 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.069106764e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.297468249e-08 wvth0 = -3.697516376e-08 pvth0 = 3.609278846e-14 ++ k1 = 6.296133055e-01 lk1 = -7.362455560e-08 wk1 = -4.224496308e-16 pk1 = 4.123679176e-22 ++ k2 = -2.607336961e-02 lk2 = -1.326547680e-08 wk2 = -2.267287276e-08 pk2 = 2.213180732e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.120939289e-01 ldsub = 4.676284059e-08 wdsub = -1.245989978e-16 pdsub = 1.216262646e-22 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.261242954e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.338158196e-09 wvoff = -5.140243786e-16 pvoff = 5.017577465e-22 ++ nfactor = 2.783078629e+00 lnfactor = 9.531360038e-08 wnfactor = -4.994376468e-15 pnfactor = 4.875175819e-21 ++ eta0 = -4.616715920e-01 leta0 = 4.531251054e-07 weta0 = 2.850791964e-16 peta0 = -2.782767558e-22 ++ etab = -1.641277801e-01 letab = 7.784955976e-08 wetab = 5.727862629e-17 petab = -5.591149765e-23 ++ u0 = -1.160916747e-02 lu0 = 3.631847472e-08 wu0 = 2.207771351e-08 pu0 = -2.155085096e-14 ++ ua = -8.304373597e-10 lua = -2.958561234e-16 wua = -1.795418681e-24 pua = 1.752574034e-30 ++ ub = 1.698955786e-18 lub = 9.402943746e-26 wub = -1.572551074e-33 pub = 1.535024714e-39 ++ uc = 2.718870047e-11 luc = 1.206923788e-17 wuc = -6.421196300e-26 puc = 6.267950752e-32 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -3.875453975e+05 lvsat = 4.597223747e-01 wvsat = 2.073682942e-01 pvsat = -2.024196572e-7 ++ a0 = 1.024210583e+00 la0 = 1.715943783e-07 wa0 = 3.105625979e-15 pa0 = -3.031509266e-21 ++ ags = 2.291547474e+00 lags = -1.016691985e-06 wags = 1.372291081e-14 pags = -1.339542877e-20 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.229104165e-07 lb0 = -1.061356738e-13 wb0 = 2.598993544e-22 pb0 = -2.536971674e-28 ++ b1 = 4.301245708e-09 lb1 = -2.047977945e-15 wb1 = -1.995430953e-23 pb1 = 1.947811157e-29 ++ keta = -1.155907120e-01 lketa = 5.164999526e-08 wketa = 4.734999060e-16 pketa = -4.622001670e-22 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.268894407e+00 lpclm = -3.890433046e-07 wpclm = -3.627615541e-15 ppclm = 3.541048343e-21 ++ pdiblc1 = 6.603681741e-01 lpdiblc1 = -2.986974345e-07 wpdiblc1 = 6.799645291e-16 ppdiblc1 = -6.637375094e-22 ++ pdiblc2 = 9.120988864e-03 lpdiblc2 = -4.320720524e-09 wpdiblc2 = -1.638658653e-17 ppdiblc2 = 1.599552435e-23 ++ pdiblcb = 8.971602867e-02 lpdiblcb = -8.776197717e-08 wpdiblcb = 1.316537825e-16 ppdiblcb = -1.285117945e-22 ++ drout = 8.432395265e-01 ldrout = 7.463925678e-08 wdrout = -4.569820078e-16 pdrout = 4.460751768e-22 ++ pscbe1 = 1.016674444e+09 lpscbe1 = -2.760290966e+02 wpscbe1 = 5.261962891e-06 ppscbe1 = -5.136388779e-12 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.062680628e-06 lalpha0 = -1.505866098e-12 walpha0 = 6.176455831e-21 palpha0 = -6.029058546e-27 ++ alpha1 = 0.85 ++ beta0 = 1.693644136e+01 lbeta0 = 5.149689774e-07 wbeta0 = -2.750090289e-14 pbeta0 = 2.684464562e-20 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.705693354e-01 lkt1 = -3.990136108e-09 wkt1 = 4.413180932e-17 pkt1 = -4.307931789e-23 ++ kt2 = -1.799095059e-02 lkt2 = -8.916908071e-09 wkt2 = 7.193023954e-18 pkt2 = -7.021383475e-24 ++ at = 1.122373789e+05 lat = -4.836690327e-02 wat = 5.465282593e-10 pat = -5.334857851e-16 ++ ute = -8.501885175e-01 lute = -2.190655954e-07 wute = -1.129670579e-15 pute = 1.102709035e-21 ++ ua1 = 1.709985083e-09 lua1 = -4.181976331e-16 wua1 = 2.340299094e-24 pua1 = -2.284447859e-30 ++ ub1 = -7.070069568e-19 lub1 = 3.577112531e-26 wub1 = 5.134590861e-34 pub1 = -5.012040087e-40 ++ uc1 = 7.460704537e-11 luc1 = -3.278987573e-17 wuc1 = -5.686804673e-26 puc1 = 5.551085014e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.123 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.764947864e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.121017709e-09 wvth0 = 7.395032753e-08 pvth0 = -1.672283127e-14 ++ k1 = 8.414013813e-02 lk1 = 1.860948564e-07 wk1 = 8.448974853e-16 pk1 = -1.910622771e-22 ++ k2 = 2.487219580e-02 lk2 = -3.752249453e-08 wk2 = 4.534574552e-08 pk2 = -1.025430551e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.761425674e-01 ldsub = 6.388057806e-08 wdsub = 2.491997719e-16 pdsub = -5.635314437e-23 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.121188898e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.500663601e-08 wvoff = 1.028048757e-15 pvoff = -2.324788140e-22 ++ nfactor = 3.588363926e+00 lnfactor = -2.881117199e-07 wnfactor = 9.988738725e-15 pnfactor = -2.258811804e-21 ++ eta0 = 9.325986808e-01 leta0 = -2.107371652e-07 weta0 = -5.701608075e-16 peta0 = 1.289333085e-22 ++ etab = 3.860778713e-02 letab = -1.868014228e-08 wetab = -1.145570479e-16 petab = 2.590545660e-23 ++ u0 = 9.913144182e-02 lu0 = -1.640911603e-08 wu0 = -4.415542702e-08 pu0 = 9.985131645e-15 ++ ua = -1.703807110e-09 lua = 1.199866558e-16 wua = 3.590843979e-24 pua = -8.120200463e-31 ++ ub = 1.993089997e-18 lub = -4.601844906e-26 wub = 3.145102147e-33 pub = -7.112212766e-40 ++ uc = 1.633443523e-11 luc = 1.723734432e-17 wuc = 1.284239260e-25 puc = -2.904122563e-32 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.397553643e+05 lvsat = -1.722533008e-01 wvsat = -4.147365884e-01 pvsat = 9.378687316e-8 ++ a0 = 1.280215634e+00 la0 = 4.970115722e-08 wa0 = -6.211244852e-15 pa0 = 1.404586669e-21 ++ ags = -8.330949487e-01 lags = 4.710627593e-07 wags = -2.744582339e-14 pags = 6.206488878e-21 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 9.692640833e-16 lb0 = -2.191855027e-22 wb0 = -5.197988811e-22 pb0 = 1.175452398e-28 ++ b1 = -7.441720181e-17 lb1 = 1.682840835e-23 wb1 = 3.990860582e-23 pb1 = -9.024772486e-30 ++ keta = 6.166340848e-02 lketa = -3.274707267e-08 wketa = -9.469993401e-16 pketa = 2.141506625e-22 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.446949490e-01 lpclm = -9.183947145e-08 wpclm = 7.255232859e-15 ppclm = -1.640669378e-21 ++ pdiblc1 = -2.599865108e-01 lpdiblc1 = 1.395165637e-07 wpdiblc1 = -1.359929169e-15 ppdiblc1 = 3.075291410e-22 ++ pdiblc2 = -7.515255745e-03 lpdiblc2 = 3.600394438e-09 wpdiblc2 = 3.277315311e-17 ppdiblc2 = -7.411186628e-24 ++ pdiblcb = -8.674421560e-02 lpdiblcb = -3.742902307e-09 wpdiblcb = -2.633075979e-16 ppdiblcb = 5.954337023e-23 ++ drout = 1.449262698e+00 ldrout = -2.139101919e-07 wdrout = 9.139604629e-16 pdrout = -2.066791183e-22 ++ pscbe1 = 1.163107219e+08 lpscbe1 = 1.526664846e+02 wpscbe1 = -1.052392387e-05 ppscbe1 = 2.379837990e-12 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.408183472e-06 lalpha0 = -1.670372440e-12 walpha0 = -1.235289811e-20 palpha0 = 2.793436733e-27 ++ alpha1 = 0.85 ++ beta0 = 2.136300361e+01 lbeta0 = -1.592676664e-06 wbeta0 = 5.500186262e-14 pbeta0 = -1.243789427e-20 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.133121571e-01 lkt1 = 1.636126006e-08 wkt1 = -8.826450681e-17 pkt1 = 1.995958954e-23 ++ kt2 = -4.380991829e-02 lkt2 = 3.376431932e-09 wkt2 = -1.438626995e-17 pkt2 = 3.253203262e-24 ++ at = -5.685530654e+03 lat = 7.780439208e-03 wat = -1.093056344e-09 pat = 2.471793850e-16 ++ ute = -1.302351209e+00 lute = -3.774660016e-09 wute = 2.259334053e-15 pute = -5.109175305e-22 ++ ua1 = 1.605522263e-09 lua1 = -3.684591238e-16 wua1 = -4.680594879e-24 pua1 = 1.058450386e-30 ++ ub1 = -1.843635239e-18 lub1 = 5.769607691e-25 wub1 = -1.026915091e-33 pub1 = 2.322232401e-40 ++ uc1 = -1.222035416e-10 luc1 = 6.091872993e-17 wuc1 = 1.137358867e-25 puc1 = -2.571980467e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.124 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.787058597e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.399258556e-8 ++ k1 = 9.070734896e-01 lk1 = 6.820322085e-17 ++ k2 = -1.567821040e-01 lk2 = 3.556082198e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586300001e-01 ldsub = -1.033750863e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999996e-03 lcdscd = 7.217039466e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280779e-8 ++ nfactor = 1.956461452e+00 lnfactor = 8.092017789e-8 ++ eta0 = 2.242428860e-03 leta0 = -3.501238375e-10 ++ etab = -4.399800002e-02 letab = 2.944755551e-18 ++ u0 = 2.102562674e-02 lu0 = 1.253420572e-9 ++ ua = -1.155463028e-09 lua = -4.013681436e-18 ++ ub = 1.295395749e-18 lub = 1.117553374e-25 ++ uc = 1.272578803e-10 luc = -7.846439865e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.600919746e+05 lvsat = 4.056659463e-3 ++ a0 = 1.499999999e+00 la0 = 2.083453410e-16 ++ ags = 1.250000000e+00 lags = 4.460787295e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.083869161e-01 lketa = 2.832102752e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.706266759e-01 lpclm = -2.986276845e-8 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.566835716e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.563461134e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831734847e-18 ++ drout = 5.033266588e-01 ldrout = 1.889155499e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866531372e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.863680696e-09 lalpha0 = 4.236956351e-15 ++ alpha1 = 0.85 ++ beta0 = 1.533904646e+01 lbeta0 = -2.304430895e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.618011205e-01 lkt1 = 4.712760272e-9 ++ kt2 = -2.887893901e-02 lkt2 = 1.132038907e-18 ++ at = -2.704237011e+04 lat = 1.260998945e-2 ++ ute = -1.326367013e+00 lute = 1.656177765e-9 ++ ua1 = -2.384733737e-11 lua1 = 2.135940608e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034217886e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.393569824e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.125 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.8e-07 wmax = 6.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {3.235046079e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.585351709e-08 wvth0 = 2.174700306e-07 pvth0 = -3.395490070e-14 ++ k1 = 0.90707349 ++ k2 = -4.369331416e-01 lk2 = 4.729774462e-08 wk2 = 1.607685180e-07 pk2 = -2.510175332e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.585131590e-01 ldsub = 1.824309234e-11 wdsub = 6.967009872e-11 pdsub = -1.087801053e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000001e-03 lcdscd = -1.335112576e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300001e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.749089762e-17 ++ nfactor = 6.350352394e+00 lnfactor = -6.051243782e-07 wnfactor = -2.336063796e-06 pnfactor = 3.647436568e-13 ++ eta0 = 1.641776644e-02 leta0 = -2.563404346e-09 weta0 = -9.789618892e-09 peta0 = 1.528511935e-15 ++ etab = -0.043998 ++ u0 = 2.566536566e-01 lu0 = -3.553659750e-08 wu0 = -1.184681784e-07 pu0 = 1.849714750e-14 ++ ua = -1.067214413e-09 lua = -1.779246712e-17 wua = -6.809053374e-17 pua = 1.063138358e-23 ++ ub = 7.948211668e-18 lub = -9.269887290e-25 wub = -3.519397730e-24 pub = 5.495046839e-31 ++ uc = 3.253499468e-10 luc = -3.877574275e-17 wuc = -1.480842179e-16 puc = 2.312127745e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.328009575e+05 lvsat = -7.295830301e-03 wvsat = -2.297090788e-02 pvsat = 3.586585673e-9 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.700000007e-02 lketa = 9.208467322e-18 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.993692910e-01 lpclm = 7.474772183e-08 wpclm = 2.764238734e-07 ppclm = -4.315971790e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.000000002e-08 lalpha0 = -2.022608799e-24 ++ alpha1 = 0.85 ++ beta0 = 1.391835560e+01 lbeta0 = -8.622102004e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.708984687e-01 lkt1 = 3.736038383e-08 wkt1 = 1.410325885e-07 pkt1 = -2.202026424e-14 ++ kt2 = -0.028878939 ++ at = 5.372048692e+04 lat = 1.013628207e-11 ++ ute = 2.117733753e-01 lute = -2.385029099e-07 wute = -9.026085663e-07 pute = 1.409296911e-13 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.126 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.127 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.685741570e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.058779873e-7 ++ k1 = 6.123320250e-01 lk1 = -8.854283449e-7 ++ k2 = -5.163799463e-02 lk2 = 3.028991216e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016862216e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.316750651e-7 ++ nfactor = 3.665874199e+00 lnfactor = -6.403833805e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.231223305e-02 lu0 = 4.094842102e-8 ++ ua = -1.241809818e-09 lua = 3.755336140e-15 ++ ub = 1.685065637e-18 lub = -1.765203975e-24 ++ uc = 6.330548048e-11 luc = -2.950171746e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.390915283e+00 la0 = -5.656299401e-7 ++ ags = 3.208651839e-01 lags = 4.797232332e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.422797401e-08 lb0 = -1.797800326e-14 ++ b1 = 5.203866371e-09 lb1 = -2.581848400e-14 ++ keta = -2.660376772e-03 lketa = -3.767945174e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.712120000e-03 lpclm = 5.311079250e-07 ppclm = -4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.516568119e-04 lpdiblc2 = 8.163660446e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -7.410457490e+07 lpscbe1 = 5.974953667e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.832161327e-01 lkt1 = -6.320184307e-8 ++ kt2 = -3.546454717e-02 lkt2 = 1.187904134e-7 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -1.015897703e+00 lute = -1.987671409e-6 ++ ua1 = 1.029872646e-09 lua1 = 1.820372413e-15 ++ ub1 = -3.826688949e-19 lub1 = -3.731564281e-24 ++ uc1 = 6.931016453e-11 luc1 = -7.089890741e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.128 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.119535564e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.987799812e-8 ++ k1 = 4.369481614e-01 lk1 = 5.134572035e-7 ++ k2 = 1.478903246e-02 lk2 = -2.269318805e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.179982599e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.568029407e-9 ++ nfactor = 2.835644582e+00 lnfactor = 2.181905348e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.571876712e-02 lu0 = 1.377744198e-8 ++ ua = -9.768594712e-10 lua = 1.642056141e-15 ++ ub = 1.627914685e-18 lub = -1.309360213e-24 ++ uc = 9.599342154e-12 luc = 1.333502887e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.409463060e+00 la0 = -7.135695335e-7 ++ ags = 3.609702746e-01 lags = 1.598395755e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.082491466e-08 lb0 = 8.892662098e-14 ++ b1 = 5.983139888e-10 lb1 = 1.091602815e-14 ++ keta = -1.746390476e-02 lketa = 8.039550078e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -6.945600321e-01 lpclm = 5.993548011e-06 wpclm = 4.440892099e-22 ppclm = -8.881784197e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.643357236e-03 lpdiblc2 = 2.567139102e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.390114615e+08 lpscbe1 = 2.870431763e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.862692334e-01 lkt1 = -3.884989683e-8 ++ kt2 = -9.795153463e-03 lkt2 = -8.595216188e-8 ++ at = 140000.0 ++ ute = -1.231004634e+00 lute = -2.719492778e-7 ++ ua1 = 1.515902718e-09 lua1 = -2.056269539e-15 ++ ub1 = -1.027457992e-18 lub1 = 1.411361252e-24 ++ uc1 = -7.120287721e-11 luc1 = 4.117620565e-16 puc1 = -4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.129 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.356425871e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.431280957e-8 ++ k1 = 5.591506344e-01 lk1 = 2.756355115e-8 ++ k2 = -3.970628007e-02 lk2 = -1.025110655e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.564204000e-01 ldsub = -1.178607824e-6 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.372392365e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.493671045e-8 ++ nfactor = 2.540960508e+00 lnfactor = 1.389894491e-6 ++ eta0 = 1.585514060e-01 leta0 = -3.123310732e-7 ++ etab = -1.386707260e-01 letab = 2.730441458e-7 ++ u0 = 3.009815813e-02 lu0 = -3.635612266e-9 ++ ua = -7.055192805e-10 lua = 5.631706405e-16 ++ ub = 1.674767488e-18 lub = -1.495653328e-24 ++ uc = 3.557531560e-11 luc = 3.006628558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.610603794e+00 la0 = -1.513332445e-6 ++ ags = 3.267882410e-01 lags = 2.957519899e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 6.450162160e-10 lb0 = 1.294032816e-13 ++ b1 = -1.306345622e-09 lb1 = 1.848921380e-14 ++ keta = 5.179224004e-04 lketa = 8.897310460e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.116334728e+00 lpclm = -1.206815837e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.474187624e-03 lpdiblc2 = 9.299472665e-9 ++ pdiblcb = -3.735085000e-02 lpdiblcb = 4.910865932e-8 ++ drout = 0.56 ++ pscbe1 = 6.234654264e+08 lpscbe1 = 3.488563261e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.587107910e-01 lkt1 = -1.484260119e-7 ++ kt2 = -1.476078455e-02 lkt2 = -6.620813734e-8 ++ at = 1.702645228e+05 lat = -1.203358588e-1 ++ ute = -8.735426920e-01 lute = -1.693266573e-6 ++ ua1 = 2.140334125e-09 lua1 = -4.539093737e-15 ++ ub1 = -1.486104833e-18 lub1 = 3.235003467e-24 ++ uc1 = 8.417239227e-12 luc1 = 9.518164525e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.130 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.847371124e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.628333163e-8 ++ k1 = 5.915575913e-01 lk1 = -3.647700292e-8 ++ k2 = -4.999948451e-02 lk2 = 1.008966530e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.481406205e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.818528415e-8 ++ nfactor = 3.599201184e+00 lnfactor = -7.013330064e-7 ++ eta0 = -1.482776250e-03 leta0 = 3.918235528e-9 ++ etab = 8.137340700e-02 letab = -1.617929870e-07 wetab = 4.683753385e-23 petab = 8.326672685e-29 ++ u0 = 3.085609312e-02 lu0 = -5.133394898e-9 ++ ua = 2.754445177e-10 lua = -1.375347236e-15 pua = -8.271806126e-37 ++ ub = 6.147365314e-20 lub = 1.692434697e-24 ++ uc = 6.175884023e-11 luc = -2.167592006e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.666551942e+04 lvsat = 6.589387108e-3 ++ a0 = 4.980764928e-01 la0 = 6.851728046e-7 ++ ags = -2.786400028e-01 lags = 1.492160538e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.922271293e-08 lb0 = 9.269122637e-14 ++ b1 = 1.375707435e-08 lb1 = -1.127815269e-14 ++ keta = 7.110305558e-02 lketa = -1.305885123e-07 pketa = 8.326672685e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.496432008e-01 lpclm = 7.034980908e-7 ++ pdiblc1 = 4.247813265e-01 lpdiblc1 = -6.873263151e-8 ++ pdiblc2 = 9.606198839e-03 lpdiblc2 = -4.794351448e-9 ++ pdiblcb = -2.451476819e-02 lpdiblcb = 2.374281595e-8 ++ drout = 2.088804448e-01 ldrout = 6.938599933e-7 ++ pscbe1 = 8.645253716e+08 lpscbe1 = -1.275109097e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.328986640e-06 lalpha0 = 1.059008642e-11 walpha0 = 7.411538288e-28 palpha0 = 6.140988868e-33 ++ alpha1 = 0.85 ++ beta0 = 1.034200586e+01 lbeta0 = 6.952034876e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.915711146e-01 lkt1 = 1.141240567e-7 ++ kt2 = -6.889893246e-02 lkt2 = 4.077620572e-8 ++ at = 1.549379515e+05 lat = -9.004846934e-02 wat = 2.328306437e-16 ++ ute = -2.370540520e+00 lute = 1.265004727e-6 ++ ua1 = -1.560482787e-09 lua1 = 2.774223792e-15 wua1 = 4.135903063e-31 pua1 = 8.271806126e-37 ++ ub1 = 9.526220239e-19 lub1 = -1.584252469e-24 wub1 = -7.703719778e-40 pub1 = -1.155557967e-45 ++ uc1 = 7.177850760e-11 luc1 = -3.002883819e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.131 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.379634364e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.432720057e-8 ++ k1 = 6.296133047e-01 lk1 = -7.362455483e-8 ++ k2 = -6.835126213e-02 lk2 = 2.800349610e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.120939287e-01 ldsub = 4.676284081e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.261242964e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.338157261e-9 ++ nfactor = 2.783078619e+00 lnfactor = 9.531360947e-8 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = -4.163336342e-23 peta0 = -3.295974604e-28 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 2.955893720e-02 lu0 = -3.867194305e-9 ++ ua = -8.304373631e-10 lua = -2.958561202e-16 ++ ub = 1.698955783e-18 lub = 9.402944032e-26 ++ uc = 2.718870035e-11 luc = 1.206923800e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -8.676901760e+02 lvsat = 8.227234419e-2 ++ a0 = 1.024210589e+00 la0 = 1.715943727e-7 ++ ags = 2.291547500e+00 lags = -1.016692010e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.229104170e-07 lb0 = -1.061356743e-13 ++ b1 = 4.301245670e-09 lb1 = -2.047977909e-15 ++ keta = -1.155907111e-01 lketa = 5.164999439e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.268894400e+00 lpclm = -3.890432980e-7 ++ pdiblc1 = 6.603681753e-01 lpdiblc1 = -2.986974357e-7 ++ pdiblc2 = 9.120988833e-03 lpdiblc2 = -4.320720495e-9 ++ pdiblcb = 8.971602892e-02 lpdiblcb = -8.776197741e-08 wpdiblcb = -5.377642776e-23 ppdiblcb = 4.553649124e-30 ++ drout = 8.432395256e-01 ldrout = 7.463925761e-8 ++ pscbe1 = 1.016674454e+09 lpscbe1 = -2.760291061e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.062680640e-06 lalpha0 = -1.505866109e-12 ++ alpha1 = 0.85 ++ beta0 = 1.693644131e+01 lbeta0 = 5.149690275e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.705693353e-01 lkt1 = -3.990136189e-9 ++ kt2 = -1.799095058e-02 lkt2 = -8.916908084e-9 ++ at = 1.122373800e+05 lat = -4.836690427e-2 ++ ute = -8.501885196e-01 lute = -2.190655934e-7 ++ ua1 = 1.709985088e-09 lua1 = -4.181976374e-16 ++ ub1 = -7.070069558e-19 lub1 = 3.577112438e-26 ++ uc1 = 7.460704526e-11 luc1 = -3.278987563e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.132 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.143892664e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.206188842e-8 ++ k1 = 8.414013971e-02 lk1 = 1.860948561e-7 ++ k2 = 1.094279808e-01 lk2 = -5.664360154e-08 wk2 = 2.775557562e-23 pk2 = -6.938893904e-30 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.761425679e-01 ldsub = 6.388057796e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.121188879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.500663645e-8 ++ nfactor = 3.588363944e+00 lnfactor = -2.881117241e-7 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.860778692e-02 letab = -1.868014223e-08 wetab = -6.938893904e-24 petab = -7.372574773e-30 ++ u0 = 1.679523247e-02 lu0 = 2.210065012e-9 ++ ua = -1.703807103e-09 lua = 1.199866543e-16 ++ ub = 1.993090003e-18 lub = -4.601845039e-26 ++ uc = 1.633443547e-11 luc = 1.723734426e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.663999498e+05 lvsat = 2.630199184e-3 ++ a0 = 1.280215623e+00 la0 = 4.970115984e-8 ++ ags = -8.330949999e-01 lags = 4.710627709e-07 wags = 4.440892099e-22 pags = 4.440892099e-28 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.166340672e-02 lketa = -3.274707227e-08 wketa = 1.387778781e-23 pketa = 2.775557562e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.446949625e-01 lpclm = -9.183947451e-8 ++ pdiblc1 = -2.599865133e-01 lpdiblc1 = 1.395165643e-07 ppdiblc1 = -1.110223025e-28 ++ pdiblc2 = -7.515255684e-03 lpdiblc2 = 3.600394425e-09 wpdiblc2 = 4.119968255e-24 ppdiblc2 = -2.927345866e-30 ++ pdiblcb = -8.674421609e-02 lpdiblcb = -3.742902196e-9 ++ drout = 1.449262700e+00 ldrout = -2.139101923e-7 ++ pscbe1 = 1.163107023e+08 lpscbe1 = 1.526664890e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.408183449e-06 lalpha0 = -1.670372435e-12 ++ alpha1 = 0.85 ++ beta0 = 2.136300371e+01 lbeta0 = -1.592676687e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.133121573e-01 lkt1 = 1.636126010e-8 ++ kt2 = -4.380991832e-02 lkt2 = 3.376431938e-9 ++ at = -5.685532692e+03 lat = 7.780439669e-03 pat = 7.275957614e-24 ++ ute = -1.302351205e+00 lute = -3.774660968e-9 ++ ua1 = 1.605522255e-09 lua1 = -3.684591218e-16 ++ ub1 = -1.843635241e-18 lub1 = 5.769607696e-25 wub1 = 1.540743956e-39 ++ uc1 = -1.222035414e-10 luc1 = 6.091872988e-17 wuc1 = 1.292469707e-32 puc1 = 4.846761402e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.133 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.787058597e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.399258556e-8 ++ k1 = 9.070734896e-01 lk1 = 6.820144449e-17 ++ k2 = -1.567821040e-01 lk2 = 3.556082198e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586300001e-01 ldsub = -1.033750863e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999996e-03 lcdscd = 7.217039466e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280779e-8 ++ nfactor = 1.956461452e+00 lnfactor = 8.092017789e-8 ++ eta0 = 2.242428860e-03 leta0 = -3.501238375e-10 ++ etab = -4.399800002e-02 letab = 2.944811062e-18 ++ u0 = 2.102562674e-02 lu0 = 1.253420572e-9 ++ ua = -1.155463028e-09 lua = -4.013681436e-18 ++ ub = 1.295395749e-18 lub = 1.117553374e-25 ++ uc = 1.272578803e-10 luc = -7.846439865e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.600919746e+05 lvsat = 4.056659463e-3 ++ a0 = 1.499999999e+00 la0 = 2.083453410e-16 ++ ags = 1.250000000e+00 lags = 4.460964931e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.083869161e-01 lketa = 2.832102752e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.706266759e-01 lpclm = -2.986276845e-8 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.566880125e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.563599912e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831734847e-18 ++ drout = 5.033266588e-01 ldrout = 1.889155499e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866245270e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.863680696e-09 lalpha0 = 4.236956351e-15 ++ alpha1 = 0.85 ++ beta0 = 1.533904646e+01 lbeta0 = -2.304430895e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.618011205e-01 lkt1 = 4.712760272e-9 ++ kt2 = -2.887893901e-02 lkt2 = 1.132038907e-18 ++ at = -2.704237011e+04 lat = 1.260998945e-2 ++ ute = -1.326367013e+00 lute = 1.656177765e-9 ++ ua1 = -2.384733737e-11 lua1 = 2.135939832e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034202479e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.393569824e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.134 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.5e-07 wmax = 5.8e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {1.467451345e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.527577506e-07 wvth0 = -3.960080134e-07 pvth0 = 6.183110718e-14 ++ k1 = 0.90707349 ++ k2 = -1.307830464e-01 lk2 = -5.033066503e-10 wk2 = -3.414267389e-09 pk2 = 5.330900531e-16 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.585131559e-01 ldsub = 1.824357490e-11 wdsub = 6.967175620e-11 pdsub = -1.087826933e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000001e-03 lcdscd = -1.335147271e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300001e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.749045353e-17 ++ nfactor = 6.350352380e+00 lnfactor = -6.051243760e-07 wnfactor = -2.336063788e-06 pnfactor = 3.647436557e-13 ++ eta0 = 1.641773873e-02 leta0 = -2.563400019e-09 weta0 = -9.789604030e-09 peta0 = 1.528509615e-15 ++ etab = -0.043998 ++ u0 = -1.042515434e-01 lu0 = 2.081369681e-08 wu0 = 7.507878410e-08 pu0 = -1.172250103e-14 ++ ua = -1.067214387e-09 lua = -1.779247122e-17 wua = -6.809054781e-17 pua = 1.063138577e-23 ++ ub = 7.948211672e-18 lub = -9.269887297e-25 wub = -3.519397732e-24 pub = 5.495046843e-31 ++ uc = 3.253499472e-10 luc = -3.877574281e-17 wuc = -1.480842181e-16 puc = 2.312127748e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.272164970e+05 lvsat = 9.189705032e-03 wvsat = 3.365213780e-02 pvsat = -5.254310187e-9 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.700000007e-02 lketa = 9.208522833e-18 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.993692922e-01 lpclm = 7.474772202e-08 wpclm = 2.764238741e-07 ppclm = -4.315971801e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.000000002e-08 lalpha0 = -2.022661738e-24 ++ alpha1 = 0.85 ++ beta0 = 1.391835560e+01 lbeta0 = -8.622102004e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.708984723e-01 lkt1 = 3.736038441e-08 wkt1 = 1.410325905e-07 pkt1 = -2.202026454e-14 ++ kt2 = -0.028878939 ++ at = 5.372048692e+04 lat = 1.013628207e-11 ++ ute = 2.117734108e-01 lute = -2.385029154e-07 wute = -9.026085853e-07 pute = 1.409296941e-13 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.135 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.136 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.685741570e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.058779873e-7 ++ k1 = 6.123320250e-01 lk1 = -8.854283449e-7 ++ k2 = -5.163799463e-02 lk2 = 3.028991216e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016862216e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.316750651e-7 ++ nfactor = 3.665874199e+00 lnfactor = -6.403833805e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.231223305e-02 lu0 = 4.094842102e-8 ++ ua = -1.241809818e-09 lua = 3.755336140e-15 ++ ub = 1.685065637e-18 lub = -1.765203975e-24 ++ uc = 6.330548048e-11 luc = -2.950171746e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.390915283e+00 la0 = -5.656299401e-07 wa0 = -7.105427358e-21 ++ ags = 3.208651839e-01 lags = 4.797232332e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.422797401e-08 lb0 = -1.797800326e-14 ++ b1 = 5.203866371e-09 lb1 = -2.581848400e-14 ++ keta = -2.660376772e-03 lketa = -3.767945174e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.712120000e-03 lpclm = 5.311079250e-07 ppclm = 8.881784197e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.516568119e-04 lpdiblc2 = 8.163660446e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -7.410457490e+07 lpscbe1 = 5.974953667e+03 ppscbe1 = -1.525878906e-17 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.832161327e-01 lkt1 = -6.320184307e-8 ++ kt2 = -3.546454717e-02 lkt2 = 1.187904134e-7 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -1.015897703e+00 lute = -1.987671409e-6 ++ ua1 = 1.029872646e-09 lua1 = 1.820372413e-15 ++ ub1 = -3.826688949e-19 lub1 = -3.731564281e-24 ++ uc1 = 6.931016453e-11 luc1 = -7.089890741e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.137 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.119535564e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.987799812e-8 ++ k1 = 4.369481614e-01 lk1 = 5.134572035e-7 ++ k2 = 1.478903246e-02 lk2 = -2.269318805e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.179982599e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.568029407e-9 ++ nfactor = 2.835644582e+00 lnfactor = 2.181905348e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.571876712e-02 lu0 = 1.377744198e-8 ++ ua = -9.768594712e-10 lua = 1.642056141e-15 ++ ub = 1.627914685e-18 lub = -1.309360213e-24 ++ uc = 9.599342154e-12 luc = 1.333502887e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.409463060e+00 la0 = -7.135695335e-7 ++ ags = 3.609702746e-01 lags = 1.598395755e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.082491466e-08 lb0 = 8.892662098e-14 ++ b1 = 5.983139888e-10 lb1 = 1.091602815e-14 ++ keta = -1.746390476e-02 lketa = 8.039550078e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -6.945600321e-01 lpclm = 5.993548011e-06 wpclm = 4.440892099e-22 ppclm = -1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.643357236e-03 lpdiblc2 = 2.567139102e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.390114615e+08 lpscbe1 = 2.870431763e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.862692334e-01 lkt1 = -3.884989683e-8 ++ kt2 = -9.795153463e-03 lkt2 = -8.595216188e-8 ++ at = 140000.0 ++ ute = -1.231004634e+00 lute = -2.719492778e-7 ++ ua1 = 1.515902718e-09 lua1 = -2.056269539e-15 ++ ub1 = -1.027457992e-18 lub1 = 1.411361252e-24 ++ uc1 = -7.120287721e-11 luc1 = 4.117620565e-16 wuc1 = 2.067951531e-31 puc1 = -8.271806126e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.138 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.356425871e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.431280957e-8 ++ k1 = 5.591506344e-01 lk1 = 2.756355115e-8 ++ k2 = -3.970628007e-02 lk2 = -1.025110655e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.564204000e-01 ldsub = -1.178607824e-06 wdsub = -3.552713679e-21 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.372392365e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.493671045e-8 ++ nfactor = 2.540960508e+00 lnfactor = 1.389894491e-6 ++ eta0 = 1.585514060e-01 leta0 = -3.123310732e-7 ++ etab = -1.386707260e-01 letab = 2.730441458e-7 ++ u0 = 3.009815813e-02 lu0 = -3.635612266e-9 ++ ua = -7.055192805e-10 lua = 5.631706405e-16 ++ ub = 1.674767488e-18 lub = -1.495653328e-24 ++ uc = 3.557531560e-11 luc = 3.006628558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.610603794e+00 la0 = -1.513332445e-06 wa0 = -7.105427358e-21 ++ ags = 3.267882410e-01 lags = 2.957519899e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 6.450162160e-10 lb0 = 1.294032816e-13 ++ b1 = -1.306345622e-09 lb1 = 1.848921380e-14 ++ keta = 5.179224004e-04 lketa = 8.897310460e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.116334728e+00 lpclm = -1.206815837e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.474187624e-03 lpdiblc2 = 9.299472665e-9 ++ pdiblcb = -3.735085000e-02 lpdiblcb = 4.910865932e-8 ++ drout = 0.56 ++ pscbe1 = 6.234654264e+08 lpscbe1 = 3.488563261e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.587107910e-01 lkt1 = -1.484260119e-7 ++ kt2 = -1.476078455e-02 lkt2 = -6.620813734e-8 ++ at = 1.702645228e+05 lat = -1.203358588e-1 ++ ute = -8.735426920e-01 lute = -1.693266573e-6 ++ ua1 = 2.140334125e-09 lua1 = -4.539093737e-15 pua1 = -1.323488980e-35 ++ ub1 = -1.486104833e-18 lub1 = 3.235003467e-24 ++ uc1 = 8.417239227e-12 luc1 = 9.518164525e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.139 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.847371124e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.628333163e-8 ++ k1 = 5.915575913e-01 lk1 = -3.647700292e-8 ++ k2 = -4.999948451e-02 lk2 = 1.008966530e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.481406205e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.818528415e-8 ++ nfactor = 3.599201184e+00 lnfactor = -7.013330064e-7 ++ eta0 = -1.482776250e-03 leta0 = 3.918235528e-09 peta0 = -6.938893904e-30 ++ etab = 8.137340700e-02 letab = -1.617929870e-07 wetab = -1.804112415e-22 petab = 1.179611964e-28 ++ u0 = 3.085609312e-02 lu0 = -5.133394898e-9 ++ ua = 2.754445177e-10 lua = -1.375347236e-15 pua = 3.308722450e-36 ++ ub = 6.147365314e-20 lub = 1.692434697e-24 ++ uc = 6.175884023e-11 luc = -2.167592006e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.666551942e+04 lvsat = 6.589387108e-3 ++ a0 = 4.980764928e-01 la0 = 6.851728046e-7 ++ ags = -2.786400028e-01 lags = 1.492160538e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.922271293e-08 lb0 = 9.269122637e-14 ++ b1 = 1.375707435e-08 lb1 = -1.127815269e-14 ++ keta = 7.110305558e-02 lketa = -1.305885123e-07 wketa = 5.551115123e-23 pketa = 5.551115123e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.496432008e-01 lpclm = 7.034980908e-7 ++ pdiblc1 = 4.247813265e-01 lpdiblc1 = -6.873263151e-8 ++ pdiblc2 = 9.606198839e-03 lpdiblc2 = -4.794351448e-9 ++ pdiblcb = -2.451476819e-02 lpdiblcb = 2.374281595e-8 ++ drout = 2.088804448e-01 ldrout = 6.938599933e-7 ++ pscbe1 = 8.645253716e+08 lpscbe1 = -1.275109097e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.328986640e-06 lalpha0 = 1.059008642e-11 palpha0 = -1.990527426e-32 ++ alpha1 = 0.85 ++ beta0 = 1.034200586e+01 lbeta0 = 6.952034876e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.915711146e-01 lkt1 = 1.141240567e-7 ++ kt2 = -6.889893246e-02 lkt2 = 4.077620572e-8 ++ at = 1.549379515e+05 lat = -9.004846934e-2 ++ ute = -2.370540520e+00 lute = 1.265004727e-6 ++ ua1 = -1.560482787e-09 lua1 = 2.774223792e-15 wua1 = -1.654361225e-30 pua1 = 6.617444900e-36 ++ ub1 = 9.526220239e-19 lub1 = -1.584252469e-24 wub1 = -1.540743956e-39 ++ uc1 = 7.177850760e-11 luc1 = -3.002883819e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.140 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.379634364e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.432720057e-8 ++ k1 = 6.296133047e-01 lk1 = -7.362455483e-8 ++ k2 = -6.835126213e-02 lk2 = 2.800349610e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.120939287e-01 ldsub = 4.676284081e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.261242964e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.338157261e-9 ++ nfactor = 2.783078619e+00 lnfactor = 9.531360947e-8 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = 7.355227538e-22 peta0 = 3.469446952e-28 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 2.955893720e-02 lu0 = -3.867194305e-9 ++ ua = -8.304373631e-10 lua = -2.958561202e-16 ++ ub = 1.698955783e-18 lub = 9.402944032e-26 ++ uc = 2.718870035e-11 luc = 1.206923800e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -8.676901760e+02 lvsat = 8.227234419e-2 ++ a0 = 1.024210589e+00 la0 = 1.715943727e-7 ++ ags = 2.291547500e+00 lags = -1.016692010e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.229104170e-07 lb0 = -1.061356743e-13 ++ b1 = 4.301245670e-09 lb1 = -2.047977909e-15 ++ keta = -1.155907111e-01 lketa = 5.164999439e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.268894400e+00 lpclm = -3.890432980e-7 ++ pdiblc1 = 6.603681753e-01 lpdiblc1 = -2.986974357e-7 ++ pdiblc2 = 9.120988833e-03 lpdiblc2 = -4.320720495e-9 ++ pdiblcb = 8.971602892e-02 lpdiblcb = -8.776197741e-08 wpdiblcb = -1.249000903e-22 ppdiblcb = 4.770489559e-29 ++ drout = 8.432395256e-01 ldrout = 7.463925761e-8 ++ pscbe1 = 1.016674454e+09 lpscbe1 = -2.760291061e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.062680640e-06 lalpha0 = -1.505866109e-12 ++ alpha1 = 0.85 ++ beta0 = 1.693644131e+01 lbeta0 = 5.149690275e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.705693353e-01 lkt1 = -3.990136189e-9 ++ kt2 = -1.799095058e-02 lkt2 = -8.916908084e-9 ++ at = 1.122373800e+05 lat = -4.836690427e-2 ++ ute = -8.501885196e-01 lute = -2.190655934e-7 ++ ua1 = 1.709985088e-09 lua1 = -4.181976374e-16 ++ ub1 = -7.070069558e-19 lub1 = 3.577112438e-26 ++ uc1 = 7.460704526e-11 luc1 = -3.278987563e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.141 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.143892664e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.206188842e-8 ++ k1 = 8.414013971e-02 lk1 = 1.860948561e-7 ++ k2 = 1.094279808e-01 lk2 = -5.664360154e-08 wk2 = 5.551115123e-23 pk2 = -6.938893904e-29 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.761425679e-01 ldsub = 6.388057796e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-09 pcdscd = -6.938893904e-30 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.121188879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.500663645e-8 ++ nfactor = 3.588363944e+00 lnfactor = -2.881117241e-7 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.860778692e-02 letab = -1.868014223e-08 wetab = -4.510281038e-23 petab = 2.428612866e-29 ++ u0 = 1.679523247e-02 lu0 = 2.210065012e-9 ++ ua = -1.703807103e-09 lua = 1.199866543e-16 ++ ub = 1.993090003e-18 lub = -4.601845039e-26 ++ uc = 1.633443547e-11 luc = 1.723734426e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.663999498e+05 lvsat = 2.630199184e-3 ++ a0 = 1.280215623e+00 la0 = 4.970115984e-8 ++ ags = -8.330949999e-01 lags = 4.710627709e-07 wags = 1.776356839e-21 pags = 4.440892099e-28 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.166340672e-02 lketa = -3.274707227e-08 pketa = -4.163336342e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.446949625e-01 lpclm = -9.183947451e-08 wpclm = -3.552713679e-21 ++ pdiblc1 = -2.599865133e-01 lpdiblc1 = 1.395165643e-07 ppdiblc1 = -2.220446049e-28 ++ pdiblc2 = -7.515255684e-03 lpdiblc2 = 3.600394425e-09 wpdiblc2 = -5.204170428e-24 ppdiblc2 = 2.710505431e-30 ++ pdiblcb = -8.674421609e-02 lpdiblcb = -3.742902196e-9 ++ drout = 1.449262700e+00 ldrout = -2.139101923e-07 wdrout = -7.105427358e-21 ++ pscbe1 = 1.163107023e+08 lpscbe1 = 1.526664890e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.408183449e-06 lalpha0 = -1.670372435e-12 ++ alpha1 = 0.85 ++ beta0 = 2.136300371e+01 lbeta0 = -1.592676687e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.133121573e-01 lkt1 = 1.636126010e-8 ++ kt2 = -4.380991832e-02 lkt2 = 3.376431938e-9 ++ at = -5.685532692e+03 lat = 7.780439669e-03 pat = 1.455191523e-23 ++ ute = -1.302351205e+00 lute = -3.774660968e-9 ++ ua1 = 1.605522255e-09 lua1 = -3.684591218e-16 ++ ub1 = -1.843635241e-18 lub1 = 5.769607696e-25 pub1 = 7.703719778e-46 ++ uc1 = -1.222035414e-10 luc1 = 6.091872988e-17 wuc1 = 1.550963649e-31 puc1 = -3.877409121e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.142 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.787058597e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.399258556e-8 ++ k1 = 9.070734896e-01 lk1 = 6.820499721e-17 ++ k2 = -1.567821040e-01 lk2 = 3.556082198e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586300001e-01 ldsub = -1.033839681e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999996e-03 lcdscd = 7.217004772e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280779e-8 ++ nfactor = 1.956461452e+00 lnfactor = 8.092017789e-8 ++ eta0 = 2.242428860e-03 leta0 = -3.501238375e-10 ++ etab = -4.399800002e-02 letab = 2.944755551e-18 ++ u0 = 2.102562674e-02 lu0 = 1.253420572e-9 ++ ua = -1.155463028e-09 lua = -4.013681436e-18 ++ ub = 1.295395749e-18 lub = 1.117553374e-25 ++ uc = 1.272578803e-10 luc = -7.846439865e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.600919746e+05 lvsat = 4.056659463e-3 ++ a0 = 1.499999999e+00 la0 = 2.083453410e-16 ++ ags = 1.250000000e+00 lags = 4.461142566e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.083869161e-01 lketa = 2.832102752e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.706266759e-01 lpclm = -2.986276845e-8 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.566746898e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.563738690e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831956891e-18 ++ drout = 5.033266588e-01 ldrout = 1.889173262e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866531372e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.863680696e-09 lalpha0 = 4.236956351e-15 ++ alpha1 = 0.85 ++ beta0 = 1.533904646e+01 lbeta0 = -2.304430895e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.618011205e-01 lkt1 = 4.712760272e-9 ++ kt2 = -2.887893901e-02 lkt2 = 1.131983396e-18 ++ at = -2.704237011e+04 lat = 1.260998945e-2 ++ ute = -1.326367013e+00 lute = 1.656177765e-9 ++ ua1 = -2.384733737e-11 lua1 = 2.135939574e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034217886e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.393156233e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.143 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {-3.172849528e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.717602665e-07 wvth0 = 1.953292793e-06 pvth0 = -3.049793236e-13 ++ k1 = 0.90707349 ++ k2 = -3.003671695e-01 lk2 = 2.597487998e-08 wk2 = 8.244312159e-08 pk2 = -1.287233923e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.467965476e+00 ldsub = -3.137296038e-07 wdsub = -1.017279868e-06 pdsub = 1.588340094e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000001e-03 lcdscd = -1.335181965e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300001e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.749000944e-17 ++ nfactor = -2.028325946e+00 lnfactor = 7.030889431e-07 wnfactor = 1.905910232e-06 pnfactor = -2.975812000e-13 ++ eta0 = -1.136608897e-02 leta0 = 1.774655702e-09 weta0 = 4.276847823e-09 peta0 = -6.677699117e-16 ++ etab = -0.043998 ++ u0 = 7.908565556e-01 lu0 = -1.189449013e-07 wu0 = -3.780983345e-07 pu0 = 5.903476155e-14 ++ ua = -1.477932634e-09 lua = 4.633543300e-17 wua = 1.398487077e-16 pua = -2.183541783e-23 ++ ub = 6.883165008e-18 lub = -7.606966037e-25 wub = -2.980183777e-24 pub = 4.653139742e-31 ++ uc = -2.014268080e-10 luc = 4.347307263e-17 wuc = 1.186133710e-16 puc = -1.851981730e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.001357668e+05 lvsat = -1.114908181e-01 wvsat = -3.576629760e-01 pvsat = 5.584406642e-8 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.229130883e-01 lketa = 1.242706859e-07 wketa = 4.029564701e-07 pketa = -6.291601142e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 8.088112420e-03 lpclm = 2.674255270e-08 wpclm = 1.207637244e-07 ppclm = -1.885556487e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.999997574e-08 lalpha0 = 3.788690917e-21 walpha0 = 1.229165593e-20 palpha0 = -1.919170088e-27 ++ alpha1 = 0.85 ++ beta0 = 1.391835537e+01 lbeta0 = -8.622065789e-09 wbeta0 = 1.174323643e-13 pbeta0 = -1.833538477e-20 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.923331776e-01 lkt1 = -6.133686455e-09 wkt1 = -4.101782025e-15 pkt1 = 6.404361486e-22 ++ kt2 = -0.028878939 ++ at = 5.372048954e+04 lat = -3.982814960e-10 wat = -1.324324869e-09 pat = 2.067746827e-16 ++ ute = -1.132927112e+00 lute = -2.854675466e-08 wute = -2.218109154e-07 pute = 3.463266909e-14 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.144 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.145 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.685741570e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.058779873e-7 ++ k1 = 6.123320250e-01 lk1 = -8.854283449e-7 ++ k2 = -5.163799463e-02 lk2 = 3.028991216e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.016862216e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.316750651e-7 ++ nfactor = 3.665874199e+00 lnfactor = -6.403833805e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.231223305e-02 lu0 = 4.094842102e-08 wu0 = 5.551115123e-23 ++ ua = -1.241809818e-09 lua = 3.755336140e-15 ++ ub = 1.685065637e-18 lub = -1.765203975e-24 ++ uc = 6.330548048e-11 luc = -2.950171746e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.390915283e+00 la0 = -5.656299401e-7 ++ ags = 3.208651839e-01 lags = 4.797232332e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.422797401e-08 lb0 = -1.797800326e-14 ++ b1 = 5.203866371e-09 lb1 = -2.581848400e-14 ++ keta = -2.660376772e-03 lketa = -3.767945174e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -9.712120000e-03 lpclm = 5.311079250e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.516568119e-04 lpdiblc2 = 8.163660446e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -7.410457490e+07 lpscbe1 = 5.974953667e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.832161327e-01 lkt1 = -6.320184307e-8 ++ kt2 = -3.546454717e-02 lkt2 = 1.187904134e-7 ++ at = 1.982637300e+05 lat = -4.647194343e-1 ++ ute = -1.015897703e+00 lute = -1.987671409e-6 ++ ua1 = 1.029872646e-09 lua1 = 1.820372413e-15 ++ ub1 = -3.826688949e-19 lub1 = -3.731564281e-24 ++ uc1 = 6.931016453e-11 luc1 = -7.089890741e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.146 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.119535564e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.987799812e-8 ++ k1 = 4.369481614e-01 lk1 = 5.134572035e-7 ++ k2 = 1.478903246e-02 lk2 = -2.269318805e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.179982599e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.568029407e-9 ++ nfactor = 2.835644582e+00 lnfactor = 2.181905348e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.571876712e-02 lu0 = 1.377744198e-8 ++ ua = -9.768594712e-10 lua = 1.642056141e-15 ++ ub = 1.627914685e-18 lub = -1.309360213e-24 ++ uc = 9.599342154e-12 luc = 1.333502887e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.409463060e+00 la0 = -7.135695335e-7 ++ ags = 3.609702746e-01 lags = 1.598395755e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.082491466e-08 lb0 = 8.892662098e-14 ++ b1 = 5.983139888e-10 lb1 = 1.091602815e-14 ++ keta = -1.746390476e-02 lketa = 8.039550078e-08 pketa = 1.110223025e-28 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -6.945600321e-01 lpclm = 5.993548011e-06 wpclm = 6.661338148e-22 ppclm = -1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.643357236e-03 lpdiblc2 = 2.567139102e-08 ppdiblc2 = -2.775557562e-29 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 6.390114615e+08 lpscbe1 = 2.870431763e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.862692334e-01 lkt1 = -3.884989683e-8 ++ kt2 = -9.795153463e-03 lkt2 = -8.595216188e-8 ++ at = 140000.0 ++ ute = -1.231004634e+00 lute = -2.719492778e-7 ++ ua1 = 1.515902718e-09 lua1 = -2.056269539e-15 wua1 = -3.308722450e-30 ++ ub1 = -1.027457992e-18 lub1 = 1.411361252e-24 ++ uc1 = -7.120287721e-11 luc1 = 4.117620565e-16 wuc1 = -5.169878828e-32 puc1 = 2.067951531e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.147 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.356425871e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.431280957e-8 ++ k1 = 5.591506344e-01 lk1 = 2.756355115e-8 ++ k2 = -3.970628007e-02 lk2 = -1.025110655e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.564204000e-01 ldsub = -1.178607824e-6 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.372392365e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.493671045e-8 ++ nfactor = 2.540960508e+00 lnfactor = 1.389894491e-6 ++ eta0 = 1.585514060e-01 leta0 = -3.123310732e-7 ++ etab = -1.386707260e-01 letab = 2.730441458e-7 ++ u0 = 3.009815813e-02 lu0 = -3.635612266e-9 ++ ua = -7.055192805e-10 lua = 5.631706405e-16 ++ ub = 1.674767488e-18 lub = -1.495653328e-24 ++ uc = 3.557531560e-11 luc = 3.006628558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.610603794e+00 la0 = -1.513332445e-6 ++ ags = 3.267882410e-01 lags = 2.957519899e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 6.450162160e-10 lb0 = 1.294032816e-13 ++ b1 = -1.306345622e-09 lb1 = 1.848921380e-14 ++ keta = 5.179224004e-04 lketa = 8.897310460e-9 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.116334728e+00 lpclm = -1.206815837e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.474187624e-03 lpdiblc2 = 9.299472665e-9 ++ pdiblcb = -3.735085000e-02 lpdiblcb = 4.910865932e-8 ++ drout = 0.56 ++ pscbe1 = 6.234654264e+08 lpscbe1 = 3.488563261e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.587107910e-01 lkt1 = -1.484260119e-7 ++ kt2 = -1.476078455e-02 lkt2 = -6.620813734e-8 ++ at = 1.702645228e+05 lat = -1.203358588e-1 ++ ute = -8.735426920e-01 lute = -1.693266573e-6 ++ ua1 = 2.140334125e-09 lua1 = -4.539093737e-15 ++ ub1 = -1.486104833e-18 lub1 = 3.235003467e-24 pub1 = -6.162975822e-45 ++ uc1 = 8.417239227e-12 luc1 = 9.518164525e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.148 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.847371124e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.628333163e-8 ++ k1 = 5.915575913e-01 lk1 = -3.647700292e-8 ++ k2 = -4.999948451e-02 lk2 = 1.008966530e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.481406205e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.818528415e-8 ++ nfactor = 3.599201184e+00 lnfactor = -7.013330064e-7 ++ eta0 = -1.482776250e-03 leta0 = 3.918235528e-09 peta0 = 3.469446952e-30 ++ etab = 8.137340700e-02 letab = -1.617929870e-07 wetab = 2.775557562e-23 petab = -3.122502257e-29 ++ u0 = 3.085609312e-02 lu0 = -5.133394898e-9 ++ ua = 2.754445177e-10 lua = -1.375347236e-15 ++ ub = 6.147365314e-20 lub = 1.692434697e-24 ++ uc = 6.175884023e-11 luc = -2.167592006e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.666551942e+04 lvsat = 6.589387108e-3 ++ a0 = 4.980764928e-01 la0 = 6.851728046e-7 ++ ags = -2.786400028e-01 lags = 1.492160538e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.922271293e-08 lb0 = 9.269122637e-14 ++ b1 = 1.375707435e-08 lb1 = -1.127815269e-14 ++ keta = 7.110305558e-02 lketa = -1.305885123e-07 pketa = 5.551115123e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.496432008e-01 lpclm = 7.034980908e-7 ++ pdiblc1 = 4.247813265e-01 lpdiblc1 = -6.873263151e-8 ++ pdiblc2 = 9.606198839e-03 lpdiblc2 = -4.794351448e-9 ++ pdiblcb = -2.451476819e-02 lpdiblcb = 2.374281595e-8 ++ drout = 2.088804448e-01 ldrout = 6.938599933e-7 ++ pscbe1 = 8.645253716e+08 lpscbe1 = -1.275109097e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.328986640e-06 lalpha0 = 1.059008642e-11 walpha0 = 5.293955920e-28 palpha0 = -6.670384460e-33 ++ alpha1 = 0.85 ++ beta0 = 1.034200586e+01 lbeta0 = 6.952034876e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.915711146e-01 lkt1 = 1.141240567e-7 ++ kt2 = -6.889893246e-02 lkt2 = 4.077620572e-8 ++ at = 1.549379515e+05 lat = -9.004846934e-2 ++ ute = -2.370540520e+00 lute = 1.265004727e-6 ++ ua1 = -1.560482787e-09 lua1 = 2.774223792e-15 wua1 = -1.240770919e-30 pua1 = -1.654361225e-36 ++ ub1 = 9.526220239e-19 lub1 = -1.584252469e-24 wub1 = 7.703719778e-40 pub1 = -3.851859889e-46 ++ uc1 = 7.177850760e-11 luc1 = -3.002883819e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.149 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.379634364e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.432720057e-8 ++ k1 = 6.296133047e-01 lk1 = -7.362455483e-8 ++ k2 = -6.835126213e-02 lk2 = 2.800349610e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.120939287e-01 ldsub = 4.676284081e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.261242964e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.338157261e-9 ++ nfactor = 2.783078619e+00 lnfactor = 9.531360947e-8 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = -2.636779683e-22 peta0 = -4.267419751e-28 ++ etab = -1.641277800e-01 letab = 7.784955966e-8 ++ u0 = 2.955893720e-02 lu0 = -3.867194305e-9 ++ ua = -8.304373631e-10 lua = -2.958561202e-16 ++ ub = 1.698955783e-18 lub = 9.402944032e-26 ++ uc = 2.718870035e-11 luc = 1.206923800e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -8.676901760e+02 lvsat = 8.227234419e-2 ++ a0 = 1.024210589e+00 la0 = 1.715943727e-7 ++ ags = 2.291547500e+00 lags = -1.016692010e-6 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.229104170e-07 lb0 = -1.061356743e-13 ++ b1 = 4.301245670e-09 lb1 = -2.047977909e-15 ++ keta = -1.155907111e-01 lketa = 5.164999439e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.268894400e+00 lpclm = -3.890432980e-7 ++ pdiblc1 = 6.603681753e-01 lpdiblc1 = -2.986974357e-7 ++ pdiblc2 = 9.120988833e-03 lpdiblc2 = -4.320720495e-9 ++ pdiblcb = 8.971602892e-02 lpdiblcb = -8.776197741e-08 wpdiblcb = -8.413408858e-23 ppdiblcb = 1.023486851e-28 ++ drout = 8.432395256e-01 ldrout = 7.463925761e-8 ++ pscbe1 = 1.016674454e+09 lpscbe1 = -2.760291061e+02 wpscbe1 = -1.907348633e-12 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.062680640e-06 lalpha0 = -1.505866109e-12 ++ alpha1 = 0.85 ++ beta0 = 1.693644131e+01 lbeta0 = 5.149690275e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.705693353e-01 lkt1 = -3.990136189e-9 ++ kt2 = -1.799095058e-02 lkt2 = -8.916908084e-9 ++ at = 1.122373800e+05 lat = -4.836690427e-02 wat = -2.328306437e-16 ++ ute = -8.501885196e-01 lute = -2.190655934e-7 ++ ua1 = 1.709985088e-09 lua1 = -4.181976374e-16 wua1 = 3.308722450e-30 ++ ub1 = -7.070069558e-19 lub1 = 3.577112438e-26 ++ uc1 = 7.460704526e-11 luc1 = -3.278987563e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.150 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.143892664e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.206188842e-8 ++ k1 = 8.414013971e-02 lk1 = 1.860948561e-7 ++ k2 = 1.094279808e-01 lk2 = -5.664360154e-08 wk2 = -2.775557562e-23 pk2 = 6.938893904e-30 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.761425679e-01 ldsub = 6.388057796e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.121188879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.500663645e-8 ++ nfactor = 3.588363944e+00 lnfactor = -2.881117241e-7 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.860778692e-02 letab = -1.868014223e-08 wetab = 2.775557562e-23 petab = 5.637851297e-30 ++ u0 = 1.679523247e-02 lu0 = 2.210065012e-9 ++ ua = -1.703807103e-09 lua = 1.199866543e-16 ++ ub = 1.993090003e-18 lub = -4.601845039e-26 ++ uc = 1.633443547e-11 luc = 1.723734426e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.663999498e+05 lvsat = 2.630199184e-3 ++ a0 = 1.280215623e+00 la0 = 4.970115984e-8 ++ ags = -8.330949999e-01 lags = 4.710627709e-07 wags = 4.440892099e-22 pags = 4.440892099e-28 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.166340672e-02 lketa = -3.274707227e-08 wketa = -2.775557562e-23 pketa = 2.081668171e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.446949625e-01 lpclm = -9.183947451e-8 ++ pdiblc1 = -2.599865133e-01 lpdiblc1 = 1.395165643e-07 wpdiblc1 = -1.110223025e-22 ppdiblc1 = 2.775557562e-29 ++ pdiblc2 = -7.515255684e-03 lpdiblc2 = 3.600394425e-09 wpdiblc2 = 2.168404345e-25 ppdiblc2 = 3.794707604e-31 ++ pdiblcb = -8.674421609e-02 lpdiblcb = -3.742902196e-9 ++ drout = 1.449262700e+00 ldrout = -2.139101923e-7 ++ pscbe1 = 1.163107023e+08 lpscbe1 = 1.526664890e+2 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.408183449e-06 lalpha0 = -1.670372435e-12 ++ alpha1 = 0.85 ++ beta0 = 2.136300371e+01 lbeta0 = -1.592676687e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.133121573e-01 lkt1 = 1.636126010e-8 ++ kt2 = -4.380991832e-02 lkt2 = 3.376431938e-9 ++ at = -5.685532692e+03 lat = 7.780439669e-3 ++ ute = -1.302351205e+00 lute = -3.774660968e-9 ++ ua1 = 1.605522255e-09 lua1 = -3.684591218e-16 ++ ub1 = -1.843635241e-18 lub1 = 5.769607696e-25 ++ uc1 = -1.222035414e-10 luc1 = 6.091872988e-17 wuc1 = -1.033975766e-31 puc1 = -3.231174268e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.151 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.787058597e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.399258556e-8 ++ k1 = 9.070734896e-01 lk1 = 6.820322085e-17 ++ k2 = -1.567821040e-01 lk2 = 3.556082198e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 4.586300001e-01 ldsub = -1.033750863e-17 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999996e-03 lcdscd = 7.217039466e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280779e-8 ++ nfactor = 1.956461452e+00 lnfactor = 8.092017789e-8 ++ eta0 = 2.242428860e-03 leta0 = -3.501238375e-10 ++ etab = -4.399800002e-02 letab = 2.944755551e-18 ++ u0 = 2.102562674e-02 lu0 = 1.253420572e-9 ++ ua = -1.155463028e-09 lua = -4.013681436e-18 ++ ub = 1.295395749e-18 lub = 1.117553374e-25 ++ uc = 1.272578803e-10 luc = -7.846439865e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.600919746e+05 lvsat = 4.056659463e-3 ++ a0 = 1.499999999e+00 la0 = 2.083488937e-16 ++ ags = 1.250000000e+00 lags = 4.460964931e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.083869161e-01 lketa = 2.832102752e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.706266759e-01 lpclm = -2.986276845e-8 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.566924534e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.563599912e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831734847e-18 ++ drout = 5.033266588e-01 ldrout = 1.889155499e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866149902e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.863680696e-09 lalpha0 = 4.236956351e-15 ++ alpha1 = 0.85 ++ beta0 = 1.533904646e+01 lbeta0 = -2.304430895e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.618011205e-01 lkt1 = 4.712760272e-9 ++ kt2 = -2.887893901e-02 lkt2 = 1.131983396e-18 ++ at = -2.704237011e+04 lat = 1.260998945e-2 ++ ute = -1.326367013e+00 lute = 1.656177765e-9 ++ ua1 = -2.384733737e-11 lua1 = 2.135940608e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034187072e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.393363028e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.152 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {2.525953477e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.692500534e-08 wvth0 = 2.533061593e-07 pvth0 = -3.955021049e-14 ++ k1 = 0.90707349 ++ k2 = -1.842726492e-01 lk2 = 7.848345977e-09 wk2 = 2.482750091e-08 pk2 = -3.876466683e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.467965459e+00 ldsub = -3.137296012e-07 wdsub = -1.017279859e-06 pdsub = 1.588340081e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000001e-03 lcdscd = -1.335112576e-19 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300001e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.749089762e-17 ++ nfactor = -2.028325776e+00 lnfactor = 7.030889166e-07 wnfactor = 1.905910148e-06 pnfactor = -2.975811868e-13 ++ eta0 = -1.136614629e-02 leta0 = 1.774664653e-09 weta0 = 4.276876273e-09 peta0 = -6.677743538e-16 ++ etab = -0.043998 ++ u0 = 6.262738395e-02 lu0 = -5.242111391e-09 wu0 = -1.669130470e-08 pu0 = 2.606113551e-15 ++ ua = -1.477932599e-09 lua = 4.633542753e-17 wua = 1.398486904e-16 pua = -2.183541512e-23 ++ ub = 6.883164335e-18 lub = -7.606964985e-25 wub = -2.980183442e-24 pub = 4.653139219e-31 ++ uc = -2.014268208e-10 luc = 4.347307463e-17 wuc = 1.186133774e-16 puc = -1.851981829e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.736637301e+05 lvsat = -1.367598016e-02 wvsat = -4.675618067e-02 pvsat = 7.300323024e-9 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.229130756e-01 lketa = 1.242706840e-07 wketa = 4.029564638e-07 pketa = -6.291601043e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 8.088139166e-03 lpclm = 2.674254852e-08 wpclm = 1.207637111e-07 ppclm = -1.885556280e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.000000006e-08 lalpha0 = -9.450452472e-24 walpha0 = 2.191735868e-22 palpha0 = -3.422087222e-29 ++ alpha1 = 0.85 ++ beta0 = 1.391835560e+01 lbeta0 = -8.622102722e-09 wbeta0 = 3.967670636e-17 pbeta0 = -6.210143511e-24 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.923331857e-01 lkt1 = -6.133685191e-09 wkt1 = -8.154366071e-17 pkt1 = 1.273181560e-23 ++ kt2 = -0.028878939 ++ at = 5.372048692e+04 lat = 1.022242941e-11 wat = -2.588424832e-11 pat = 4.041474313e-18 ++ ute = -1.132927148e+00 lute = -2.854674899e-08 wute = -2.218108973e-07 pute = 3.463266627e-14 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.153 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.154 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.440467258e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.958412891e-07 wvth0 = 1.168197400e-08 pvth0 = -2.333607013e-13 ++ k1 = 8.217577412e-01 lk1 = -5.068944934e-06 wk1 = -9.974569897e-08 pk1 = 1.992533648e-12 ++ k2 = -1.408123644e-01 lk2 = 2.084258460e-06 wk2 = 4.247214719e-08 pk2 = -8.484293884e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.014047019e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.372987414e-07 wvoff = -1.340827786e-10 pvoff = 2.678455820e-15 ++ nfactor = 4.247871716e+00 lnfactor = -1.802989535e-05 wnfactor = -2.771949411e-07 pnfactor = 5.537283843e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.906185070e-02 lu0 = 1.058785009e-07 wu0 = 1.548098606e-09 pu0 = -3.092502829e-14 ++ ua = -1.616986101e-09 lua = 1.124990859e-14 wua = 1.786897104e-16 pua = -3.569529956e-21 ++ ub = 1.862903844e-18 lub = -5.317724193e-24 wub = -8.470113711e-26 pub = 1.692001434e-30 ++ uc = 6.326296240e-11 luc = -2.941678276e-16 wuc = 2.025059733e-20 puc = -4.045286864e-25 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.060774878e+00 la0 = 6.029299683e-06 wa0 = 1.572399322e-07 pa0 = -3.141046271e-12 ++ ags = 3.186892585e-01 lags = 5.231898148e-07 wags = 1.036354099e-09 pags = -2.070235042e-14 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 6.783401913e-08 lb0 = -8.890582910e-13 wb0 = -2.076877438e-14 pb0 = 4.148798616e-19 ++ b1 = 8.875177735e-09 lb1 = -9.915709911e-14 wb1 = -1.748579519e-15 pb1 = 3.492986228e-20 ++ keta = -5.263483841e-03 lketa = 1.432056911e-08 wketa = 1.239813041e-09 pketa = -2.476667393e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 5.695623324e-02 lpclm = -8.006681662e-07 wpclm = -3.175293662e-08 ppclm = 6.343009803e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.210332764e-03 lpdiblc2 = -4.494641196e-08 wpdiblc2 = -1.266279500e-09 ppdiblc2 = 2.529537151e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -1.041850928e+08 lpscbe1 = 6.575846183e+03 wpscbe1 = 1.432680922e+01 ppscbe1 = -2.861942893e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.009236635e-01 lkt1 = 2.905262004e-07 wkt1 = 8.433778183e-09 pkt1 = -1.684743000e-13 ++ kt2 = -6.178975046e-02 lkt2 = 6.446662545e-07 wkt2 = 1.253822047e-08 pkt2 = -2.504651974e-13 ++ at = 2.357796851e+05 lat = -1.214143255e+00 wat = -1.786817412e-02 pat = 3.569370762e-7 ++ ute = -6.094748567e-01 lute = -1.010642947e-05 wute = -1.935718863e-07 pute = 3.866818326e-12 ++ ua1 = 1.606117716e-09 lua1 = -9.690777475e-15 wua1 = -2.744551544e-16 pua1 = 5.482553491e-21 ++ ub1 = -8.876086396e-19 lub1 = 6.355180732e-24 wub1 = 2.404937115e-25 pub1 = -4.804135088e-30 ++ uc1 = 1.677082470e-10 luc1 = -2.674602552e-15 wuc1 = -4.686523553e-17 puc1 = 9.361863187e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.155 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.204799510e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 2.861994897e-07 wvth0 = -4.060968279e-09 pvth0 = -1.077928527e-13 ++ k1 = -2.040130716e-01 lk1 = 3.112742574e-06 wk1 = 3.052782980e-07 pk1 = -1.237992835e-12 ++ k2 = 2.880568093e-01 lk2 = -1.336460396e-06 wk2 = -1.301525233e-07 pk2 = 5.284484603e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-8.790875386e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.449442585e-07 wvoff = -1.433109012e-08 pvoff = 1.159157171e-13 ++ nfactor = 1.543659763e+00 lnfactor = 3.539266966e-06 wnfactor = 6.153491137e-07 pnfactor = -1.581768925e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.156833316e-02 lu0 = 6.125095903e-09 wu0 = -2.786043012e-09 pu0 = 3.644674696e-15 ++ ua = -1.865050936e-11 lua = -1.498633460e-15 wua = -4.563776808e-16 pua = 1.495853925e-21 ++ ub = 9.153859060e-19 lub = 2.239807743e-24 wub = 3.393646320e-25 pub = -1.690404813e-30 ++ uc = -7.681406779e-11 luc = 8.231056157e-16 wuc = 4.115715172e-17 puc = -3.285180466e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 2.175462013e+00 la0 = -2.861596503e-06 wa0 = -3.648315134e-07 pa0 = 1.023066581e-12 ++ ags = 1.893441793e-01 lags = 1.554863758e-06 wags = 8.174241992e-08 pags = -6.644249075e-13 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -2.289842658e-07 lb0 = 1.478404717e-12 wb0 = 1.142167961e-13 pb0 = -6.617834064e-19 ++ b1 = -1.292107121e-09 lb1 = -1.806145234e-14 wb1 = 9.003735472e-16 pb1 = 1.380145237e-20 ++ keta = -1.077482467e-02 lketa = 5.827977310e-08 wketa = -3.185888443e-09 pketa = 1.053332301e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.008746810e+00 lpclm = 1.567566024e-05 wpclm = 6.259235070e-07 ppclm = -4.611415778e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -3.884875667e-03 lpdiblc2 = 1.164593544e-08 wpdiblc2 = 1.067594881e-09 ppdiblc2 = 6.680072035e-15 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 1.061386927e+09 lpscbe1 = -2.720914767e+03 wpscbe1 = -2.011698316e+02 ppscbe1 = 1.432636225e-3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.995756302e-01 lkt1 = 2.797741037e-07 wkt1 = 6.337597304e-09 pkt1 = -1.517548762e-13 ++ kt2 = 2.322492653e-02 lkt2 = -3.342237117e-08 wkt2 = -1.572686974e-08 pkt2 = -2.501899378e-14 ++ at = 2.745213476e+04 lat = 4.475056187e-01 wat = 5.360452235e-02 pat = -2.131388711e-7 ++ ute = -2.827302572e+00 lute = 7.583266016e-06 wute = 7.602879747e-07 pute = -3.741297650e-12 ++ ua1 = -1.784648604e-09 lua1 = 1.735443584e-14 wua1 = 1.571993185e-15 pua1 = -9.244969580e-21 ++ ub1 = 1.459670687e-18 lub1 = -1.236703841e-23 wub1 = -1.184574622e-24 pub1 = 6.562403748e-30 ++ uc1 = -2.939811106e-10 luc1 = 1.007894554e-15 wuc1 = 1.061052626e-16 puc1 = -2.839271782e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.156 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.381992291e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.818683698e-07 wvth0 = -4.884588258e-08 pvth0 = 7.027805733e-14 ++ k1 = 6.989797175e-01 lk1 = -4.776795624e-07 wk1 = -6.659807534e-08 pk1 = 2.406382006e-13 ++ k2 = -1.061923299e-01 lk2 = 2.311277994e-07 wk2 = 3.166610877e-08 pk2 = -1.149644281e-13 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.971797010e+00 ldsub = -5.613496914e-06 wdsub = -5.312338023e-07 pdsub = 2.112257846e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.337651669e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.350006763e-07 wvoff = 4.597356318e-08 pvoff = -1.238637858e-13 ++ nfactor = 1.568996789e+00 lnfactor = 3.438523505e-06 wnfactor = 4.629288240e-07 pnfactor = -9.757251240e-13 ++ eta0 = 4.541262075e-01 leta0 = -1.487576682e-06 weta0 = -1.407769576e-07 peta0 = 5.597483292e-13 ++ etab = -3.970663072e-01 letab = 1.300460118e-06 wetab = 1.230691642e-07 petab = -4.893397343e-13 ++ u0 = 3.560475970e-02 lu0 = -9.924284997e-09 wu0 = -2.622695211e-09 pu0 = 2.995181625e-15 ++ ua = -1.503415702e-09 lua = 4.404994874e-15 wua = 3.800237035e-16 pua = -1.829791730e-21 ++ ub = 3.565806593e-18 lub = -8.298625364e-24 wub = -9.006678870e-25 pub = 3.240133127e-30 ++ uc = 1.888221299e-10 luc = -2.331000331e-16 wuc = -7.298869923e-17 puc = 1.253413806e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.328186982e+05 lvsat = -1.005241527e+00 wvsat = -1.204129952e-01 pvsat = 4.787784451e-7 ++ a0 = 4.495430831e+00 la0 = -1.208610804e-05 wa0 = -1.373991191e-06 pa0 = 5.035622705e-12 ++ ags = -9.049205322e-02 lags = 2.667530676e-06 wags = 1.987430931e-07 pags = -1.129635496e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -9.209822745e-08 lb0 = 9.341272118e-13 wb0 = 4.417193758e-14 pb0 = -3.832755229e-19 ++ b1 = -1.914328479e-08 lb1 = 5.291725781e-14 wb1 = 8.495413059e-15 pb1 = -1.639745766e-20 ++ keta = 5.073748949e-02 lketa = -1.863015537e-07 wketa = -2.391867585e-08 pketa = 9.296970541e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 8.147361128e+00 lpclm = -2.470640615e-05 wpclm = -3.348751316e-06 ppclm = 1.119243187e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.418717630e-02 lpdiblc2 = -6.021100098e-08 wpdiblc2 = -5.578685672e-09 ppdiblc2 = 3.310658741e-14 ++ pdiblcb = -8.382487540e-02 lpdiblcb = 2.338957048e-07 wpdiblcb = 2.213474176e-08 ppdiblcb = -8.801074358e-14 ++ drout = 0.56 ++ pscbe1 = -4.080239783e+07 lpscbe1 = 1.661539887e+03 wpscbe1 = 3.163788079e+02 ppscbe1 = -6.252075519e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -8.194415328e-02 lkt1 = -5.855582466e-07 wkt1 = -8.419076773e-08 pkt1 = 2.081982150e-13 ++ kt2 = 1.434144464e-01 lkt2 = -5.113122478e-07 wkt2 = -7.533601533e-08 pkt2 = 2.119950759e-13 ++ at = 2.841444747e+05 lat = -5.731380349e-01 wat = -5.423897122e-02 pat = 2.156615261e-7 ++ ute = 1.909014365e+00 lute = -1.124897427e-05 wute = -1.325281840e-06 pute = 4.551211572e-12 ++ ua1 = 1.141786848e-08 lua1 = -3.514056761e-14 wua1 = -4.418722615e-15 pua1 = 1.457493118e-20 ++ ub1 = -7.308256149e-18 lub1 = 2.249543113e-23 wub1 = 2.772985873e-24 pub1 = -9.173395008e-30 ++ uc1 = -1.786418358e-10 luc1 = 5.492899110e-16 wuc1 = 8.909287036e-17 puc1 = -2.162835930e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.157 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.504369097e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.891755091e-07 wvth0 = 1.633656913e-08 pvth0 = -5.853133206e-14 ++ k1 = 4.780953274e-01 lk1 = -4.118196720e-08 wk1 = 5.404003399e-08 pk1 = 2.240889795e-15 ++ k2 = 2.993537022e-03 lk2 = 1.536167717e-08 wk2 = -2.523962228e-08 pk2 = -2.510964359e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = -1.970753219e+00 ldsub = 2.177518524e-06 wdsub = 1.062467605e-06 pdsub = -1.037112878e-12 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {5.996276874e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.454456715e-07 wvoff = -5.942895852e-08 pvoff = 8.442593182e-14 ++ nfactor = 5.245328609e+00 lnfactor = -3.826408152e-06 wnfactor = -7.840208619e-07 pnfactor = 1.488417041e-12 ++ eta0 = -5.926323793e-01 leta0 = 5.809606445e-07 weta0 = 2.815539152e-07 peta0 = -2.748349126e-13 ++ etab = 9.069281548e-01 letab = -1.276410282e-06 wetab = -3.931968664e-07 petab = 5.308721543e-13 ++ u0 = 2.745634634e-02 lu0 = 6.178087996e-09 wu0 = 1.619238199e-09 pu0 = -5.387455696e-15 ++ ua = 2.205141162e-09 lua = -2.923617852e-15 wua = -9.190797770e-16 pua = 7.374134259e-22 ++ ub = -3.228910931e-18 lub = 5.128660546e-24 wub = 1.567150951e-24 pub = -1.636612520e-30 ++ uc = 1.124040642e-10 luc = -8.208754232e-17 wuc = -2.412140857e-17 puc = 2.877296827e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -8.890861700e+04 lvsat = -1.718509976e-01 wvsat = 7.885998084e-02 pvsat = 8.498794329e-8 ++ a0 = -3.271981319e+00 la0 = 3.263354737e-06 wa0 = 1.795610675e-06 pa0 = -1.227941647e-12 ++ ags = -2.579801431e+00 lags = 7.586744551e-06 wags = 1.096001767e-06 pags = -2.902740663e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -6.285731418e-07 lb0 = 1.994274603e-12 wb0 = 3.085335053e-13 pb0 = -9.056899339e-19 ++ b1 = 2.303330930e-08 lb1 = -3.042942811e-14 wb1 = -4.418103732e-15 pb1 = 9.121407760e-21 ++ keta = 2.707199972e-01 lketa = -6.210169064e-07 wketa = -9.507395617e-08 pketa = 2.335822164e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -8.849413375e+00 lpclm = 8.881531828e-06 wpclm = 4.286088664e-06 ppclm = -3.895050265e-12 ++ pdiblc1 = 1.288078434e+00 lpdiblc1 = -1.774725125e-06 wpdiblc1 = -4.111728731e-07 ppdiblc1 = 8.125335167e-13 ++ pdiblc2 = -3.255705279e-02 lpdiblc2 = 3.216195292e-08 wpdiblc2 = 2.008159781e-08 ppdiblc2 = -1.760162256e-14 ++ pdiblcb = -2.268892823e-02 lpdiblcb = 1.130827587e-07 wpdiblcb = -8.696147089e-10 ppdiblcb = -4.255100660e-14 ++ drout = -7.662332490e-01 ldrout = 2.620817268e-06 wdrout = 4.644291003e-07 pdrout = -9.177750646e-13 ++ pscbe1 = 1.107322730e+09 lpscbe1 = -6.073115110e+02 wpscbe1 = -1.156400116e+02 ppscbe1 = 2.285203900e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.549388875e-05 lalpha0 = 5.043867542e-11 walpha0 = 9.604179906e-12 palpha0 = -1.897916566e-17 ++ alpha1 = 0.85 ++ beta0 = -2.895572869e+00 lbeta0 = 3.311129075e-05 wbeta0 = 6.304820470e-06 pbeta0 = -1.245918270e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.576948819e-01 lkt1 = 3.545898952e-07 wkt1 = 7.912176010e-08 pkt1 = -1.145295505e-13 ++ kt2 = -1.989770592e-01 lkt2 = 1.652999325e-07 wkt2 = 6.195387037e-08 pkt2 = -5.930840963e-14 ++ at = 1.744165334e+05 lat = -3.563006999e-01 wat = -9.277297948e-03 pat = 1.268111449e-7 ++ ute = -5.121844387e+00 lute = 2.644958824e-06 wute = 1.310396508e-06 pute = -6.572472969e-13 ++ ua1 = -1.047559365e-08 lua1 = 8.123891057e-15 wua1 = 4.246106832e-15 pua1 = -2.547950225e-21 ++ ub1 = 6.164193080e-18 lub1 = -4.127960800e-24 wub1 = -2.482177486e-24 pub1 = 1.211522491e-30 ++ uc1 = 1.802776565e-10 luc1 = -1.599838187e-16 wuc1 = -5.167619164e-17 puc1 = 6.189521805e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.158 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.213093526e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.238076616e-08 wvth0 = -3.969615964e-08 pvth0 = -3.835768323e-15 ++ k1 = 7.588584447e-01 lk1 = -3.152449535e-07 wk1 = -6.155713377e-08 pk1 = 1.150794467e-13 ++ k2 = -8.527197030e-02 lk2 = 1.015208164e-07 wk2 = 8.059028726e-09 pk2 = -3.501497636e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.938772269e-01 ldsub = 6.454481925e-08 wdsub = 8.676287172e-09 pdsub = -8.469236255e-15 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.993374745e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.666630677e-09 wvoff = 3.487011889e-08 pvoff = -7.622792408e-15 ++ nfactor = 1.595825171e+00 lnfactor = -2.639964645e-07 wnfactor = 5.654674471e-07 pnfactor = 1.711329207e-13 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = 4.336808690e-23 peta0 = -1.214306433e-29 ++ etab = -7.805351919e-01 letab = 3.707834397e-07 wetab = 2.935837549e-07 petab = -1.395191343e-13 ++ u0 = 5.295665158e-02 lu0 = -1.871367796e-08 wu0 = -1.114391020e-08 pu0 = 7.071112928e-15 ++ ua = 8.824727399e-10 lua = -1.632513590e-15 wua = -8.158282496e-16 pua = 6.366258930e-22 ++ ub = 9.981098225e-19 lub = 1.002513415e-24 wub = 3.338003158e-25 pub = -4.326945643e-31 ++ uc = -1.672334365e-10 luc = 1.908766891e-16 wuc = 9.259976419e-17 puc = -8.516277042e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.554386270e+05 lvsat = 3.811593403e-01 wvsat = 3.117603549e-01 pvsat = -1.423544963e-7 ++ a0 = -7.660993235e-01 la0 = 8.172731101e-07 wa0 = 8.526923856e-07 pa0 = -3.075251604e-13 ++ ags = 9.498328034e+00 lags = -4.203152431e-06 wags = -3.432459846e-06 pags = 1.517653742e-12 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.761403462e-06 lb0 = -1.314803599e-12 wb0 = -1.209038544e-12 pb0 = 5.756667763e-19 ++ b1 = -1.589157142e-08 lb1 = 7.566549250e-15 wb1 = 9.617475310e-15 pb1 = -4.579226224e-21 ++ keta = -6.875240046e-01 lketa = 3.143595604e-07 wketa = 2.724015329e-07 pketa = -1.251238375e-13 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 6.631978465e-01 lpclm = -4.040704387e-07 wpclm = 2.884823660e-07 ppclm = 7.157156668e-15 ++ pdiblc1 = 6.058912244e-01 lpdiblc1 = -1.108817631e-06 wpdiblc1 = 2.594639114e-08 ppdiblc1 = 3.858456666e-13 ++ pdiblc2 = 5.300944978e-03 lpdiblc2 = -4.792601592e-09 wpdiblc2 = 1.819418127e-09 ppdiblc2 = 2.247484731e-16 ++ pdiblcb = 5.213717968e-01 lpdiblcb = -4.179945013e-07 wpdiblcb = -2.055898725e-07 ppdiblcb = 1.572838069e-13 ++ drout = 2.793467272e+00 ldrout = -8.539345596e-07 wdrout = -9.288583713e-07 pdrout = 4.422629948e-13 ++ pscbe1 = 1.831981422e+09 lpscbe1 = -1.314676947e+03 wpscbe1 = -3.883160333e+02 ppscbe1 = 4.946892711e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.556783204e-05 lalpha0 = -9.165868466e-12 walpha0 = -1.357649052e-11 palpha0 = 3.648321242e-18 ++ alpha1 = 0.85 ++ beta0 = 3.474735315e+01 lbeta0 = -3.633324486e-06 wbeta0 = -8.483016712e-06 pbeta0 = 1.975757531e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.102434031e-01 lkt1 = 1.542999846e-08 wkt1 = -2.873215566e-08 pkt1 = -9.249460571e-15 ++ kt2 = -7.005837389e-02 lkt2 = 3.945776265e-08 wkt2 = 2.479877651e-08 pkt2 = -2.303998493e-14 ++ at = -4.204198185e+05 lat = 2.243404773e-01 wat = 2.536950358e-01 pat = -1.298856171e-7 ++ ute = -4.085857808e+00 lute = 1.633695028e-06 wute = 1.541091040e-06 pute = -8.824365344e-13 ++ ua1 = -6.618614713e-09 lua1 = 4.358955067e-15 wua1 = 3.966762170e-15 pua1 = -2.275271845e-21 ++ ub1 = 6.834047212e-18 lub1 = -4.781829534e-24 wub1 = -3.591668361e-24 pub1 = 2.294536477e-30 ++ uc1 = 3.869173814e-10 luc1 = -3.616922933e-16 wuc1 = -1.487477915e-16 puc1 = 1.566503013e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.159 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {8.053394933e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.524260893e-08 wvth0 = -9.094615596e-08 pvth0 = 2.056619992e-14 ++ k1 = -6.361883992e-01 lk1 = 3.489870706e-07 wk1 = 3.430795172e-07 pk1 = -7.758262970e-14 ++ k2 = 3.712710289e-01 lk2 = -1.158557411e-07 wk2 = -1.247111306e-07 pk2 = 2.820167624e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.125759715e-01 ldsub = 5.564167380e-08 wdsub = -1.735257434e-08 pdsub = 3.924041752e-15 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413313e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.875374552e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.048216691e-09 wvoff = 3.592050608e-08 pvoff = -8.122919563e-15 ++ nfactor = -1.100527839e-01 lnfactor = 5.482334412e-07 wnfactor = 1.761489316e-06 pnfactor = -3.983361480e-13 ++ eta0 = 9.325986798e-01 leta0 = -2.107371650e-7 ++ etab = 3.636826934e-02 letab = -1.817370668e-08 wetab = 1.066641912e-09 petab = -2.412061354e-16 ++ u0 = 1.971274378e-03 lu0 = 5.562295598e-09 wu0 = 7.060384407e-09 pu0 = -1.596607088e-15 ++ ua = -3.788124322e-09 lua = 5.913258131e-16 wua = 9.927227740e-16 pua = -2.244903572e-22 ++ ub = 4.292233931e-18 lub = -5.659376619e-25 wub = -1.095040869e-24 pub = 2.476281619e-31 ++ uc = 3.612787256e-10 luc = -6.076697773e-17 wuc = -1.642907564e-16 puc = 3.715205449e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.152887838e+05 lvsat = 1.418827382e-02 wvsat = 2.434332837e-02 pvsat = -5.504902904e-9 ++ a0 = 4.532065711e-01 la0 = 2.367176788e-07 wa0 = 3.938895252e-07 pa0 = -8.907260166e-14 ++ ags = 1.466939411e-01 lags = 2.494972189e-07 wags = -4.666558364e-07 pags = 1.055276842e-13 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.322954847e-02 lketa = -2.405579330e-08 wketa = 1.830535488e-08 pketa = -4.139499730e-15 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -5.689893378e-01 lpclm = 1.826182384e-07 wpclm = 5.780559859e-07 ppclm = -1.307192684e-13 ++ pdiblc1 = -3.604221043e+00 lpdiblc1 = 8.957683838e-07 wpdiblc1 = 1.592798710e-06 ppdiblc1 = -3.601891291e-13 ++ pdiblc2 = -1.667822168e-02 lpdiblc2 = 5.672470903e-09 wpdiblc2 = 4.364155770e-09 ppdiblc2 = -9.868927293e-16 ++ pdiblcb = -5.855669086e-01 lpdiblcb = 1.090588662e-07 wpdiblcb = 2.375802696e-07 ppdiblcb = -5.372545186e-14 ++ drout = 1.449261983e+00 ldrout = -2.139100303e-07 wdrout = 3.413254115e-13 pdrout = -7.718596329e-20 ++ pscbe1 = -2.485492668e+09 lpscbe1 = 7.410278960e+02 wpscbe1 = 1.239192113e+03 ppscbe1 = -2.802259477e-4 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.105748909e-05 lalpha0 = -7.018331815e-12 walpha0 = -1.126373859e-11 palpha0 = 2.547136789e-18 ++ alpha1 = 0.85 ++ beta0 = 3.869149493e+01 lbeta0 = -5.511272379e-06 wbeta0 = -8.253248456e-06 pbeta0 = 1.866356593e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.207382264e-01 lkt1 = -2.718663834e-08 wkt1 = -9.171949695e-08 pkt1 = 2.074108016e-14 ++ kt2 = 5.052406597e-02 lkt2 = -1.795587793e-08 wkt2 = -4.492957870e-08 pkt2 = 1.016019521e-14 ++ at = 7.067492197e+04 lat = -9.487408107e-03 wat = -3.636911007e-02 pat = 8.224365075e-9 ++ ute = -5.378324727e-02 lute = -2.861208247e-07 wute = -5.946704441e-07 pute = 1.344763955e-13 ++ ua1 = 4.851948294e-09 lua1 = -1.102592921e-15 wua1 = -1.546214287e-15 pua1 = 3.496547140e-22 ++ ub1 = -6.751768404e-18 lub1 = 1.686866370e-24 wub1 = 2.337655479e-24 pub1 = -5.286280594e-31 ++ uc1 = -8.430044351e-10 luc1 = 2.239177608e-16 wuc1 = 3.433044912e-16 puc1 = -7.763350443e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.160 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {2.061653274e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.025224025e-08 wvth0 = 1.774343498e-07 pvth0 = -4.012429413e-14 ++ k1 = 9.070734896e-01 lk1 = 6.820277676e-17 ++ k2 = -2.358598238e-01 lk2 = 2.143840146e-08 wk2 = 3.766329457e-08 pk2 = -8.517026781e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.844318224e+00 ldsub = -3.133539921e-07 wdsub = -6.599783585e-07 pdsub = 1.492448661e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999994e-03 lcdscd = 1.107712880e-18 wcdscd = 8.130007084e-19 pcdscd = -1.838485961e-25 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.136835596e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.465280784e-08 wvoff = -1.065039168e-16 pvoff = 2.408440114e-23 ++ nfactor = -9.894057697e+00 lnfactor = 2.760749176e-06 wnfactor = 5.644188962e-06 pnfactor = -1.276354315e-12 ++ eta0 = 2.242427202e-03 leta0 = -3.501234117e-10 weta0 = 8.967860577e-16 peta0 = -2.027956119e-22 ++ etab = -4.399800002e-02 letab = 2.944838817e-18 ++ u0 = -1.851454145e-02 lu0 = 1.019487605e-08 wu0 = 1.883227039e-08 pu0 = -4.258654297e-15 ++ ua = -1.020055485e-09 lua = -3.463420164e-17 wua = -6.449217553e-17 pua = 1.458400261e-23 ++ ub = 6.725316299e-19 lub = 2.526073378e-25 wub = 2.966589683e-25 pub = -6.708527246e-32 ++ uc = 2.485790391e-10 luc = -3.528152142e-17 wuc = -5.778308413e-17 puc = 1.306683551e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.408925022e+05 lvsat = 8.398351336e-03 wvsat = 9.144363076e-03 pvsat = -2.067869689e-9 ++ a0 = 1.499999999e+00 la0 = 2.083475614e-16 ++ ags = 1.250000000e+00 lags = 4.460964931e-17 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.556566672e-01 lketa = 1.068512200e-07 wketa = 1.653983316e-07 pketa = -3.740251712e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.856047518e-01 lpclm = -3.324985063e-08 wpclm = -7.133787964e-09 ppclm = 1.613206275e-15 ++ pdiblc1 = 3.569721502e-01 lpdiblc1 = -3.566869022e-17 ++ pdiblc2 = 8.406112094e-03 lpdiblc2 = 9.563565218e-19 ++ pdiblcb = -1.032957700e-01 lpdiblcb = 2.831734847e-18 ++ drout = 5.033266588e-01 ldrout = 1.889159940e-16 ++ pscbe1 = 7.914198799e+08 lpscbe1 = 1.866316795e-8 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.841529940e-07 lalpha0 = -3.675908380e-14 walpha0 = -8.634483671e-14 palpha0 = 1.952567599e-20 ++ alpha1 = 0.85 ++ beta0 = 1.984497675e+01 lbeta0 = -1.249396142e-06 wbeta0 = -2.146093491e-06 pbeta0 = 4.853089976e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.723592454e-01 lkt1 = -1.551326758e-08 wkt1 = -4.259955513e-08 pkt1 = 9.633292999e-15 ++ kt2 = -2.887893901e-02 lkt2 = 1.132025029e-18 ++ at = -1.109726076e+05 lat = 3.158963765e-02 wat = 3.997446138e-02 pat = -9.039664800e-9 ++ ute = -1.018204252e+00 lute = -6.803051626e-08 wute = -1.467723759e-07 pute = 3.319051800e-14 ++ ua1 = -2.384733737e-11 lua1 = 2.135939962e-25 ++ ub1 = 7.077531681e-19 lub1 = 3.034206331e-34 ++ uc1 = 1.471862500e-10 luc1 = -4.393363028e-27 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.161 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 4.2e-07 wmax = 5.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {1.442666050e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.228100366e-07 wvth0 = -3.135030950e-07 pvth0 = 3.652871475e-14 ++ k1 = 0.90707349 ++ k2 = -5.135550875e-03 lk2 = -1.458596362e-08 wk2 = -6.049227457e-08 pk2 = 6.808591163e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = -7.653070645e-01 ldsub = 9.410246186e-08 wdsub = 5.226696447e-07 pdsub = -3.540906255e-14 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.052000005e-03 lcdscd = -6.359062582e-19 wcdscd = -1.896999918e-18 pcdscd = 2.392799500e-25 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-2.075300007e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 8.330447443e-17 wvoff = 2.485092132e-16 pvoff = -3.134598137e-23 ++ nfactor = 2.562288561e+01 lnfactor = -2.784724284e-06 wnfactor = -1.126386412e-05 pnfactor = 1.363601460e-12 ++ eta0 = -1.136614502e-02 leta0 = 1.774664763e-09 weta0 = 4.276876208e-09 peta0 = -6.677744065e-16 ++ etab = -0.043998 ++ u0 = 1.518526513e-01 lu0 = -1.640557596e-08 wu0 = -5.918769347e-08 pu0 = 7.923070781e-15 ++ ua = -1.793883530e-09 lua = 8.618821411e-17 wua = 2.903304318e-16 pua = -4.081658001e-23 ++ ub = 8.336513898e-18 lub = -9.440161977e-25 wub = -3.672387679e-24 pub = 5.526257949e-31 ++ uc = -4.845095256e-10 luc = 7.917999472e-17 wuc = 2.534405742e-16 puc = -3.552638160e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.951236289e+05 lvsat = -1.568267986e-02 wvsat = -5.697714417e-02 pvsat = 8.256077967e-9 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.261698858e-02 lketa = 2.206317671e-08 wketa = 1.702702291e-08 pketa = -1.423641446e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.686070209e-02 lpclm = 3.115085549e-08 wpclm = 1.374092151e-07 ppclm = -2.095516005e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -3.930083952e-07 lalpha0 = 5.335658687e-14 walpha0 = 2.014712848e-13 palpha0 = -2.541278195e-20 ++ alpha1 = 0.85 ++ beta0 = 3.404518278e+00 lbeta0 = 1.317551282e-06 wbeta0 = 5.007551470e-06 pbeta0 = -6.316325119e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.010308949e-01 lkt1 = 2.019060909e-08 wkt1 = 9.939896227e-08 pkt1 = -1.253778751e-14 ++ kt2 = -0.028878939 ++ at = 2.495577075e+05 lat = -2.470212364e-02 wat = -9.327374313e-02 pat = 1.176517686e-8 ++ ute = -1.851973592e+00 lute = 6.215089330e-08 wute = 1.206579809e-07 pute = -8.564988193e-15 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.162 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.163 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.750925212e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.756662574e-07 wvth0 = -4.440892099e-22 ++ k1 = 5.566754387e-01 lk1 = 2.263751931e-7 ++ k2 = -2.793918103e-02 lk2 = -1.705116018e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.017610378e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.301805274e-7 ++ nfactor = 3.511203629e+00 lnfactor = -3.314113452e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.317604858e-02 lu0 = 2.369272453e-8 ++ ua = -1.142103671e-09 lua = 1.763592594e-15 ++ ub = 1.637803688e-18 lub = -8.210928520e-25 wub = -1.540743956e-39 ++ uc = 6.331678001e-11 luc = -2.952428955e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.478652779e+00 la0 = -2.318286092e-6 ++ ags = 3.214434537e-01 lags = 4.681716359e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.263931308e-08 lb0 = 2.135186635e-13 ++ b1 = 4.228185535e-09 lb1 = -6.328150926e-15 ++ keta = -1.968579909e-03 lketa = -5.149887994e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.742977677e-02 lpclm = 8.850382462e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.549079328e-04 lpdiblc2 = 2.227809388e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -6.611043278e+07 lpscbe1 = 5.815261596e+03 ppscbe1 = -1.907348633e-18 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.785102125e-01 lkt1 = -1.572079458e-7 ++ kt2 = -2.846841042e-02 lkt2 = -2.096536584e-8 ++ at = 1.882935600e+05 lat = -2.655539625e-1 ++ ute = -1.123907878e+00 lute = 1.699545360e-7 ++ ua1 = 8.767308350e-10 lua1 = 4.879554061e-15 ++ ub1 = -2.484770535e-19 lub1 = -6.412198755e-24 ++ uc1 = 4.316007430e-11 luc1 = -1.866113153e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.164 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.096875978e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.687778576e-10 ++ k1 = 6.072888189e-01 lk1 = -1.773240112e-7 ++ k2 = -5.783410041e-02 lk2 = 6.793434087e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.259947907e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 6.311118168e-8 ++ nfactor = 3.179000049e+00 lnfactor = -6.644125212e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.416419740e-02 lu0 = 1.581111515e-8 ++ ua = -1.231511291e-09 lua = 2.476719931e-15 ++ ub = 1.817275000e-18 lub = -2.252580446e-24 ++ uc = 3.256440823e-11 luc = -4.995779590e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.205892612e+00 la0 = -1.427139067e-7 ++ ags = 4.065813044e-01 lags = -2.108994399e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 7.455615358e-08 lb0 = -2.803384769e-13 ++ b1 = 1.100708765e-09 lb1 = 1.861702913e-14 ++ keta = -1.924158216e-02 lketa = 8.627293517e-08 pketa = 5.551115123e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.453042139e-01 lpclm = 3.420447988e-06 ppclm = 4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.047655494e-03 lpdiblc2 = 2.939876984e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 5.267617483e+08 lpscbe1 = 1.086432449e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.827329503e-01 lkt1 = -1.235268148e-7 ++ kt2 = -1.857050812e-02 lkt2 = -9.991238073e-8 ++ at = 1.699105100e+05 lat = -1.189282556e-1 ++ ute = -8.067754816e-01 lute = -2.359536591e-6 ++ ua1 = 2.393051059e-09 lua1 = -7.214822268e-15 pua1 = -6.617444900e-36 ++ ub1 = -1.688432655e-18 lub1 = 5.073082956e-24 ++ uc1 = -1.199775089e-11 luc1 = 2.533349999e-16 puc1 = -1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.165 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.083873259e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.901279921e-9 ++ k1 = 5.219899191e-01 lk1 = 1.618360153e-7 ++ k2 = -2.203707193e-02 lk2 = -7.439951279e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.115866859e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 5.822597657e-9 ++ nfactor = 2.799267766e+00 lnfactor = 8.454546788e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.863473400e-02 lu0 = -1.964346373e-9 ++ ua = -4.934718209e-10 lua = -4.578253768e-16 ++ ub = 1.172208475e-18 lub = 3.122917863e-25 ++ uc = -5.151270940e-12 luc = 1.000048738e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.281137600e+04 lvsat = 2.671511067e-1 ++ a0 = 8.439375600e-01 la0 = 1.296468606e-6 ++ ags = 4.376838709e-01 lags = -3.345674742e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.529228706e-08 lb0 = -8.445864377e-14 wb0 = 6.617444900e-30 ++ b1 = 3.433965944e-09 lb1 = 9.339681263e-15 ++ keta = -1.282833575e-02 lketa = 6.077299522e-08 wketa = 3.469446952e-24 pketa = -2.081668171e-29 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -7.522176873e-01 lpclm = 5.038391298e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = -6.386343217e-04 lpdiblc2 = 2.777244603e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.056879617e-01 lkt1 = -3.225456744e-8 ++ kt2 = -5.679713785e-02 lkt2 = 5.208189791e-8 ++ at = 140000.0 ++ ute = -1.613029847e+00 lute = 8.462404173e-7 ++ ua1 = -3.252460382e-10 lua1 = 3.593496680e-15 ++ ub1 = 6.117920260e-20 lub1 = -1.883611737e-24 ++ uc1 = 5.812970886e-11 luc1 = -2.550131747e-17 wuc1 = -5.169878828e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.166 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.938526700e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.362373658e-8 ++ k1 = 6.217111102e-01 lk1 = -3.522662043e-8 ++ k2 = -6.408281072e-02 lk2 = 8.688585288e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.528408000e-01 ldsub = -5.786932471e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-9.797451904e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.107689539e-8 ++ nfactor = 3.161729441e+00 lnfactor = 1.291811149e-7 ++ eta0 = 1.556200357e-01 leta0 = -1.494354750e-7 ++ etab = -1.380244775e-01 letab = 1.344256189e-7 ++ u0 = 3.175960347e-02 lu0 = -8.139513419e-9 ++ ua = -2.373880505e-10 lua = -9.638817346e-16 ++ ub = 9.359201014e-19 lub = 7.792297471e-25 ++ uc = 4.829946030e-11 luc = -5.621040407e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.206681920e+05 lvsat = 5.401136973e-2 ++ a0 = 1.5 ++ ags = 3.329123510e-01 lags = -1.275247019e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.913797268e-07 lb0 = -4.126700125e-13 wb0 = 5.293955920e-29 pb0 = 7.940933881e-35 ++ b1 = 1.129183952e-08 lb1 = -6.188545585e-15 ++ keta = 1.805323083e-02 lketa = -2.531802246e-10 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.541215632e+00 lpclm = -1.469880848e-6 ++ pdiblc1 = 1.953531031e-01 lpdiblc1 = 3.846487403e-7 ++ pdiblc2 = 2.081142567e-02 lpdiblc2 = -1.461578972e-8 ++ pdiblcb = -0.025 ++ drout = 4.680248349e-01 ldrout = 1.817554350e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.474223732e-01 lkt1 = 5.021830556e-8 ++ kt2 = -3.432961298e-02 lkt2 = 7.683013180e-9 ++ at = 1.497613600e+05 lat = -1.928977490e-2 ++ ute = -1.639359155e+00 lute = 8.982707095e-7 ++ ua1 = 8.087803889e-10 lua1 = 1.352506233e-15 ++ ub1 = -4.323953449e-19 lub1 = -9.082413048e-25 ++ uc1 = 4.294397687e-11 luc1 = 4.507754196e-18 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.167 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.158135818e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.218690006e-8 ++ k1 = 5.952653582e-01 lk1 = -9.411969933e-9 ++ k2 = -6.385444640e-02 lk2 = 8.465670656e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.169351653e-01 ldsub = 4.203713551e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.066672992e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.259155975e-8 ++ nfactor = 3.098600874e+00 lnfactor = 1.908031822e-7 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = -1.630640067e-22 peta0 = 5.898059818e-29 ++ etab = -0.0003125 ++ u0 = 2.334080442e-02 lu0 = 7.837940144e-11 ++ ua = -1.285657146e-09 lua = 5.937146704e-17 ++ ub = 1.885211294e-18 lub = -1.474075602e-25 ++ uc = 7.885796353e-11 luc = -3.545029551e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.730898568e+05 lvsat = 2.840695574e-3 ++ a0 = 1.5 ++ ags = 3.762869949e-01 lags = -1.698642534e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -4.517147431e-07 lb0 = 2.150776509e-13 ++ b1 = 9.667650944e-09 lb1 = -4.603116650e-15 ++ keta = 3.640521038e-02 lketa = -1.816720814e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.429863183e+00 lpclm = -3.850497131e-7 ++ pdiblc1 = 6.748458678e-01 lpdiblc1 = -8.340140914e-8 ++ pdiblc2 = 1.013619654e-02 lpdiblc2 = -4.195314257e-9 ++ pdiblcb = -0.025 ++ drout = 3.249506503e-01 ldrout = 3.214152972e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.127950400e-07 lalpha0 = 5.298417792e-13 walpha0 = 1.588186776e-28 palpha0 = -1.588186776e-34 ++ alpha1 = 0.85 ++ beta0 = 1.220304672e+01 lbeta0 = 1.617411747e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.866014421e-01 lkt1 = -9.151194823e-9 ++ kt2 = -4.153609621e-03 lkt2 = -2.177287003e-8 ++ at = 2.537953600e+05 lat = -1.208411075e-1 ++ ute = 9.716893932e-03 lute = -7.114517882e-7 ++ ua1 = 3.923378182e-09 lua1 = -1.687764798e-15 ++ ub1 = -2.711103396e-18 lub1 = 1.316087658e-24 ++ uc1 = -8.391965094e-12 luc1 = 5.461861525e-17 puc1 = -2.584939414e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.168 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.894392775e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.479831754e-07 wvth0 = 2.833711895e-07 pvth0 = -1.349232247e-13 ++ k1 = 2.755733053e-01 lk1 = 1.428049254e-07 wk1 = -5.312266183e-16 pk1 = 2.529363385e-22 ++ k2 = 1.507825200e-02 lk2 = -2.911702863e-08 wk2 = 9.317799864e-09 pk2 = -4.436539956e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.890254365e+00 ldsub = -7.546903751e-07 wdsub = -6.486327557e-07 pdsub = 3.088374058e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413326e-03 lcdscd = -1.441936607e-09 wcdscd = -4.822274524e-18 pcdscd = 2.296059176e-24 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-3.953842317e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.248769656e-07 wvoff = 1.141295068e-07 pvoff = -5.434116687e-14 ++ nfactor = -8.495759660e+00 lnfactor = 5.711295629e-06 wnfactor = 4.916879871e-06 pnfactor = -2.341103514e-12 ++ eta0 = 9.253513184e-01 leta0 = -2.072863913e-07 weta0 = 2.727086470e-09 peta0 = -1.298464043e-15 ++ etab = 3.920295698e-02 letab = -1.881473162e-08 wetab = -2.293703942e-17 petab = 1.092114778e-23 ++ u0 = -2.507690271e-03 lu0 = 1.238577827e-08 wu0 = 8.745738183e-09 pu0 = -4.164160796e-15 ++ ua = -1.064517984e-09 lua = -4.592084904e-17 wua = -3.212126641e-17 pua = 1.529409130e-23 ++ ub = 2.920531487e-18 lub = -6.403607759e-25 wub = -5.788939296e-25 pub = 2.756322401e-31 ++ uc = -8.683275570e-11 luc = 4.344102078e-17 wuc = 4.325528016e-18 puc = -2.059539608e-24 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.400705953e+05 lvsat = -2.905124535e-02 wvsat = -2.260982125e-02 pvsat = 1.076534985e-8 ++ a0 = 1.500000005e+00 la0 = -2.231342222e-15 wa0 = -1.622799672e-15 pa0 = 7.726734808e-22 ++ ags = -1.093481874e+00 lags = 5.299456168e-07 wags = -3.474567301e-16 pags = 1.654362758e-22 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.261106420e-01 lketa = -1.084927935e-07 wketa = -5.803514877e-08 pketa = 2.763262359e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 3.677243673e-01 lpclm = 1.206728138e-07 wpclm = 2.255874795e-07 ppclm = -1.074103202e-13 ++ pdiblc1 = 6.287709947e-01 lpdiblc1 = -6.146350335e-08 wpdiblc1 = 2.778195451e-16 ppdiblc1 = -1.322800758e-22 ++ pdiblc2 = -5.080122972e-03 lpdiblc2 = 3.049723249e-09 wpdiblc2 = -7.448985873e-18 ppdiblc2 = 3.546732786e-24 ++ pdiblcb = 4.582196904e-02 lpdiblcb = -3.372088905e-08 wpdiblcb = -2.205591265e-17 ppdiblcb = 1.050159959e-23 ++ drout = 1.449262894e+00 ldrout = -2.139102373e-07 wdrout = -1.471450517e-15 pdrout = 7.006102365e-22 ++ pscbe1 = 8.077610965e+08 lpscbe1 = -3.695337436e+00 wpscbe1 = -1.453666687e-07 ppscbe1 = 6.921434402e-14 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.436415553e-06 lalpha0 = -3.982475555e-13 walpha0 = -1.178617961e-13 palpha0 = 5.611824414e-20 ++ alpha1 = 0.85 ++ beta0 = 1.759308914e+01 lbeta0 = -9.489814890e-07 wbeta0 = -3.142981258e-07 pbeta0 = 1.496486524e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.556720410e-01 lkt1 = -7.149139618e-08 wkt1 = -7.857453133e-08 pkt1 = 3.741216305e-14 ++ kt2 = -6.887994138e-02 lkt2 = 9.045666669e-09 wkt2 = -8.817280239e-18 pkt2 = 4.198211223e-24 ++ at = 1.306347085e+05 lat = -6.219988757e-02 wat = -5.893089846e-02 pat = 2.805912227e-8 ++ ute = -1.216531881e+00 lute = -1.275906013e-07 wute = -1.571490625e-07 pute = 7.482432602e-14 ++ ua1 = 7.427581515e-10 lua1 = -1.733570997e-16 wua1 = -1.663665766e-24 pua1 = 7.921313156e-31 ++ ub1 = -5.392589525e-19 lub1 = 2.819943316e-25 wub1 = -2.363318072e-33 pub1 = 1.125260585e-39 ++ uc1 = 6.935515480e-11 luc1 = 1.760041257e-17 wuc1 = 3.421922117e-26 puc1 = -1.629297653e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.169 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {3.367289995e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.563213553e-07 wvth0 = -1.012039962e-06 pvth0 = 1.580158716e-13 ++ k1 = 9.070734845e-01 lk1 = 8.554508213e-16 wk1 = 1.897239699e-15 pk1 = -2.962274870e-22 ++ k2 = -4.732794818e-02 lk2 = -1.500474014e-08 wk2 = -3.327785666e-08 pk2 = 5.195871427e-15 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = -6.066036018e+00 ldsub = 1.044513307e-06 wdsub = 2.316545556e-06 pdsub = -3.616961569e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 2.051999950e-03 lcdscd = 7.765460150e-18 wcdscd = 1.722241447e-17 pcdscd = -2.689038228e-24 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {9.695608727e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.837862605e-07 wvoff = -4.076053816e-07 pvoff = 6.364187386e-14 ++ nfactor = 5.177371864e+01 lnfactor = -7.917803115e-06 wnfactor = -1.756028525e-05 pnfactor = 2.741792698e-12 ++ eta0 = 2.812619630e-02 leta0 = -4.391511347e-09 weta0 = -9.739594534e-09 peta0 = 1.520701332e-15 ++ etab = -4.399800024e-02 letab = 3.693628736e-17 wetab = 8.191813894e-17 petab = -1.279036599e-23 ++ u0 = 1.145427125e-01 lu0 = -1.408353160e-08 wu0 = -3.123477923e-08 pu0 = 4.876873489e-15 ++ ua = -1.496323242e-09 lua = 5.172586476e-17 wua = 1.147188086e-16 pua = -1.791173590e-23 ++ ub = -4.033564733e-18 lub = 9.322107271e-25 wub = 2.067478320e-24 pub = -3.228077950e-31 ++ uc = 1.360709473e-10 luc = -6.965531008e-18 wuc = -1.544831434e-17 puc = 2.412038008e-24 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -4.940360154e+04 lvsat = 3.640929163e-02 wvsat = 8.074936160e-02 pvsat = -1.260788232e-8 ++ a0 = 1.499999983e+00 la0 = 2.613244732e-15 wa0 = 5.795715907e-15 pa0 = -9.049196947e-22 ++ ags = 1.249999996e+00 lags = 5.595195418e-16 wags = 1.240916703e-15 pags = -1.937512373e-22 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.669297466e-01 lketa = 9.345578777e-08 wketa = 2.072683885e-07 pketa = -3.236205710e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.507778499e+00 lpclm = -3.632704673e-07 wpclm = -8.056695698e-07 ppclm = 1.257940240e-13 ++ pdiblc1 = 3.569721529e-01 lpdiblc1 = -4.473816873e-16 wpdiblc1 = -9.922134225e-16 ppdiblc1 = 1.549202988e-22 ++ pdiblc2 = 8.406112023e-03 lpdiblc2 = 1.199532834e-17 wpdiblc2 = 2.660351106e-17 ppdiblc2 = -4.153767608e-24 ++ pdiblcb = -1.032957702e-01 lpdiblcb = 3.551725580e-17 wpdiblcb = 7.877121178e-17 ppdiblcb = -1.229899516e-23 ++ drout = 5.033266448e-01 ldrout = 2.369521024e-15 wdrout = 5.255178515e-15 pdrout = -8.205225388e-22 ++ pscbe1 = 7.914198785e+08 lpscbe1 = 2.340879440e-07 wpscbe1 = 5.191669464e-07 ppscbe1 = -8.106064796e-14 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.163984368e-06 lalpha0 = 1.897964808e-13 walpha0 = 4.209349860e-13 palpha0 = -6.572310497e-20 ++ alpha1 = 0.85 ++ beta0 = 1.115844166e+01 lbeta0 = 5.061239535e-07 wbeta0 = 1.122493306e-06 pbeta0 = -1.752616149e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.031350325e+00 lkt1 = 1.265309882e-07 wkt1 = 2.806233262e-07 pkt1 = -4.381540366e-14 ++ kt2 = -2.887893909e-02 lkt2 = 1.419867002e-17 wkt2 = 3.149014383e-17 pkt2 = -4.916747565e-24 ++ at = -5.640717012e+05 lat = 9.489824110e-02 wat = 2.104674945e-01 pat = -3.286155272e-8 ++ ute = -2.899822368e+00 lute = 2.530619761e-07 wute = 5.612466518e-07 pute = -8.763080722e-14 ++ ua1 = -2.384735316e-11 lua1 = 2.679052638e-24 wua1 = 5.941664344e-24 pua1 = -9.277077044e-31 ++ ub1 = 7.077531456e-19 lub1 = 3.805723081e-33 wub1 = 8.440420337e-33 pub1 = -1.317853387e-39 ++ uc1 = 1.471862504e-10 luc1 = -5.510429087e-26 wuc1 = -1.222117996e-25 puc1 = 1.908160917e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.170 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 3.9e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.552765479e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.118764262e-07 wvth0 = 8.136238717e-07 pvth0 = -1.270359768e-13 ++ k1 = 0.90707349 ++ k2 = -6.861111273e-01 lk2 = 8.473231032e-08 wk2 = 1.957465773e-07 pk2 = -3.056308759e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 3.327233918e+00 ldsub = -4.221142877e-07 wdsub = -1.017279861e-06 pdsub = 1.588340084e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.20753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = -9.376860080e+00 lnfactor = 1.630003644e-06 wnfactor = 1.905910194e-06 pnfactor = -2.975811940e-13 ++ eta0 = 0.0 ++ etab = -0.043998 ++ u0 = 2.328525708e-01 lu0 = -3.255595964e-08 wu0 = -8.966650519e-08 pu0 = 1.400016945e-14 ++ ua = -1.393966080e-09 lua = 3.574422705e-17 wua = 1.398486939e-16 pua = -2.183541567e-23 ++ ub = 6.496925019e-18 lub = -7.119778210e-25 wub = -2.980183497e-24 pub = 4.653139304e-31 ++ uc = -1.261952878e-10 luc = 3.398366988e-17 wuc = 1.186133762e-16 puc = -1.851981810e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.844848871e+05 lvsat = -3.133632143e-02 wvsat = -9.060217712e-02 pvsat = 1.414626153e-8 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.038255850e+00 lketa = 1.514331602e-07 wketa = 4.029564649e-07 pketa = -6.291601060e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.737606982e-02 lpclm = 2.557100636e-08 wpclm = 1.207637141e-07 ppclm = -1.885556327e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.424179121e-07 lalpha0 = -1.417994553e-14 walpha0 = 2.955654554e-21 palpha0 = -4.614840902e-28 ++ alpha1 = 0.85 ++ beta0 = 1.671249328e+01 lbeta0 = -3.610634506e-07 wbeta0 = 2.044754410e-14 pbeta0 = -3.192596409e-21 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.368700706e-01 lkt1 = -1.312958075e-08 wkt1 = -1.018287676e-15 pkt1 = 1.589913756e-22 ++ kt2 = -0.028878939 ++ at = 1.675154536e+03 lat = 6.564790030e-03 wat = -3.270385787e-10 pat = 5.106249591e-17 ++ ute = -9.418347041e-01 lute = -5.265038572e-08 wute = -2.218109000e-07 pute = 3.463266668e-14 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.171 nmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.4888923+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.56800772 ++ k2 = -0.036474946 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.10827784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 3.3453 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0243621 ++ ua = -1.0538187e-9 ++ ub = 1.5967e-18 ++ uc = 4.8537e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.3626 ++ ags = 0.34488 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.3328e-8 ++ b1 = 3.9114e-9 ++ keta = -0.0045466 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.016875 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00096032746 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.28638 ++ kt2 = -0.029517931 ++ at = 175000.0 ++ ute = -1.1154 ++ ua1 = 1.121e-9 ++ ub1 = -5.6947e-19 ++ uc1 = 3.3818362e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.172 nmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.750925212e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.756662574e-7 ++ k1 = 5.566754387e-01 lk1 = 2.263751931e-7 ++ k2 = -2.793918103e-02 lk2 = -1.705116018e-7 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.017610378e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.301805274e-7 ++ nfactor = 3.511203629e+00 lnfactor = -3.314113452e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.317604858e-02 lu0 = 2.369272453e-8 ++ ua = -1.142103671e-09 lua = 1.763592594e-15 wua = 8.271806126e-31 ++ ub = 1.637803688e-18 lub = -8.210928520e-25 ++ uc = 6.331678001e-11 luc = -2.952428955e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.478652779e+00 la0 = -2.318286092e-6 ++ ags = 3.214434537e-01 lags = 4.681716359e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.263931308e-08 lb0 = 2.135186635e-13 ++ b1 = 4.228185535e-09 lb1 = -6.328150926e-15 ++ keta = -1.968579909e-03 lketa = -5.149887994e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -2.742977677e-02 lpclm = 8.850382462e-07 ppclm = 1.110223025e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.549079328e-04 lpdiblc2 = 2.227809388e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = -6.611043278e+07 lpscbe1 = 5.815261596e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.785102125e-01 lkt1 = -1.572079458e-07 wkt1 = -2.220446049e-22 ++ kt2 = -2.846841042e-02 lkt2 = -2.096536584e-8 ++ at = 1.882935600e+05 lat = -2.655539625e-1 ++ ute = -1.123907878e+00 lute = 1.699545360e-7 ++ ua1 = 8.767308350e-10 lua1 = 4.879554061e-15 ++ ub1 = -2.484770535e-19 lub1 = -6.412198755e-24 ++ uc1 = 4.316007430e-11 luc1 = -1.866113153e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.173 nmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.096875978e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.687778576e-10 ++ k1 = 6.072888189e-01 lk1 = -1.773240112e-7 ++ k2 = -5.783410041e-02 lk2 = 6.793434087e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.259947907e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 6.311118168e-8 ++ nfactor = 3.179000049e+00 lnfactor = -6.644125212e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.416419740e-02 lu0 = 1.581111515e-8 ++ ua = -1.231511291e-09 lua = 2.476719931e-15 ++ ub = 1.817275000e-18 lub = -2.252580446e-24 ++ uc = 3.256440823e-11 luc = -4.995779590e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80000.0 ++ a0 = 1.205892612e+00 la0 = -1.427139067e-7 ++ ags = 4.065813044e-01 lags = -2.108994399e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 7.455615358e-08 lb0 = -2.803384769e-13 ++ b1 = 1.100708765e-09 lb1 = 1.861702913e-14 ++ keta = -1.924158216e-02 lketa = 8.627293517e-8 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -3.453042139e-01 lpclm = 3.420447988e-06 wpclm = 1.110223025e-22 ppclm = -4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.047655494e-03 lpdiblc2 = 2.939876984e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 5.267617483e+08 lpscbe1 = 1.086432449e+3 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.827329503e-01 lkt1 = -1.235268148e-7 ++ kt2 = -1.857050812e-02 lkt2 = -9.991238073e-8 ++ at = 1.699105100e+05 lat = -1.189282556e-1 ++ ute = -8.067754816e-01 lute = -2.359536591e-6 ++ ua1 = 2.393051059e-09 lua1 = -7.214822268e-15 wua1 = 1.654361225e-30 ++ ub1 = -1.688432655e-18 lub1 = 5.073082956e-24 ++ uc1 = -1.199775089e-11 luc1 = 2.533349999e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.174 nmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.083873259e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.901279921e-9 ++ k1 = 5.219899191e-01 lk1 = 1.618360153e-7 ++ k2 = -2.203707193e-02 lk2 = -7.439951279e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.115866859e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 5.822597657e-9 ++ nfactor = 2.799267766e+00 lnfactor = 8.454546788e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.863473400e-02 lu0 = -1.964346373e-9 ++ ua = -4.934718209e-10 lua = -4.578253768e-16 ++ ub = 1.172208475e-18 lub = 3.122917863e-25 ++ uc = -5.151270940e-12 luc = 1.000048738e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.281137600e+04 lvsat = 2.671511067e-1 ++ a0 = 8.439375600e-01 la0 = 1.296468606e-6 ++ ags = 4.376838709e-01 lags = -3.345674742e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 2.529228706e-08 lb0 = -8.445864377e-14 wb0 = -3.308722450e-30 pb0 = 1.985233470e-35 ++ b1 = 3.433965944e-09 lb1 = 9.339681263e-15 ++ keta = -1.282833575e-02 lketa = 6.077299522e-08 wketa = 3.469446952e-24 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = -7.522176873e-01 lpclm = 5.038391298e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = -6.386343217e-04 lpdiblc2 = 2.777244603e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.056879617e-01 lkt1 = -3.225456744e-8 ++ kt2 = -5.679713785e-02 lkt2 = 5.208189791e-8 ++ at = 140000.0 ++ ute = -1.613029847e+00 lute = 8.462404173e-7 ++ ua1 = -3.252460382e-10 lua1 = 3.593496680e-15 ++ ub1 = 6.117920260e-20 lub1 = -1.883611737e-24 ++ uc1 = 5.812970886e-11 luc1 = -2.550131747e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.175 nmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {4.938526700e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.362373658e-8 ++ k1 = 6.217111102e-01 lk1 = -3.522662043e-8 ++ k2 = -6.408281072e-02 lk2 = 8.688585288e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 8.528408000e-01 ldsub = -5.786932471e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-9.797451904e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.107689539e-8 ++ nfactor = 3.161729441e+00 lnfactor = 1.291811149e-7 ++ eta0 = 1.556200358e-01 leta0 = -1.494354750e-7 ++ etab = -1.380244775e-01 letab = 1.344256189e-7 ++ u0 = 3.175960347e-02 lu0 = -8.139513419e-9 ++ ua = -2.373880505e-10 lua = -9.638817346e-16 ++ ub = 9.359201014e-19 lub = 7.792297471e-25 ++ uc = 4.829946030e-11 luc = -5.621040407e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.206681920e+05 lvsat = 5.401136973e-2 ++ a0 = 1.5 ++ ags = 3.329123510e-01 lags = -1.275247019e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 1.913797268e-07 lb0 = -4.126700125e-13 wb0 = 2.646977960e-29 pb0 = -7.940933881e-35 ++ b1 = 1.129183952e-08 lb1 = -6.188545585e-15 wb1 = -6.617444900e-30 ++ keta = 1.805323083e-02 lketa = -2.531802246e-10 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 2.541215632e+00 lpclm = -1.469880848e-6 ++ pdiblc1 = 1.953531031e-01 lpdiblc1 = 3.846487403e-7 ++ pdiblc2 = 2.081142567e-02 lpdiblc2 = -1.461578972e-8 ++ pdiblcb = -0.025 ++ drout = 4.680248349e-01 ldrout = 1.817554350e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0e-8 ++ alpha1 = 0.85 ++ beta0 = 13.86 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.474223732e-01 lkt1 = 5.021830556e-8 ++ kt2 = -3.432961298e-02 lkt2 = 7.683013180e-9 ++ at = 1.497613600e+05 lat = -1.928977490e-2 ++ ute = -1.639359155e+00 lute = 8.982707095e-7 ++ ua1 = 8.087803889e-10 lua1 = 1.352506233e-15 ++ ub1 = -4.323953449e-19 lub1 = -9.082413048e-25 ++ uc1 = 4.294397687e-11 luc1 = 4.507754196e-18 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.176 nmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {5.158135818e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.218690006e-8 ++ k1 = 5.952653582e-01 lk1 = -9.411969933e-9 ++ k2 = -6.385444640e-02 lk2 = 8.465670656e-9 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 2.169351653e-01 ldsub = 4.203713551e-8 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0054 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-1.066672992e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.259155975e-8 ++ nfactor = 3.098600874e+00 lnfactor = 1.908031822e-7 ++ eta0 = -4.616715915e-01 leta0 = 4.531251049e-07 weta0 = 9.367506770e-23 peta0 = -9.887923813e-29 ++ etab = -0.0003125 ++ u0 = 2.334080442e-02 lu0 = 7.837940144e-11 ++ ua = -1.285657146e-09 lua = 5.937146704e-17 ++ ub = 1.885211294e-18 lub = -1.474075602e-25 ++ uc = 7.885796353e-11 luc = -3.545029551e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.730898568e+05 lvsat = 2.840695574e-3 ++ a0 = 1.5 ++ ags = 3.762869949e-01 lags = -1.698642534e-7 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = -4.517147431e-07 lb0 = 2.150776509e-13 ++ b1 = 9.667650944e-09 lb1 = -4.603116650e-15 ++ keta = 3.640521038e-02 lketa = -1.816720814e-08 pketa = -6.938893904e-30 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.429863183e+00 lpclm = -3.850497131e-7 ++ pdiblc1 = 6.748458678e-01 lpdiblc1 = -8.340140914e-8 ++ pdiblc2 = 1.013619654e-02 lpdiblc2 = -4.195314257e-09 wpdiblc2 = 6.938893904e-24 ++ pdiblcb = -0.025 ++ drout = 3.249506503e-01 ldrout = 3.214152972e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.127950400e-07 lalpha0 = 5.298417792e-13 walpha0 = 7.940933881e-29 ++ alpha1 = 0.85 ++ beta0 = 1.220304672e+01 lbeta0 = 1.617411747e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.866014421e-01 lkt1 = -9.151194823e-9 ++ kt2 = -4.153609621e-03 lkt2 = -2.177287003e-8 ++ at = 2.537953600e+05 lat = -1.208411075e-1 ++ ute = 9.716893932e-03 lute = -7.114517882e-7 ++ ua1 = 3.923378182e-09 lua1 = -1.687764798e-15 ++ ub1 = -2.711103396e-18 lub1 = 1.316087658e-24 ++ uc1 = -8.391965094e-12 luc1 = 5.461861525e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.177 nmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {6.288856412e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.165077801e-8 ++ k1 = 2.755733038e-01 lk1 = 1.428049261e-7 ++ k2 = 4.198637850e-02 lk2 = -4.192895635e-8 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 1.711988033e-02 ldsub = 1.371763860e-7 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 8.428413312e-03 lcdscd = -1.441936601e-9 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-6.579878733e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -3.205052951e-8 ++ nfactor = 5.703303159e+00 lnfactor = -1.049389345e-6 ++ eta0 = 9.332265600e-01 leta0 = -2.110361214e-7 ++ etab = 3.920295691e-02 letab = -1.881473159e-08 wetab = 8.673617380e-25 petab = 1.192622390e-30 ++ u0 = 2.274842522e-02 lu0 = 3.604324679e-10 ++ ua = -1.157278411e-09 lua = -1.754270060e-18 ++ ub = 1.248790162e-18 lub = 1.556154518e-25 ++ uc = -7.434141045e-11 luc = 3.749344162e-17 wuc = -1.292469707e-32 puc = 9.693522803e-39 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.747775069e+05 lvsat = 2.037144600e-3 ++ a0 = 1.5 ++ ags = -1.093481875e+00 lags = 5.299456173e-07 wags = -8.326672685e-23 pags = 3.122502257e-29 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 5.851559299e-02 lketa = -2.869475727e-08 pketa = -8.673617380e-31 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.019180347e+00 lpclm = -1.895088305e-7 ++ pdiblc1 = 6.287709955e-01 lpdiblc1 = -6.146350373e-8 ++ pdiblc2 = -5.080122993e-03 lpdiblc2 = 3.049723259e-09 wpdiblc2 = 1.734723476e-24 ppdiblc2 = -8.673617380e-31 ++ pdiblcb = 4.582196898e-02 lpdiblcb = -3.372088902e-08 ppdiblcb = 6.938893904e-30 ++ drout = 1.449262890e+00 ldrout = -2.139102352e-7 ++ pscbe1 = 8.077610961e+08 lpscbe1 = -3.695337236e+0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.096051930e-06 lalpha0 = -2.361881816e-13 ++ alpha1 = 0.85 ++ beta0 = 1.668545280e+01 lbeta0 = -5.168231544e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.825811247e-01 lkt1 = 3.654818732e-8 ++ kt2 = -6.887994141e-02 lkt2 = 9.045666681e-9 ++ at = -3.954710419e+04 lat = 1.882980000e-2 ++ ute = -1.670350048e+00 lute = 8.848856547e-8 ++ ua1 = 7.427581467e-10 lua1 = -1.733570974e-16 ++ ub1 = -5.392589593e-19 lub1 = 2.819943349e-25 wub1 = 9.629649722e-41 pub1 = -3.611118646e-47 ++ uc1 = 6.935515490e-11 luc1 = 1.760041252e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.178 nmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {0.444701+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.90707349 ++ k2 = -0.1434284 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 0.62373 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.20753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = 1.06278 ++ eta0 = 0.0 ++ etab = -0.043998 ++ u0 = 0.0243423 ++ ua = -1.165036e-9 ++ ub = 1.93694e-18 ++ uc = 9.1459e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 183786.0 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.068376 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 0.18115 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 5.16e-8 ++ alpha1 = 0.85 ++ beta0 = 14.4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.22096074 ++ kt2 = -0.028878939 ++ at = 43720.487 ++ ute = -1.2790432 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_01v8__model.179 nmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 3.6e-07 wmax = 3.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-9*1.0*(sky130_fd_pr__nfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 2.1859e-8 ++ lint = 1.1932e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8__vth0_slope_spectre) ++ vth0 = {-2.993931675e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.161798869e-07 wvth0 = 3.796036009e-07 pvth0 = -5.926978783e-14 ++ k1 = 0.90707349 ++ k2 = -3.222046665e-01 lk2 = 2.791341115e-08 wk2 = 6.973232022e-08 pk2 = -1.088772555e-14 ++ k3 = 2.0 ++ k3b = 0.54 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.068 ++ dsub = 3.327233912e+00 ldsub = -4.221142868e-07 wdsub = -1.017279859e-06 pdsub = 1.588340081e-13 ++ minv = 0.0 ++ voffl = 5.8197729e-9 ++ lpe0 = 1.0325e-7 ++ lpeb = -7.082e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.002052 ++ cit = 0.0 +*(mismatch parameter sky130_fd_pr__nfet_01v8__voff_slope_spectre) ++ voff = {-0.20753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8__voff_slope/sqrt(l*w*mult))} ++ nfactor = -9.376859947e+00 lnfactor = 1.630003623e-06 wnfactor = 1.905910148e-06 pnfactor = -2.975811868e-13 ++ eta0 = 0.0 ++ etab = -0.043998 ++ u0 = 8.959275208e-02 lu0 = -1.018794459e-08 wu0 = -4.005820865e-08 pu0 = 6.254528466e-15 ++ ua = -1.393966070e-09 lua = 3.574422544e-17 wua = 1.398486904e-16 pua = -2.183541512e-23 ++ ub = 6.496924862e-18 lub = -7.119777965e-25 wub = -2.980183442e-24 pub = 4.653139219e-31 ++ uc = -1.261952913e-10 luc = 3.398367043e-17 wuc = 1.186133774e-16 puc = -1.851981829e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.102888726e+05 lvsat = -1.975165252e-02 wvsat = -6.490943285e-02 pvsat = 1.013469921e-8 ++ a0 = 1.5 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.42385546 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.038255847e+00 lketa = 1.514331597e-07 wketa = 4.029564638e-07 pketa = -6.291601043e-14 ++ dwg = 0.0 ++ dwb = 0.0 ++ pclm = 1.737607849e-02 lpclm = 2.557100501e-08 wpclm = 1.207637111e-07 ppclm = -1.885556280e-14 ++ pdiblc1 = 0.35697215 ++ pdiblc2 = 0.0084061121 ++ pdiblcb = -0.10329577 ++ drout = 0.50332666 ++ pscbe1 = 791419880.0 ++ pscbe2 = 1.0e-12 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 65.968 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.021507 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.424179200e-07 lalpha0 = -1.417994676e-14 walpha0 = 2.191742220e-22 palpha0 = -3.422097810e-29 ++ alpha1 = 0.85 ++ beta0 = 1.671249334e+01 lbeta0 = -3.610634598e-07 wbeta0 = 3.836930773e-17 pbeta0 = -5.989875262e-24 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = 9.87908e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 2.449068e-10 ++ cgdo = 2.449068e-10 ++ cgbo = 1.0e-13 ++ cgdl = 0.0 ++ cgsl = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.4067e-12 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.4037 ++ voffcv = -0.17287 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.84 ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -1.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 15000000.0 ++ tnoib = 9900000.0 ++ rnoia = 0.94 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ pbs = 0.729 ++ cjs = 0.001339749237 ++ mjs = 0.44 ++ pbsws = 0.2 ++ cjsws = 3.67354204e-11 ++ mjsws = 0.0009 ++ pbswgs = 0.95578 ++ cjswgs = 2.38232788e-10 ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.368700733e-01 lkt1 = -1.312958033e-08 wkt1 = -8.156852971e-17 pkt1 = 1.273581240e-23 ++ kt2 = -0.028878939 ++ at = 1.675153666e+03 lat = 6.564790165e-03 wat = -2.587959170e-11 pat = 4.040746717e-18 ++ ute = -9.418347116e-01 lute = -5.265038454e-08 wute = -2.218108973e-07 pute = 3.463266627e-14 ++ ua1 = -2.3847336e-11 ++ ub1 = 7.0775317e-19 ++ uc1 = 1.4718625e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 9.8e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +.ends sky130_fd_pr__nfet_01v8 +* Well Proximity Effect Parameters + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_01v8__toxe_slope=3.443e-03 +.param sky130_fd_pr__nfet_01v8__lint_slope=0.0 +.param sky130_fd_pr__nfet_01v8__nfactor_slope=0.0 +.param sky130_fd_pr__nfet_01v8__voff_slope=0.007 +.param sky130_fd_pr__nfet_01v8__vth0_slope=3.356e-03 +.param sky130_fd_pr__nfet_01v8__vth0_slope1=7.356e-03 +.param sky130_fd_pr__nfet_01v8__wint_slope=0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__nfet_01v8_lvt__ajunction_mult = 1.0004e+0 ++ sky130_fd_pr__nfet_01v8_lvt__pjunction_mult = 8.9176e-1 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__nfet_01v8_lvt d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__nfet_01v8_lvt d g s b sky130_fd_pr__nfet_01v8_lvt__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__nfet_01v8_lvt__model.0 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.417908+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.47213 ++ k2 = -0.033282 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 161140.0 ++ ua = -1.3015602e-9 ++ ub = 2.67551e-18 ++ uc = 7.0152e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.03198837 ++ a0 = 1.9598449 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.5317926 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11559919+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.1019079+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0047977 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 8.4345657e-5 ++ alpha1 = 0.0 ++ beta0 = 17.822982 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25364 ++ kt2 = -0.034423 ++ at = 333080.0 ++ ute = -1.0777 ++ ua1 = 2.6823e-9 ++ ub1 = -2.4433e-18 ++ uc1 = -1.9223e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.1 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.065634200e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope2/sqrt(l*w*mult))} lvth0 = 9.048380250e-08 wvth0 = 7.882214154e-08 pvth0 = -6.286814598e-13 ++ k1 = 5.488515703e-01 lk1 = -6.119274088e-07 wk1 = -5.330614706e-07 pk1 = 4.251671636e-12 ++ k2 = -5.971089207e-02 lk2 = 2.107955217e-07 wk2 = 1.836279421e-07 pk2 = -1.464607285e-12 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 7.374663231e+04 lvsat = 6.970451310e-01 wvsat = 6.072091187e-01 pvsat = -4.843069571e-6 ++ ua = -1.339853632e-09 lua = 3.054264999e-16 wua = 2.660627664e-16 pua = -2.122103322e-21 ++ ub = 2.691095738e-18 lub = -1.243110679e-25 wub = -1.082897084e-25 pub = 8.637132995e-31 ++ uc = 6.984327633e-11 luc = 2.462364538e-18 wuc = 2.145012044e-18 puc = -1.710850881e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.155047379e-02 lu0 = 3.492638310e-09 wu0 = 3.042502896e-09 pu0 = -2.426685098e-14 ++ a0 = 1.997305793e+00 la0 = -2.987862100e-07 wa0 = -2.602782850e-07 pa0 = 2.075966587e-12 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 5.149843589e-01 lags = 1.340616906e-07 wags = 1.167836592e-07 pags = -9.314606265e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.120694636e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.815292095e-08 wvoff = -2.452453874e-08 pvoff = 1.956064948e-13 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.187777049e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.848880369e-07 wnfactor = -5.966188454e-07 pnfactor = 4.758602080e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.405322955e-01 lpclm = -1.120878562e-06 wpclm = -9.764183890e-07 ppclm = 7.787864250e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.886283880e-03 lpdiblc2 = 1.524535941e-08 wpdiblc2 = 1.328051920e-08 ppdiblc2 = -1.059247572e-13 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.383516913e-04 lalpha0 = -4.307494292e-10 walpha0 = -3.752339263e-10 palpha0 = 2.992847034e-15 ++ alpha1 = 0.0 ++ beta0 = 1.812382841e+01 lbeta0 = -2.399535901e-06 wbeta0 = -2.090280837e-06 pbeta0 = 1.667197544e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.532233833e-01 lkt1 = -3.322914083e-09 wkt1 = -2.894652931e-09 pkt1 = 2.308760705e-14 ++ kt2 = -3.413777779e-02 lkt2 = -2.274918103e-09 wkt2 = -1.981723930e-09 pkt2 = 1.580613098e-14 ++ at = 6.161496924e+05 lat = -2.257749713e+00 wat = -1.966768223e+00 pat = 1.568684501e-5 ++ ute = -9.503648401e-01 lute = -1.015618868e-06 wute = -8.847246908e-07 pute = 7.056519897e-12 ++ ua1 = 2.422715740e-09 lua1 = 2.070431082e-15 wua1 = 1.803591442e-15 pua1 = -1.438535516e-20 ++ ub1 = -1.556867822e-18 lub1 = -7.070138733e-24 wub1 = -6.158930775e-24 pub1 = 4.912332392e-29 ++ uc1 = -1.093873687e-11 luc1 = -6.607486849e-17 wuc1 = -5.755906021e-17 puc1 = 4.590881863e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.2 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.272748110e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.136347530e-09 wvth0 = -1.576442831e-07 pvth0 = 3.114972211e-13 ++ k1 = 3.599249359e-01 lk1 = 1.392354435e-07 wk1 = 1.066122941e-06 pk1 = -2.106605626e-12 ++ k2 = 4.780166941e-03 lk2 = -4.561770435e-08 wk2 = -3.672558843e-07 pk2 = 7.256792645e-13 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 4.501850562e+05 lvsat = -7.996552203e-01 wvsat = -1.214418237e+00 pvsat = 2.399629716e-6 ++ ua = -1.300809062e-09 lua = 1.501872405e-16 wua = -5.321255328e-16 pua = 1.051453447e-21 ++ ub = 2.626614252e-18 lub = 1.320640957e-25 wub = 2.165794168e-25 pub = -4.279500985e-31 ++ uc = 6.801003316e-11 luc = 9.751247726e-18 wuc = -4.290024088e-18 puc = 8.476873096e-24 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.075352180e-02 lu0 = 6.661279571e-09 wu0 = -6.085005793e-09 pu0 = 1.202366720e-14 ++ a0 = 1.873713451e+00 la0 = 1.926107635e-07 wa0 = 5.205565699e-07 pa0 = -1.028593754e-12 ++ keta = 1.823110268e-01 lketa = -7.248595268e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -3.674985624e-01 lags = 3.642769662e-06 wags = -2.335673184e-07 pags = 4.615173427e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.223648486e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.278101484e-08 wvoff = 4.904907748e-08 pvoff = -9.691852464e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {6.880557574e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.301978831e-06 wnfactor = 1.193237691e-06 pnfactor = -2.357778015e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386642625e-01 letab = 2.730056745e-7 ++ dsub = 8.336952366e-01 ldsub = -1.088198576e-6 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.108026385e-01 lpclm = 6.736065685e-07 wpclm = 1.952836778e-06 ppclm = -3.858707832e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.773555186e-03 lpdiblc2 = 7.741663056e-09 wpdiblc2 = -2.656103841e-08 ppdiblc2 = 5.248328385e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.460214106e-05 lalpha0 = 3.761848605e-10 walpha0 = 7.504678525e-10 palpha0 = -1.482886953e-15 ++ alpha1 = 0.0 ++ beta0 = 1.378943650e+01 lbeta0 = 1.483378959e-05 wbeta0 = 4.180561674e-06 pbeta0 = -8.260580840e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.544831132e-01 lkt1 = 1.685708985e-09 wkt1 = 5.789305862e-09 pkt1 = -1.143937892e-14 ++ kt2 = -3.970410922e-02 lkt2 = 1.985653737e-08 wkt2 = 3.963447860e-09 pkt2 = -7.831574798e-15 ++ at = 4.525514858e+04 lat = 1.209844826e-02 wat = 3.933536446e+00 pat = -7.772471341e-6 ++ ute = -1.241970607e+00 lute = 1.437910814e-07 wute = 1.769449382e-06 pute = -3.496343505e-12 ++ ua1 = 2.638520366e-09 lua1 = 1.212402678e-15 wua1 = -3.607182884e-15 pua1 = 7.127613019e-21 ++ ub1 = -2.908579444e-18 lub1 = -1.695800907e-24 wub1 = 1.231786155e-23 pub1 = -2.433947853e-29 ++ uc1 = -2.045321438e-11 luc1 = -2.824578165e-17 wuc1 = 1.151181204e-16 puc1 = -2.274676500e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.3 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.281499842e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.407049093e-9 ++ k1 = 4.290139105e-01 lk1 = 2.719084048e-9 ++ k2 = -1.426108485e-02 lk2 = -7.993142886e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.918426775e+04 lvsat = 5.198078759e-2 ++ ua = -9.501342298e-10 lua = -5.427286938e-16 ++ ub = 2.617706520e-18 lub = 1.496653283e-25 ++ uc = 5.966524835e-11 luc = 2.624012527e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.824115950e-02 lu0 = -8.133918159e-9 ++ a0 = 2.190574410e+00 la0 = -4.334906492e-07 wa0 = 3.388131789e-21 ++ keta = -1.449161895e-01 lketa = -7.827490886e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 8.170574498e-01 lags = 1.302146209e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.170681977e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.315097581e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {9.331405229e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.177035890e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.487975000e-05 lcit = -9.642142013e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 5.912122870e-04 leta0 = -1.802309185e-10 ++ etab = -5.415949890e-04 letab = 8.218961851e-11 ++ dsub = -5.153016090e-02 ldsub = 6.609625483e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.292314045e-01 lpclm = 1.716301278e-9 ++ pdiblc1 = 0.39 ++ pdiblc2 = 7.015305875e-03 lpdiblc2 = 3.312075781e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.865945616e-04 lalpha0 = -1.201672640e-10 ++ alpha1 = 0.0 ++ beta0 = 2.119695075e+01 lbeta0 = 1.969118242e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.506826310e-01 lkt1 = -5.823853776e-9 ++ kt2 = -4.595043715e-02 lkt2 = 3.219896904e-8 ++ at = 3.099626020e+04 lat = 4.027329876e-2 ++ ute = -1.324376050e+00 lute = 3.066201160e-7 ++ ua1 = 3.273961280e-09 lua1 = -4.319679622e-17 ++ ub1 = -3.908312750e-18 lub1 = 2.796221184e-25 ++ uc1 = 1.127389820e-11 luc1 = -9.093696975e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.4 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.415412995e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.662205081e-9 ++ k1 = 4.337989900e-01 lk1 = -1.950914290e-9 ++ k2 = -1.718793358e-02 lk2 = -5.136684864e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.761046784e+04 lvsat = 5.351673761e-2 ++ ua = -1.221602632e-09 lua = -2.777891065e-16 ++ ub = 2.751298556e-18 lub = 1.928618127e-26 ++ uc = 1.074233594e-10 luc = -2.036940321e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.407221921e-02 lu0 = -4.065240878e-9 ++ a0 = 2.020645123e+00 la0 = -2.676481611e-7 ++ keta = -4.305008473e-01 lketa = 2.004414379e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 2.933555805e+00 lags = -7.634503603e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.149696165e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.669872657e-10 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.346903917e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.138912047e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 7.840064260e-04 leta0 = -3.683883585e-10 ++ etab = -8.332985405e-04 letab = 3.668776996e-10 ++ dsub = 2.694433755e-01 ldsub = 3.477084254e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.127243800e-02 lpclm = 2.657145264e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.030333910e-02 lpdiblc2 = 1.031197554e-10 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.816033686e-05 lalpha0 = 8.942277909e-11 ++ alpha1 = 0.0 ++ beta0 = 1.856086122e+01 lbeta0 = 2.769603395e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.506815870e-01 lkt1 = -5.824872667e-9 ++ kt2 = -1.336252900e-03 lkt2 = -1.134224408e-8 ++ at = 7.236385330e+04 lat = -9.940372813e-5 ++ ute = -1.005059740e+00 lute = -5.016636747e-9 ++ ua1 = 4.029867140e-09 lua1 = -7.809231203e-16 ++ ub1 = -4.686309770e-18 lub1 = 1.038908310e-24 ++ uc1 = -1.680361004e-10 luc1 = 8.406062335e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.5 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.955659379e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.237523171e-8 ++ k1 = 2.939492400e-01 lk1 = 6.461057422e-8 ++ k2 = 1.411904655e-02 lk2 = -2.003724206e-08 pk2 = 1.262177448e-29 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 9.862158910e+04 lvsat = 1.495949445e-2 ++ ua = -1.685639191e-09 lua = -5.693090631e-17 ++ ub = 2.816927564e-18 lub = -1.194994509e-26 ++ uc = 7.395486363e-11 luc = -4.440072643e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.785579897e-02 lu0 = -1.106535664e-9 ++ a0 = 1.318301380e+00 la0 = 6.663234319e-8 ++ keta = -1.362724654e-02 lketa = 2.030447647e-9 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 2.531102100e+00 lags = -5.719025195e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.186422400e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.014972382e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.984499198e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.104277305e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -5.197693883e-03 leta0 = 2.478601904e-09 weta0 = -1.654361225e-24 peta0 = 6.779273404e-31 ++ etab = 2.455547952e-02 letab = -1.171691122e-08 wetab = 7.031035207e-24 petab = 1.627025617e-30 ++ dsub = 1.659631037e+00 ldsub = -3.139513920e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 6.407131060e-01 lpclm = -5.887649330e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 7.428100200e-03 lpdiblc2 = 1.471589710e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.283466402e-03 lalpha0 = 1.162835701e-09 walpha0 = -4.135903063e-25 palpha0 = 1.972152263e-31 ++ alpha1 = 0.0 ++ beta0 = 1.815423470e+01 lbeta0 = 2.963137290e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.539452660e-01 lkt1 = -4.271524647e-9 ++ kt2 = -2.835108740e-02 lkt2 = 1.515466398e-9 ++ at = 8.746446820e+04 lat = -7.286541390e-3 ++ ute = -4.267743000e-01 lute = -2.802515919e-7 ++ ua1 = 4.105298706e-09 lua1 = -8.168247741e-16 ++ ub1 = -4.131849346e-18 lub1 = 7.750128712e-25 ++ uc1 = 4.935840992e-11 luc1 = -1.940829382e-17 wuc1 = 2.465190329e-32 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.6 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {6.085981921e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -5.791486956e-8 ++ k1 = 3.156315857e-01 lk1 = 5.971144821e-8 ++ k2 = -4.315366053e-03 lk2 = -1.587198653e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.521236440e+05 lvsat = 2.870705142e-3 ++ ua = -9.341333047e-10 lua = -2.267336613e-16 ++ ub = 2.603968464e-18 lub = 3.616816349e-26 ++ uc = 8.319096704e-11 luc = -6.526970211e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.935215995e-02 lu0 = -3.704138428e-9 ++ a0 = 5.207179143e+00 la0 = -8.120595873e-7 ++ keta = 2.463675731e-01 lketa = -5.671538185e-08 wketa = -6.617444900e-23 pketa = -1.577721810e-29 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.681894429e+00 lags = 6.059740461e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.639417362e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.225039357e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.251539366e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.760400046e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.539000915e-01 leta0 = 3.607790865e-08 weta0 = 5.293955920e-23 peta0 = -2.208810535e-29 ++ etab = -5.508974867e-02 letab = 6.278928090e-9 ++ dsub = 1.548726549e-01 ldsub = 2.604876439e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 8.965795643e-01 lpclm = -1.166895196e-7 ++ pdiblc1 = -9.689928571e-01 lpdiblc1 = 3.070644361e-07 ppdiblc1 = 2.019483917e-28 ++ pdiblc2 = 1.446009071e-02 lpdiblc2 = -1.172885469e-10 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.807445428e-03 lalpha0 = -1.117205827e-9 ++ alpha1 = 0.0 ++ beta0 = 3.632420408e+01 lbeta0 = -1.142367291e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -1.821762143e-01 lkt1 = -2.048774188e-8 ++ kt2 = -3.510916857e-02 lkt2 = 3.042454839e-9 ++ at = 1.366878571e+02 lat = 1.244517058e-2 ++ ute = -1.139543429e+00 lute = -1.192014073e-7 ++ ua1 = 1.541707280e-09 lua1 = -2.375812914e-16 ++ ub1 = -1.995903100e-18 lub1 = 2.923958169e-25 pub1 = 3.503246161e-46 ++ uc1 = -1.221356132e-10 luc1 = 1.934078071e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.7 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {2.499593467e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope2/sqrt(l*w*mult))} lvth0 = -1.985141613e-9 ++ k1 = 1.011211867e+00 lk1 = -4.876429661e-8 ++ k2 = -2.173785925e-01 lk2 = 1.735522364e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.819855190e+05 lvsat = -1.786254255e-3 ++ ua = -3.496851673e-09 lua = 1.729222682e-16 ++ ub = 4.579415850e-18 lub = -2.719028563e-25 ++ uc = 1.863698448e-10 luc = -2.261771620e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 1.421071555e-02 lu0 = 2.166698265e-10 ++ a0 = 0.0 ++ keta = 1.569286063e-01 lketa = -4.276737498e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.005218833e+00 lags = 3.096873294e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.193483187e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.296050099e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {7.234594002e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.583940752e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 6.763735176e-02 leta0 = 1.529144370e-9 ++ etab = -7.707727382e-02 letab = 9.707882638e-9 ++ dsub = 5.818051642e-01 ldsub = -4.053136044e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 8.682441667e-02 lpclm = 9.591795721e-9 ++ pdiblc1 = 3.583688214e+00 lpdiblc1 = -4.029261770e-7 ++ pdiblc2 = 6.473580283e-02 lpdiblc2 = -7.957785852e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.687407636e-03 lalpha0 = -1.627859335e-10 ++ alpha1 = 0.0 ++ beta0 = 3.124083183e+01 lbeta0 = -3.496153888e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.951193167e-01 lkt1 = -2.874265066e-9 ++ kt2 = 2.122778000e-02 lkt2 = -5.743292291e-9 ++ at = 6.394335000e+04 lat = 2.494521618e-3 ++ ute = -2.841387833e+00 lute = 1.462012276e-7 ++ ua1 = -2.429022070e-09 lua1 = 3.816539507e-16 wua1 = 9.860761315e-32 pua1 = 1.293043786e-37 ++ ub1 = 2.444379600e-18 lub1 = -4.000662701e-25 wub1 = 1.469367939e-39 pub1 = -8.758115402e-47 ++ uc1 = 5.214877500e-12 luc1 = -5.195283211e-19 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.8 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 5.05e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {3.906886357e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.891201432e-7 ++ k1 = 6.562101846e-01 wk1 = -1.278989123e-6 ++ k2 = -9.669357138e-02 wk2 = 4.405835979e-7 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -4.854532308e+04 wvsat = 1.456893625e+0 ++ ua = -1.393438690e-09 wua = 6.383717507e-16 ++ ub = 2.712905292e-18 wub = -2.598224910e-25 ++ uc = 6.941127077e-11 wuc = 5.146586695e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.093771351e-02 wu0 = 7.299961309e-9 ++ a0 = 2.049725856e+00 wa0 = -6.244928844e-7 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.914641225e-01 wags = 2.802022619e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.071302200e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} wvoff = -5.884240377e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.307936122e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = -1.431484089e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 5.371830215e-01 wpclm = -2.342747634e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.115864615e-04 wpdiblc2 = 3.186431687e-8 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.139238281e-04 walpha0 = -9.003091326e-10 ++ alpha1 = 0.0 ++ beta0 = 1.854481110e+01 wbeta0 = -5.015268597e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.526404000e-01 wkt1 = -6.945220800e-9 ++ kt2 = -3.373865846e-02 wkt2 = -4.754805009e-9 ++ at = 1.012256938e+06 wat = -4.718921368e+0 ++ ute = -7.721812308e-01 wute = -2.122744409e-6 ++ ua1 = 2.059472308e-09 wua1 = 4.327406806e-15 ++ ub1 = -3.164587692e-19 wub1 = -1.477729287e-23 ++ uc1 = 6.536615385e-13 wuc1 = -1.381030444e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.9 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.05e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {3.906886357e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.891201432e-7 ++ k1 = 6.562101846e-01 wk1 = -1.278989123e-6 ++ k2 = -9.669357138e-02 wk2 = 4.405835979e-7 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -4.854532308e+04 wvsat = 1.456893625e+0 ++ ua = -1.393438690e-09 wua = 6.383717507e-16 ++ ub = 2.712905292e-18 wub = -2.598224910e-25 ++ uc = 6.941127077e-11 wuc = 5.146586695e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.093771351e-02 wu0 = 7.299961309e-9 ++ a0 = 2.049725856e+00 wa0 = -6.244928844e-7 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.914641225e-01 wags = 2.802022619e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.071302200e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} wvoff = -5.884240377e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.307936122e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = -1.431484089e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 5.371830215e-01 wpclm = -2.342747634e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.115864615e-04 wpdiblc2 = 3.186431687e-8 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.139238281e-04 walpha0 = -9.003091326e-10 ++ alpha1 = 0.0 ++ beta0 = 1.854481110e+01 wbeta0 = -5.015268597e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.526404000e-01 wkt1 = -6.945220800e-9 ++ kt2 = -3.373865846e-02 wkt2 = -4.754805009e-9 ++ at = 1.012256938e+06 wat = -4.718921368e+0 ++ ute = -7.721812308e-01 wute = -2.122744409e-6 ++ ua1 = 2.059472308e-09 wua1 = 4.327406806e-15 ++ ub1 = -3.164587692e-19 wub1 = -1.477729287e-23 ++ uc1 = 6.536615385e-13 wuc1 = -1.381030444e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.10 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.05e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {3.387074751e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.066744953e-07 wvth0 = 4.577215666e-07 pvth0 = -1.067945829e-12 ++ k1 = 1.043658291e+00 lk1 = -1.540474298e-06 wk1 = -3.684456410e-06 pk1 = 9.564017660e-12 ++ k2 = -2.346599121e-01 lk2 = 5.485472722e-07 wk2 = 1.296373785e-06 pk2 = -3.402578993e-12 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -1.335375596e+05 lvsat = 3.379248828e-01 wvsat = 2.841286497e+00 pvsat = -5.504276840e-6 ++ ua = -1.628156003e-09 lua = 9.332242992e-16 wua = 1.742281014e-15 pua = -4.389088037e-21 ++ ub = 2.607033192e-18 lub = 4.209421767e-25 wub = 3.526286227e-25 pub = -2.435075005e-30 ++ uc = 1.251089846e-10 luc = -2.214513252e-16 wuc = -4.010135385e-16 puc = 1.614872350e-21 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.453968505e-02 lu0 = 2.543824125e-08 wu0 = 3.708873192e-08 pu0 = -1.184386625e-13 ++ a0 = 1.998634175e+00 la0 = 2.031379692e-07 wa0 = -3.473926242e-07 pa0 = -1.101736780e-12 ++ keta = 1.026209329e-01 lketa = -4.080156980e-07 wketa = 5.536867724e-07 pketa = -2.201430923e-12 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -6.735004679e-01 lags = 4.631840963e-06 wags = 1.892533921e-06 pags = -6.410550058e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-8.695778636e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.020458739e-08 wvoff = -1.969591909e-07 pvoff = 5.491454397e-13 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.764527776e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.815385585e-06 wnfactor = -6.286089894e-06 pnfactor = 1.930166995e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -2.661279615e-06 lcit = 5.034061469e-11 wcit = 8.797057077e-11 pcit = -3.497665908e-16 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386642625e-01 letab = 2.730056745e-7 ++ dsub = 1.184116408e+00 ldsub = -2.481455632e-06 wdsub = -2.434726299e-06 pdsub = 9.680350028e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.827566924e-01 lpclm = 6.139913634e-07 wpclm = -1.476413453e-06 ppclm = -3.444501387e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -7.933641797e-03 lpdiblc2 = 3.238502030e-08 wpdiblc2 = 6.172856623e-08 ppdiblc2 = -1.187387623e-13 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.094116620e-04 lalpha0 = -3.796548533e-10 walpha0 = -1.848180051e-09 palpha0 = 3.768687378e-15 ++ alpha1 = 0.0 ++ beta0 = 1.587877845e+01 lbeta0 = 1.060001253e-05 wbeta0 = -1.033618616e-05 pbeta0 = 2.115570220e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.794415474e-01 lkt1 = 1.065600221e-07 wkt1 = 1.792005069e-07 pkt1 = -7.401061062e-13 ++ kt2 = -1.800388894e-02 lkt2 = -6.256065688e-08 wkt2 = -1.468096827e-07 pkt2 = 5.648030909e-13 ++ at = 1.978932325e+06 lat = -3.843453004e+00 wat = -9.501652578e+00 pat = 1.901590015e-5 ++ ute = -5.425074425e-01 lute = -9.131714985e-07 wute = -3.090420687e-06 pute = 3.847432499e-12 ++ ua1 = -6.951433487e-10 lua1 = 1.095221412e-14 wua1 = 1.955511261e-14 pua1 = -6.054459688e-20 ++ ub1 = 5.349391812e-18 lub1 = -2.252713862e-23 wub1 = -4.505852274e-23 pub1 = 1.203966559e-28 ++ uc1 = 2.359887021e-11 luc1 = -9.122900240e-17 wuc1 = -1.909557633e-16 puc1 = 2.101397678e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.11 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 5.05e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.501238099e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.347861141e-08 wvth0 = -1.526741411e-07 pvth0 = 1.381655692e-13 ++ k1 = 1.098075300e-01 lk1 = 3.047681127e-07 wk1 = 2.217845931e-06 pk1 = -2.098636651e-12 ++ k2 = 1.011602926e-01 lk2 = -1.150166613e-07 wk2 = -8.019477308e-07 pk2 = 7.435994056e-13 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 7.224886622e+03 lvsat = 5.978532721e-02 wvsat = 8.309378008e-02 pvsat = -5.422594129e-8 ++ ua = -8.783334105e-10 lua = -5.483876523e-16 wua = -4.988720921e-16 pua = 3.931844360e-23 ++ ub = 2.780493269e-18 lub = 7.819373686e-26 wub = -1.131042332e-24 pub = 4.965846175e-31 ++ uc = -8.467754604e-11 luc = 1.930763700e-16 wuc = 1.002893735e-15 puc = -1.159178228e-21 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 4.324278166e-02 lu0 = -1.151814250e-08 wu0 = -3.475127073e-08 pu0 = 2.351359072e-14 ++ a0 = 2.503276966e+00 la0 = -7.940109526e-07 wa0 = -2.172657356e-06 pa0 = 2.504895068e-12 ++ keta = 1.545693683e-01 lketa = -5.106632091e-07 wketa = -2.080825656e-06 pketa = 3.004233910e-12 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 8.744391799e-01 lags = 1.573189616e-06 wags = -3.986882604e-07 pags = -1.883209590e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.422342947e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.901902929e-08 wvoff = 1.748540418e-07 pvoff = -1.855389175e-13 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-2.134306463e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.092961359e-06 wnfactor = 7.966376483e-06 pnfactor = -8.860490989e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.020230923e-05 lcit = -3.435569369e-11 wcit = -1.759411415e-10 pcit = 1.717097571e-16 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 7.564320637e-04 leta0 = -5.066969362e-10 weta0 = -1.147947008e-09 peta0 = 2.268285891e-15 ++ etab = -5.889721664e-04 letab = 1.758045523e-10 wetab = 3.291766289e-10 petab = -6.504365598e-16 ++ dsub = 1.838639089e-01 ldsub = -5.050067068e-07 wdsub = -1.635517997e-06 pdsub = 8.101154384e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.250925600e+00 lpclm = -1.101466990e-06 wpclm = -7.098731270e-06 ppclm = 7.664917504e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.059719894e-02 lpdiblc2 = -4.230994463e-09 wpdiblc2 = -2.488699303e-08 ppdiblc2 = 5.240925206e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.606289833e-04 lalpha0 = -8.566771924e-11 walpha0 = 1.804088381e-10 palpha0 = -2.397028372e-16 ++ alpha1 = 0.0 ++ beta0 = 2.068289695e+01 lbeta0 = 1.107314584e-06 wbeta0 = 3.571645802e-06 pbeta0 = -6.325478373e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.145610854e-01 lkt1 = -2.164052685e-08 wkt1 = -2.509724988e-07 pkt1 = 1.098942445e-13 ++ kt2 = -1.422016137e-01 lkt2 = 1.828478374e-07 wkt2 = 6.687531747e-07 pkt2 = -1.046708337e-12 ++ at = 2.886737031e+01 lat = 6.676128345e-02 wat = 2.151614454e-01 pat = -1.840385176e-7 ++ ute = -1.405967708e+00 lute = 7.929828131e-07 wute = 5.668988396e-07 pute = -3.379248019e-12 ++ ua1 = 3.791858500e-09 lua1 = 2.086122817e-15 wua1 = -3.598349883e-15 pua1 = -1.479451267e-20 ++ ub1 = -4.764213727e-18 lub1 = -2.543159755e-24 wub1 = 5.946799988e-24 pub1 = 1.961268845e-29 ++ uc1 = 1.699225986e-10 luc1 = -3.803573735e-16 wuc1 = -1.102291170e-15 puc1 = 2.010892965e-21 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.12 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 5.05e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.424712187e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.010065057e-09 wvth0 = -6.461078861e-09 pvth0 = -4.531068884e-15 ++ k1 = 4.497027542e-01 lk1 = -2.695263127e-08 wk1 = -1.104993533e-07 pk1 = 1.737119296e-13 ++ k2 = -1.909791244e-02 lk2 = 2.349333997e-09 wk2 = 1.327053315e-08 pk2 = -5.201285905e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.504710501e+05 lvsat = -8.001576602e-02 wvsat = -9.231153254e-01 pvsat = 9.277838352e-7 ++ ua = -6.384869914e-10 lua = -7.824657650e-16 wua = -4.051487472e-15 pua = 3.506493423e-21 ++ ub = 2.416060118e-18 lub = 4.338622712e-25 wub = 2.329236668e-24 pub = -2.880474673e-30 ++ uc = 1.378981169e-10 luc = -2.414634830e-17 wuc = -2.117386152e-16 puc = 2.624221452e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.981974313e-02 lu0 = -8.177428043e-09 wu0 = -3.993379617e-08 pu0 = 2.857147642e-14 ++ a0 = 2.170538518e+00 la0 = -4.692748649e-07 wa0 = -1.041459314e-06 pa0 = 1.400902338e-12 ++ keta = -6.878723148e-01 lketa = 3.115177515e-07 wketa = 1.788216956e-06 pketa = -7.717582269e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.708129977e-01 lags = 1.967108588e-06 wags = 1.711113702e-05 pags = -1.897192358e-11 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.108792756e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.581901611e-09 wvoff = -2.841968880e-08 pvoff = 1.284607991e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.772922212e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.543802876e-07 wnfactor = -2.959975114e-06 pnfactor = 1.803081852e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 4.291689863e-04 leta0 = -1.873044940e-10 weta0 = 2.465410829e-09 peta0 = -1.258170690e-15 ++ etab = -7.400703663e-04 letab = 3.232688405e-10 wetab = -6.477493539e-10 petab = 3.029943531e-16 ++ dsub = -1.603029450e+00 ldsub = 1.238911867e-06 wdsub = 1.300994119e-05 pdsub = -6.192081509e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -5.762763149e-01 lpclm = 6.817907193e-07 wpclm = 3.717206937e-06 ppclm = -2.890897389e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 6.682104373e-04 lpdiblc2 = 5.459201868e-09 wpdiblc2 = 6.694487395e-08 ppdiblc2 = -3.721405852e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.076349711e-04 lalpha0 = 1.761444871e-10 walpha0 = 5.521897589e-10 palpha0 = -6.025424269e-16 ++ alpha1 = 0.0 ++ beta0 = 1.830440783e+01 lbeta0 = 3.428601033e-06 wbeta0 = 1.781838139e-06 pbeta0 = -4.578715585e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.182503416e-01 lkt1 = -1.803999725e-08 wkt1 = -2.253322930e-07 pkt1 = 8.487068561e-14 ++ kt2 = 8.950120937e-02 lkt2 = -4.328253281e-08 wkt2 = -6.311386879e-07 pkt2 = 2.219211261e-13 ++ at = 1.148750153e+04 lat = 5.557822944e-02 wat = 4.229688921e-01 pat = -3.868481952e-7 ++ ute = -2.623470978e-01 lute = -3.231337214e-07 wute = -5.160367438e-06 pute = 2.210277504e-12 ++ ua1 = 9.336718781e-09 lua1 = -3.325383575e-15 wua1 = -3.687200520e-14 pua1 = 1.767891124e-20 ++ ub1 = -1.212596015e-17 lub1 = 4.641536672e-24 wub1 = 5.169069087e-23 pub1 = -2.503106186e-29 ++ uc1 = -5.217161532e-10 luc1 = 2.946474663e-16 wuc1 = 2.457369007e-15 puc1 = -1.463157385e-21 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.13 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 5.05e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {5.046381253e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.559840423e-08 wvth0 = -6.303355817e-08 pvth0 = 2.239460264e-14 ++ k1 = 2.736310936e-01 lk1 = 5.684867557e-08 wk1 = 1.411704813e-07 pk1 = 5.392967182e-14 ++ k2 = 2.513163981e-02 lk2 = -1.870172140e-08 wk2 = -7.651549795e-08 pk2 = -9.279197553e-15 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -1.952846699e+05 lvsat = 8.454666889e-02 wvsat = 2.042060687e+00 pvsat = -4.834916880e-7 ++ ua = -2.029160092e-09 lua = -1.205749030e-16 wua = 2.386783217e-15 pua = 4.421984890e-22 ++ ub = 3.206404926e-18 lub = 5.769765971e-26 wub = -2.706088712e-24 pub = -4.839115581e-31 ++ uc = 1.347648575e-10 luc = -2.265507346e-17 wuc = -4.225078371e-16 puc = 1.265578257e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.660475222e-02 lu0 = -1.887753122e-09 wu0 = 8.692272785e-09 pu0 = 5.427898902e-15 ++ a0 = 4.105362724e-01 la0 = 3.683982041e-07 wa0 = 6.307151968e-06 pa0 = -2.096669201e-12 ++ keta = -1.305217443e-01 lketa = 4.624674752e-08 wketa = 8.121829703e-07 pketa = -3.072148516e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 8.764768139e+00 lags = -1.980399361e-06 wags = -4.331151164e-05 pags = 9.786236055e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.231742978e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.269914194e-09 wvoff = 3.148873777e-08 pvoff = -1.566733571e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.098751310e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.980714917e-10 wnfactor = -7.938236699e-07 pnfactor = 7.721020721e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.021461833e-02 leta0 = 4.878606545e-09 weta0 = 3.485759816e-08 peta0 = -1.667523225e-14 ++ etab = -4.249328683e-02 letab = 2.019571222e-08 wetab = 4.658548286e-07 petab = -2.217289076e-13 ++ dsub = 1.820262607e+00 ldsub = -3.904039879e-07 wdsub = -1.116068150e-06 pdsub = 5.311926360e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.315063217e+00 lpclm = -2.183923309e-07 wpclm = -4.685384571e-06 ppclm = 1.108316040e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.276766690e-02 lpdiblc2 = -2.995344368e-10 wpdiblc2 = -3.709930945e-08 ppdiblc2 = 1.230577057e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.448795377e-03 lalpha0 = 2.242319782e-09 walpha0 = 1.504470572e-08 palpha0 = -7.500255397e-15 ++ alpha1 = 0.0 ++ beta0 = 1.851521036e+01 lbeta0 = 3.328269572e-06 wbeta0 = -2.508058894e-06 pbeta0 = -2.536939092e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -1.780772817e-01 lkt1 = -3.716036509e-08 wkt1 = -5.271307546e-07 pkt1 = 2.285116634e-13 ++ kt2 = 6.870079521e-03 lkt2 = -3.954246557e-09 wkt2 = -2.447166678e-07 pkt2 = 3.800356561e-14 ++ at = 1.790869369e+05 lat = -2.419072180e-02 wat = -6.365929122e-01 pat = 1.174502455e-7 ++ ute = 1.632015465e+00 lute = -1.224755583e-06 wute = -1.430447129e-05 pute = 6.562413731e-12 ++ ua1 = 8.772002011e-09 lua1 = -3.056606628e-15 wua1 = -3.242425456e-14 pua1 = 1.556200432e-20 ++ ub1 = -7.742786767e-18 lub1 = 2.555365298e-24 wub1 = 2.508879320e-23 pub1 = -1.236988866e-29 ++ uc1 = 3.030103002e-10 luc1 = -9.788108918e-17 wuc1 = -1.762373333e-15 puc1 = 5.452289821e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.14 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.05e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {6.118621589e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -5.982567463e-08 wvth0 = -2.267804122e-08 pvth0 = 1.327627359e-14 ++ k1 = 1.682851083e-01 lk1 = 8.065160094e-08 wk1 = 1.023763325e-06 pk1 = -1.454921812e-13 ++ k2 = 3.885495103e-02 lk2 = -2.180250357e-08 wk2 = -2.999473631e-07 pk2 = 4.120523238e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.900498318e+05 lvsat = -2.519661753e-03 wvsat = -2.635111525e-01 pvsat = 3.745226918e-8 ++ ua = -3.170460283e-09 lua = 1.373018754e-16 wua = 1.553799985e-14 pua = -2.529318909e-21 ++ ub = 4.758410537e-18 lub = -2.929780080e-25 wub = -1.496906352e-23 pub = 2.286907600e-30 ++ uc = -1.275427629e-11 luc = 1.067687481e-17 wuc = 6.666275507e-16 puc = -1.195323152e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.105891285e-02 lu0 = -6.346707162e-10 wu0 = 1.271014808e-07 pu0 = -2.132666166e-14 ++ a0 = 6.587983926e+00 la0 = -1.027396093e-06 wa0 = -9.593831633e-06 pa0 = 1.496158043e-12 ++ keta = 6.886116130e-01 lketa = -1.388364346e-07 wketa = -3.072711589e-06 pketa = 5.705770742e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.749845442e+00 lags = 6.213275777e-07 wags = 4.721236442e-07 pags = -1.066763374e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.745077203e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.586870100e-08 wvoff = 7.341245702e-08 pvoff = -2.514000007e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.563830907e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.155850276e-07 wnfactor = 6.914345801e-06 pnfactor = -9.695588197e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -2.241036374e-07 lcit = 1.180386217e-12 wcit = 3.629707207e-11 pcit = -8.201323435e-18 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -5.857628930e-02 leta0 = 1.580592587e-08 weta0 = -6.623097778e-07 peta0 = 1.408497363e-13 ++ etab = 1.720249857e-01 letab = -2.827469146e-08 wetab = -1.577993174e-06 petab = 2.400785486e-13 ++ dsub = -4.412068082e-01 ldsub = 1.205750265e-07 wdsub = 4.141560110e-06 pdsub = -6.567684693e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 7.310477266e-01 lpclm = -8.643403085e-08 wpclm = 1.150115208e-06 ppclm = -2.102151355e-13 ++ pdiblc1 = -9.690011940e-01 lpdiblc1 = 3.070663198e-07 wpdiblc1 = 5.792441349e-11 ppdiblc1 = -1.308802123e-17 ++ pdiblc2 = -1.399733861e-02 lpdiblc2 = 5.748018559e-09 wpdiblc2 = 1.977222189e-07 ppdiblc2 = -4.075215377e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.098787927e-02 lalpha0 = -3.505096855e-09 walpha0 = -9.157765437e-08 palpha0 = 1.659106686e-14 ++ alpha1 = 0.0 ++ beta0 = 5.064741493e+01 lbeta0 = -3.932002052e-06 wbeta0 = -9.951766904e-05 pbeta0 = 1.938238232e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -3.809161004e-01 lkt1 = 8.671065990e-09 wkt1 = 1.380844729e-06 pkt1 = -2.025953971e-13 ++ kt2 = -8.864338002e-02 lkt2 = 1.762701963e-08 wkt2 = 3.719557011e-07 pkt2 = -1.013335561e-13 ++ at = 7.209416075e+04 lat = -1.570404195e-05 wat = -4.999605217e-01 pat = 8.657815686e-8 ++ ute = -6.188275033e+00 lute = 5.422390548e-07 wute = 3.507858718e-05 pute = -4.595688331e-12 ++ ua1 = -1.385078990e-08 lua1 = 2.055013205e-15 wua1 = 1.069470704e-13 pua1 = -1.592894656e-20 ++ ub1 = 1.150465572e-17 lub1 = -1.793594333e-24 wub1 = -9.380188271e-23 pub1 = 1.449345956e-29 ++ uc1 = -4.351785849e-10 luc1 = 6.891268941e-17 wuc1 = 2.175022567e-15 puc1 = -3.444256217e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.15 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.05e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {2.645687442e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -5.665266596e-09 wvth0 = -1.015060938e-07 pvth0 = 2.556950838e-14 ++ k1 = 1.589330356e+00 lk1 = -1.409604054e-07 wk1 = -4.016767262e-06 pk1 = 6.405785639e-13 ++ k2 = -3.863594104e-01 lk2 = 4.450967610e-08 wk2 = 1.174078723e-06 pk2 = -1.886691357e-13 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.521302627e+05 lvsat = 3.393895041e-03 wvsat = 2.074343203e-01 pvsat = -3.599167731e-8 ++ ua = -6.864350754e-09 lua = 7.133640943e-16 wua = 2.339738362e-14 pua = -3.754989808e-21 ++ ub = 1.065127934e-17 lub = -1.211970898e-24 wub = -4.218730751e-23 pub = 6.531592751e-30 ++ uc = 4.100475213e-10 luc = -5.525906552e-17 wuc = -1.554112496e-15 puc = 2.267920951e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.010834965e-02 lu0 = -4.864303858e-10 wu0 = -4.097676176e-08 pu0 = 4.885140275e-15 ++ a0 = 0.0 ++ keta = 5.960802604e-01 lketa = -1.244061701e-07 wketa = -3.051225693e-06 pketa = 5.672263486e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.171303655e+00 lags = 9.824375992e-09 wags = -1.153957340e-06 pags = 1.469109921e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-4.190333247e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.400246901e-08 wvoff = 2.082211422e-06 pvoff = -3.384121986e-13 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-2.636019729e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.666552473e-07 wnfactor = 2.334166099e-05 pnfactor = -3.531398623e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.718957515e-05 lcit = -1.535276991e-12 wcit = -8.469316817e-11 pcit = 1.066710453e-17 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -3.111874360e-01 leta0 = 5.520063420e-08 weta0 = 2.632074625e-06 peta0 = -3.729095113e-13 ++ etab = -1.756825561e-01 letab = 2.595029969e-08 wetab = 6.851095014e-07 petab = -1.128523136e-13 ++ dsub = 6.340608263e-01 ldsub = -4.711296107e-08 wdsub = -3.630723397e-07 pdsub = 4.572896119e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.369884534e+00 lpclm = -1.860606310e-07 wpclm = -8.914701697e-06 ppclm = 1.359393061e-12 ++ pdiblc1 = 8.993563655e+00 lpdiblc1 = -1.246595668e-06 wpdiblc1 = -3.758781457e-05 ppdiblc1 = 5.861815627e-12 ++ pdiblc2 = 1.271247206e-01 lpdiblc2 = -1.625996658e-08 wpdiblc2 = -4.334782007e-07 ppdiblc2 = 5.768355168e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.684812109e-03 lalpha0 = 8.104593659e-10 walpha0 = 5.817018278e-08 palpha0 = -6.762108340e-15 ++ alpha1 = 0.0 ++ beta0 = 2.028701882e+01 lbeta0 = 8.027017212e-07 wbeta0 = 7.610709275e-05 pbeta0 = -8.006299281e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = 9.169068374e-02 lkt1 = -6.503196200e-08 wkt1 = -2.687555883e-06 pkt1 = 4.318716783e-13 ++ kt2 = 3.628031166e-01 lkt2 = -5.277606152e-08 wkt2 = -2.373265438e-06 pkt2 = 3.267836806e-13 ++ at = -7.713296612e+04 lat = 2.325626639e-02 wat = 9.801982444e-01 pat = -1.442526027e-7 ++ ute = -4.278255164e+00 lute = 2.443714563e-07 wute = 9.983354214e-06 pute = -6.820867490e-13 ++ ua1 = -6.873444496e-09 lua1 = 9.668961886e-16 wua1 = 3.087984701e-14 pua1 = -4.066263069e-21 ++ ub1 = 5.970576591e-18 lub1 = -9.305546923e-25 wub1 = -2.450001669e-23 pub1 = 3.685833557e-30 ++ uc1 = -2.592159638e-10 luc1 = 4.147131865e-17 wuc1 = 1.837265485e-15 puc1 = -2.917524047e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.16 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 5.0e-06 wmax = 5.05e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4285278+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.40031 ++ k2 = -0.008541591 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 242950.0 ++ ua = -1.26571325e-9 ++ ub = 2.66092e-18 ++ uc = 7.0441e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.03239829 ++ a0 = 1.9247773 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.547527 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11890341+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.02152474+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.068446 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.006587 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.3789948e-5 ++ alpha1 = 0.0 ++ beta0 = 17.541356 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25403 ++ kt2 = -0.03469 ++ at = 68095.0 ++ ute = -1.1969 ++ ua1 = 2.9253e-9 ++ ub1 = -3.2731e-18 ++ uc1 = -2.6978e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.17 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.0e-06 wmax = 5.05e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4285278+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.40031 ++ k2 = -0.008541591 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 242950.0 ++ ua = -1.26571325e-9 ++ ub = 2.66092e-18 ++ uc = 7.0441e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.03239829 ++ a0 = 1.9247773 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.547527 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11890341+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.02152474+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.068446 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.006587 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.3789948e-5 ++ alpha1 = 0.0 ++ beta0 = 17.541356 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25403 ++ kt2 = -0.03469 ++ at = 68095.0 ++ ute = -1.1969 ++ ua1 = 2.9253e-9 ++ ub1 = -3.2731e-18 ++ uc1 = -2.6978e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.18 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.0e-06 wmax = 5.05e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.302884208e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.000140464e-9 ++ k1 = 3.064721345e-01 lk1 = 3.730946613e-7 ++ k2 = 2.471859627e-02 lk2 = -1.322408416e-07 pk2 = 3.231174268e-27 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 4.349471337e+05 lvsat = -7.633710035e-01 pvsat = -5.421010862e-20 ++ ua = -1.279560362e-09 lua = 5.505542420e-17 ++ ub = 2.677587138e-18 lub = -6.626770832e-26 ++ uc = 4.487418295e-11 luc = 1.016523862e-16 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.196039972e-02 lu0 = 1.741029857e-9 ++ a0 = 1.929127848e+00 la0 = -1.729756097e-8 ++ keta = 2.134026000e-01 lketa = -8.484780675e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.948422205e-01 lags = 3.349217902e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.263653876e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.966844976e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {5.068067088e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.046493156e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.493987500e-05 lcit = -1.964069601e-11 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386642625e-01 letab = 2.730056745e-7 ++ dsub = 6.969762922e-01 ldsub = -5.446108888e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 8.735584150e-02 lpclm = -7.518458431e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.417011710e-03 lpdiblc2 = 8.627764942e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.037226179e-05 lalpha0 = 3.743842380e-10 ++ alpha1 = 0.0 ++ beta0 = 1.381071399e+01 lbeta0 = 1.483284610e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.435871042e-01 lkt1 = -4.152043136e-8 ++ kt2 = -4.737757495e-02 lkt2 = 5.044516362e-8 ++ at = 7.784137337e+04 lat = -3.875109322e-2 ++ ute = -1.160838912e+00 lute = -1.433770808e-7 ++ ua1 = 3.217444208e-09 lua1 = -1.161550762e-15 ++ ub1 = -3.665918860e-18 lub1 = 1.561828146e-24 ++ uc1 = -1.460756502e-11 luc1 = -4.918423094e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.19 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 5.0e-06 wmax = 5.05e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.195767629e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.416556009e-8 ++ k1 = 5.535542150e-01 lk1 = -1.151271756e-07 wk1 = -5.421010862e-20 ++ k2 = -5.929343500e-02 lk2 = 3.376273163e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.385029280e+04 lvsat = 4.893579914e-2 ++ ua = -9.781477547e-10 lua = -5.405208168e-16 ++ ub = 2.554194283e-18 lub = 1.775504030e-25 ++ uc = 1.159814647e-10 luc = -3.885204703e-17 wuc = 1.262177448e-29 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.628974630e-02 lu0 = -6.813542516e-9 ++ a0 = 2.068571612e+00 la0 = -2.928314672e-07 wa0 = -2.168404345e-19 ++ keta = -2.617622955e-01 lketa = 9.042400779e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 7.946696200e-01 lags = 1.196396981e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.072494924e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.103603264e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.380482216e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.201540387e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 5.267507895e-04 leta0 = -5.285822251e-11 ++ etab = -5.231104960e-04 letab = 4.566518457e-11 ++ dsub = -1.433705843e-01 ldsub = 1.115872522e-06 pdsub = -5.169878828e-26 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.693887798e-01 lpclm = 4.321299501e-07 ppclm = -6.462348536e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.617808580e-03 lpdiblc2 = 6.255050366e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.967251894e-04 lalpha0 = -1.336274706e-10 ++ alpha1 = 0.0 ++ beta0 = 2.139751195e+01 lbeta0 = -1.582873317e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.647756710e-01 lkt1 = 3.471171125e-10 ++ kt2 = -8.397457100e-03 lkt2 = -2.657760024e-8 ++ at = 4.307837625e+04 lat = 2.993885095e-2 ++ ute = -1.292542570e+00 lute = 1.168627612e-7 ++ ua1 = 3.071900540e-09 lua1 = -8.739637520e-16 ++ ub1 = -3.574377795e-18 lub1 = 1.380947579e-24 wub1 = 3.761581923e-37 ++ uc1 = -5.062385405e-11 luc1 = 2.198215536e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.20 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 5.0e-06 wmax = 5.05e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.411784859e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.916641465e-9 ++ k1 = 4.275940400e-01 lk1 = 7.803657162e-9 ++ k2 = -1.644274375e-02 lk2 = -8.057400507e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -3.422589378e+04 lvsat = 1.056152534e-1 ++ ua = -1.449108734e-09 lua = -8.088644864e-17 ++ ub = 2.882093865e-18 lub = -1.424631935e-25 ++ uc = 9.553344800e-11 luc = -1.889580518e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.182978791e-02 lu0 = -2.460846126e-09 wu0 = 3.388131789e-21 ++ a0 = 1.962163306e+00 la0 = -1.889822803e-7 ++ keta = -3.300858090e-01 lketa = 1.571043408e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 3.894409841e+00 lags = -1.828794488e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.165654879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 9.883424696e-10 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.180690296e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.151409622e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 9.224485132e-04 leta0 = -4.390393260e-10 ++ etab = -8.696720778e-04 letab = 3.838919603e-10 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.674625680e-01 lpclm = 1.033798773e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.406254296e-02 lpdiblc2 = -1.986588152e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.847173507e-06 lalpha0 = 5.558777898e-11 ++ alpha1 = 0.0 ++ beta0 = 1.866091807e+01 lbeta0 = 2.512491472e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.633348340e-01 lkt1 = -1.059067758e-9 ++ kt2 = -3.677703950e-02 lkt2 = 1.119453200e-9 ++ at = 9.611513100e+04 lat = -2.182236985e-2 ++ ute = -1.294833580e+00 lute = 1.190986724e-7 ++ ua1 = 1.959366800e-09 lua1 = 2.118135515e-16 ++ ub1 = -1.783685070e-18 lub1 = -3.666789859e-25 ++ uc1 = -3.004568360e-11 luc1 = 1.898889909e-18 wuc1 = 3.155443621e-30 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.21 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 5.0e-06 wmax = 5.05e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {8.283814936e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.636354417e-07 wvth0 = 2.045122722e-06 pvth0 = -9.733761593e-13 ++ k1 = 3.018764880e-01 lk1 = 6.763892604e-8 ++ k2 = 3.299633297e-02 lk2 = -3.158792907e-08 wk2 = -1.158232344e-07 pk2 = 5.512606839e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8.100012282e+04 lvsat = 5.077343083e-02 wvsat = 6.611892934e-01 pvsat = -3.146930442e-7 ++ ua = -1.260293671e-09 lua = -1.707529780e-16 wua = -1.456011152e-15 pua = 6.929885079e-22 ++ ub = 3.030780406e-18 lub = -2.132305529e-25 wub = -1.828317362e-24 pub = 8.701876484e-31 ++ uc = 5.022947588e-11 luc = 2.666620352e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.911308685e-02 lu0 = -1.167832258e-09 wu0 = -3.844383695e-09 pu0 = 1.829734420e-15 ++ a0 = 1.672471440e+00 la0 = -5.110343687e-8 ++ keta = 3.197985042e-02 lketa = -1.522080981e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 9.899950380e-02 lags = -2.236893788e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.168740301e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.135193164e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-8.900210773e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.313145826e-06 wnfactor = 5.417898882e-05 pnfactor = -2.578648973e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -3.240309672e-03 leta0 = 1.542225543e-9 ++ etab = 5.071496219e-02 letab = -2.416781472e-08 wetab = 5.691002614e-22 petab = 5.790239044e-28 ++ dsub = 1.596959656e+00 ldsub = -2.841229483e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.776113220e-01 lpclm = 3.359577794e-9 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.344835880e-03 lpdiblc2 = 2.162604533e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.438650175e-03 lalpha0 = 7.416684422e-10 walpha0 = -1.323488980e-23 palpha0 = 1.262177448e-29 ++ alpha1 = 0.0 ++ beta0 = 1.801339785e+01 lbeta0 = 2.820678717e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.835456200e-01 lkt1 = 8.560255839e-9 ++ kt2 = -4.209283920e-02 lkt2 = 3.649508067e-9 ++ at = 5.171740660e+04 lat = -6.912729213e-4 ++ ute = -1.230023608e+00 lute = 8.825236623e-8 ++ ua1 = 2.284556120e-09 lua1 = 5.703969469e-17 ++ ub1 = -2.723020220e-18 lub1 = 8.039757871e-26 ++ uc1 = -4.960541280e-11 luc1 = 1.120834302e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.22 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.0e-06 wmax = 5.05e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {2.068711234e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.850725818e-07 wvth0 = -7.304009720e-06 pvth0 = 1.139060316e-12 ++ k1 = 3.731197071e-01 lk1 = 5.154152067e-8 ++ k2 = -1.039225143e-01 lk2 = -6.511155385e-10 wk2 = 4.136544084e-07 pk2 = -6.450940499e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 6.097935655e+05 lvsat = -6.870744754e-02 wvsat = -2.361390334e+00 pvsat = 3.682588225e-7 ++ ua = -1.102040912e-09 lua = -2.065101890e-16 wua = 5.200039829e-15 pua = -8.109462114e-22 ++ ub = 4.569362703e-19 lub = 3.683295296e-25 wub = 6.529704864e-24 pub = -1.018307474e-30 ++ uc = 1.206245854e-10 luc = -1.323915464e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 4.374229402e-02 lu0 = -4.473301617e-09 wu0 = 1.372994177e-08 pu0 = -2.141184419e-15 ++ a0 = 4.668449786e+00 la0 = -7.280447441e-7 ++ keta = 7.382337983e-02 lketa = -2.467535528e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.655382929e+00 lags = 5.999837727e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.598193535e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.083868898e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {4.035456925e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.815971721e-06 wnfactor = -1.934963886e-04 pnfactor = 3.017576181e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 7.038215705e-06 lcit = -4.605348385e-13 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.910912508e-01 leta0 = 4.398714563e-08 weta0 = -2.541098842e-21 peta0 = 4.038967835e-28 ++ etab = -1.436999391e-01 letab = 1.976023224e-8 ++ dsub = 3.874366711e-01 ldsub = -1.083122984e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 9.611628143e-01 lpclm = -1.284938819e-7 ++ pdiblc1 = -9.689896045e-01 lpdiblc1 = 3.070637011e-7 ++ pdiblc2 = 2.556292929e-02 lpdiblc2 = -2.405673672e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.665019257e-03 lalpha0 = -1.855556660e-10 ++ alpha1 = 0.0 ++ beta0 = 3.073591653e+01 lbeta0 = -5.397437710e-8 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -1.046366429e-01 lkt1 = -3.186422755e-8 ++ kt2 = -1.422247143e-02 lkt2 = -2.647801531e-9 ++ at = -2.793795643e+04 lat = 1.730685636e-2 ++ ute = 8.302498143e-01 lute = -3.772664135e-7 ++ ua1 = 7.547183371e-09 lua1 = -1.132050933e-15 ++ ub1 = -7.263227971e-18 lub1 = 1.106257520e-24 ++ uc1 = 0.0 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.23 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.0e-06 wmax = 5.05e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-7.200396601e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 1.498331201e-07 wvth0 = 4.819566711e-06 pvth0 = -7.516114285e-13 ++ k1 = 7.856554333e-01 lk1 = -1.279342583e-8 ++ k2 = -2.870166058e-01 lk2 = 2.790240804e-08 wk2 = 6.775633855e-07 pk2 = -1.056660100e-13 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.432375730e+05 lvsat = 4.051959494e-03 wvsat = 2.518799836e-01 pvsat = -3.928068345e-8 ++ ua = -2.481319309e-09 lua = 8.588277042e-18 wua = 1.490992454e-15 pua = -2.325202732e-22 ++ ub = -1.008738367e-17 lub = 2.012716225e-24 wub = 6.146453022e-23 pub = -9.585393487e-30 ++ uc = 9.910064333e-11 luc = -9.882495878e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -7.160220510e-03 lu0 = 3.464945524e-09 wu0 = 9.531155192e-08 pu0 = -1.486383652e-14 ++ a0 = 0.0 ++ keta = -1.440907387e-02 lketa = -1.091550412e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 9.404198333e-01 lags = 3.921833199e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-2.424396780e-03+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.370705452e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-5.006538961e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.285020864e-06 wnfactor = 2.603936517e-04 pnfactor = -4.060838998e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.441633553e-07 lcit = 5.989976254e-13 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.154381393e-01 leta0 = -1.941111276e-8 ++ etab = -3.860582515e-02 letab = 3.370805159e-9 ++ dsub = 5.614173009e-01 ldsub = -3.796350905e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.137692667e-01 lpclm = 8.592677614e-8 ++ pdiblc1 = 1.472992513e+00 lpdiblc1 = -7.376341016e-8 ++ pdiblc2 = 4.039438833e-02 lpdiblc2 = -4.718639711e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.953879925e-03 lalpha0 = -5.425034872e-10 ++ alpha1 = 0.0 ++ beta0 = 3.551452838e+01 lbeta0 = -7.991988951e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -4.460355833e-01 lkt1 = 2.137693722e-8 ++ kt2 = -1.120399083e-01 lkt2 = 1.260682775e-8 ++ at = 1.189851300e+05 lat = -5.605798973e-3 ++ ute = -2.280785333e+00 lute = 1.078995177e-7 ++ ua1 = -6.950037167e-10 lua1 = 1.533181436e-16 ++ ub1 = 1.068612467e-18 lub1 = -1.930929962e-25 ++ uc1 = 1.083841733e-10 luc1 = -1.690251183e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.24 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 3.01e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.283833188e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 7.148930074e-10 ++ k1 = 2.460777990e-01 wk1 = 7.631409306e-7 ++ k2 = 5.176336310e-02 wk2 = -2.983889129e-7 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 3.516973769e+05 wvsat = -5.380820208e-1 ++ ua = -1.510533829e-09 wua = 1.211372227e-15 ++ ub = 2.943208332e-18 wub = -1.396762665e-24 ++ uc = 7.256765782e-11 wuc = -1.052270287e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.202014166e-02 wu0 = 1.871077995e-9 ++ a0 = 2.282443201e+00 wa0 = -1.769730879e-6 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 5.657893055e-01 wags = -9.036188775e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.249964441e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} wvoff = 3.014833258e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {5.563037292e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = 2.301913561e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.743216080e-05 wcit = -3.677433166e-11 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.271000965e-01 wpclm = 9.675620854e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 6.401939196e-03 wpdiblc2 = 9.156808583e-10 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.630730053e-05 walpha0 = 3.702413969e-11 ++ alpha1 = 0.0 ++ beta0 = 1.716321658e+01 wbeta0 = 1.871033866e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.513990151e-01 wkt1 = -1.301811341e-8 ++ kt2 = -3.455622111e-02 wkt2 = -6.619379698e-10 ++ at = -3.040853166e+05 wat = 1.841548206e+0 ++ ute = -1.101619698e+00 wute = -4.714469319e-7 ++ ua1 = 4.174497588e-09 wua1 = -6.181029665e-15 ++ ub1 = -5.033630251e-18 wub1 = 8.711103683e-24 ++ uc1 = 2.518759799e-12 wuc1 = -1.459499675e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.25 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 3.01e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.283833188e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 7.148930074e-10 ++ k1 = 2.460777990e-01 wk1 = 7.631409306e-7 ++ k2 = 5.176336310e-02 wk2 = -2.983889129e-7 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 3.516973769e+05 wvsat = -5.380820208e-1 ++ ua = -1.510533829e-09 wua = 1.211372227e-15 ++ ub = 2.943208332e-18 wub = -1.396762665e-24 ++ uc = 7.256765782e-11 wuc = -1.052270287e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.202014166e-02 wu0 = 1.871077995e-9 ++ a0 = 2.282443201e+00 wa0 = -1.769730879e-6 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 5.657893055e-01 wags = -9.036188775e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.249964441e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} wvoff = 3.014833258e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {5.563037292e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = 2.301913561e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.743216080e-05 wcit = -3.677433166e-11 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.271000965e-01 wpclm = 9.675620854e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 6.401939196e-03 wpdiblc2 = 9.156808583e-10 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.630730053e-05 walpha0 = 3.702413969e-11 ++ alpha1 = 0.0 ++ beta0 = 1.716321658e+01 wbeta0 = 1.871033866e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.513990151e-01 wkt1 = -1.301811341e-8 ++ kt2 = -3.455622111e-02 wkt2 = -6.619379698e-10 ++ at = -3.040853166e+05 wat = 1.841548206e+0 ++ ute = -1.101619698e+00 wute = -4.714469319e-7 ++ ua1 = 4.174497588e-09 wua1 = -6.181029665e-15 ++ ub1 = -5.033630251e-18 wub1 = 8.711103683e-24 ++ uc1 = 2.518759799e-12 wuc1 = -1.459499675e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.26 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 3.01e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.427468825e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.710881119e-08 wvth0 = -6.164446835e-08 pvth0 = 2.479377028e-13 ++ k1 = -7.769216345e-02 lk1 = 1.287293182e-06 wk1 = 1.900844946e-06 pk1 = -4.523454281e-12 ++ k2 = 1.760949443e-01 lk2 = -4.943361503e-07 wk2 = -7.490101701e-07 pk2 = 1.791647588e-12 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 6.357099375e+05 lvsat = -1.129219740e+00 wvsat = -9.933743534e-01 pvsat = 1.810219550e-6 ++ ua = -1.742434036e-09 lua = 9.220236246e-16 wua = 2.290298938e-15 pua = -4.289758656e-21 ++ ub = 3.181789241e-18 lub = -9.485857673e-25 wub = -2.494792005e-24 pub = 4.365709756e-30 ++ uc = 3.822578635e-11 luc = 1.365415639e-16 wuc = 3.289626637e-17 puc = -1.726316508e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.031056843e-02 lu0 = 6.797177696e-09 wu0 = 8.163365249e-09 pu0 = -2.501781951e-14 ++ a0 = 2.526383963e+00 la0 = -9.698962734e-07 wa0 = -2.955223259e-06 pa0 = 4.713458429e-12 ++ keta = 1.608135447e-01 lketa = -6.393866130e-07 wketa = 2.602106457e-07 pketa = -1.034584517e-12 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 2.925508546e-01 lags = 1.086382419e-06 wags = -2.906420935e-06 pags = 1.119650997e-11 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.481005449e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 9.186074979e-08 wvoff = 1.075455585e-07 pvoff = -3.077275005e-13 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-7.531616644e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.206368932e-06 wnfactor = 6.234323511e-06 pnfactor = -1.563506534e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.971482487e-05 lcit = -4.883525821e-11 wcit = -7.310645198e-11 pcit = 1.444546938e-16 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386527637e-01 letab = 2.729599558e-07 wetab = -5.689610042e-11 petab = 2.262160505e-16 ++ dsub = 5.837108483e-01 ldsub = -9.427314735e-08 wdsub = 5.604374161e-07 pdsub = -2.228271145e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.039314373e-01 lpclm = -9.211743039e-08 wpclm = 9.464894556e-07 ppclm = 8.378372240e-14 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.873828640e-03 lpdiblc2 = 1.402759117e-08 wpdiblc2 = 7.635669833e-09 ppdiblc2 = -2.671834016e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -5.628350342e-05 lalpha0 = 3.283769070e-10 walpha0 = -2.023117640e-11 palpha0 = 2.276442740e-16 ++ alpha1 = 0.0 ++ beta0 = 1.328208851e+01 lbeta0 = 1.543117114e-05 wbeta0 = 2.615638872e-06 pbeta0 = -2.960512276e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.219970379e-01 lkt1 = -1.169007910e-07 wkt1 = -1.068276481e-07 pkt1 = 3.729820194e-13 ++ kt2 = -6.825592126e-02 lkt2 = 1.339883228e-07 wkt2 = 1.033060575e-07 pkt2 = -4.133715517e-13 ++ at = -6.848108433e+05 lat = 1.513745658e+00 wat = 3.773603168e+00 pat = -7.681753924e-6 ++ ute = -9.375003696e-01 lute = -6.525302457e-07 wute = -1.105079110e-06 pute = 2.519289860e-12 ++ ua1 = 5.111926099e-09 lua1 = -3.727168888e-15 wua1 = -9.373896399e-15 pua1 = 1.269467849e-20 ++ ub1 = -6.613924960e-18 lub1 = 6.283172746e-24 wub1 = 1.458673418e-23 pub1 = -2.336121308e-29 ++ uc1 = 2.349053789e-11 luc1 = -8.338274110e-17 wuc1 = -1.885094132e-16 puc1 = 1.692142283e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.27 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 3.01e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {3.986365752e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.005095053e-08 wvth0 = 1.036120486e-07 pvth0 = -7.860091188e-14 ++ k1 = 7.117532471e-01 lk1 = -2.726114768e-07 wk1 = -7.827688109e-07 pk1 = 7.792323222e-13 ++ k2 = -1.222754883e-01 lk2 = 9.522890597e-08 wk2 = 3.116351996e-07 pk2 = -3.041346307e-13 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 6.072640805e+04 lvsat = 6.918964658e-03 wvsat = -1.824630183e-01 pvsat = 2.078992970e-7 ++ ua = -9.627034924e-10 lua = -6.186849421e-16 wua = -7.641820989e-17 pua = 3.867560920e-22 ++ ub = 2.536055133e-18 lub = 3.273525432e-25 wub = 8.975251501e-26 pub = -7.412209894e-31 ++ uc = 1.338908825e-10 luc = -5.248788286e-17 wuc = -8.861579946e-17 puc = 6.747011570e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.673113121e-02 lu0 = -5.889533334e-09 wu0 = -2.183972524e-09 pu0 = -4.571997435e-15 ++ a0 = 1.981708690e+00 la0 = 1.063548320e-07 wa0 = 4.297977374e-07 pa0 = -1.975173808e-12 ++ keta = -1.802883527e-01 lketa = 3.461368119e-08 wketa = -4.031330689e-07 pketa = 2.761494961e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.346473899e-01 lags = 2.128099790e-06 wags = 5.093060565e-06 pags = -4.610065501e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-8.879879364e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.531654566e-08 wvoff = -9.129405759e-08 pvoff = 8.516963895e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.260684036e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.488394797e-07 wnfactor = -4.355238607e-06 pnfactor = 5.289379929e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 5.169151556e-04 leta0 = -3.342350169e-11 weta0 = 4.866671658e-11 peta0 = -9.616299863e-17 ++ etab = -5.409291714e-04 letab = 5.787638084e-11 wetab = 8.816680583e-11 petab = -6.042099914e-17 ++ dsub = -2.283463502e-01 ldsub = 1.510311274e-06 wdsub = 4.204600898e-07 pdsub = -1.951682947e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -8.302785382e-01 lpclm = 1.343108124e-06 wpclm = 3.270082525e-06 ppclm = -4.507520002e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 9.710653061e-03 lpdiblc2 = 5.183679504e-10 wpdiblc2 = -2.025139449e-08 ppdiblc2 = 2.838510459e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.406117439e-04 lalpha0 = -6.067825705e-11 walpha0 = 2.776493280e-10 palpha0 = -3.609527086e-16 ++ alpha1 = 0.0 ++ beta0 = 2.033771694e+01 lbeta0 = 1.489602139e-06 wbeta0 = 5.243865712e-06 pbeta0 = -8.153757100e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.986121654e-01 lkt1 = 3.448687006e-08 wkt1 = 1.674229741e-07 pkt1 = -1.689234976e-13 ++ kt2 = 5.519006838e-02 lkt2 = -1.099347804e-07 wkt2 = -3.146310761e-07 pkt2 = 4.124513274e-13 ++ at = 9.351288859e+04 lat = -2.418312012e-02 wat = -2.495499670e-01 pat = 2.677955128e-7 ++ ute = -1.263919490e+00 lute = -7.542383891e-09 wute = -1.416269977e-07 pute = 6.155566579e-13 ++ ua1 = 5.008681497e-09 lua1 = -3.523162717e-15 wua1 = -9.583192175e-15 pua1 = 1.310823648e-20 ++ ub1 = -5.984196174e-18 lub1 = 5.038860151e-24 wub1 = 1.192378134e-23 pub1 = -1.809935141e-29 ++ uc1 = -9.618303716e-11 luc1 = 1.530862595e-16 wuc1 = 2.254268380e-16 puc1 = -6.487031074e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.28 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 3.01e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.355386013e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.963581852e-09 wvth0 = 2.790614879e-08 pvth0 = -4.715738964e-15 ++ k1 = 4.029067816e-01 lk1 = 2.880723122e-08 wk1 = 1.221525546e-07 pk1 = -1.039256845e-13 ++ k2 = -7.877157950e-03 lk2 = -1.641814451e-08 wk2 = -4.238251851e-08 pk2 = 4.136896133e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -7.261414148e+04 lvsat = 1.370526740e-01 wvsat = 1.899450496e-01 pvsat = -1.555523568e-7 ++ ua = -1.063872918e-09 lua = -5.199486407e-16 wua = -1.906146817e-15 pua = 2.172479726e-21 ++ ub = 2.600905729e-18 lub = 2.640616038e-25 wub = 1.391318895e-24 pub = -2.011484698e-30 ++ uc = 9.264506714e-11 luc = -1.223402937e-17 wuc = 1.429170850e-17 puc = -3.296246669e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.701065640e-02 lu0 = -6.162335949e-09 wu0 = -2.563493730e-08 pu0 = 1.831497164e-14 ++ a0 = 2.932411928e+00 la0 = -8.214839925e-07 wa0 = -4.800790182e-06 pa0 = 3.129618472e-12 ++ keta = -2.998023213e-01 lketa = 1.512533388e-07 wketa = -1.498426970e-07 pketa = 2.895075762e-14 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.818607252e+00 lags = -2.803624077e-06 wags = -4.572928788e-06 pags = 4.823456809e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.102363468e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.394565621e-09 wvoff = -3.131658977e-08 pvoff = 2.663462923e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.075685707e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.076596392e-07 wnfactor = 5.195627065e-07 pnfactor = 5.318175864e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 9.562691322e-04 leta0 = -4.622109218e-10 weta0 = -1.673444058e-10 peta0 = 1.146530562e-16 ++ etab = -9.313929843e-04 letab = 4.389495390e-10 wetab = 3.053950453e-10 petab = -2.724248994e-16 ++ dsub = 1.623013307e+00 ldsub = -2.965231836e-07 wdsub = -3.082669844e-06 pdsub = 1.467196712e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 5.713482997e-01 lpclm = -2.480958890e-08 wpclm = -1.998426601e-06 ppclm = 6.342814785e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.203170526e-02 lpdiblc2 = -1.746862941e-09 wpdiblc2 = 1.004858495e-08 ppdiblc2 = -1.186160343e-15 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.507539435e-05 lalpha0 = 5.207944333e-11 walpha0 = -1.099852367e-10 palpha0 = 1.735924481e-17 ++ alpha1 = 0.0 ++ beta0 = 1.961327591e+01 lbeta0 = 2.196620365e-06 wbeta0 = -4.712266608e-06 pbeta0 = 1.562930238e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.509415498e-01 lkt1 = -1.203726722e-08 wkt1 = -6.132197030e-08 pkt1 = 5.432013093e-14 ++ kt2 = -6.592878368e-02 lkt2 = 8.271163264e-09 wkt2 = 1.442428302e-07 pkt2 = -3.538666140e-14 ++ at = 1.168712449e+05 lat = -4.697970793e-02 wat = -1.027012514e-01 pat = 1.244785088e-7 ++ ute = -2.191987518e+00 lute = 8.982056077e-07 wute = 4.439117686e-06 pute = -3.855021116e-12 ++ ua1 = -2.543334240e-09 lua1 = 3.847227042e-15 wua1 = 2.227936475e-14 pua1 = -1.798802595e-20 ++ ub1 = 4.348914792e-18 lub1 = -5.045739496e-24 wub1 = -3.034410412e-23 pub1 = 2.315199140e-29 ++ uc1 = 1.801030957e-10 luc1 = -1.165551918e-16 wuc1 = -1.039816160e-15 puc1 = 5.861107964e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.29 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 3.01e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.968637400e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.515128160e-08 wvth0 = -3.475900900e-09 pvth0 = 1.022054759e-14 ++ k1 = 3.240912574e-01 lk1 = 6.631947994e-08 wk1 = -1.099186792e-07 pk1 = 6.528619293e-15 ++ k2 = -7.976668176e-04 lk2 = -1.978762831e-08 wk2 = 5.138947659e-08 pk2 = -3.261819739e-15 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.733382112e+05 lvsat = -2.760334829e-02 wvsat = -2.904995679e-01 pvsat = 7.311525887e-8 ++ ua = -3.095069079e-09 lua = 4.467991719e-16 wua = 7.622457566e-15 pua = -2.362659530e-21 ++ ub = 4.078275261e-18 lub = -4.390924245e-25 wub = -7.011321901e-24 pub = 1.987752189e-30 ++ uc = 5.186234121e-11 luc = 7.176509034e-18 wuc = -8.079417652e-18 puc = -2.231492920e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 1.727173645e-02 lu0 = 3.232403002e-09 wu0 = 5.474661809e-08 pu0 = -1.994262965e-14 ++ a0 = 1.054428248e+00 la0 = 7.234234001e-08 wa0 = 3.058077715e-06 pa0 = -6.108097040e-13 ++ keta = 6.911682585e-02 lketa = -2.433372925e-08 wketa = -1.837537544e-07 pketa = 4.509072538e-14 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.040831824e+00 lags = 4.611259505e-07 wags = 1.058788541e-05 pags = -2.392332708e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.248181020e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.545620772e-09 wvoff = 3.930726785e-08 pvoff = -6.978795805e-15 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.402066072e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.523189045e-07 wnfactor = 3.203322989e-06 pnfactor = -7.455181202e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.940011850e-03 leta0 = -1.406373174e-09 weta0 = -3.058023104e-08 peta0 = 1.458966645e-14 ++ etab = 1.013801562e-01 letab = -4.825623229e-08 wetab = -2.506913799e-07 petab = 1.191894902e-13 ++ dsub = 1.495761755e+00 ldsub = -2.359578074e-07 wdsub = 5.007272125e-07 pdsub = -2.383211168e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 5.932494683e-01 lpclm = -3.523345009e-08 wpclm = -1.066977548e-06 ppclm = 1.909583019e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.367464848e-03 lpdiblc2 = 1.424982282e-09 wpdiblc2 = -1.119681315e-10 ppdiblc2 = 3.649754895e-15 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.187419176e-03 lalpha0 = 6.291662339e-10 walpha0 = -1.243090987e-09 palpha0 = 5.566609267e-16 ++ alpha1 = 0.0 ++ beta0 = 1.852664409e+01 lbeta0 = 2.713802778e-06 wbeta0 = -2.539542396e-06 pbeta0 = 5.288221491e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -3.379202211e-01 lkt1 = 2.936023140e-08 wkt1 = 2.690455263e-07 pkt1 = -1.029182791e-13 ++ kt2 = -5.908798628e-02 lkt2 = 5.015285743e-09 wkt2 = 8.409198776e-08 pkt2 = -6.757867940e-15 ++ at = 9.910017228e+02 lat = 8.173493792e-03 wat = 2.509942513e-01 pat = -4.386286570e-8 ++ ute = -9.665554815e-01 lute = 3.149612299e-07 wute = -1.303640290e-06 pute = -1.121755457e-12 ++ ua1 = 4.863232633e-09 lua1 = 3.220715382e-16 wua1 = -1.275929139e-14 pua1 = -1.311377562e-21 ++ ub1 = -6.499755974e-18 lub1 = 1.176853554e-25 wub1 = 1.868728851e-23 pub1 = -1.844999189e-31 ++ uc1 = -1.638464744e-10 luc1 = 4.714760605e-17 wuc1 = 5.652647727e-16 puc1 = -1.778274735e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.30 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 3.01e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {6.288088727e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -6.496428433e-08 wvth0 = -1.793728345e-07 pvth0 = 4.996445974e-14 ++ k1 = 3.888208930e-01 lk1 = 5.169381879e-08 wk1 = -7.768946746e-08 pk1 = -7.535711079e-16 ++ k2 = -2.979173862e-02 lk2 = -1.323641779e-08 wk2 = 4.685533055e-08 pk2 = -2.237329443e-15 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 9.308715321e+04 lvsat = 1.312437827e-02 wvsat = 1.952729942e-01 pvsat = -3.664505154e-8 ++ ua = 2.394361061e-09 lua = -7.935375682e-16 wua = -1.210015713e-14 pua = 2.093665261e-21 ++ ub = 6.340580361e-20 lub = 4.680673293e-25 wub = 8.476893613e-24 pub = -1.511810106e-30 ++ uc = 2.011244503e-10 luc = -2.654926451e-17 wuc = -3.983133314e-16 puc = 6.585842361e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 7.261993500e-02 lu0 = -9.273522459e-09 wu0 = -1.291566258e-07 pu0 = 2.161030831e-14 ++ a0 = 4.437005751e+00 la0 = -6.919510469e-07 wa0 = 1.145185082e-06 pa0 = -1.785916136e-13 ++ keta = -2.450350375e-02 lketa = -3.180215773e-09 wketa = 4.865214200e-07 pketa = -1.063579503e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.822285477e+00 lags = 6.376954036e-07 wags = 8.258338112e-07 pags = -1.865971496e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.722597243e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.326505532e-08 wvoff = 6.155495452e-08 pvoff = -1.200566061e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.127102009e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.144470347e-07 wnfactor = 6.011192857e-07 pnfactor = -1.575501933e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.006788508e-05 lcit = -1.145088634e-12 wcit = -1.499080406e-11 pcit = 3.387172178e-18 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -2.489989820e-01 leta0 = 5.551924241e-08 weta0 = 2.865274535e-07 peta0 = -5.706081488e-14 ++ etab = -3.170663457e-01 letab = 4.629175481e-08 wetab = 8.578169796e-07 petab = -1.312779737e-13 ++ dsub = 8.066516601e-01 ldsub = -8.025338143e-08 wdsub = -2.074275765e-06 pdsub = 3.435008061e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.351136998e+00 lpclm = -2.064781375e-07 wpclm = -1.929592263e-06 ppclm = 3.858660969e-13 ++ pdiblc1 = -9.788240722e-01 lpdiblc1 = 3.092857991e-07 wpdiblc1 = 4.866094648e-08 ppdiblc1 = -1.099494086e-14 ++ pdiblc2 = 2.230777451e-02 lpdiblc2 = -2.402680686e-09 wpdiblc2 = 1.610650584e-08 ppdiblc2 = -1.480929759e-17 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.212332501e-03 lalpha0 = 6.347953998e-10 walpha0 = 1.918513650e-08 palpha0 = -4.059097074e-15 ++ alpha1 = 0.0 ++ beta0 = 2.510144258e+01 lbeta0 = 1.228227059e-06 wbeta0 = 2.787937708e-05 pbeta0 = -6.344332706e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = 3.848443528e-02 lkt1 = -5.568840071e-08 wkt1 = -7.081630946e-07 pkt1 = 1.178820088e-13 ++ kt2 = -1.855983482e-02 lkt2 = -4.142050080e-09 wkt2 = 2.146127406e-08 pkt2 = 7.393541822e-15 ++ at = -1.067450997e+05 lat = 3.251646590e-02 wat = 3.899377447e-01 pat = -7.525714803e-8 ++ ute = 4.472987254e+00 lute = -9.141034512e-07 wute = -1.802426485e-05 pute = 2.656269662e-12 ++ ua1 = 1.862035468e-08 lua1 = -2.786350188e-15 wua1 = -5.479005162e-14 pua1 = 8.185472713e-21 ++ ub1 = -1.759539402e-17 lub1 = 2.624744771e-24 wub1 = 5.112355759e-23 pub1 = -7.513474918e-30 ++ uc1 = 1.248690445e-10 luc1 = -1.808766544e-17 wuc1 = -6.178520321e-16 puc1 = 8.949776859e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.31 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 3.01e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {1.119889890e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 1.563377653e-08 wvth0 = 7.026889552e-07 pvth0 = -8.759307636e-14 ++ k1 = 6.486287589e-01 lk1 = 1.117678210e-08 wk1 = 6.780079850e-07 pk1 = -1.186045888e-13 ++ k2 = -1.035597703e-01 lk2 = -1.732293258e-09 wk2 = -2.301810370e-07 pk2 = 4.096649208e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.375108407e+05 lvsat = -9.398495797e-03 wvsat = -2.145841450e-01 pvsat = 2.727216933e-8 ++ ua = -2.926540194e-09 lua = 3.625698244e-17 wua = 3.693945393e-15 pua = -3.694250275e-22 ++ ub = 1.539373030e-18 lub = 2.378902404e-25 wub = 3.935338051e-24 pub = -8.035545163e-31 ++ uc = 1.186074377e-10 luc = -1.368073639e-17 wuc = -9.651961831e-17 puc = 1.879369406e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -1.094064550e-02 lu0 = 3.757750069e-09 wu0 = 1.140170948e-07 pu0 = -1.631263341e-14 ++ a0 = 0.0 ++ keta = -2.760936010e-01 lketa = 3.605525989e-08 wketa = 1.294815040e-06 pketa = -2.324113403e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.302400748e+00 lags = -5.549413224e-09 wags = -1.791081565e-06 pags = 2.215108033e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {1.940799623e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.386561881e-08 wvoff = -9.723035690e-07 pvoff = 1.492245761e-13 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {5.180685673e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.177093378e-07 wnfactor = -1.296392885e-05 pnfactor = 1.957919063e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.437762326e-05 lcit = -3.376692303e-12 wcit = -1.194123596e-10 pcit = 1.967171376e-17 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 4.100160203e-01 leta0 = -4.725414719e-08 weta0 = -9.627713551e-07 peta0 = 1.377673343e-13 ++ etab = 1.846722419e-02 letab = -6.034705415e-09 wetab = -2.823974481e-07 petab = 4.653846632e-14 ++ dsub = 4.265648323e-01 ldsub = -2.097884062e-08 wdsub = 6.672500149e-07 pdsub = -8.404013938e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.612890665e+00 lpclm = 2.557619765e-07 wpclm = 5.933252677e-06 ppclm = -8.403445715e-13 ++ pdiblc1 = 2.199022170e+00 lpdiblc1 = -1.862993224e-07 wpdiblc1 = -3.592394741e-06 ppdiblc1 = 5.568276936e-13 ++ pdiblc2 = 4.169342426e-02 lpdiblc2 = -5.425872764e-09 wpdiblc2 = -6.427629753e-09 ppdiblc2 = 3.499389147e-15 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.019452451e-02 lalpha0 = -1.144103951e-09 walpha0 = -2.593070940e-08 palpha0 = 2.976719094e-15 ++ alpha1 = 0.0 ++ beta0 = 4.143977481e+01 lbeta0 = -1.319735853e-06 wbeta0 = -2.931811938e-05 pbeta0 = 2.575616866e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -9.919943370e-01 lkt1 = 1.050147638e-07 wkt1 = 2.701403913e-06 pkt1 = -4.138399660e-13 ++ kt2 = -1.825480548e-01 lkt2 = 2.143191283e-08 wkt2 = 3.488743089e-07 pkt2 = -4.366652097e-14 ++ at = 2.949994955e+05 lat = -3.013560372e-02 wat = -8.709190805e-01 pat = 1.213734739e-7 ++ ute = -2.732550466e+00 lute = 2.096001563e-07 wute = 2.235333879e-06 pute = -5.032147597e-13 ++ ua1 = -5.809072749e-10 lua1 = 2.080866138e-16 wua1 = -5.645491938e-16 pua1 = -2.709943906e-22 ++ ub1 = 3.773868161e-19 lub1 = -1.781103997e-25 wub1 = 3.420184519e-24 pub1 = -7.413388733e-32 ++ uc1 = 7.099962758e-11 luc1 = -9.686729872e-18 wuc1 = 1.849787324e-16 puc1 = -3.570368913e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.32 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 3.0e-06 wmax = 3.01e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.428625+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.50407 ++ k2 = -0.049111861 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 169790.0 ++ ua = -1.10100975e-9 ++ ub = 2.47101e-18 ++ uc = 6.9010287e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.03265269 ++ a0 = 1.6841569 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.535241 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11480431+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.33450304+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0067115 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.8823913e-5 ++ alpha1 = 0.0 ++ beta0 = 17.79575 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.2558 ++ kt2 = -0.03478 ++ at = 318480.0 ++ ute = -1.261 ++ ua1 = 2.0849e-9 ++ ub1 = -2.0887e-18 ++ uc1 = -4.6822e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.33 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 3.0e-06 wmax = 3.01e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.428625+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.50407 ++ k2 = -0.049111861 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 169790.0 ++ ua = -1.10100975e-9 ++ ub = 2.47101e-18 ++ uc = 6.9010287e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.03265269 ++ a0 = 1.6841569 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.535241 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11480431+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.33450304+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0067115 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.8823913e-5 ++ alpha1 = 0.0 ++ beta0 = 17.79575 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.2558 ++ kt2 = -0.03478 ++ at = 318480.0 ++ ute = -1.261 ++ ua1 = 2.0849e-9 ++ ub1 = -2.0887e-18 ++ uc1 = -4.6822e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.34 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 3.0e-06 wmax = 3.01e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.219069676e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.671056094e-8 ++ k1 = 5.649193802e-01 lk1 = -2.419340934e-7 ++ k2 = -7.712012334e-02 lk2 = 1.113594506e-07 wk2 = -1.355252716e-20 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.998835841e+05 lvsat = -5.172455856e-1 ++ ua = -9.681612372e-10 lua = -5.281990446e-16 ++ ub = 2.338384236e-18 lub = 5.273134064e-25 ++ uc = 4.934690412e-11 luc = 7.818062715e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.307032679e-02 lu0 = -1.660503003e-9 ++ a0 = 1.527322686e+00 la0 = 6.235649940e-7 ++ keta = 2.487819847e-01 lketa = -9.891447323e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -6.900120038e-01 lags = 4.871544681e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.117430201e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.217153572e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.354452775e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.931914723e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386719983e-01 letab = 2.730364318e-7 ++ dsub = 7.731758301e-01 ldsub = -8.475764417e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.160447140e-01 lpclm = -6.379298063e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.455190990e-03 lpdiblc2 = 4.995021808e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.312298158e-05 lalpha0 = 4.053357555e-10 palpha0 = 2.524354897e-29 ++ alpha1 = 0.0 ++ beta0 = 1.416634776e+01 lbeta0 = 1.443032182e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.581118615e-01 lkt1 = 9.191845731e-9 ++ kt2 = -3.333162865e-02 lkt2 = -5.758652069e-9 ++ at = 5.909170702e+05 lat = -1.083196169e+0 ++ ute = -1.311090332e+00 lute = 1.991566575e-7 ++ ua1 = 1.942927992e-09 lua1 = 5.644736032e-16 ++ ub1 = -1.682642275e-18 lub1 = -1.614465212e-24 ++ uc1 = -4.023813460e-11 luc1 = -2.617711964e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.35 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 3.0e-06 wmax = 3.01e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.336643131e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.478634142e-9 ++ k1 = 4.471255220e-01 lk1 = -9.179319196e-9 ++ k2 = -1.692214156e-02 lk2 = -7.588751450e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -9.581823000e+02 lvsat = 7.720270267e-2 ++ ua = -9.885379109e-10 lua = -4.879357562e-16 ++ ub = 2.566397431e-18 lub = 7.677073470e-26 ++ uc = 1.039328705e-10 luc = -2.967851311e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.599280378e-02 lu0 = -7.435171412e-9 ++ a0 = 2.127008804e+00 la0 = -5.613847923e-7 ++ keta = -3.165740420e-01 lketa = 1.279705088e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.487144552e+00 lags = 5.695921835e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.196622343e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.476435734e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {7.883248042e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.039321416e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 5.333677305e-04 leta0 = -6.593296708e-11 ++ etab = -5.111229490e-04 letab = 3.745007958e-11 ++ dsub = -8.620297978e-02 ldsub = 8.505131177e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.752260340e-01 lpclm = -1.807323099e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.864339845e-03 lpdiblc2 = 1.011441413e-8 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.344756141e-04 lalpha0 = -1.827041896e-10 ++ alpha1 = 0.0 ++ beta0 = 2.211049102e+01 lbeta0 = -1.266908037e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.420121065e-01 lkt1 = -2.262046516e-8 ++ kt2 = -5.117608310e-02 lkt2 = 2.950109770e-8 ++ at = 9.148464300e+03 lat = 6.634950762e-2 ++ ute = -1.311798800e+00 lute = 2.005565539e-7 ++ ua1 = 1.768927550e-09 lua1 = 9.082897776e-16 ++ ub1 = -1.953168000e-18 lub1 = -1.079919905e-24 ++ uc1 = -1.997382890e-11 luc1 = -6.621837449e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.36 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 3.0e-06 wmax = 3.01e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.449727287e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.557814091e-9 ++ k1 = 4.442024390e-01 lk1 = -6.326536342e-9 ++ k2 = -2.220525752e-02 lk2 = -2.432694433e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -8.400128760e+03 lvsat = 8.446567031e-2 ++ ua = -1.708276846e-09 lua = 2.144934575e-16 ++ ub = 3.071263706e-18 lub = -4.159535069e-25 ++ uc = 9.747661160e-11 luc = -2.337752724e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.834434900e-02 lu0 = 2.933803490e-11 ++ a0 = 1.309426741e+00 la0 = 2.365344226e-7 ++ keta = -3.504590816e-01 lketa = 1.610406132e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 3.272654315e+00 lags = -1.172976069e-06 wags = 4.336808690e-19 pags = -2.067951531e-25 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.208234292e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.609703896e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.251332329e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.874492221e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 8.996955390e-04 leta0 = -4.234505918e-10 ++ etab = -8.281492232e-04 letab = 3.468518719e-10 ++ dsub = 5.808666392e-01 ldsub = 1.994865231e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.042523090e-01 lpclm = 1.896195790e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.542879280e-02 lpdiblc2 = -2.147863733e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.210690339e-05 lalpha0 = 5.794801832e-11 ++ alpha1 = 0.0 ++ beta0 = 1.802021756e+01 lbeta0 = 2.724994347e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.716724390e-01 lkt1 = 6.326536342e-9 ++ kt2 = -1.716514940e-02 lkt2 = -3.691873043e-9 ++ at = 8.215141680e+04 lat = -4.897723876e-3 ++ ute = -6.912716000e-01 lute = -4.050469670e-7 ++ ua1 = 4.988567297e-09 lua1 = -2.233917634e-15 ++ ub1 = -5.909403030e-18 lub1 = 2.781167672e-24 ++ uc1 = -1.714236656e-10 luc1 = 8.158909364e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.37 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 3.0e-06 wmax = 3.01e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.956886552e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.169605930e-8 ++ k1 = 2.869314605e-01 lk1 = 6.852658585e-8 ++ k2 = 1.657538139e-02 lk2 = -2.089033952e-08 pk2 = -1.615587134e-27 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.751301085e+05 lvsat = -2.885546099e-3 ++ ua = -5.181733502e-10 lua = -3.519363013e-16 ++ ub = 1.707983881e-18 lub = 2.328995259e-25 ++ uc = 4.913096269e-11 luc = -3.674156442e-19 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.577972093e-02 lu0 = -3.509527236e-9 ++ a0 = 2.088261147e+00 la0 = -1.341518128e-7 ++ keta = 6.995881154e-03 lketa = -9.090076313e-09 pketa = 8.077935669e-28 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.538575008e+00 lags = -3.476410231e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.115296410e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.863253677e-10 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.485001498e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.843811626e-10 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -7.398132812e-03 leta0 = 3.525900812e-09 weta0 = -2.646977960e-23 peta0 = -1.104405267e-29 ++ etab = 1.662985872e-02 letab = -7.962287009e-09 wetab = -4.499862532e-22 petab = 1.577721810e-28 ++ dsub = 1.665040732e+00 ldsub = -3.165261363e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.325403581e-01 lpclm = 2.932310906e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.329612200e-03 lpdiblc2 = 2.658841273e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.607666298e-03 lalpha0 = 8.173545120e-10 walpha0 = 7.940933881e-23 palpha0 = -6.310887242e-30 ++ alpha1 = 0.0 ++ beta0 = 1.766811049e+01 lbeta0 = 2.892579704e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.469650060e-01 lkt1 = -5.432966394e-9 ++ kt2 = -3.065932240e-02 lkt2 = 2.730678596e-9 ++ at = 8.584368980e+04 lat = -6.655061210e-3 ++ ute = -1.407272280e+00 lute = -6.426644333e-8 ++ ua1 = 5.497467010e-10 lua1 = -1.212609708e-16 ++ ub1 = -1.822142192e-19 lub1 = 5.531215763e-26 ++ uc1 = 2.725047380e-11 luc1 = -1.296986301e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.38 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 3.0e-06 wmax = 3.01e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {5.681689692e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -4.807298624e-8 ++ k1 = 3.625567052e-01 lk1 = 5.143906183e-8 ++ k2 = -1.395153221e-02 lk2 = -1.399278339e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.591023643e+05 lvsat = 7.359227087e-4 ++ ua = -1.696293818e-09 lua = -8.573998159e-17 ++ ub = 2.929157532e-18 lub = -4.302466066e-26 ++ uc = 6.646815162e-11 luc = -4.284753482e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.895643743e-02 lu0 = -1.967806330e-9 ++ a0 = 4.824154190e+00 la0 = -7.523268460e-7 ++ keta = 1.399729736e-01 lketa = -3.913625035e-08 pketa = 3.231174268e-27 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.543098929e+00 lags = 5.746132029e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.514500710e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 9.206346523e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.330320158e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.611846299e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.521337171e-01 leta0 = 3.622890608e-08 weta0 = 1.016439537e-20 peta0 = 2.827277484e-27 ++ etab = -2.706736678e-02 letab = 1.911101093e-9 ++ dsub = 1.054090078e-01 ldsub = 3.587265173e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 6.988069568e-01 lpclm = -7.602982891e-8 ++ pdiblc1 = -9.623734480e-01 lpdiblc1 = 3.055687806e-07 ppdiblc1 = -2.584939414e-26 ++ pdiblc2 = 2.775284071e-02 lpdiblc2 = -2.407687209e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.273514862e-03 lalpha0 = -7.374483709e-10 ++ alpha1 = 0.0 ++ beta0 = 3.452651935e+01 lbeta0 = -9.165777774e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.009216143e-01 lkt1 = -1.583647075e-8 ++ kt2 = -1.130450214e-02 lkt2 = -1.642543041e-9 ++ at = 2.507969571e+04 lat = 7.074563253e-3 ++ ute = -1.620408571e+00 lute = -1.610829829e-8 ++ ua1 = 9.768678643e-11 lua1 = -1.911803314e-17 pua1 = 7.523163845e-37 ++ ub1 = -3.122440529e-19 lub1 = 8.469239854e-26 pub1 = -5.605193857e-45 ++ uc1 = -8.400588186e-11 luc1 = 1.216851056e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.39 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 3.0e-06 wmax = 3.01e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-2.430259409e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 4.195319193e-07 wvth0 = 8.222659715e-06 pvth0 = -1.282323783e-12 ++ k1 = 8.778403833e-01 lk1 = -2.891942778e-8 ++ k2 = 8.616071726e-01 lk2 = -1.505361634e-07 wk2 = -3.085144854e-06 pk2 = 4.811283400e-13 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 3.473985752e+06 lvsat = -5.162201416e-01 wvsat = -9.788076932e+00 pvsat = 1.526450597e-6 ++ ua = 2.881062127e-09 lua = -7.995786412e-16 wua = -1.348494227e-14 pua = 2.102976747e-21 ++ ub = 1.525669878e-18 lub = 1.758492390e-25 wub = 3.975871973e-24 pub = -6.200372342e-31 ++ uc = 8.597741118e-11 luc = -7.327222511e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -2.957560498e-02 lu0 = 7.160265685e-09 wu0 = 1.691393049e-07 pu0 = -2.637727460e-14 ++ a0 = 0.0 ++ keta = 1.616396783e-01 lketa = -4.251517295e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 6.968965000e-01 lags = 6.933591582e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.346230698e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.582175686e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-6.195178268e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.013002857e-05 wnfactor = 1.856139125e-04 pnfactor = -2.894648966e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.599166667e-05 lcit = 3.273650417e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 8.453550807e-02 leta0 = -6.796595860e-10 ++ etab = -7.700182521e-02 letab = 9.698379886e-9 ++ dsub = 6.521395499e-01 ldsub = -4.938997632e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.929418833e-01 lpclm = -2.833017071e-8 ++ pdiblc1 = 9.845547120e-01 lpdiblc1 = 1.945334024e-9 ++ pdiblc2 = 3.952045950e-02 lpdiblc2 = -4.242847359e-09 ppdiblc2 = 8.077935669e-28 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.428226537e-03 lalpha0 = -1.377756568e-10 ++ alpha1 = 0.0 ++ beta0 = 3.152830782e+01 lbeta0 = -4.490066891e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -7.874081667e-02 lkt1 = -3.489056614e-8 ++ kt2 = -6.460542167e-02 lkt2 = 6.669735359e-9 ++ at = 5.711383333e+02 lat = 1.089667278e-2 ++ ute = -1.976859500e+00 lute = 3.948022403e-8 ++ ua1 = -7.717623100e-10 lua1 = 1.164725534e-16 wua1 = -2.524354897e-29 pua1 = 6.018531076e-36 ++ ub1 = 1.533635808e-18 lub1 = -2.031725658e-25 ++ uc1 = 1.335346960e-10 luc1 = -2.175694256e-17 wuc1 = -3.155443621e-30 puc1 = 1.504632769e-36 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.40 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 1.65e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.353395593e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = -1.979452070e-8 ++ k1 = 4.695176889e-01 wk1 = 1.018602132e-7 ++ k2 = -3.928860344e-02 wk2 = -2.895896329e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.333792741e+05 wvsat = 1.073388200e-1 ++ ua = -1.245108299e-09 wua = 4.248025229e-16 ++ ub = 2.668593822e-18 wub = -5.824771079e-25 ++ uc = 1.242415467e-10 wuc = -1.628217536e-16 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.436466883e-02 wu0 = -5.046913590e-9 ++ a0 = 1.404023239e+00 wa0 = 8.258340336e-7 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 5.329505333e-01 wags = 6.752295733e-9 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.202140963e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} wvoff = 1.594805013e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {9.145169641e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.238118952e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -9.185185185e-07 wcit = 1.744779259e-11 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.696355704e-03 wpdiblc2 = 2.992645385e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.537812311e-05 walpha0 = 1.015818860e-11 ++ alpha1 = 0.0 ++ beta0 = 1.779857550e+01 wbeta0 = -8.329576184e-9 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.536338222e-01 wkt1 = -6.385892089e-9 ++ kt2 = -3.290501333e-02 wkt2 = -5.527460693e-9 ++ at = 6.265388889e+05 wat = -9.081576044e-1 ++ ute = -1.355933037e+00 wute = 2.798625932e-7 ++ ua1 = 2.228483259e-09 wua1 = -4.232834483e-16 ++ ub1 = -2.825792296e-18 wub1 = 2.172948089e-24 ++ uc1 = -1.773134963e-10 wuc1 = 3.846889311e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.41 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.65e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.353395593e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = -1.979452070e-8 ++ k1 = 4.695176889e-01 wk1 = 1.018602132e-7 ++ k2 = -3.928860344e-02 wk2 = -2.895896329e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.333792741e+05 wvsat = 1.073388200e-1 ++ ua = -1.245108299e-09 wua = 4.248025229e-16 ++ ub = 2.668593822e-18 wub = -5.824771079e-25 ++ uc = 1.242415467e-10 wuc = -1.628217536e-16 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.436466883e-02 wu0 = -5.046913590e-9 ++ a0 = 1.404023239e+00 wa0 = 8.258340336e-7 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 5.329505333e-01 wags = 6.752295733e-9 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.202140963e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} wvoff = 1.594805013e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {9.145169641e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.238118952e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -9.185185185e-07 wcit = 1.744779259e-11 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.696355704e-03 wpdiblc2 = 2.992645385e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.537812311e-05 walpha0 = 1.015818860e-11 ++ alpha1 = 0.0 ++ beta0 = 1.779857550e+01 wbeta0 = -8.329576184e-9 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.536338222e-01 wkt1 = -6.385892089e-9 ++ kt2 = -3.290501333e-02 wkt2 = -5.527460693e-9 ++ at = 6.265388889e+05 wat = -9.081576044e-1 ++ ute = -1.355933037e+00 wute = 2.798625932e-7 ++ ua1 = 2.228483259e-09 wua1 = -4.232834483e-16 ++ ub1 = -2.825792296e-18 wub1 = 2.172948089e-24 ++ uc1 = -1.773134963e-10 wuc1 = 3.846889311e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.42 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.65e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.335320130e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.186713400e-09 wvth0 = -3.427063396e-08 pvth0 = 5.755630255e-14 ++ k1 = 5.142985559e-01 lk1 = -1.780464883e-07 wk1 = 1.492301901e-07 pk1 = -1.883406599e-13 ++ k2 = -6.586573078e-02 lk2 = 1.056693295e-07 wk2 = -3.317794926e-08 pk2 = 1.677447726e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.328619896e+05 lvsat = -3.955383029e-01 wvsat = 1.975796604e-01 pvsat = -3.587930694e-7 ++ ua = -1.106891114e-09 lua = -5.495446189e-16 wua = 4.089756758e-16 pua = 6.292675285e-23 ++ ub = 2.583787673e-18 lub = 3.371850094e-25 wub = -7.234493320e-25 pub = 5.604985142e-31 ++ uc = 1.153509676e-10 luc = 3.534849816e-17 wuc = -1.945799790e-16 puc = 1.262691162e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.554241413e-02 lu0 = -4.682656412e-09 wu0 = -7.287713461e-09 pu0 = 8.909308249e-15 ++ a0 = 9.810135182e-01 la0 = 1.681865498e-06 wa0 = 1.610519426e-06 pa0 = -3.119869886e-12 ++ keta = 3.313231538e-01 lketa = -1.317324293e-06 wketa = -2.433313664e-07 pketa = 9.674733464e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -1.073353111e+00 lags = 6.386582974e-06 wags = 1.130089584e-06 pags = -4.466332890e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.214881825e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.065702825e-09 wvoff = 2.872873883e-08 pvoff = -5.081537924e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {5.322684447e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.519801001e-06 wnfactor = 2.423799404e-06 pnfactor = -4.714206196e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -9.185185185e-07 wcit = 1.744779259e-11 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386811553e-01 letab = 2.730728394e-07 wetab = 2.699468120e-11 petab = -1.073295027e-16 ++ dsub = 8.410209171e-01 ldsub = -1.117325115e-06 wdsub = -2.000073164e-07 pdsub = 7.952190897e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.754186890e-01 lpclm = -2.998609364e-07 wpclm = -1.750344782e-07 ppclm = 6.959283337e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 7.840045856e-03 lpdiblc2 = -8.523204859e-09 wpdiblc2 = -7.030552144e-09 ppdiblc2 = 3.985173222e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -9.052861340e-05 lalpha0 = 5.005988890e-10 walpha0 = 8.079180259e-11 palpha0 = -2.808357176e-16 ++ alpha1 = 0.0 ++ beta0 = 1.384674463e+01 lbeta0 = 1.571228195e-05 wbeta0 = 9.421900382e-07 pbeta0 = -3.779218461e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.714177674e-01 lkt1 = 7.070807688e-08 wkt1 = 3.922581063e-08 pkt1 = -1.813498494e-13 ++ kt2 = -3.059006496e-02 lkt2 = -9.204118984e-09 wkt2 = -8.082129757e-09 pkt2 = 1.015723646e-14 ++ at = 1.210867672e+06 lat = -2.323262024e+00 wat = -1.827614374e+00 pat = 3.655714141e-6 ++ ute = -1.393860885e+00 lute = 1.507992271e-07 wute = 2.440075888e-07 pute = 1.425577048e-13 ++ ua1 = 2.015173602e-09 lua1 = 8.481085314e-16 wua1 = -2.129800571e-16 pua1 = -8.361557683e-22 ++ ub1 = -2.439966397e-18 lub1 = -1.534024486e-24 wub1 = 2.232591510e-24 pub1 = -2.371392589e-31 ++ uc1 = -2.478492555e-10 luc1 = 2.804466520e-16 wuc1 = 6.120375845e-16 puc1 = -9.039268787e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.43 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.65e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.387426635e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.109271451e-09 wvth0 = -1.497097716e-08 pvth0 = 1.942114569e-14 ++ k1 = 4.338163653e-01 lk1 = -1.901770380e-08 wk1 = 3.923539383e-08 pk1 = 2.900355780e-14 ++ k2 = -9.446557747e-03 lk2 = -5.812135502e-09 wk2 = -2.203802108e-08 pk2 = -5.237463814e-15 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -4.809722383e+03 lvsat = 7.408911645e-02 wvsat = 1.135434016e-02 pvsat = 9.178852168e-9 ++ ua = -1.308796443e-09 lua = -1.505897834e-16 wua = 9.441221524e-16 pua = -9.944959277e-22 ++ ub = 2.817887276e-18 lub = -1.253841006e-25 wub = -7.413920635e-25 pub = 5.959524545e-31 ++ uc = 1.580311898e-10 luc = -4.898548689e-17 wuc = -1.594818452e-16 puc = 5.691695868e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.580643157e-02 lu0 = -5.204341672e-09 wu0 = 5.494252934e-10 pu0 = -6.576486073e-15 ++ a0 = 2.022100541e+00 la0 = -3.752704044e-07 wa0 = 3.092695612e-07 pa0 = -5.486652154e-13 ++ keta = -3.973934933e-01 lketa = 1.225833655e-07 wketa = 2.382557425e-07 pketa = 1.588129847e-14 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.835185489e+00 lags = 6.394561276e-07 wags = -1.026024682e-06 pags = -2.059589072e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.205835450e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.278184295e-09 wvoff = 2.716023694e-09 pvoff = 5.844452425e-16 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {8.530746986e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.859038833e-07 wnfactor = -1.908826884e-07 pnfactor = 4.522748856e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.694696667e-06 lcit = 1.141343921e-11 wcit = 3.447596577e-11 pcit = -3.364681880e-17 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 4.960189626e-04 leta0 = 7.866330862e-12 weta0 = 1.101041678e-10 peta0 = -2.175603303e-16 ++ etab = -4.918386561e-04 letab = 1.765917598e-11 wetab = -5.685009550e-11 petab = 5.834358379e-17 ++ dsub = -4.674238962e-01 ldsub = 1.468096414e-06 wdsub = 1.123839262e-06 pdsub = -1.820635556e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.972782026e-01 lpclm = -3.430542424e-07 wpclm = -6.500979299e-08 ppclm = 4.785250569e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = -4.249112654e-03 lpdiblc2 = 1.536436790e-08 wpdiblc2 = 2.097045797e-08 ppdiblc2 = -1.547686371e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.753434331e-04 lalpha0 = -2.223459813e-10 walpha0 = -1.204783304e-10 palpha0 = 1.168640018e-16 ++ alpha1 = 0.0 ++ beta0 = 2.271538963e+01 lbeta0 = -1.811717144e-06 wbeta0 = -1.783241126e-06 pbeta0 = 1.606097248e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.118014028e-01 lkt1 = -4.709087883e-08 wkt1 = -8.906115459e-08 pkt1 = 7.213877950e-14 ++ kt2 = -5.124450336e-02 lkt2 = 3.160801858e-08 wkt2 = 2.017029381e-10 pkt2 = -6.211202750e-15 ++ at = -4.285565109e+03 lat = 7.782001402e-02 wat = 3.960351870e-02 pat = -3.381505289e-8 ++ ute = -1.508226546e+00 lute = 3.767800553e-07 wute = 5.790689957e-07 pute = -5.195068822e-13 ++ ua1 = 1.382030307e-09 lua1 = 2.099168025e-15 wua1 = 1.140573072e-15 pua1 = -3.510709073e-21 ++ ub1 = -1.827962019e-18 lub1 = -2.743314536e-24 wub1 = -3.691072323e-25 pub1 = 4.903687371e-30 ++ uc1 = -7.983394413e-11 luc1 = -5.154320262e-17 wuc1 = 1.764676197e-16 puc1 = -4.326240667e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.44 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 1.65e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.427000038e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.971437699e-09 wvth0 = 6.699992964e-09 pvth0 = -1.728637604e-15 ++ k1 = 4.458492289e-01 lk1 = -3.076117699e-08 wk1 = -4.854736623e-09 pk1 = 7.203332062e-14 ++ k2 = -2.111234221e-02 lk2 = 5.573086843e-09 wk2 = -3.221914327e-09 pk2 = -2.360104320e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -1.930420324e+04 lvsat = 8.823500504e-02 wvsat = 3.214521158e-02 pvsat = -1.111199879e-8 ++ ua = -2.322253647e-09 lua = 8.384937747e-16 wua = 1.810003609e-15 pua = -1.839552935e-21 ++ ub = 3.672431807e-18 lub = -9.593768357e-25 wub = -1.772243561e-24 pub = 1.602011973e-30 ++ uc = 1.739231150e-10 luc = -6.449521130e-17 wuc = -2.253642919e-16 puc = 1.212149326e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.586542154e-02 lu0 = 4.497587064e-09 wu0 = 7.307878148e-09 pu0 = -1.317239814e-14 ++ a0 = 5.436060460e-01 la0 = 1.067666298e-06 wa0 = 2.257639409e-06 pa0 = -2.450176768e-12 ++ keta = -5.053476732e-01 lketa = 2.279412473e-07 wketa = 4.566115679e-07 pketa = -1.972230693e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 3.436316423e+00 lags = -9.231676077e-07 wags = -4.824758967e-07 pags = -7.364353440e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.361905003e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.850979239e-08 wvoff = 4.530212557e-08 pvoff = -4.097746089e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {8.855102774e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.542483801e-07 wnfactor = 1.078443408e-06 pnfactor = -7.865239178e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 4.016844969e-03 leta0 = -3.428283810e-09 weta0 = -9.189356519e-09 peta0 = 8.858248327e-15 ++ etab = -8.589439784e-04 letab = 3.759356153e-10 wetab = 9.078293831e-11 petab = -8.573887555e-17 ++ dsub = 1.071928124e+00 ldsub = -3.423419066e-08 wdsub = -1.447649257e-06 pdsub = 6.890086641e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -3.512607821e-01 lpclm = 2.898873798e-07 wpclm = 7.281809788e-07 ppclm = -2.955894768e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 8.020941525e-03 lpdiblc2 = 3.389408521e-09 wpdiblc2 = 2.183834556e-08 ppdiblc2 = -1.632387861e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.352635408e-05 lalpha0 = 2.341489699e-11 walpha0 = -1.050468430e-10 palpha0 = 1.018036417e-16 ++ alpha1 = 0.0 ++ beta0 = 1.871845064e+01 lbeta0 = 2.089095470e-06 wbeta0 = -2.058391123e-06 pbeta0 = 1.874629888e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.755042347e-01 lkt1 = 1.507989996e-08 wkt1 = 1.129613363e-08 pkt1 = -2.580491594e-14 ++ kt2 = -2.533845432e-02 lkt2 = 6.325010018e-09 wkt2 = 2.409490291e-08 pkt2 = -2.952977126e-14 ++ at = 8.961427625e+04 lat = -1.382153615e-02 wat = -2.200050967e-02 pat = 2.630739860e-8 ++ ute = -2.567642377e-01 lute = -8.445845847e-07 wute = -1.280927704e-06 pute = 1.295756897e-12 ++ ua1 = 7.928871160e-09 lua1 = -4.290221305e-15 wua1 = -8.668015787e-15 pua1 = 6.061983223e-21 ++ ub1 = -1.037333244e-17 lub1 = 5.596539723e-24 wub1 = 1.315966389e-23 pub1 = -8.299716807e-30 ++ uc1 = -2.721042218e-10 luc1 = 1.361029749e-16 wuc1 = 2.968062796e-16 puc1 = -1.607069218e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.45 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 1.65e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.957984717e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.224365347e-08 wvth0 = -3.237390248e-10 pvth0 = 1.614307636e-15 ++ k1 = 2.033435329e-01 lk1 = 8.465940904e-08 wk1 = 2.464172108e-07 pk1 = -4.755956275e-14 ++ k2 = 5.016850393e-02 lk2 = -2.835303188e-08 wk2 = -9.903252526e-08 pk2 = 2.200001707e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.551563070e+05 lvsat = 5.200525174e-03 wvsat = 5.888276663e-02 pvsat = -2.383773811e-8 ++ ua = 6.913796266e-10 lua = -5.958449818e-16 wua = -3.565762175e-15 pua = 7.190427899e-22 ++ ub = 6.281798160e-19 lub = 4.895348993e-25 wub = 3.183262384e-24 pub = -7.565610809e-31 ++ uc = 2.412569375e-11 luc = 6.800871330e-18 wuc = 7.371553284e-17 puc = -2.113211000e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 4.641312989e-02 lu0 = -5.282094728e-09 wu0 = -3.134728962e-08 pu0 = 5.225528964e-15 ++ a0 = 3.976389470e+00 la0 = -5.661669730e-07 wa0 = -5.566202298e-06 pa0 = 1.273580692e-12 ++ keta = -1.372235289e-02 lketa = -6.047823863e-09 wketa = 6.107735398e-08 pketa = -8.968560223e-15 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 2.849388776e+00 lags = -6.438193940e-07 wags = -3.864278988e-06 pags = 8.731338374e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-8.616877341e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.298048535e-09 wvoff = -7.476383756e-08 pvoff = 1.616793427e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.954877863e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.306671224e-07 wnfactor = -1.385195526e-06 pnfactor = 3.860450325e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.034915704e-05 lcit = -2.545931292e-12 wcit = -1.576931495e-11 pcit = 7.505405448e-18 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.919342104e-02 leta0 = 7.618642295e-09 weta0 = 3.477250968e-08 peta0 = -1.206540189e-14 ++ etab = 2.738833180e-02 letab = -1.306835529e-08 wetab = -3.171597865e-08 petab = 1.505268930e-14 ++ dsub = 1.756176225e+00 ldsub = -3.599020741e-07 wdsub = -2.686674326e-07 pdsub = 1.278722645e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.735387542e-01 lpclm = -5.508095956e-08 wpclm = -4.156632718e-07 ppclm = 2.488231943e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 8.259700170e-03 lpdiblc2 = 3.275771344e-09 wpdiblc2 = -8.637899337e-09 ppdiblc2 = -1.818709848e-15 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.313527983e-03 lalpha0 = 1.135735909e-09 walpha0 = 2.080880250e-09 palpha0 = -9.385883582e-16 ++ alpha1 = 0.0 ++ beta0 = 1.587825466e+01 lbeta0 = 3.440886743e-06 wbeta0 = 5.276494974e-06 pbeta0 = -1.616409150e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.194818870e-01 lkt1 = -1.158393640e-08 wkt1 = -8.102023469e-08 pkt1 = 1.813305956e-14 ++ kt2 = -1.247697135e-02 lkt2 = 2.035871961e-10 wkt2 = -5.360157090e-08 pkt2 = 7.449865448e-15 ++ at = 6.087928044e+04 lat = -1.451148972e-04 wat = 7.359507879e-02 pat = -1.919132173e-8 ++ ute = -1.619708861e+00 lute = -1.958910911e-07 wute = 6.262630413e-07 pute = 3.880294617e-13 ++ ua1 = -8.740234288e-10 lua1 = -1.004836256e-16 wua1 = 4.197274343e-15 pua1 = -6.125161386e-23 ++ ub1 = 1.594766595e-18 lub1 = -9.967701081e-26 wub1 = -5.238539439e-24 pub1 = 4.569080685e-31 ++ uc1 = 9.361701639e-11 luc1 = -3.796204845e-17 wuc1 = -1.956485676e-16 puc1 = 7.367696269e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.46 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.65e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {5.042741516e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.415873336e-08 wvth0 = 1.883619221e-07 pvth0 = -4.101921749e-14 ++ k1 = 4.231890419e-01 lk1 = 3.498531627e-08 wk1 = -1.787441287e-07 pk1 = 4.850564191e-14 ++ k2 = -3.326318561e-02 lk2 = -9.501641625e-09 wk2 = 5.693075422e-08 pk2 = -1.323988593e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.009298497e+05 lvsat = -5.142006783e-03 wvsat = -1.233074270e-01 pvsat = 1.732813614e-8 ++ ua = -1.320720833e-09 lua = -1.412108828e-16 wua = -1.107189159e-15 pua = 1.635282169e-22 ++ ub = 2.606970466e-18 lub = 4.242715188e-26 wub = 9.498074711e-25 pub = -2.519119434e-31 ++ uc = 6.670078480e-11 luc = -2.818970493e-18 wuc = -6.858026216e-19 puc = -4.321128252e-24 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.271971214e-02 lu0 = -2.188066985e-09 wu0 = -1.109413382e-08 pu0 = 6.493284110e-16 ++ a0 = 4.747117541e+00 la0 = -7.403129805e-07 wa0 = 2.271040419e-07 pa0 = -3.541687534e-14 ++ keta = 1.378862962e-01 lketa = -4.030379812e-08 wketa = 6.151524964e-09 pketa = 3.441930842e-15 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.667043698e+00 lags = 6.026185235e-07 wags = 3.653891799e-07 pags = -8.255968521e-14 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.362495478e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.017702427e-09 wvoff = -4.481114241e-08 pvoff = 9.400122796e-15 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.522449504e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.929900653e-07 wnfactor = -5.663973127e-07 pnfactor = 2.010375763e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.410413228e-05 lcit = 2.979289428e-12 wcit = 5.631898195e-11 pcit = -8.782945235e-18 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.470592107e-01 leta0 = 3.650991748e-08 weta0 = -1.495964464e-08 peta0 = -8.284216229e-16 ++ etab = -7.892538262e-02 letab = 1.095322848e-08 wetab = 1.528774307e-07 petab = -2.665619153e-14 ++ dsub = -2.109379238e-01 ldsub = 8.456736774e-08 wdsub = 9.325907543e-07 pdsub = -1.435520228e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.304620937e-02 lpclm = 2.185333095e-08 wpclm = 1.962662683e-06 ppclm = -2.885595553e-13 ++ pdiblc1 = -9.545380289e-01 lpdiblc1 = 3.037983676e-07 wpdiblc1 = -2.309881556e-08 ppdiblc1 = 5.219177376e-15 ++ pdiblc2 = 5.153298225e-02 lpdiblc2 = -6.501826742e-09 wpdiblc2 = -7.010385725e-08 ppdiblc2 = 1.206952334e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.351877991e-03 lalpha0 = -1.048162571e-09 walpha0 = -6.127014504e-09 palpha0 = 9.159854614e-16 ++ alpha1 = 0.0 ++ beta0 = 3.577380792e+01 lbeta0 = -1.054513515e-06 wbeta0 = -3.677006707e-06 pbeta0 = 4.066345551e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.045905124e-01 lkt1 = -1.494864250e-08 wkt1 = 1.081591155e-08 pkt1 = -2.617317680e-15 ++ kt2 = 1.386596703e-02 lkt2 = -5.748599731e-09 wkt2 = -7.420254313e-08 pkt2 = 1.210465512e-14 ++ at = 4.696992662e+04 lat = 2.997703600e-03 wat = -6.453240070e-02 pat = 1.201858226e-8 ++ ute = -4.280884613e+00 lute = 4.054015700e-07 wute = 7.843083371e-06 pute = -1.242611092e-12 ++ ua1 = -4.764604935e-09 lua1 = 7.785932657e-16 wua1 = 1.433403599e-14 pua1 = -2.351652909e-21 ++ ub1 = 3.768904403e-18 lub1 = -5.909234485e-25 wub1 = -1.203122565e-23 pub1 = 1.991715517e-30 ++ uc1 = -1.828714253e-10 luc1 = 2.451051494e-17 wuc1 = 2.914556219e-16 puc1 = -3.638422893e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.47 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.65e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {5.547334207e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -4.202785638e-08 wvth0 = -5.770991457e-07 pvth0 = 7.835443603e-14 ++ k1 = 6.445692952e-01 lk1 = 4.610657745e-10 wk1 = 6.876831679e-07 pk1 = -8.661369500e-14 ++ k2 = -1.274477187e-01 lk2 = 5.186436309e-09 wk2 = -1.694110344e-07 pk2 = 2.205811602e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.803657937e+05 lvsat = -1.935042249e-03 wvsat = -7.848529550e-02 pvsat = 1.033812473e-8 ++ ua = -1.607991728e-09 lua = -9.641098684e-17 wua = -2.512115080e-16 pua = 3.003850219e-23 ++ ub = 3.756687818e-18 lub = -1.368712691e-25 wub = -2.601168913e-24 pub = 3.018628238e-31 ++ uc = 1.360461490e-10 luc = -1.363338004e-17 wuc = -1.476026390e-16 puc = 1.859055239e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.785910730e-02 lu0 = -2.989555662e-09 wu0 = -2.965822690e-08 pu0 = 3.544398727e-15 ++ a0 = 0.0 ++ keta = 1.118741745e-01 lketa = -3.624720774e-08 wketa = 1.467087053e-07 pketa = -1.847796144e-14 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 9.861009617e-01 lags = 3.291061387e-08 wags = -8.525747532e-07 pags = 1.073817902e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.618938198e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.001692666e-08 wvoff = 8.039417125e-08 pvoff = -1.012564587e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.916882308e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.005222859e-07 wnfactor = 2.414960298e-06 pnfactor = -2.639051431e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.599166667e-05 lcit = 3.273650417e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.202815303e-01 leta0 = -5.181871083e-09 weta0 = -1.053792735e-07 peta0 = 1.327251949e-14 ++ etab = -4.517283131e-02 letab = 5.689518104e-09 wetab = -9.383187403e-08 petab = 1.181812453e-14 ++ dsub = 6.308199508e-01 ldsub = -4.670477281e-08 wdsub = 6.285017821e-08 pdsub = -7.915979946e-15 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.948675935e-01 lpclm = -3.382713899e-09 wpclm = 5.839230065e-07 ppclm = -7.354510267e-14 ++ pdiblc1 = 9.662720674e-01 lpdiblc1 = 4.248033112e-09 wpdiblc1 = 5.389723631e-08 ppdiblc1 = -6.788356913e-15 ++ pdiblc2 = 2.666624417e-02 lpdiblc2 = -2.623858938e-09 wpdiblc2 = 3.789422680e-08 ppdiblc2 = -4.772777865e-15 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.875110871e-03 lalpha0 = -1.940607386e-10 walpha0 = -1.317415016e-09 palpha0 = 1.659284213e-16 ++ alpha1 = 0.0 ++ beta0 = 3.341427165e+01 lbeta0 = -6.865438332e-07 wbeta0 = -5.559821364e-06 pbeta0 = 7.002595008e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -6.821869689e-02 lkt1 = -3.621582713e-08 wkt1 = -3.101920910e-08 pkt1 = 3.906869387e-15 ++ kt2 = -7.062948907e-02 lkt2 = 7.428466649e-09 wkt2 = 1.775895071e-08 pkt2 = -2.236739842e-15 ++ at = -2.153146649e+04 lat = 1.368049585e-02 wat = 6.515847901e-02 pat = -8.206710431e-9 ++ ute = -1.756571846e+00 lute = 1.173499403e-08 wute = -6.494080035e-07 pute = 8.179293804e-14 ++ ua1 = 5.428011876e-10 lua1 = -4.909671907e-17 wua1 = -3.875333191e-15 pua1 = 4.880982154e-22 ++ ub1 = 2.282776272e-19 lub1 = -3.876270290e-26 wub1 = 3.848195918e-24 pub1 = -4.846802759e-31 ++ uc1 = 3.099879242e-11 luc1 = -8.842545505e-18 wuc1 = 3.022758438e-16 puc1 = -3.807164252e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.48 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 1e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4229525+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.53326 ++ k2 = -0.057410608 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200550.0 ++ ua = -9.7927443e-10 ++ ub = 2.30409e-18 ++ uc = 2.2350587e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0312064 ++ a0 = 1.9208155 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.537176 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11023409+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.6893098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0075691 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.1734937e-5 ++ alpha1 = 0.0 ++ beta0 = 17.793363 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25763 ++ kt2 = -0.036364 ++ at = 58230.0 ++ ute = -1.1808 ++ ua1 = 1.9636e-9 ++ ub1 = -1.466e-18 ++ uc1 = 6.3418e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.49 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4229525+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.53326 ++ k2 = -0.057410608 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200550.0 ++ ua = -9.7927443e-10 ++ ub = 2.30409e-18 ++ uc = 2.2350587e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0312064 ++ a0 = 1.9208155 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.537176 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11023409+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.6893098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0075691 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.1734937e-5 ++ alpha1 = 0.0 ++ beta0 = 17.793363 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25763 ++ kt2 = -0.036364 ++ at = 58230.0 ++ ute = -1.1808 ++ ua1 = 1.9636e-9 ++ ub1 = -1.466e-18 ++ uc1 = 6.3418e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.50 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.120860594e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.320442463e-8 ++ k1 = 6.076841567e-01 lk1 = -2.959067260e-7 ++ k2 = -8.662790178e-02 lk2 = 1.161664992e-7 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 3.565038297e+05 lvsat = -6.200646292e-1 ++ ua = -8.509614041e-10 lua = -5.101661753e-16 ++ ub = 2.131065938e-18 lub = 6.879350183e-25 ++ uc = -6.413725184e-12 luc = 1.143654670e-16 puc = 2.350988702e-38 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.098189256e-02 lu0 = 8.926303521e-10 ++ a0 = 1.988847952e+00 la0 = -2.704936295e-7 ++ keta = 1.790507093e-01 lketa = -7.118966674e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -3.661631337e-01 lags = 3.591631228e-06 pags = 8.077935669e-28 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.035102483e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.673365840e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.049039036e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.430265455e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386642625e-01 letab = 2.730056745e-7 ++ dsub = 7.158598930e-01 ldsub = -6.196911417e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.658852232e-01 lpclm = 1.356386466e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.440451272e-03 lpdiblc2 = 1.641530091e-8 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.997053919e-05 lalpha0 = 3.248568880e-10 ++ alpha1 = 0.0 ++ beta0 = 1.443635041e+01 lbeta0 = 1.334731420e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.468709522e-01 lkt1 = -4.277743590e-8 ++ kt2 = -3.564771813e-02 lkt2 = -2.847900921e-9 ++ at = 6.717907755e+04 lat = -3.558108488e-2 ++ ute = -1.241165272e+00 lute = 2.400093052e-7 ++ ua1 = 1.881894467e-09 lua1 = 3.248571119e-16 ++ ub1 = -1.042850307e-18 lub1 = -1.682422020e-24 ++ uc1 = 1.351529876e-10 luc1 = -2.852147239e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.51 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.293740921e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.044136365e-9 ++ k1 = 4.583691775e-01 lk1 = -8.677927811e-10 ++ k2 = -2.323755968e-02 lk2 = -9.089647275e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.295621900e+03 lvsat = 7.983307901e-2 ++ ua = -7.179815791e-10 lua = -7.729276606e-16 ++ ub = 2.353937298e-18 lub = 2.475523540e-25 ++ uc = 5.823028540e-11 luc = -1.336786569e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.615025215e-02 lu0 = -9.319789778e-9 ++ a0 = 2.215635936e+00 la0 = -7.186153452e-7 ++ keta = -2.482972840e-01 lketa = 1.325215998e-07 wketa = 1.058791184e-22 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.193117478e+00 lags = 5.105707038e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.188839056e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.643919741e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {7.336237045e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.168929469e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.487975000e-05 lcit = -9.642142012e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 5.649201940e-04 leta0 = -1.282790573e-10 ++ etab = -5.274144355e-04 letab = 5.416955383e-11 ++ dsub = 2.358547406e-01 ldsub = 3.287750393e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.565962295e-01 lpclm = -4.360176618e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 8.873827250e-03 lpdiblc2 = 5.679221645e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.999502351e-04 lalpha0 = -1.492145659e-10 ++ alpha1 = 0.0 ++ beta0 = 2.159946903e+01 lbeta0 = -8.066500302e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.675342905e-01 lkt1 = -1.947712687e-9 ++ kt2 = -5.111828125e-02 lkt2 = 2.772115829e-8 ++ at = 2.049761305e+04 lat = 5.665915489e-2 ++ ute = -1.145855460e+00 lute = 5.168188119e-8 ++ ua1 = 2.095780665e-09 lua1 = -9.777132001e-17 ++ ub1 = -2.058942765e-18 lub1 = 3.253258715e-25 ++ uc1 = 3.059635606e-11 luc1 = -7.861604784e-17 wuc1 = 6.162975822e-33 puc1 = 5.877471754e-39 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.52 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 1e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.468927403e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -8.053188390e-9 ++ k1 = 4.428112210e-01 lk1 = 1.431599487e-8 ++ k2 = -2.312855893e-02 lk2 = -9.196026549e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8.116988700e+02 lvsat = 8.128131369e-2 ++ ua = -1.189585556e-09 lua = -3.126657592e-16 ++ ub = 2.563393283e-18 lub = 4.313378596e-26 ++ uc = 3.289414631e-11 luc = 1.135893926e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.043856181e-02 lu0 = -3.745465588e-9 ++ a0 = 1.956396665e+00 la0 = -4.656107786e-7 ++ keta = -2.196082690e-01 lketa = 1.045225556e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 3.134391582e+00 lags = -1.384015758e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.078412353e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -7.133174371e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.560380996e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.620556907e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.733691026e-03 leta0 = 2.115050563e-09 weta0 = 4.135903063e-25 peta0 = 1.972152263e-31 ++ etab = -8.021336290e-04 letab = 3.222817507e-10 ++ dsub = 1.660149467e-01 ldsub = 3.969351861e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.044219330e-01 lpclm = 1.049127385e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.168699006e-02 lpdiblc2 = -6.825784599e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.221009338e-05 lalpha0 = 8.712180668e-11 palpha0 = 1.232595164e-32 ++ alpha1 = 0.0 ++ beta0 = 1.743034605e+01 lbeta0 = 3.262205538e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.684353150e-01 lkt1 = -1.068357826e-9 ++ kt2 = -1.026029230e-02 lkt2 = -1.215419603e-8 ++ at = 7.584674830e+04 lat = 2.641166347e-3 ++ ute = -1.058346030e+00 lute = -3.372294702e-8 ++ ua1 = 2.504580930e-09 lua1 = -4.967399386e-16 ++ ub1 = -2.138248650e-18 lub1 = 4.027244500e-25 ++ uc1 = -8.636812690e-11 luc1 = 3.553543930e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.53 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 1e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.955958815e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.123344844e-8 ++ k1 = 3.575470440e-01 lk1 = 5.489747991e-8 ++ k2 = -1.180429035e-02 lk2 = -1.458581218e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.920040959e+05 lvsat = -9.716707688e-3 ++ ua = -1.540010971e-09 lua = -1.458807828e-16 ++ ub = 2.620208842e-18 lub = 1.609242065e-26 ++ uc = 7.025556412e-11 luc = -6.423227544e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.679655316e-02 lu0 = -2.012051571e-9 ++ a0 = 4.931589960e-01 la0 = 2.308171899e-7 ++ keta = 2.449876974e-02 lketa = -1.166018946e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.311916620e-01 lags = -9.742775603e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.329546542e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.819557388e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.088047121e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.109129981e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.810000000e-07 lcit = 2.150818050e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.566597539e-03 leta0 = 6.832822021e-11 ++ etab = 7.541036029e-03 letab = -3.648649848e-09 wetab = 1.344168495e-24 petab = 4.683861625e-31 ++ dsub = 1.588048920e+00 ldsub = -2.798818835e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.134240660e-01 lpclm = 1.006281733e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.854256280e-03 lpdiblc2 = 2.137655044e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.011350105e-03 lalpha0 = 5.483839952e-10 ++ alpha1 = 0.0 ++ beta0 = 1.918019144e+01 lbeta0 = 2.429366624e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.701829100e-01 lkt1 = -2.365899855e-10 ++ kt2 = -4.601988180e-02 lkt2 = 4.865580593e-9 ++ at = 1.069337728e+05 lat = -1.215470296e-2 ++ ute = -1.227804580e+00 lute = 4.693084985e-8 ++ ua1 = 1.752556260e-09 lua1 = -1.388137969e-16 ++ ub1 = -1.683418286e-18 lub1 = 1.862479382e-25 wub1 = 7.346839693e-40 ++ uc1 = -2.881638008e-11 luc1 = 8.143685399e-18 wuc1 = -6.162975822e-33 puc1 = -1.469367939e-39 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.54 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {6.221476949e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -5.982783066e-8 ++ k1 = 3.113341429e-01 lk1 = 6.533928492e-8 ++ k2 = 2.363068596e-03 lk2 = -1.778692694e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.237662533e+05 lvsat = 5.701632855e-3 ++ ua = -2.013580132e-09 lua = -3.887783096e-17 ++ ub = 3.201343101e-18 lub = -1.152148652e-25 ++ uc = 6.627162171e-11 luc = -5.523055757e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.577720036e-02 lu0 = -1.781728806e-9 ++ a0 = 4.889235214e+00 la0 = -7.624762317e-7 ++ keta = 1.417358112e-01 lketa = -3.814989897e-08 pketa = -6.310887242e-30 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.438389643e+00 lags = 5.509541398e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.642915643e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.190013221e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.168008132e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.187958077e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.113928571e-05 lcit = -2.516921607e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.564206905e-01 leta0 = 3.599150595e-08 weta0 = -3.308722450e-24 peta0 = 1.577721810e-30 ++ etab = 1.674259653e-02 letab = -5.727742443e-9 ++ dsub = 3.726607961e-01 ldsub = -5.264936889e-9 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.261245636e+00 lpclm = -1.587221104e-7 ++ pdiblc1 = -9.689928571e-01 lpdiblc1 = 3.070644361e-7 ++ pdiblc2 = 7.663234286e-03 lpdiblc2 = 1.051066463e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.517701205e-03 lalpha0 = -4.749551482e-10 ++ alpha1 = 0.0 ++ beta0 = 3.347280247e+01 lbeta0 = -8.000488374e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -1.978221071e-01 lkt1 = -1.658651339e-8 ++ kt2 = -3.256866571e-02 lkt2 = 1.826278318e-9 ++ at = 6.586697143e+03 lat = 1.051871878e-2 ++ ute = 6.271775714e-01 lute = -3.722023673e-7 ++ ua1 = 4.205380043e-09 lua1 = -6.930293307e-16 pua1 = -1.880790961e-37 ++ ub1 = -3.760022786e-18 lub1 = 6.554567249e-25 ++ uc1 = -4.836768571e-13 luc1 = 1.741911106e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.55 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {3.199425423e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.269893712e-08 wvth0 = -2.019033219e-07 pvth0 = 3.148682306e-14 ++ k1 = 1.162898258e+00 lk1 = -6.746213876e-08 wk1 = -1.406065139e-07 pk1 = 2.192758585e-14 ++ k2 = -2.675054486e-01 lk2 = 2.429906832e-08 wk2 = 5.440121798e-08 pk2 = -8.483869943e-15 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 7.842422391e+04 lvsat = 1.277272234e-02 wvsat = 8.441733297e-02 pvsat = -1.316488308e-8 ++ ua = -9.557611658e-11 lua = -3.379905572e-16 wua = -2.668051655e-15 pua = 4.160826556e-22 ++ ub = 1.344944324e-18 lub = 1.742905241e-25 wub = 1.252797190e-24 pub = -1.953737218e-31 ++ uc = -6.738595665e-11 luc = 1.532084359e-17 wuc = 1.774818658e-16 puc = -2.767829697e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 4.308038042e-02 lu0 = -4.480159737e-09 wu0 = -3.800182135e-08 pu0 = 5.926384040e-15 ++ a0 = 0.0 ++ keta = 1.280836202e+00 lketa = -2.157926049e-07 wketa = -1.721292615e-06 pketa = 2.684355833e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.427778610e-01 lags = 1.016360676e-07 wags = 1.565556175e-08 pags = -2.441484855e-15 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-2.986804670e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.285808158e-08 wvoff = 2.989792333e-07 pvoff = -4.662581144e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {3.513481879e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.461539260e-07 wnfactor = 2.159823686e-06 pnfactor = -3.368245039e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.599166667e-05 lcit = 3.273650417e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.362264692e-01 leta0 = 3.284221713e-08 weta0 = 3.045205096e-07 peta0 = -4.748997348e-14 ++ etab = -1.754397557e-01 letab = 2.424309539e-08 wetab = 1.143346712e-07 petab = -1.783049197e-14 ++ dsub = 6.701504754e-01 ldsub = -5.165845237e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.554362767e+00 lpclm = -2.044337270e-07 wpclm = -1.588550281e-06 ppclm = 2.477344163e-13 ++ pdiblc1 = 6.937249699e-01 lpdiblc1 = 4.776359095e-08 wpdiblc1 = 4.894274982e-07 ppdiblc1 = -7.632621834e-14 ++ pdiblc2 = 6.081967645e-02 lpdiblc2 = -7.238680692e-09 wpdiblc2 = -1.668295799e-08 ppdiblc2 = 2.601707298e-15 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.411199537e-04 lalpha0 = 5.162269786e-11 walpha0 = 1.453502470e-09 palpha0 = -2.266737102e-16 ++ alpha1 = 0.0 ++ beta0 = 2.333846338e+01 lbeta0 = 7.804013446e-07 wbeta0 = 1.054132025e-05 pbeta0 = -1.643918893e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = 2.961051924e-01 lkt1 = -9.361447576e-08 wkt1 = -6.132087842e-07 pkt1 = 9.562990990e-14 ++ kt2 = -3.670706487e-02 lkt2 = 2.471661666e-09 wkt2 = -3.644908317e-08 pkt2 = 5.684234520e-15 ++ at = -6.217541132e+04 lat = 2.124216960e-02 wat = 1.301075028e-01 pat = -2.029026507e-8 ++ ute = -2.107239553e+00 lute = 5.422998334e-08 wute = -8.904100744e-08 pute = 1.388594511e-14 ++ ua1 = -3.498691419e-09 lua1 = 5.084206137e-16 wua1 = 2.582971994e-15 pua1 = -4.028144824e-22 ++ ub1 = 5.418229913e-18 lub1 = -7.758917834e-25 wub1 = -4.445347835e-24 pub1 = 6.932519948e-31 ++ uc1 = 3.799707541e-10 luc1 = -5.758995740e-17 wuc1 = -2.553813510e-16 puc1 = 3.982672169e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.56 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4229525+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.53326 ++ k2 = -0.057410608 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200550.0 ++ ua = -9.7927443e-10 ++ ub = 2.30409e-18 ++ uc = 2.2350587e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0312064 ++ a0 = 1.9208155 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.537176 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11023409+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.6893098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0075691 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.1734937e-5 ++ alpha1 = 0.0 ++ beta0 = 17.793363 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25763 ++ kt2 = -0.036364 ++ at = 58230.0 ++ ute = -1.1808 ++ ua1 = 1.9636e-9 ++ ub1 = -1.466e-18 ++ uc1 = 6.3418e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.57 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4229525+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.53326 ++ k2 = -0.057410608 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200550.0 ++ ua = -9.7927443e-10 ++ ub = 2.30409e-18 ++ uc = 2.2350587e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0312064 ++ a0 = 1.9208155 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.537176 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11023409+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.6893098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0075691 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.1734937e-5 ++ alpha1 = 0.0 ++ beta0 = 17.793363 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25763 ++ kt2 = -0.036364 ++ at = 58230.0 ++ ute = -1.1808 ++ ua1 = 1.9636e-9 ++ ub1 = -1.466e-18 ++ uc1 = 6.3418e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.58 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.120860594e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.320442463e-8 ++ k1 = 6.076841567e-01 lk1 = -2.959067260e-7 ++ k2 = -8.662790178e-02 lk2 = 1.161664992e-7 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 3.565038297e+05 lvsat = -6.200646292e-1 ++ ua = -8.509614041e-10 lua = -5.101661753e-16 ++ ub = 2.131065938e-18 lub = 6.879350183e-25 ++ uc = -6.413725184e-12 luc = 1.143654670e-16 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.098189256e-02 lu0 = 8.926303521e-10 ++ a0 = 1.988847952e+00 la0 = -2.704936295e-7 ++ keta = 1.790507092e-01 lketa = -7.118966674e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -3.661631336e-01 lags = 3.591631228e-06 pags = -1.615587134e-27 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.035102483e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.673365840e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.049039036e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.430265455e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386642625e-01 letab = 2.730056745e-7 ++ dsub = 7.158598930e-01 ldsub = -6.196911417e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.658852232e-01 lpclm = 1.356386466e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.440451272e-03 lpdiblc2 = 1.641530091e-8 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.997053919e-05 lalpha0 = 3.248568880e-10 ++ alpha1 = 0.0 ++ beta0 = 1.443635041e+01 lbeta0 = 1.334731420e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.468709523e-01 lkt1 = -4.277743590e-8 ++ kt2 = -3.564771813e-02 lkt2 = -2.847900921e-9 ++ at = 6.717907755e+04 lat = -3.558108488e-2 ++ ute = -1.241165272e+00 lute = 2.400093052e-7 ++ ua1 = 1.881894468e-09 lua1 = 3.248571119e-16 ++ ub1 = -1.042850307e-18 lub1 = -1.682422020e-24 ++ uc1 = 1.351529876e-10 luc1 = -2.852147239e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.59 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.293740921e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.044136365e-9 ++ k1 = 4.583691775e-01 lk1 = -8.677927811e-10 ++ k2 = -2.323755967e-02 lk2 = -9.089647275e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.295621900e+03 lvsat = 7.983307901e-2 ++ ua = -7.179815791e-10 lua = -7.729276606e-16 ++ ub = 2.353937298e-18 lub = 2.475523540e-25 ++ uc = 5.823028540e-11 luc = -1.336786569e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.615025215e-02 lu0 = -9.319789778e-9 ++ a0 = 2.215635936e+00 la0 = -7.186153452e-7 ++ keta = -2.482972840e-01 lketa = 1.325215998e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.193117478e+00 lags = 5.105707038e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.188839056e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.643919741e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {7.336237045e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.168929469e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.487975000e-05 lcit = -9.642142013e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 5.649201940e-04 leta0 = -1.282790573e-10 ++ etab = -5.274144355e-04 letab = 5.416955383e-11 ++ dsub = 2.358547406e-01 ldsub = 3.287750393e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.565962295e-01 lpclm = -4.360176618e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 8.873827250e-03 lpdiblc2 = 5.679221645e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.999502351e-04 lalpha0 = -1.492145659e-10 ++ alpha1 = 0.0 ++ beta0 = 2.159946903e+01 lbeta0 = -8.066500302e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.675342905e-01 lkt1 = -1.947712687e-9 ++ kt2 = -5.111828125e-02 lkt2 = 2.772115829e-8 ++ at = 2.049761305e+04 lat = 5.665915489e-2 ++ ute = -1.145855460e+00 lute = 5.168188119e-8 ++ ua1 = 2.095780665e-09 lua1 = -9.777132001e-17 ++ ub1 = -2.058942765e-18 lub1 = 3.253258715e-25 ++ uc1 = 3.059635605e-11 luc1 = -7.861604784e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.60 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.468927403e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -8.053188390e-9 ++ k1 = 4.428112210e-01 lk1 = 1.431599487e-8 ++ k2 = -2.312855893e-02 lk2 = -9.196026549e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8.116988700e+02 lvsat = 8.128131369e-2 ++ ua = -1.189585556e-09 lua = -3.126657592e-16 ++ ub = 2.563393283e-18 lub = 4.313378596e-26 ++ uc = 3.289414631e-11 luc = 1.135893926e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.043856181e-02 lu0 = -3.745465588e-9 ++ a0 = 1.956396665e+00 la0 = -4.656107786e-7 ++ keta = -2.196082690e-01 lketa = 1.045225556e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 3.134391582e+00 lags = -1.384015758e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.078412353e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -7.133174371e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.560380996e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.620556907e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.733691026e-03 leta0 = 2.115050563e-09 peta0 = -3.944304526e-31 ++ etab = -8.021336290e-04 letab = 3.222817507e-10 ++ dsub = 1.660149467e-01 ldsub = 3.969351861e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.044219330e-01 lpclm = 1.049127385e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.168699006e-02 lpdiblc2 = -6.825784599e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.221009338e-05 lalpha0 = 8.712180668e-11 palpha0 = -2.465190329e-32 ++ alpha1 = 0.0 ++ beta0 = 1.743034605e+01 lbeta0 = 3.262205538e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.684353150e-01 lkt1 = -1.068357826e-9 ++ kt2 = -1.026029230e-02 lkt2 = -1.215419603e-8 ++ at = 7.584674830e+04 lat = 2.641166347e-3 ++ ute = -1.058346030e+00 lute = -3.372294702e-8 ++ ua1 = 2.504580930e-09 lua1 = -4.967399386e-16 ++ ub1 = -2.138248650e-18 lub1 = 4.027244500e-25 ++ uc1 = -8.636812690e-11 luc1 = 3.553543930e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.61 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.955958815e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.123344844e-8 ++ k1 = 3.575470440e-01 lk1 = 5.489747991e-8 ++ k2 = -1.180429035e-02 lk2 = -1.458581218e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.920040959e+05 lvsat = -9.716707688e-3 ++ ua = -1.540010971e-09 lua = -1.458807828e-16 ++ ub = 2.620208842e-18 lub = 1.609242065e-26 ++ uc = 7.025556412e-11 luc = -6.423227544e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.679655316e-02 lu0 = -2.012051571e-09 wu0 = -2.646977960e-23 ++ a0 = 4.931589960e-01 la0 = 2.308171899e-7 ++ keta = 2.449876974e-02 lketa = -1.166018946e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.311916620e-01 lags = -9.742775603e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.329546542e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.819557388e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.088047121e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.109129981e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.810000000e-07 lcit = 2.150818050e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.566597539e-03 leta0 = 6.832822021e-11 ++ etab = 7.541036029e-03 letab = -3.648649848e-09 wetab = 8.271806126e-25 petab = 7.888609052e-31 ++ dsub = 1.588048920e+00 ldsub = -2.798818835e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.134240660e-01 lpclm = 1.006281733e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.854256280e-03 lpdiblc2 = 2.137655044e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.011350105e-03 lalpha0 = 5.483839952e-10 walpha0 = -4.135903063e-25 palpha0 = -9.860761315e-32 ++ alpha1 = 0.0 ++ beta0 = 1.918019144e+01 lbeta0 = 2.429366624e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.701829100e-01 lkt1 = -2.365899855e-10 ++ kt2 = -4.601988180e-02 lkt2 = 4.865580593e-9 ++ at = 1.069337728e+05 lat = -1.215470296e-2 ++ ute = -1.227804580e+00 lute = 4.693084985e-8 ++ ua1 = 1.752556260e-09 lua1 = -1.388137969e-16 ++ ub1 = -1.683418286e-18 lub1 = 1.862479382e-25 ++ uc1 = -2.881638008e-11 luc1 = 8.143685399e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.62 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {6.221476949e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -5.982783066e-8 ++ k1 = 3.113341429e-01 lk1 = 6.533928492e-8 ++ k2 = 2.363068596e-03 lk2 = -1.778692694e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.237662533e+05 lvsat = 5.701632855e-3 ++ ua = -2.013580132e-09 lua = -3.887783096e-17 ++ ub = 3.201343101e-18 lub = -1.152148652e-25 ++ uc = 6.627162171e-11 luc = -5.523055757e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.577720036e-02 lu0 = -1.781728806e-9 ++ a0 = 4.889235214e+00 la0 = -7.624762317e-7 ++ keta = 1.417358112e-01 lketa = -3.814989897e-08 pketa = -1.262177448e-29 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.438389643e+00 lags = 5.509541398e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.642915643e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.190013221e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.168008132e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.187958077e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.113928571e-05 lcit = -2.516921607e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.564206905e-01 leta0 = 3.599150595e-08 peta0 = -7.888609052e-30 ++ etab = 1.674259653e-02 letab = -5.727742443e-9 ++ dsub = 3.726607961e-01 ldsub = -5.264936889e-9 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.261245636e+00 lpclm = -1.587221104e-7 ++ pdiblc1 = -9.689928571e-01 lpdiblc1 = 3.070644361e-7 ++ pdiblc2 = 7.663234286e-03 lpdiblc2 = 1.051066463e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.517701205e-03 lalpha0 = -4.749551482e-10 walpha0 = -3.308722450e-24 ++ alpha1 = 0.0 ++ beta0 = 3.347280247e+01 lbeta0 = -8.000488374e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -1.978221071e-01 lkt1 = -1.658651339e-8 ++ kt2 = -3.256866571e-02 lkt2 = 1.826278318e-9 ++ at = 6.586697143e+03 lat = 1.051871878e-2 ++ ute = 6.271775714e-01 lute = -3.722023673e-7 ++ ua1 = 4.205380043e-09 lua1 = -6.930293307e-16 ++ ub1 = -3.760022786e-18 lub1 = 6.554567249e-25 wub1 = 1.469367939e-39 ++ uc1 = -4.836768571e-13 luc1 = 1.741911106e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.63 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-1.418104934e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 2.583495668e-07 wvth0 = 1.445765685e-06 pvth0 = -2.254671586e-13 ++ k1 = 2.162141088e+00 lk1 = -2.232940581e-07 wk1 = -1.087888717e-06 pk1 = 1.696562454e-13 ++ k2 = -4.030238912e-01 lk2 = 4.543316945e-08 wk2 = 1.828727016e-07 pk2 = -2.851899781e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.471012683e+05 lvsat = 2.062537256e-03 wvsat = 1.931149484e-02 pvsat = -3.011627621e-9 ++ ua = -7.325503803e-09 lua = 7.895166655e-16 wua = 4.185919792e-15 pua = -6.527941915e-22 ++ ub = 3.922161905e-18 lub = -2.276265576e-25 wub = -1.190405077e-24 pub = 1.856436717e-31 ++ uc = 2.058877749e-11 luc = 1.601183799e-18 wuc = 9.408181780e-17 puc = -1.467205949e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -8.053582522e-02 lu0 = 1.479778753e-08 wu0 = 7.918634160e-08 pu0 = -1.234910997e-14 ++ a0 = 0.0 ++ keta = -2.764038217e+00 lketa = 4.150055607e-07 wketa = 2.113248334e-06 pketa = -3.295610777e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.034648292e-01 lags = 1.077669349e-07 wags = 5.292431595e-08 pags = -8.253547072e-15 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {6.435719822e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.140861879e-07 wvoff = -5.942760885e-07 pvoff = 9.267735600e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.349886398e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.604201162e-06 wnfactor = -1.030402129e-05 pnfactor = 1.606912120e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.599166667e-05 lcit = 3.273650417e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -2.046195544e-01 leta0 = 4.350811878e-08 weta0 = 3.693571545e-07 peta0 = -5.760124824e-14 ++ etab = 1.438893247e-01 letab = -2.555627470e-08 wetab = -1.883892970e-07 petab = 2.937931087e-14 ++ dsub = 6.709523213e-01 ldsub = -5.178350025e-08 wdsub = -7.601499898e-10 pdsub = 1.185453909e-16 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.287668283e-01 lpclm = 5.805033338e-08 wpclm = 7.056575460e-09 ppclm = -1.100472943e-15 ++ pdiblc1 = 2.244242310e+00 lpdiblc1 = -1.940395882e-07 wpdiblc1 = -9.804629402e-07 ppdiblc1 = 1.529031955e-13 ++ pdiblc2 = 2.750766875e-01 lpdiblc2 = -4.065206156e-08 wpdiblc2 = -2.197986045e-07 ppdiblc2 = 3.427759237e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.009620331e-03 lalpha0 = 3.870306452e-10 walpha0 = 3.492404260e-09 palpha0 = -5.446404443e-16 ++ alpha1 = 0.0 ++ beta0 = -9.551680915e+01 lbeta0 = 1.931588110e-05 wbeta0 = 1.232161186e-04 pbeta0 = -1.921555370e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -8.511178750e-01 lkt1 = 8.529496161e-08 wkt1 = 4.743586837e-07 pkt1 = -7.397623672e-14 ++ kt2 = -3.143053049e-01 lkt2 = 4.576310720e-08 wkt2 = 2.267140484e-07 pkt2 = -3.535605585e-14 ++ at = 1.509939690e+05 lat = -1.200159527e-02 wat = -7.197706969e-02 pat = 1.122482402e-8 ++ ute = -3.642337046e+00 lute = 2.936284373e-07 wute = 1.366231415e-06 pute = -2.130637892e-13 ++ ua1 = -3.178334752e-09 lua1 = 4.584609915e-16 wua1 = 2.279273874e-15 pua1 = -3.554527606e-22 ++ ub1 = 1.790417750e-19 lub1 = 4.115960669e-26 wub1 = 5.214025201e-25 pub1 = -8.131272301e-32 ++ uc1 = 7.747817220e-10 luc1 = -1.191607279e-16 wuc1 = -6.296621486e-16 puc1 = 9.819581208e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.64 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4229525+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.53326 ++ k2 = -0.057410608 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200550.0 ++ ua = -9.7927443e-10 ++ ub = 2.30409e-18 ++ uc = 2.2350587e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0312064 ++ a0 = 1.9208155 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.537176 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11023409+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.6893098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0075691 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.1734937e-5 ++ alpha1 = 0.0 ++ beta0 = 17.793363 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25763 ++ kt2 = -0.036364 ++ at = 58230.0 ++ ute = -1.1808 ++ ua1 = 1.9636e-9 ++ ub1 = -1.466e-18 ++ uc1 = 6.3418e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.65 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4229525+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.53326 ++ k2 = -0.057410608 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200550.0 ++ ua = -9.7927443e-10 ++ ub = 2.30409e-18 ++ uc = 2.2350587e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0312064 ++ a0 = 1.9208155 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.537176 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11023409+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.6893098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0075691 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.1734937e-5 ++ alpha1 = 0.0 ++ beta0 = 17.793363 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25763 ++ kt2 = -0.036364 ++ at = 58230.0 ++ ute = -1.1808 ++ ua1 = 1.9636e-9 ++ ub1 = -1.466e-18 ++ uc1 = 6.3418e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.66 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.120860594e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.320442463e-8 ++ k1 = 6.076841567e-01 lk1 = -2.959067260e-7 ++ k2 = -8.662790178e-02 lk2 = 1.161664992e-7 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 3.565038297e+05 lvsat = -6.200646292e-1 ++ ua = -8.509614041e-10 lua = -5.101661753e-16 ++ ub = 2.131065938e-18 lub = 6.879350183e-25 ++ uc = -6.413725184e-12 luc = 1.143654670e-16 puc = 2.350988702e-38 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.098189256e-02 lu0 = 8.926303521e-10 ++ a0 = 1.988847952e+00 la0 = -2.704936295e-7 ++ keta = 1.790507092e-01 lketa = -7.118966674e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -3.661631337e-01 lags = 3.591631228e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.035102483e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.673365840e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.049039036e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.430265455e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386642625e-01 letab = 2.730056745e-7 ++ dsub = 7.158598930e-01 ldsub = -6.196911417e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.658852233e-01 lpclm = 1.356386466e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.440451272e-03 lpdiblc2 = 1.641530091e-8 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.997053919e-05 lalpha0 = 3.248568880e-10 palpha0 = 4.930380658e-32 ++ alpha1 = 0.0 ++ beta0 = 1.443635041e+01 lbeta0 = 1.334731420e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.468709523e-01 lkt1 = -4.277743590e-8 ++ kt2 = -3.564771813e-02 lkt2 = -2.847900921e-9 ++ at = 6.717907755e+04 lat = -3.558108488e-2 ++ ute = -1.241165273e+00 lute = 2.400093052e-7 ++ ua1 = 1.881894468e-09 lua1 = 3.248571119e-16 ++ ub1 = -1.042850307e-18 lub1 = -1.682422020e-24 ++ uc1 = 1.351529876e-10 luc1 = -2.852147239e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.67 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.293740921e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.044136365e-9 ++ k1 = 4.583691775e-01 lk1 = -8.677927811e-10 ++ k2 = -2.323755968e-02 lk2 = -9.089647275e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.295621900e+03 lvsat = 7.983307901e-2 ++ ua = -7.179815791e-10 lua = -7.729276606e-16 ++ ub = 2.353937299e-18 lub = 2.475523540e-25 ++ uc = 5.823028540e-11 luc = -1.336786569e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.615025215e-02 lu0 = -9.319789778e-9 ++ a0 = 2.215635936e+00 la0 = -7.186153452e-7 ++ keta = -2.482972840e-01 lketa = 1.325215998e-07 wketa = -1.058791184e-22 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.193117478e+00 lags = 5.105707038e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.188839056e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.643919741e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {7.336237045e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.168929469e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.487975000e-05 lcit = -9.642142013e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 5.649201940e-04 leta0 = -1.282790573e-10 ++ etab = -5.274144355e-04 letab = 5.416955383e-11 ++ dsub = 2.358547406e-01 ldsub = 3.287750393e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.565962295e-01 lpclm = -4.360176618e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 8.873827250e-03 lpdiblc2 = 5.679221645e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.999502351e-04 lalpha0 = -1.492145659e-10 ++ alpha1 = 0.0 ++ beta0 = 2.159946903e+01 lbeta0 = -8.066500302e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.675342905e-01 lkt1 = -1.947712687e-9 ++ kt2 = -5.111828125e-02 lkt2 = 2.772115829e-8 ++ at = 2.049761305e+04 lat = 5.665915489e-2 ++ ute = -1.145855460e+00 lute = 5.168188119e-8 ++ ua1 = 2.095780665e-09 lua1 = -9.777132001e-17 ++ ub1 = -2.058942765e-18 lub1 = 3.253258715e-25 ++ uc1 = 3.059635605e-11 luc1 = -7.861604784e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.68 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.468927403e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -8.053188390e-9 ++ k1 = 4.428112210e-01 lk1 = 1.431599487e-8 ++ k2 = -2.312855893e-02 lk2 = -9.196026549e-9 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8.116988700e+02 lvsat = 8.128131369e-2 ++ ua = -1.189585556e-09 lua = -3.126657592e-16 ++ ub = 2.563393283e-18 lub = 4.313378596e-26 ++ uc = 3.289414631e-11 luc = 1.135893926e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.043856181e-02 lu0 = -3.745465588e-9 ++ a0 = 1.956396665e+00 la0 = -4.656107786e-7 ++ keta = -2.196082690e-01 lketa = 1.045225556e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 3.134391582e+00 lags = -1.384015758e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.078412353e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -7.133174371e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.560380996e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.620556907e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.733691026e-03 leta0 = 2.115050563e-09 weta0 = -4.135903063e-25 peta0 = 1.972152263e-31 ++ etab = -8.021336290e-04 letab = 3.222817507e-10 ++ dsub = 1.660149467e-01 ldsub = 3.969351861e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.044219330e-01 lpclm = 1.049127385e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.168699006e-02 lpdiblc2 = -6.825784599e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.221009338e-05 lalpha0 = 8.712180668e-11 palpha0 = -1.232595164e-32 ++ alpha1 = 0.0 ++ beta0 = 1.743034605e+01 lbeta0 = 3.262205538e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.684353150e-01 lkt1 = -1.068357826e-9 ++ kt2 = -1.026029230e-02 lkt2 = -1.215419603e-8 ++ at = 7.584674830e+04 lat = 2.641166347e-3 ++ ute = -1.058346030e+00 lute = -3.372294702e-8 ++ ua1 = 2.504580930e-09 lua1 = -4.967399386e-16 ++ ub1 = -2.138248650e-18 lub1 = 4.027244500e-25 ++ uc1 = -8.636812690e-11 luc1 = 3.553543930e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.69 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.955958815e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.123344844e-08 wvth0 = -2.117582368e-22 ++ k1 = 3.575470440e-01 lk1 = 5.489747991e-8 ++ k2 = -1.180429035e-02 lk2 = -1.458581218e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.920040959e+05 lvsat = -9.716707688e-3 ++ ua = -1.540010971e-09 lua = -1.458807828e-16 ++ ub = 2.620208842e-18 lub = 1.609242065e-26 ++ uc = 7.025556412e-11 luc = -6.423227544e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.679655316e-02 lu0 = -2.012051571e-9 ++ a0 = 4.931589960e-01 la0 = 2.308171899e-7 ++ keta = 2.449876974e-02 lketa = -1.166018946e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.311916620e-01 lags = -9.742775603e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.329546542e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.819557388e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.088047121e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.109129981e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.810000000e-07 lcit = 2.150818050e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.566597539e-03 leta0 = 6.832822021e-11 ++ etab = 7.541036029e-03 letab = -3.648649848e-09 wetab = 1.344168495e-24 petab = -7.642090019e-31 ++ dsub = 1.588048920e+00 ldsub = -2.798818835e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.134240660e-01 lpclm = 1.006281733e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.854256280e-03 lpdiblc2 = 2.137655044e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.011350105e-03 lalpha0 = 5.483839952e-10 walpha0 = -2.067951531e-25 palpha0 = -2.465190329e-32 ++ alpha1 = 0.0 ++ beta0 = 1.918019144e+01 lbeta0 = 2.429366624e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.701829100e-01 lkt1 = -2.365899855e-10 ++ kt2 = -4.601988180e-02 lkt2 = 4.865580593e-9 ++ at = 1.069337728e+05 lat = -1.215470296e-2 ++ ute = -1.227804580e+00 lute = 4.693084985e-8 ++ ua1 = 1.752556260e-09 lua1 = -1.388137969e-16 ++ ub1 = -1.683418286e-18 lub1 = 1.862479382e-25 wub1 = 7.346839693e-40 ++ uc1 = -2.881638008e-11 luc1 = 8.143685399e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.70 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {6.221476949e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -5.982783066e-8 ++ k1 = 3.113341429e-01 lk1 = 6.533928492e-8 ++ k2 = 2.363068596e-03 lk2 = -1.778692694e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.237662533e+05 lvsat = 5.701632855e-3 ++ ua = -2.013580132e-09 lua = -3.887783096e-17 ++ ub = 3.201343101e-18 lub = -1.152148652e-25 ++ uc = 6.627162171e-11 luc = -5.523055757e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.577720036e-02 lu0 = -1.781728806e-9 ++ a0 = 4.889235214e+00 la0 = -7.624762317e-7 ++ keta = 1.417358112e-01 lketa = -3.814989897e-08 pketa = -6.310887242e-30 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.438389643e+00 lags = 5.509541398e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.642915643e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.190013221e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.168008132e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.187958077e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.113928571e-05 lcit = -2.516921607e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.564206905e-01 leta0 = 3.599150595e-08 weta0 = 2.316105715e-23 peta0 = 5.522026337e-30 ++ etab = 1.674259653e-02 letab = -5.727742443e-9 ++ dsub = 3.726607961e-01 ldsub = -5.264936889e-9 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.261245636e+00 lpclm = -1.587221104e-7 ++ pdiblc1 = -9.689928571e-01 lpdiblc1 = 3.070644361e-7 ++ pdiblc2 = 7.663234286e-03 lpdiblc2 = 1.051066463e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.517701205e-03 lalpha0 = -4.749551482e-10 ++ alpha1 = 0.0 ++ beta0 = 3.347280247e+01 lbeta0 = -8.000488374e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -1.978221071e-01 lkt1 = -1.658651339e-8 ++ kt2 = -3.256866571e-02 lkt2 = 1.826278318e-9 ++ at = 6.586697143e+03 lat = 1.051871878e-2 ++ ute = 6.271775714e-01 lute = -3.722023673e-7 ++ ua1 = 4.205380043e-09 lua1 = -6.930293307e-16 ++ ub1 = -3.760022786e-18 lub1 = 6.554567249e-25 ++ uc1 = -4.836768571e-13 luc1 = 1.741911106e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.71 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {3.814329152e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.228836078e-08 wvth0 = 2.772986034e-08 pvth0 = -4.324471720e-15 ++ k1 = 7.815716500e-01 lk1 = -7.994254317e-9 ++ k2 = -1.596444210e-01 lk2 = 7.478141068e-09 wk2 = -8.910320961e-09 pk2 = 1.389564554e-15 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.309759906e+05 lvsat = 4.577274319e-03 wvsat = 3.201821370e-02 pvsat = -4.993240427e-9 ++ ua = -1.956579171e-09 lua = -4.776713090e-17 wua = -4.479281860e-17 pua = 6.985440061e-24 ++ ub = 1.821001660e-18 lub = 1.000493826e-25 wub = 4.653091964e-25 pub = -7.256496917e-32 ++ uc = 1.399819473e-10 luc = -1.701818103e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.072466544e-02 lu0 = -9.937859854e-10 wu0 = -6.069250387e-10 pu0 = 9.464995979e-17 ++ a0 = 0.0 ++ keta = 4.702508516e-01 lketa = -8.938181952e-08 wketa = -4.353714517e-07 pketa = 6.789617789e-14 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.706276667e-01 lags = 9.729289038e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.105877863e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.525028035e-09 wvoff = 1.809103481e-12 pvoff = -2.821296878e-19 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.735001818e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.442314238e-08 wnfactor = -1.822097902e-06 pnfactor = 2.841561677e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.599166667e-05 lcit = 3.273650417e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.641077990e-01 leta0 = -2.958991198e-8 ++ etab = -9.518338731e-02 letab = 1.172711474e-8 ++ dsub = 6.699876640e-01 ldsub = -5.163306194e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -3.219917613e-01 lpclm = 8.818376168e-08 wpclm = 1.593178227e-07 ppclm = -2.484561444e-14 ++ pdiblc1 = 1.0 ++ pdiblc2 = -1.253275097e-02 lpdiblc2 = 4.200630363e-09 wpdiblc2 = 6.837633048e-09 ppdiblc2 = -1.066328874e-15 ++ pdiblcb = 0.0 ++ drout = -2.669909122e+01 ldrout = 4.708706146e-06 wdrout = 2.379262868e-05 pdrout = -3.710460443e-12 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.391024405e-03 lalpha0 = -2.992499013e-10 walpha0 = 2.469620779e-11 palpha0 = -3.851373604e-18 ++ alpha1 = 0.0 ++ beta0 = 5.365279630e+01 lbeta0 = -3.947118875e-06 wbeta0 = 5.670469512e-06 pbeta0 = -8.843097205e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -4.219432500e-01 lkt1 = 1.836517884e-08 wkt1 = 1.361690792e-07 pkt1 = -2.123556790e-14 ++ kt2 = -2.659712167e-02 lkt2 = 8.950160239e-10 ++ at = 1.156654978e+05 lat = -6.492120182e-03 wat = -4.413823439e-02 pat = 6.883357653e-9 ++ ute = -1.908540833e+00 lute = 2.324291796e-8 ++ ua1 = -2.858552167e-10 lua1 = 7.378808039e-18 ++ ub1 = 8.407201000e-19 lub1 = -6.202912810e-26 ++ uc1 = -2.428191833e-11 luc1 = 5.453246864e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.72 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4229525+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.53326 ++ k2 = -0.057410608 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200550.0 ++ ua = -9.7927443e-10 ++ ub = 2.30409e-18 ++ uc = 2.2350587e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0312064 ++ a0 = 1.9208155 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.537176 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11023409+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.6893098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0075691 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.1734937e-5 ++ alpha1 = 0.0 ++ beta0 = 17.793363 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25763 ++ kt2 = -0.036364 ++ at = 58230.0 ++ ute = -1.1808 ++ ua1 = 1.9636e-9 ++ ub1 = -1.466e-18 ++ uc1 = 6.3418e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.73 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {0.4229525+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.53326 ++ k2 = -0.057410608 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200550.0 ++ ua = -9.7927443e-10 ++ ub = 2.30409e-18 ++ uc = 2.2350587e-11 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0312064 ++ a0 = 1.9208155 ++ keta = 0.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 0.537176 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.11023409+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {1.6893098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0075691 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.1734937e-5 ++ alpha1 = 0.0 ++ beta0 = 17.793363 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -0.25763 ++ kt2 = -0.036364 ++ at = 58230.0 ++ ute = -1.1808 ++ ua1 = 1.9636e-9 ++ ub1 = -1.466e-18 ++ uc1 = 6.3418e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.74 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.120860594e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.320442463e-8 ++ k1 = 6.076841567e-01 lk1 = -2.959067260e-7 ++ k2 = -8.662790178e-02 lk2 = 1.161664992e-07 wk2 = 5.293955920e-23 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 3.565038297e+05 lvsat = -6.200646292e-01 pvsat = 4.235164736e-22 ++ ua = -8.509614041e-10 lua = -5.101661753e-16 ++ ub = 2.131065938e-18 lub = 6.879350183e-25 ++ uc = -6.413725184e-12 luc = 1.143654670e-16 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.098189256e-02 lu0 = 8.926303521e-10 ++ a0 = 1.988847952e+00 la0 = -2.704936295e-7 ++ keta = 1.790507093e-01 lketa = -7.118966674e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -3.661631337e-01 lags = 3.591631228e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.035102483e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.673365840e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.049039036e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.430265455e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 1.585440125e-01 leta0 = -3.122870665e-7 ++ etab = -1.386642625e-01 letab = 2.730056745e-7 ++ dsub = 7.158598930e-01 ldsub = -6.196911417e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.658852233e-01 lpclm = 1.356386466e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.440451272e-03 lpdiblc2 = 1.641530091e-8 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.997053919e-05 lalpha0 = 3.248568880e-10 ++ alpha1 = 0.0 ++ beta0 = 1.443635041e+01 lbeta0 = 1.334731420e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.468709523e-01 lkt1 = -4.277743590e-8 ++ kt2 = -3.564771813e-02 lkt2 = -2.847900921e-9 ++ at = 6.717907755e+04 lat = -3.558108488e-2 ++ ute = -1.241165272e+00 lute = 2.400093052e-7 ++ ua1 = 1.881894467e-09 lua1 = 3.248571119e-16 ++ ub1 = -1.042850307e-18 lub1 = -1.682422020e-24 ++ uc1 = 1.351529876e-10 luc1 = -2.852147239e-16 puc1 = -9.403954807e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.75 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {3.135985294e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.378108594e-07 wvth0 = 6.807603084e-08 pvth0 = -1.345148331e-13 ++ k1 = 5.783088781e-01 lk1 = -2.378626441e-07 wk1 = -7.052454393e-08 pk1 = 1.393529726e-13 ++ k2 = -7.995537539e-02 lk2 = 1.029819207e-07 wk2 = 3.335007564e-08 pk2 = -6.589808197e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 4.314856358e+04 lvsat = -8.902911125e-04 wvsat = -2.402152971e-02 pvsat = 4.746534163e-8 ++ ua = 1.037530780e-09 lua = -4.241732306e-15 wua = -1.032241267e-15 pua = 2.039657132e-21 ++ ub = 2.144245332e-18 lub = 6.618931950e-25 wub = 1.232988762e-25 pub = -2.436324145e-31 ++ uc = -4.622147905e-11 luc = 1.930235983e-16 wuc = 6.141763749e-17 puc = -1.213581808e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 5.747911668e-02 lu0 = -5.146455965e-08 wu0 = -1.254137234e-08 pu0 = 2.478112468e-14 ++ a0 = 2.650291794e+00 la0 = -1.577473589e-06 wa0 = -2.555776448e-07 pa0 = 5.050086473e-13 ++ keta = -3.427477731e-01 lketa = 3.191510438e-07 wketa = 5.553688759e-08 pketa = -1.097381130e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 1.422250368e+00 lags = 5.781556913e-08 wags = -1.347301395e-07 pags = 2.662200192e-13 ++ b0 = 4.353092896e-07 lb0 = -8.601493909e-13 wb0 = -2.559618623e-13 pb0 = 5.057678418e-19 ++ b1 = 1.199991034e-08 lb1 = -2.371122283e-14 wb1 = -7.055947279e-15 pb1 = 1.394219903e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.999238293e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.637747570e-07 wvoff = 4.765147514e-08 pvoff = -9.415693231e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-1.998211804e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 6.566899841e-06 wnfactor = 1.606319279e-06 pnfactor = -3.174006579e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.188103333e-05 lcit = -6.299532782e-11 wcit = -1.587675460e-11 pcit = 3.137167325e-17 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.429567401e-03 leta0 = 3.812728706e-09 weta0 = 1.172758706e-09 peta0 = -2.317312565e-15 ++ etab = 2.021020688e-03 letab = -4.981410829e-09 wetab = -1.498479853e-09 petab = 2.960921265e-15 ++ dsub = -1.452976545e+00 ldsub = 3.665821218e-06 wdsub = 9.930327960e-07 pdsub = -1.962183153e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 4.313485352e-01 lpclm = -3.889035847e-07 wpclm = -1.027543558e-07 ppclm = 2.030374693e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = -8.450196137e-03 lpdiblc2 = 3.991062566e-08 wpdiblc2 = 1.018652575e-08 ppdiblc2 = -2.012806556e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.232495306e-04 lalpha0 = -5.904428088e-10 walpha0 = -1.312999858e-10 palpha0 = 2.594422069e-16 ++ alpha1 = 0.0 ++ beta0 = 2.769130957e+01 lbeta0 = -1.284382234e-05 wbeta0 = -3.582002236e-06 pbeta0 = 7.077857317e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -1.919846997e-01 lkt1 = -1.512299266e-07 wkt1 = -4.442315937e-08 pkt1 = 8.777794176e-14 ++ kt2 = -9.992580100e-02 lkt2 = 1.241623769e-07 wkt2 = 2.869882161e-08 pkt2 = -5.670743657e-14 ++ at = 5.553987856e+04 lat = -1.258260964e-02 wat = -2.060485212e-02 pat = 4.071415755e-8 ++ ute = -9.487460917e-01 lute = -3.377963752e-07 wute = -1.159003086e-07 pute = 2.290132147e-13 ++ ua1 = 2.702769514e-09 lua1 = -1.297150937e-15 wua1 = -3.569094434e-16 pua1 = 7.052352147e-22 ++ ub1 = -2.981846629e-18 lub1 = 2.148937762e-24 wub1 = 5.426674722e-25 pub1 = -1.072283792e-30 ++ uc1 = 5.046686881e-10 luc1 = -1.015359272e-15 wuc1 = -2.787545312e-16 puc1 = 5.508050160e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.76 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {6.784438656e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.182599465e-07 wvth0 = -1.361520617e-07 pvth0 = 6.480157376e-14 ++ k1 = 2.029318199e-01 lk1 = 1.284865958e-07 wk1 = 1.410490879e-07 pk1 = -6.713231337e-14 ++ k2 = 9.030707250e-02 lk2 = -6.318571533e-08 wk2 = -6.670015129e-08 pk2 = 3.174593700e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -8.089418450e+04 lvsat = 1.201692289e-01 wvsat = 4.804305942e-02 pvsat = -2.286609413e-8 ++ ua = -4.700610274e-09 lua = 1.358406455e-15 wua = 2.064482534e-15 pua = -9.825904622e-22 ++ ub = 2.982777216e-18 lub = -1.564719968e-25 wub = -2.465977524e-25 pub = 1.173682003e-31 ++ uc = 2.417976752e-10 luc = -8.806869531e-17 wuc = -1.228352750e-16 puc = 5.846344913e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -1.221916726e-02 lu0 = 1.655748056e-08 wu0 = 2.508274469e-08 pu0 = -1.193813233e-14 ++ a0 = 1.087084948e+00 la0 = -5.186186682e-08 wa0 = 5.111552897e-07 pa0 = -2.432843601e-13 ++ keta = -3.070729080e-02 lketa = 1.461513506e-08 wketa = -1.110737752e-07 pketa = 5.286556330e-14 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 2.676125801e+00 lags = -1.165904160e-06 wags = 2.694602791e-07 pags = -1.282496198e-13 ++ b0 = -8.706185793e-07 lb0 = 4.143709128e-13 wb0 = 5.119237246e-13 pb0 = -2.436500967e-19 ++ b1 = -2.399982068e-08 lb1 = 1.142271465e-14 wb1 = 1.411189456e-14 pb1 = -6.716556215e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {5.423861216e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.427507775e-08 wvoff = -9.530295029e-08 pvoff = 4.535943919e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {7.024052012e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.238378530e-06 wnfactor = -3.212638558e-06 pnfactor = 1.529055322e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -4.900256667e-05 lcit = 2.570252161e-11 wcit = 3.175350920e-11 pcit = -1.511308270e-17 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.255284165e-03 leta0 = 2.164978206e-10 weta0 = -2.345517412e-09 peta0 = 1.116349012e-15 ++ etab = -5.899003876e-03 letab = 2.748137145e-09 wetab = 2.996959705e-09 petab = -1.426402972e-15 ++ dsub = 3.543677518e+00 ldsub = -1.210663315e-06 wdsub = -1.986065592e-06 pdsub = 9.452679186e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.450826785e-01 lpclm = 2.712594583e-07 wpclm = 2.055087115e-07 ppclm = -9.781187126e-14 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.633503683e-02 lpdiblc2 = -2.331652246e-08 wpdiblc2 = -2.037305150e-08 ppdiblc2 = 9.696553863e-15 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.888086844e-04 lalpha0 = 2.996804061e-10 walpha0 = 2.625999715e-10 palpha0 = -1.249844564e-16 ++ alpha1 = 0.0 ++ beta0 = 5.246664980e+00 lbeta0 = 9.061028545e-06 wbeta0 = 7.164004471e-06 pbeta0 = -3.409707928e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -4.195344965e-01 lkt1 = 7.084729763e-08 wkt1 = 8.884631874e-08 pkt1 = -4.228640541e-14 ++ kt2 = 8.735474721e-02 lkt2 = -5.861407408e-08 wkt2 = -5.739764323e-08 pkt2 = 2.731840830e-14 ++ at = 5.762217280e+03 lat = 3.599789889e-02 wat = 4.120970424e-02 pat = -1.961375873e-8 ++ ute = -1.452564767e+00 lute = 1.539054607e-07 wute = 2.318006172e-07 pute = -1.103255037e-13 ++ ua1 = 1.290603231e-09 lua1 = 8.105274705e-17 wua1 = 7.138188868e-16 pua1 = -3.397420992e-22 ++ ub1 = -2.924409213e-19 lub1 = -4.757877385e-25 wub1 = -1.085334944e-24 pub1 = 5.165651668e-31 ++ uc1 = -1.034512791e-09 luc1 = 4.868048921e-16 wuc1 = 5.575090624e-16 puc1 = -2.653464383e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.77 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.955958815e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.123344844e-8 ++ k1 = 3.575470440e-01 lk1 = 5.489747991e-8 ++ k2 = -1.180429035e-02 lk2 = -1.458581218e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.920040959e+05 lvsat = -9.716707688e-3 ++ ua = -1.540010971e-09 lua = -1.458807828e-16 ++ ub = 2.620208842e-18 lub = 1.609242065e-26 ++ uc = 7.025556412e-11 luc = -6.423227544e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.679655316e-02 lu0 = -2.012051571e-9 ++ a0 = 4.931589960e-01 la0 = 2.308171899e-7 ++ keta = 2.449876974e-02 lketa = -1.166018946e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.311916620e-01 lags = -9.742775603e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.329546542e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.819557388e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.088047121e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.109129981e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.810000000e-07 lcit = 2.150818050e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.566597539e-03 leta0 = 6.832822021e-11 ++ etab = 7.541036029e-03 letab = -3.648649848e-09 wetab = 1.344168495e-24 petab = -6.162975822e-31 ++ dsub = 1.588048920e+00 ldsub = -2.798818835e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.134240660e-01 lpclm = 1.006281733e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.854256280e-03 lpdiblc2 = 2.137655044e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.011350105e-03 lalpha0 = 5.483839952e-10 walpha0 = -1.033975766e-25 palpha0 = -1.479114197e-31 ++ alpha1 = 0.0 ++ beta0 = 1.918019144e+01 lbeta0 = 2.429366624e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.701829100e-01 lkt1 = -2.365899855e-10 ++ kt2 = -4.601988180e-02 lkt2 = 4.865580593e-09 wkt2 = -2.646977960e-23 ++ at = 1.069337728e+05 lat = -1.215470296e-2 ++ ute = -1.227804580e+00 lute = 4.693084985e-08 wute = 8.470329473e-22 ++ ua1 = 1.752556260e-09 lua1 = -1.388137969e-16 ++ ub1 = -1.683418286e-18 lub1 = 1.862479382e-25 ++ uc1 = -2.881638008e-11 luc1 = 8.143685399e-18 puc1 = -2.938735877e-39 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.78 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {1.210981923e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.928749244e-07 wvth0 = -3.462345259e-07 pvth0 = 7.823169113e-14 ++ k1 = -1.703003519e-01 lk1 = 1.741645990e-07 wk1 = 2.832010829e-07 pk1 = -6.398928469e-14 ++ k2 = 1.528862373e-01 lk2 = -5.179763691e-08 wk2 = -8.850762321e-08 pk2 = 1.999829746e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.323461767e+05 lvsat = 3.762999156e-03 wvsat = -5.044994976e-03 pvsat = 1.139916615e-9 ++ ua = 3.779380764e-09 lua = -1.347797345e-15 wua = -3.406261007e-15 pua = 7.696446744e-22 ++ ub = 5.006338575e-18 lub = -5.230535926e-25 wub = -1.061337339e-24 pub = 2.398091717e-31 ++ uc = -2.331315495e-10 luc = 6.212709077e-17 wuc = 1.760490646e-16 puc = -3.977828616e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 1.215349397e-01 lu0 = -2.341819002e-08 wu0 = -5.630555075e-08 pu0 = 1.272223919e-14 ++ a0 = 4.889235214e+00 la0 = -7.624762317e-7 ++ keta = 1.410149654e+00 lketa = -3.247480068e-07 wketa = -7.458273397e-07 pketa = 1.685196874e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.377985010e+00 lags = 5.373057129e-07 wags = -3.551792440e-08 pags = 8.025275018e-15 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-5.870528927e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.074230544e-07 wvoff = 2.485836611e-07 pvoff = -5.616747823e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-4.185855458e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.528501286e-06 wnfactor = 3.148071791e-06 pnfactor = -7.113068212e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 8.277666667e-05 lcit = -1.644388783e-11 wcit = -3.624278000e-11 pcit = 8.189056141e-18 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.772218519e-01 leta0 = 4.069152838e-08 weta0 = 1.223108292e-08 peta0 = -2.763613186e-15 ++ etab = -1.239928766e-01 letab = 2.607143771e-08 wetab = 8.275245821e-08 petab = -1.869791793e-14 ++ dsub = 1.161827230e-01 ldsub = 5.268628374e-08 wdsub = 1.508091070e-07 pdsub = -3.407531773e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.096062323e+00 lpclm = -3.473489410e-07 wpclm = -4.908722123e-07 ppclm = 1.109125764e-13 ++ pdiblc1 = -1.281569748e+01 lpdiblc1 = 2.983827345e-06 wpdiblc1 = 6.965862316e-06 ppdiblc1 = -1.573936590e-12 ++ pdiblc2 = -1.773136667e-02 lpdiblc2 = 6.788976548e-09 wpdiblc2 = 1.493202536e-08 ppdiblc2 = -3.373891130e-15 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.916425163e-03 lalpha0 = -5.650468265e-10 walpha0 = -2.344496873e-10 palpha0 = 5.297390684e-17 ++ alpha1 = 0.0 ++ beta0 = 3.660108447e+01 lbeta0 = -1.506884154e-06 wbeta0 = -1.839429813e-06 pbeta0 = 4.156191663e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = 2.351188567e-01 lkt1 = -1.144095242e-07 wkt1 = -2.545692867e-07 pkt1 = 5.751993033e-14 ++ kt2 = -1.447117166e-01 lkt2 = 2.716500067e-08 wkt2 = 6.594011393e-08 pkt2 = -1.489916874e-14 ++ at = -4.649541533e+04 lat = 2.251262209e-02 wat = 3.121228214e-02 pat = -7.052415149e-9 ++ ute = 8.466066476e-01 lute = -4.217823670e-07 wute = -1.290242968e-07 pute = 2.915303986e-14 ++ ua1 = 6.131918022e-09 lua1 = -1.128330587e-15 wua1 = -1.132804332e-15 pua1 = 2.559571387e-22 ++ ub1 = -6.905008511e-18 lub1 = 1.366066250e-24 wub1 = 1.849251607e-24 pub1 = -4.178384005e-31 ++ uc1 = -2.032164193e-10 luc1 = 4.754937426e-17 wuc1 = 1.192068526e-16 puc1 = -2.693478833e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.79 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-1.024292173e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 1.557160707e-07 wvth0 = 8.542962120e-07 pvth0 = -1.089910774e-13 ++ k1 = 1.905385471e+00 lk1 = -1.495386051e-07 wk1 = -6.608025268e-07 pk1 = 8.322807825e-14 ++ k2 = -5.045140241e-01 lk2 = 5.072393386e-08 wk2 = 1.938730057e-07 pk2 = -2.403896161e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.035329431e+05 lvsat = -7.338577058e-03 wvsat = -1.064527435e-02 pvsat = 2.013280183e-9 ++ ua = -1.439916192e-08 lua = 1.487146386e-15 wua = 7.271445838e-15 pua = -8.955437080e-22 ++ ub = 1.500370403e-18 lub = 2.370214389e-26 wub = 6.538403753e-25 pub = -2.767279281e-32 ++ uc = 1.387267795e-09 luc = -1.905741870e-16 wuc = -7.334040783e-16 puc = 1.020509315e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -2.018930753e-01 lu0 = 2.702040893e-08 wu0 = 1.302923065e-07 pu0 = -1.637769665e-14 ++ a0 = 0.0 ++ keta = -3.228694010e+00 lketa = 3.986796627e-07 wketa = 1.739608127e-06 pketa = -2.190839736e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 3.296835222e-01 lags = 1.150448054e-07 wags = 8.287515693e-08 pags = -1.043812602e-14 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {8.758816209e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.207215830e-07 wvoff = -5.800422023e-07 pvoff = 7.305672517e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {8.258296662e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.121642373e-07 wnfactor = -5.069795270e-06 pnfactor = 5.702695470e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.598122222e-04 lcit = 2.138784939e-11 wcit = 8.456648667e-11 pcit = -1.065114900e-17 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 3.126438423e-01 leta0 = -3.570302664e-08 weta0 = -2.853919348e-08 peta0 = 3.594511419e-15 ++ etab = 2.331993834e-01 letab = -2.963269523e-08 wetab = -1.930890692e-07 petab = 2.431956826e-14 ++ dsub = 1.268436501e+00 ldsub = -1.270076930e-07 wdsub = -3.518879163e-07 pdsub = 4.432028306e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -7.763128533e-01 lpclm = 1.005979678e-07 wpclm = 4.264586248e-07 ppclm = -3.214516767e-14 ++ pdiblc1 = 2.864231078e+01 lpdiblc1 = -3.481549042e-06 wpdiblc1 = -1.625367874e-05 ppdiblc1 = 2.047150837e-12 ++ pdiblc2 = -8.867764700e-02 lpdiblc2 = 1.785304897e-08 wpdiblc2 = 5.161083192e-08 ppdiblc2 = -9.093951013e-15 ++ pdiblcb = 0.0 ++ drout = 7.059169160e+01 ldrout = -1.046379144e-05 wdrout = -3.341435162e-05 pdrout = 5.210968135e-12 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.452065253e-03 lalpha0 = -1.807298987e-10 walpha0 = 5.768041890e-10 palpha0 = -7.354113517e-17 ++ alpha1 = 0.0 ++ beta0 = 3.153511828e+01 lbeta0 = -7.168467270e-07 wbeta0 = 1.867566419e-05 pbeta0 = -2.783709743e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -8.753278767e-01 lkt1 = 5.876464390e-08 wkt1 = 4.027592397e-07 pkt1 = -4.499045336e-14 ++ kt2 = 2.350699971e-01 lkt2 = -3.206195759e-08 wkt2 = -1.538602658e-07 pkt2 = 1.937870048e-14 ++ at = 2.320835299e+05 lat = -2.093176441e-02 wat = -1.125920373e-01 pat = 1.537386846e-8 ++ ute = -2.420542011e+00 lute = 8.772946630e-08 wute = 3.010566925e-07 pute = -3.791809042e-14 ++ ua1 = -7.315356049e-09 lua1 = 9.687718043e-16 wua1 = 4.133346489e-15 pua1 = -5.652990818e-22 ++ ub1 = 8.179020127e-18 lub1 = -9.862880165e-25 wub1 = -4.314920416e-24 pub1 = 5.434642264e-31 ++ uc1 = 4.487611474e-10 luc1 = -5.412652726e-17 wuc1 = -2.781493226e-16 puc1 = 3.503290718e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.80 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 1.0e-04 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.392302723e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = -8.106330609e-9 ++ k1 = 6.849043077e-01 wk1 = -7.551886523e-8 ++ k2 = -9.805085218e-02 wk2 = 2.023884160e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 5.087896308e+05 wvsat = -1.535033361e-1 ++ ua = -3.972615672e-10 wua = -2.898424057e-16 ++ ub = 1.554870308e-18 wub = 3.731114068e-25 ++ uc = 1.431003328e-11 wuc = 4.004195754e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.306338462e-02 wu0 = -9.247783385e-10 ++ a0 = 2.942767069e+00 wa0 = -5.089318815e-7 ++ keta = 3.680000000e-01 wketa = -1.832640000e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.920676554e+00 wags = 1.722010572e-6 ++ b0 = -2.281854769e-07 wb0 = 1.136363675e-13 ++ b1 = -6.290252308e-09 wb1 = 3.132545649e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-5.383729738e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} wvoff = -2.808560272e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.436130472e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = -3.719166947e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 3.062799503e-01 weta0 = -1.126874152e-7 ++ etab = -2.681538462e-01 wetab = 9.868061538e-8 ++ dsub = 1.409230769e+00 wdsub = -4.229169231e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 7.465353846e-02 wpclm = 6.242253785e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = -3.515909231e-03 wpdiblc2 = 5.520334597e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -9.038681500e-05 walpha0 = 6.579663250e-11 ++ alpha1 = 0.0 ++ beta0 = 6.165573585e+00 wbeta0 = 5.790639129e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.635463077e-01 wkt1 = 2.946321231e-9 ++ kt2 = -4.941950769e-02 wkt2 = 6.501642831e-9 ++ at = -1.766858462e+04 wat = 3.779749514e-2 ++ ute = -1.532947692e+00 wute = 1.753695508e-7 ++ ua1 = 1.554836923e-09 wua1 = 2.035640123e-16 ++ ub1 = -2.473538462e-19 wub1 = -6.068857846e-25 ++ uc1 = 1.358517231e-10 wuc1 = -3.607199409e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.81 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.392302723e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = -8.106330609e-9 ++ k1 = 6.849043077e-01 wk1 = -7.551886523e-8 ++ k2 = -9.805085218e-02 wk2 = 2.023884160e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 5.087896308e+05 wvsat = -1.535033361e-1 ++ ua = -3.972615672e-10 wua = -2.898424057e-16 ++ ub = 1.554870308e-18 wub = 3.731114068e-25 ++ uc = 1.431003328e-11 wuc = 4.004195754e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.306338462e-02 wu0 = -9.247783385e-10 ++ a0 = 2.942767069e+00 wa0 = -5.089318815e-7 ++ keta = 3.680000000e-01 wketa = -1.832640000e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.920676554e+00 wags = 1.722010572e-6 ++ b0 = -2.281854769e-07 wb0 = 1.136363675e-13 ++ b1 = -6.290252308e-09 wb1 = 3.132545649e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-5.383729738e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} wvoff = -2.808560272e-8 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.436130472e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = -3.719166947e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 3.062799503e-01 weta0 = -1.126874152e-7 ++ etab = -2.681538462e-01 wetab = 9.868061538e-8 ++ dsub = 1.409230769e+00 wdsub = -4.229169231e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 7.465353846e-02 wpclm = 6.242253785e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = -3.515909231e-03 wpdiblc2 = 5.520334597e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -9.038681500e-05 walpha0 = 6.579663250e-11 ++ alpha1 = 0.0 ++ beta0 = 6.165573585e+00 wbeta0 = 5.790639129e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.635463077e-01 wkt1 = 2.946321231e-9 ++ kt2 = -4.941950769e-02 wkt2 = 6.501642831e-9 ++ at = -1.766858462e+04 wat = 3.779749514e-2 ++ ute = -1.532947692e+00 wute = 1.753695508e-7 ++ ua1 = 1.554836923e-09 wua1 = 2.035640123e-16 ++ ub1 = -2.473538462e-19 wub1 = -6.068857846e-25 ++ uc1 = 1.358517231e-10 wuc1 = -3.607199409e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.82 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {3.976034459e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.655061805e-07 wvth0 = 7.212341514e-09 pvth0 = -6.090627443e-14 ++ k1 = 9.700060774e-01 lk1 = -1.133550381e-06 wk1 = -1.804363165e-07 pk1 = 4.171465403e-13 ++ k2 = -2.099755622e-01 lk2 = 4.450070508e-07 wk2 = 6.142713489e-08 pk2 = -1.637625947e-13 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.106212763e+06 lvsat = -2.375324503e+00 wvsat = -3.733550488e-01 pvsat = 8.741194170e-7 ++ ua = 9.427602426e-11 lua = -1.954328887e-15 wua = -4.707282393e-16 pua = 7.191930304e-22 ++ ub = 8.920550558e-19 lub = 2.635320301e-24 wub = 6.170274195e-25 pub = -9.697978707e-31 ++ uc = -9.587940878e-11 luc = 4.381077121e-16 wuc = 4.455391043e-17 puc = -1.612236381e-22 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.220334843e-02 lu0 = 3.419460887e-09 wu0 = -6.082850208e-10 pu0 = -1.258361607e-15 ++ a0 = 3.203383695e+00 la0 = -1.036198673e-06 wa0 = -6.048387997e-07 pa0 = 3.813211116e-13 ++ keta = 1.053901948e+00 lketa = -2.727111849e-06 wketa = -4.356759168e-07 pketa = 1.003577160e-12 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -6.381160312e+00 lags = 1.375871040e-05 wags = 2.995468595e-06 pags = -5.063205427e-12 ++ b0 = -2.281854769e-07 wb0 = 1.136363675e-13 ++ b1 = -6.290252308e-09 wb1 = 3.132545649e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-2.807981150e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.024104760e-07 wvoff = -3.756435753e-08 pvoff = 3.768705518e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {3.814170160e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.479016896e-06 wnfactor = -8.790352997e-07 pnfactor = 2.016278218e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 6.071639366e-01 leta0 = -1.196299686e-06 weta0 = -2.234127222e-07 peta0 = 4.402382843e-13 ++ etab = -5.311907902e-01 letab = 1.045821738e-06 wetab = 1.954782108e-07 petab = -3.848623995e-13 ++ dsub = 2.006294052e+00 ldsub = -2.373893758e-06 wdsub = -6.426362111e-07 pdsub = 8.735929030e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -5.603229863e-02 lpclm = 5.196003540e-07 wpclm = 1.105149259e-07 ppclm = -1.912129303e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.933180974e-02 lpdiblc2 = 6.288322963e-08 wpdiblc2 = 1.134058598e-08 ppdiblc2 = -2.314102850e-14 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.033816392e-04 lalpha0 = 1.244451771e-09 walpha0 = 1.809787278e-10 palpha0 = -4.579582518e-16 ++ alpha1 = 0.0 ++ beta0 = -6.694366949e+00 lbeta0 = 5.113048057e-05 wbeta0 = 1.052309725e-05 pbeta0 = -1.881601685e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.223308786e-01 lkt1 = -1.638704852e-07 wkt1 = -1.222095667e-08 pkt1 = 6.030433856e-14 ++ kt2 = -4.667559712e-02 lkt2 = -1.090965122e-08 wkt2 = 5.491883742e-09 pkt2 = 4.014751649e-15 ++ at = 1.661326631e+04 lat = -1.363029252e-01 wat = 2.518177400e-02 pat = 5.015947646e-8 ++ ute = -1.764193121e+00 lute = 9.194202614e-07 wute = 2.604678685e-07 pute = -3.383466562e-13 ++ ua1 = 1.241841883e-09 lua1 = 1.244452629e-15 wua1 = 3.187461870e-16 pua1 = -4.579585674e-22 ++ ub1 = 1.373634976e-18 lub1 = -6.444970507e-24 wub1 = -1.203409671e-24 pub1 = 2.371749147e-30 ++ uc1 = 4.106519063e-10 luc1 = -1.092591789e-15 wuc1 = -1.371984615e-16 puc1 = 4.020737782e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.83 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {5.439822223e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.237309628e-07 wvth0 = -4.665504821e-08 pvth0 = 4.553299431e-14 ++ k1 = 3.149796719e-01 lk1 = 1.607490447e-07 wk1 = 6.061340075e-08 pk1 = -5.915564846e-14 ++ k2 = 7.212438997e-02 lk2 = -1.124083497e-07 wk2 = -4.238564751e-08 pk2 = 4.136627269e-14 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -2.789599465e+05 lvsat = 3.617075127e-01 wvsat = 1.363885083e-01 pvsat = -1.331083647e-7 ++ ua = -6.116636638e-10 lua = -5.594273603e-16 wua = -2.109424341e-16 pua = 2.058692686e-22 ++ ub = 1.890995166e-18 lub = 6.614645894e-25 wub = 2.494174588e-25 pub = -2.434189689e-31 ++ uc = 2.240696379e-10 luc = -1.940956067e-16 wuc = -7.318733875e-17 puc = 7.142718326e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.723600433e-02 lu0 = -6.524815545e-09 wu0 = -2.460302393e-09 pu0 = 2.401132121e-15 ++ a0 = 3.771240529e+00 la0 = -2.158255384e-06 wa0 = -8.138101147e-07 pa0 = 7.942379814e-13 ++ keta = -5.177807955e-01 lketa = 3.784546674e-07 wketa = 1.427033327e-07 pketa = -1.392713176e-13 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -5.665465853e-01 lags = 2.269324405e-06 wags = 8.556907434e-07 pags = -8.351113810e-13 ++ b0 = -5.295534467e-07 lb0 = 5.954880398e-13 wb0 = 2.245397804e-13 pb0 = -2.191395987e-19 ++ b1 = -1.459788254e-08 lb1 = 1.641546196e-14 wb1 = 6.189753576e-15 pb1 = -6.040890002e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-3.086818227e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -9.690079479e-08 wvoff = -3.653823708e-08 pvoff = 3.565949248e-14 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {6.663883198e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.408426302e-07 wnfactor = 2.793484174e-07 pnfactor = -2.726300879e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 3.363289817e-03 leta0 = -3.219797456e-09 weta0 = -1.214084189e-09 peta0 = 1.184885464e-15 ++ etab = -3.784704231e-03 letab = 3.693682094e-09 wetab = 1.392771157e-09 petab = -1.359275011e-15 ++ dsub = 1.336695973e+00 ldsub = -1.050801435e-06 wdsub = -3.962241182e-07 pdsub = 3.866949281e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.704782675e-01 lpclm = 7.202680083e-08 wpclm = 2.715903756e-08 ppclm = -2.650586271e-14 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.347575443e-02 lpdiblc2 = -1.942876781e-09 wpdiblc2 = -7.325976285e-10 ppdiblc2 = 7.149786556e-16 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.611076608e-04 lalpha0 = -2.661408611e-10 walpha0 = -1.003533346e-10 palpha0 = 9.793983690e-17 ++ alpha1 = 0.0 ++ beta0 = 1.652845939e+01 lbeta0 = 5.243336867e-06 wbeta0 = 1.977097154e-06 pbeta0 = -1.929547967e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -3.537909218e-01 lkt1 = 9.588798716e-08 wkt1 = 3.615633923e-08 pkt1 = -3.528677928e-14 ++ kt2 = -7.214993296e-02 lkt2 = 3.942636267e-08 wkt2 = 1.486643933e-08 pkt2 = -1.450890146e-14 ++ at = -1.864726815e+05 lat = 2.649847534e-01 wat = 9.991740280e-02 pat = -9.751438926e-8 ++ ute = -1.535543556e+00 lute = 4.676201531e-07 wute = 1.763248285e-07 pute = -1.720842163e-13 ++ ua1 = 1.640967498e-09 lua1 = 4.558003704e-16 wua1 = 1.718679608e-16 pua1 = -1.677345363e-22 ++ ub1 = -1.879847301e-18 lub1 = -1.625220121e-26 wub1 = -6.128193090e-27 pub1 = 5.980810047e-33 ++ uc1 = -3.180843428e-10 luc1 = 3.473546029e-16 wuc1 = 1.309764782e-16 puc1 = -1.278264939e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.84 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.050461514e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.186369560e-8 ++ k1 = 4.861629200e-01 lk1 = -6.317246274e-9 ++ k2 = -4.362897425e-02 lk2 = 5.611461224e-10 wk2 = -1.323488980e-23 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.557782237e+04 lvsat = 7.425337721e-2 ++ ua = -5.550630167e-10 lua = -6.146667619e-16 ++ ub = 2.487601006e-18 lub = 7.920712019e-26 ++ uc = -4.859503495e-12 luc = 2.932778889e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 3.814778995e-02 lu0 = -7.414672723e-9 ++ a0 = 2.113501192e+00 la0 = -5.403846783e-7 ++ keta = -2.537470000e-01 lketa = 1.207708847e-7 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 3.217210699e+00 lags = -1.423433517e-6 ++ b0 = 1.573407071e-07 lb0 = -7.488630954e-14 ++ b1 = 4.337316990e-09 lb1 = -2.064346021e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.371327740e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.808133470e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {5.729705708e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.320136823e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.475950000e-05 lcit = -4.645034025e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -2.454590156e-03 leta0 = 2.458162504e-09 weta0 = -1.033975766e-25 peta0 = -1.109335648e-31 ++ etab = 1.189875000e-04 letab = -1.161258506e-10 ++ dsub = -4.444060000e-01 ldsub = 6.874650357e-07 pdsub = 5.048709793e-29 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.675854170e-01 lpclm = 7.485007828e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.542529486e-02 lpdiblc2 = -3.845530769e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.850049536e-05 lalpha0 = 4.870760197e-11 ++ alpha1 = 0.0 ++ beta0 = 1.963221613e+01 lbeta0 = 2.214225476e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.411282340e-01 lkt1 = -1.406516303e-8 ++ kt2 = -2.790156450e-02 lkt2 = -3.757832526e-9 ++ at = 8.851262740e+04 lat = -3.387158811e-3 ++ ute = -9.871016800e-01 lute = -6.763169540e-8 ++ ua1 = 2.723974490e-09 lua1 = -6.011603035e-16 ++ ub1 = -2.471828360e-18 lub1 = 5.614917129e-25 ++ uc1 = 8.498331840e-11 luc1 = -4.601928109e-17 wuc1 = 1.232595164e-32 puc1 = 5.877471754e-39 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.85 nmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {4.955958815e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.123344844e-8 ++ k1 = 3.575470440e-01 lk1 = 5.489747991e-8 ++ k2 = -1.180429035e-02 lk2 = -1.458581218e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.920040959e+05 lvsat = -9.716707688e-3 ++ ua = -1.540010971e-09 lua = -1.458807828e-16 ++ ub = 2.620208842e-18 lub = 1.609242065e-26 ++ uc = 7.025556412e-11 luc = -6.423227544e-18 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 2.679655316e-02 lu0 = -2.012051571e-9 ++ a0 = 4.931589960e-01 la0 = 2.308171899e-7 ++ keta = 2.449876974e-02 lketa = -1.166018946e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.311916620e-01 lags = -9.742775603e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.329546542e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.819557388e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.088047121e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.109129981e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.810000000e-07 lcit = 2.150818050e-12 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.566597539e-03 leta0 = 6.832822021e-11 ++ etab = 7.541036029e-03 letab = -3.648649848e-09 wetab = 3.101927297e-25 petab = -2.465190329e-31 ++ dsub = 1.588048920e+00 ldsub = -2.798818835e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.134240660e-01 lpclm = 1.006281733e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.854256280e-03 lpdiblc2 = 2.137655044e-9 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.011350105e-03 lalpha0 = 5.483839952e-10 walpha0 = -5.169878828e-26 palpha0 = 1.232595164e-32 ++ alpha1 = 0.0 ++ beta0 = 1.918019144e+01 lbeta0 = 2.429366624e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.701829100e-01 lkt1 = -2.365899855e-10 ++ kt2 = -4.601988180e-02 lkt2 = 4.865580593e-9 ++ at = 1.069337728e+05 lat = -1.215470296e-2 ++ ute = -1.227804580e+00 lute = 4.693084985e-8 ++ ua1 = 1.752556260e-09 lua1 = -1.388137969e-16 ++ ub1 = -1.683418286e-18 lub1 = 1.862479382e-25 ++ uc1 = -2.881638008e-11 luc1 = 8.143685399e-18 wuc1 = -6.162975822e-33 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.86 nmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {5.157318706e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.578317517e-8 ++ k1 = 3.983765214e-01 lk1 = 4.567205948e-8 ++ k2 = -2.483991370e-02 lk2 = -1.164041308e-8 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.222156647e+05 lvsat = 6.051988343e-3 ++ ua = -3.060500776e-09 lua = 1.976738885e-16 ++ ub = 2.875139100e-18 lub = -4.150907114e-26 ++ uc = 1.203806285e-10 luc = -1.774898585e-17 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 8.471584807e-03 lu0 = 2.128475028e-9 ++ a0 = 4.889235214e+00 la0 = -7.624762317e-7 ++ keta = -8.749560622e-02 lketa = 1.364493979e-8 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = -2.449306143e+00 lags = 5.534207230e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-8.788891456e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.363046493e-9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.135573841e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.001743357e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = -1.526614445e-01 leta0 = 3.514210431e-08 weta0 = -9.926167351e-24 ++ etab = 4.217671818e-02 letab = -1.147458223e-08 petab = 7.888609052e-31 ++ dsub = 4.190122551e-01 ldsub = -1.573804905e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.110375150e+00 lpclm = -1.246329241e-7 ++ pdiblc1 = 1.171977857e+00 lpdiblc1 = -1.766878968e-7 ++ pdiblc2 = 1.225262000e-02 lpdiblc2 = 1.409476100e-11 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.445642658e-03 lalpha0 = -4.586735196e-10 ++ alpha1 = 0.0 ++ beta0 = 3.290745030e+01 lbeta0 = -6.723075151e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -2.760644500e-01 lkt1 = 1.092343977e-9 ++ kt2 = -1.230184929e-02 lkt2 = -2.753008854e-9 ++ at = 1.617985000e+04 lat = 8.351145893e-3 ++ ute = 5.875217143e-01 lute = -3.632421263e-7 ++ ua1 = 3.857210529e-09 lua1 = -6.143604289e-16 ++ ub1 = -3.191651871e-18 lub1 = 5.270333168e-25 ++ uc1 = 3.615477057e-11 luc1 = -6.536546091e-18 puc1 = -7.346839693e-40 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_01v8_lvt__model.87 nmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 1.2025e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.6e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 0.0 ++ xn = 0.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 25000000.0 ++ tnoib = 9900000.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.148e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*(sky130_fd_pr__nfet_01v8_lvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {7.061484390e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -6.547863901e-08 wvth0 = -7.463212592e-09 pvth0 = 1.163888004e-15 ++ k1 = 5.784727667e-01 lk1 = 1.758605004e-8 ++ k2 = -6.613132729e-02 lk2 = -5.201017136e-09 wk2 = -2.444157735e-08 pk2 = 3.811663988e-15 ++ k3 = 1.65 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-7 ++ k3b = 1.6 ++ vfb = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.851945716e+05 lvsat = -3.769572193e-03 wvsat = -1.512765376e-03 pvsat = 2.359157603e-10 ++ ua = 3.111040417e-10 lua = -3.281278828e-16 wua = -5.426661083e-17 pua = 8.462877959e-24 ++ ub = 2.545948883e-18 lub = 9.828143208e-27 wub = 1.331422922e-25 pub = -2.076354047e-32 ++ uc = -3.661267122e-10 luc = 5.812183393e-17 wuc = 1.397863861e-16 puc = -2.179968692e-23 ++ rdsw = 103.65 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 6.517748496e-02 lu0 = -6.714810100e-09 wu0 = -2.708832491e-09 pu0 = 4.224424270e-16 ++ a0 = 0.0 ++ keta = -5.478114513e-01 lketa = 8.543119583e-08 wketa = 4.045286127e-07 pketa = -6.308623716e-14 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ ags = 4.960995000e-01 lags = 9.408471298e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__voff_slope_spectre) ++ voff = {-2.888714313e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.598017699e-08 wvoff = 4.817648731e-12 pvoff = -7.513123196e-19 +*(mismatch parameter sky130_fd_pr__nfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-6.040426660e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.375221614e-06 wnfactor = 2.050968944e-06 pnfactor = -3.198486069e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 4.7984e-6 ++ eta0 = 2.553362249e-01 leta0 = -2.848513222e-8 ++ etab = -1.545296712e-01 letab = 1.920177919e-8 ++ dsub = 5.618342597e-01 ldsub = -3.801114066e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 8.002976667e-02 lpclm = 3.604943839e-8 ++ pdiblc1 = -3.995598333e+00 lpdiblc1 = 6.291956101e-07 wpdiblc1 = 4.235164736e-22 ppdiblc1 = -1.893266173e-29 ++ pdiblc2 = 1.495856167e-02 lpdiblc2 = -4.078968419e-10 ++ pdiblcb = 0.0 ++ drout = 3.4946 ++ pscbe1 = 450000000.0 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.084666456e-03 lalpha0 = -5.583292809e-10 walpha0 = -7.342312099e-10 palpha0 = 1.145033572e-16 ++ alpha1 = 0.0 ++ beta0 = 1.566769616e+02 lbeta0 = -1.997416280e-05 wbeta0 = -4.364497377e-05 pbeta0 = 6.806433660e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = -6.657438333e-02 lkt1 = -3.157763192e-8 ++ kt2 = -7.388636000e-02 lkt2 = 6.851095592e-9 ++ at = -1.179365980e+05 lat = 2.926660596e-02 wat = 6.171798645e-02 pat = -9.624919986e-9 ++ ute = -1.816010500e+00 lute = 1.158872247e-8 ++ ua1 = 2.281018004e-09 lua1 = -3.685532047e-16 wua1 = -6.456477888e-16 pua1 = 1.006887727e-22 ++ ub1 = -4.854787000e-19 lub1 = 1.050056108e-25 ++ uc1 = -1.097716290e-10 luc1 = 1.622067592e-17 wuc1 = -1.232595164e-32 puc1 = -7.346839693e-40 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = 2.392894381e-10 ++ cgso = 2.392894381e-10 ++ cgbo = 1.0e-14 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 2.310725e-11 ++ cgdl = 2.310725e-11 ++ cf = 1.0e-14 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 1.21071e-8 ++ dwc = 2.6e-8 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0012094836 ++ mjs = 0.42197 ++ pbs = 0.7477 ++ cjsws = 3.230311424e-11 ++ mjsws = 0.001 ++ pbsws = 0.1 ++ cjswgs = 1.795291232e-10 ++ mjswgs = 0.8 ++ pbswgs = 0.79644 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__nfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_01v8_lvt + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_01v8_lvt__toxe_slope=3.443e-03 +.param sky130_fd_pr__nfet_01v8_lvt__toxe_slope1=2.443e-03 +.param sky130_fd_pr__nfet_01v8_lvt__lint_slope=0.0 +.param sky130_fd_pr__nfet_01v8_lvt__nfactor_slope=0.0 +.param sky130_fd_pr__nfet_01v8_lvt__voff_slope=0.00 +.param sky130_fd_pr__nfet_01v8_lvt__vth0_slope=5.456e-03 +.param sky130_fd_pr__nfet_01v8_lvt__vth0_slope1=5.456e-03 +.param sky130_fd_pr__nfet_01v8_lvt__vth0_slope2=7.456e-03 +.param sky130_fd_pr__nfet_01v8_lvt__wint_slope=0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 52 +.param ++ sky130_fd_pr__pfet_01v8__ajunction_mult = 9.9626e-1 ++ sky130_fd_pr__pfet_01v8__pjunction_mult = 1.0009e+0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_01v8__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8__voff_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__pfet_01v8__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__pfet_01v8 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__pfet_01v8 d g s b sky130_fd_pr__pfet_01v8__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__pfet_01v8__model.0 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05955351+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43448553 ++ k2 = 0.019777346 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 160312.5 ++ ua = -5.6585471e-10 ++ ub = 9.3302446e-19 ++ uc = -6.6549964e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0104766 ++ a0 = 1.23682 ++ keta = 0.0051290095 ++ a1 = 0.0 ++ a2 = 0.9995 ++ ags = 0.2261248 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25706245+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.3376708+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0015228006 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029632464 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.3760948e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.6464006 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1181082000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.4485 ++ kt2 = -0.0075706 ++ at = 90900.0 ++ ute = -0.33954 ++ ua1 = 1.6104e-9 ++ ub1 = -5.609e-19 ++ uc1 = -1.0858e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.1 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05955351+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43448553 ++ k2 = 0.019777346 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 160312.5 ++ ua = -5.6585471e-10 ++ ub = 9.3302446e-19 ++ uc = -6.6549964e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0104766 ++ a0 = 1.23682 ++ keta = 0.0051290095 ++ a1 = 0.0 ++ a2 = 0.9995 ++ ags = 0.2261248 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25706245+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.3376708+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0015228006 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029632464 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.3760948e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.6464006 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1181082000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.4485 ++ kt2 = -0.0075706 ++ at = 90900.0 ++ ute = -0.33954 ++ ua1 = 1.6104e-9 ++ ub1 = -5.609e-19 ++ uc1 = -1.0858e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.2 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.06313713036384+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.87692612774624e-8 ++ k1 = 0.43813350754211 lk1 = -2.92859199323285e-8 ++ k2 = 0.018505134186116 lk2 = 1.0213301175319e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 267935.304375 lvsat = -0.863994582048848 ++ ua = -5.7735106104059e-10 lua = 9.22925681976438e-17 ++ ub = 9.2801494195413e-19 lub = 4.02163507580296e-26 ++ uc = -7.3225399476844e-11 luc = 5.3590315902878e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0102870831646 lu0 = 1.52143888038916e-9 ++ a0 = 1.328853483818 la0 = -7.38843703689099e-7 ++ keta = 0.0214568259084065 lketa = -1.31079514192891e-07 wketa = -1.32348898008484e-23 pketa = 1.0097419586829e-28 ++ a1 = 0.0 ++ a2 = 1.2003959015 la2 = -1.61278988649118e-6 ++ ags = 0.15379317220712 lags = 5.80677439941708e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.26700524634887+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.98206497751723e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.1833079277709+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.23922328590075e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.433600672985592 lpclm = 3.49316602446345e-06 wpclm = 1.95214624562515e-22 ppclm = -3.78653234506086e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00578918120501261 lpdiblc2 = -2.26865707034236e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.01417218694784e-08 lpscbe2 = -6.14644492624777e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.2444736759574 lbeta0 = 1.12546525230909e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 8.426709174077e-11 lagidl = 1.26303598710199e-16 ++ bgidl = 1363431030.754 lbgidl = -1463.89583070474 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.43157364924622 lkt1 = -1.35884540735137e-7 ++ kt2 = 0.00980381507592701 lkt2 = -1.39481595736561e-7 ++ at = 87860.10766631 lat = 0.0244042191761553 ++ ute = -0.47442366324068 lute = 1.08284442989222e-6 ++ ua1 = 1.2238699414329e-09 lua1 = 3.10305867181598e-15 ++ ub1 = -2.9939625202016e-19 lub1 = -2.09934895073718e-24 ++ uc1 = -8.830298756836e-11 luc1 = -1.62783612477057e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.3 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.06465013124466+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.48636106693951e-8 ++ k1 = 0.4242721924985 lk1 = 2.65472907275519e-8 ++ k2 = 0.023299152360404 lk2 = -9.09694650249498e-9 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 53437.5 ++ ua = -2.310026979311e-10 lua = -1.30279448222702e-15 ++ ub = 7.5275815268718e-19 lub = 7.46148594843831e-25 ++ uc = -8.0891709259708e-11 luc = 8.44701197125367e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0121663223152 lu0 = -6.04811386735782e-9 ++ a0 = 1.171646870846 la0 = -1.05617353117239e-7 ++ keta = -0.005464761922144 lketa = -2.2639681470487e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.09441453032174 lags = 8.19853896912315e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25410325634546+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.78515888653169e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.514261668126+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.38544088047108e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.160612523 leta0 = -3.24706275293724e-7 ++ etab = -0.140472582563983 letab = 2.83862716896731e-7 ++ dsub = 0.8641982 ldsub = -1.2253066992216e-6 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.46461195637154 lpclm = -1.24823668035527e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -2.158869170794e-05 lpdiblc2 = 7.19140711328042e-10 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800446289.17922 lpscbe1 = -1.79764745842795 ++ pscbe2 = 8.2864339326498e-09 lpscbe2 = 1.3266326198426e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.8949963916396 lbeta0 = 8.6343548305956e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.3146581651846e-10 lagidl = -6.38122983096386e-17 ++ bgidl = 917252411.2336 lbgidl = 333.306294579995 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.46636744099546 lkt1 = 4.26443490530082e-9 ++ kt2 = -0.005478318739886 lkt2 = -7.79253441120721e-8 ++ at = 107038.244165516 lat = -0.0528450845050085 ++ ute = -0.17571011240618 lute = -1.20370168306536e-7 ++ ua1 = 2.345249984031e-09 lua1 = -1.41384668320866e-15 ++ ub1 = -1.03151249939108e-18 lub1 = 8.49606508277918e-25 wub1 = 1.46936793852786e-39 ++ uc1 = -2.42518521215026e-10 luc1 = 4.5839470646531e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.4 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0639711628476+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.34866709077782e-8 ++ k1 = 0.354542539783 lk1 = 1.67958189678753e-7 ++ k2 = 0.051575195178736 lk2 = -6.64404220255585e-08 pk2 = 5.04870979341448e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 35126.46375 lvsat = 0.037134561782565 ++ ua = -7.4823552751872e-10 lua = -2.53852510617286e-16 ++ ub = 1.05727653665008e-18 lub = 1.28588966387678e-25 ++ uc = -4.298706539394e-11 luc = 7.59995680848559e-18 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0097857841752 lu0 = -1.2204110858955e-9 ++ a0 = 1.293787322276 la0 = -3.53316722931861e-7 ++ keta = -0.006680825932652 lketa = -2.01735182499449e-8 ++ a1 = 0.0 ++ a2 = 0.6972012 la2 = 2.084747328144e-7 ++ ags = 0.3713411399114 lags = 2.582500557838e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.2479929006116+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.54598607613175e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.2665691307516+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.08463084680124e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.502700126 leta0 = 1.02048381712649e-06 weta0 = -1.96868985787621e-22 peta0 = 7.13130258319795e-28 ++ etab = 7.64532070406077 letab = -1.55056326388588e-05 wetab = 2.59238403974119e-21 petab = 4.23144989560551e-27 ++ dsub = 0.26 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.18260436541916 lpclm = 4.47084342324809e-7 ++ pdiblc1 = 0.40860196713388 lpdiblc1 = -3.77245661239032e-8 ++ pdiblc2 = 0.00023332426360864 lpdiblc2 = 2.02180296901481e-10 ++ pdiblcb = -0.0499342085713219 lpdiblcb = 5.0566275772138e-8 ++ drout = 0.40005836936472 ldrout = 3.2435970762878e-7 ++ pscbe1 = 799107421.64156 lpscbe1 = 0.917559841535876 ++ pscbe2 = 8.9458121167096e-09 lpscbe2 = -1.05784248924693e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.5896723869156e-05 lalpha0 = 9.3078208044762e-11 walpha0 = 8.66662311998434e-27 palpha0 = 3.01759744206368e-32 ++ alpha1 = 2.027988e-10 lalpha1 = -2.084747328144e-16 ++ beta0 = -14.198337403152 lbeta0 = 4.53274186464274e-05 pbeta0 = -2.58493941422821e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 797814915.1704 lbgidl = 575.524103346211 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.45620503904216 lkt1 = -1.63447943071678e-8 ++ kt2 = -0.040349144324356 lkt2 = -7.20772827667392e-9 ++ at = 70857.671813008 lat = 0.0205286820590095 ++ ute = -0.16467132300088 lute = -1.42756700755011e-7 ++ ua1 = 1.4159172613352e-09 lua1 = 4.7082892642575e-16 ++ ub1 = -8.95996213599985e-21 lub1 = -1.22411776664494e-24 ++ uc1 = -2.1606649321236e-11 luc1 = 1.03880812071668e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.5 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0624270051384+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.18992953126138e-8 ++ k1 = 0.56285163226288 lk1 = -4.61810576814534e-8 ++ k2 = -0.036139298542928 lk2 = 2.37290249463875e-08 pk2 = 1.26217744835362e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 59745.8806632 lvsat = 0.0118260966287984 ++ ua = -6.448941519468e-10 lua = -3.60086204608713e-16 ++ ub = 1.00672124302384e-18 lub = 1.80559201571929e-25 ++ uc = -5.9342542976688e-11 luc = 2.44131914978195e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0102388475208 lu0 = -1.68615476841215e-9 ++ a0 = 0.99242407784 la0 = -4.35189240105857e-8 ++ keta = -0.0433129398494 lketa = 1.7483855271105e-8 ++ a1 = 0.0 ++ a2 = 1.0055976 la2 = -1.085530656288e-7 ++ ags = 0.39408181584584 lags = 2.34872913811307e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.22937597595944+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -3.67811437800722e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.6385354519392+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.60861700951257e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = -15.2926033993656 letab = 8.07427808437425e-6 ++ dsub = 0.21844986818264 ldsub = 4.27130369066643e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.61161503644472 lpclm = 6.06652063858516e-9 ++ pdiblc1 = 0.723599095127128 lpdiblc1 = -3.61537833735426e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = 0.236063617142644 lpdiblcb = -2.4343605708791e-07 wpdiblcb = 2.31610571514848e-23 ppdiblcb = 9.93964740578475e-29 ++ drout = 0.41525382127056 ldrout = 3.08738965415e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.7131987305096e-09 lpscbe2 = 2.28545344760497e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 9.1793747738312e-05 lalpha0 = -4.84659444820559e-11 ++ alpha1 = -1.055976e-10 lalpha1 = 1.085530656288e-16 ++ beta0 = 51.5327469369792 lbeta0 = -2.22433472822154e-05 wbeta0 = 5.42101086242752e-20 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1718213903.2296 lbgidl = -370.63501159079 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.43371464300408 lkt1 = -3.94646515495619e-8 ++ kt2 = -0.03909512541676 lkt2 = -8.49684466545573e-9 ++ at = 107540.83678144 lat = -0.017181171330559 ++ ute = -0.23309857548808 lute = -7.24143063251996e-8 ++ ua1 = 3.3723123594224e-09 lua1 = -1.54032175766671e-15 ++ ub1 = -2.9027539688824e-18 lub1 = 1.75066774676228e-24 pub1 = 1.40129846432482e-45 ++ uc1 = -4.9327926350544e-11 luc1 = 3.8885221337971e-17 wuc1 = 2.46519032881566e-32 puc1 = 1.17549435082229e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.6 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0124385194416+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 5.50597472653156e-9 ++ k1 = 0.0870956844758403 lk1 = 2.0501237367873e-7 ++ k2 = 0.14027851671536 lk2 = -6.94174644962055e-08 wk2 = 5.29395592033938e-23 pk2 = 1.26217744835362e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 61651.3582256 lvsat = 0.0108200273415819 ++ ua = -5.18986362291201e-10 lua = -4.26564006653394e-16 ++ ub = 7.784653081888e-19 lub = 3.01075596093612e-25 ++ uc = -2.77829419541042e-11 luc = 7.75010087310758e-18 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.01008390899136 lu0 = -1.60434908413018e-9 ++ a0 = 1.19308860166064 la0 = -1.49467384613598e-7 ++ keta = 0.069579111951392 lketa = -4.21217933750916e-08 pketa = -6.31088724176809e-30 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.50603033730192 lags = 7.10121329327486e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.20612908974192+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -1.59521913382231e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.3360896749344+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.85773911004336e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.03299080334588 leta0 = -2.86692628276985e-7 ++ etab = 0.0054650329623552 letab = -2.918471073728e-09 wetab = 8.27180612553028e-25 petab = 9.86076131526265e-31 ++ dsub = 0.1689577833808 ldsub = 6.88442637770182e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.45686117965856 lpclm = 8.77746999753961e-8 ++ pdiblc1 = -0.387531337591376 lpdiblc1 = 2.25125701174751e-07 wpdiblc1 = -1.05879118406788e-22 ppdiblc1 = -2.52435489670724e-29 ++ pdiblc2 = -0.010312532689536 lpdiblc2 = 5.67192834968273e-09 wpdiblc2 = -3.30872245021211e-24 ppdiblc2 = -3.15544362088405e-30 ++ pdiblcb = -0.3917928 lpdiblcb = 8.80645968864e-8 ++ drout = 1.59065746041392 ldrout = -3.11860051209025e-7 ++ pscbe1 = 800003936.31008 lpscbe1 = -0.00207832448649015 ++ pscbe2 = 9.44025152052e-09 lpscbe2 = -1.55329803731514e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -8.83801750964e-09 lalpha0 = 4.7191659888798e-15 walpha0 = 3.74708929979981e-30 palpha0 = -9.4039548065783e-38 ++ alpha1 = 2.111952e-10 lalpha1 = -5.87097312576e-17 ++ beta0 = 2.5236342748896 lbeta0 = 3.63287609401599e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 408956901.8912 lbgidl = 320.636974031869 ++ cgidl = 560.212159639584 lcgidl = -0.000137388897743785 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.45237314112 lkt1 = -2.96131884463334e-8 ++ kt2 = 0.019059258944 lkt2 = -3.92016617553247e-8 ++ at = 61656.576 lat = 0.007045167750912 ++ ute = -0.378311652 lute = 4.25645551617605e-9 ++ ua1 = 8.111838232e-10 lua1 = -1.88076624083722e-16 ++ ub1 = 4.3553038656e-19 lub1 = -1.19063334990412e-26 ++ uc1 = 9.12517592000001e-12 luc1 = 8.02268477635104e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.7 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.897340812171429+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.6489806722089e-8 ++ k1 = 0.237429301868572 lk1 = 1.6322143204696e-7 ++ k2 = 0.109518224818628 lk2 = -6.08664724724169e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 132905.437474286 lvsat = -0.00898775164060174 ++ ua = -1.39996641489714e-09 lua = -1.81662123789573e-16 ++ ub = 1.25608315379429e-18 lub = 1.68303566429434e-25 ++ uc = -7.82622461557714e-14 luc = 4.85323704544066e-20 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00603464178971428 lu0 = -4.78701393279095e-10 ++ a0 = 1.02350097064057 la0 = -1.02324058241591e-7 ++ keta = -0.255963735087943 lketa = 4.8375211587679e-8 ++ a1 = 0.0 ++ a2 = 0.884075316078286 la2 = -2.33719289659705e-8 ++ ags = 4.42094239888 lags = -6.59517967658253e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.0670403896439999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -5.46171809010437e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.68579180914286+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 8.85609141199953e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.500893996689577 leta0 = 1.39708939515272e-07 weta0 = 2.64697796016969e-23 peta0 = 7.25752032803331e-29 ++ etab = 0.150037252567531 letab = -4.31078132573317e-08 wetab = -7.94093388050907e-23 petab = -1.26217744835362e-29 ++ dsub = 0.813536297068 ldsub = -1.10340828085859e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.17740760672457 lpclm = -1.1252856019183e-7 ++ pdiblc1 = 1.12264261148057 lpdiblc1 = -1.94684534579861e-7 ++ pdiblc2 = 0.0276727296547886 lpdiblc2 = -4.88751875889137e-9 ++ pdiblcb = -0.075 ++ drout = -0.96013260794457 ldrout = 3.97228978313815e-7 ++ pscbe1 = 799985941.749714 lpscbe1 = 0.00292394736050028 ++ pscbe2 = 7.93289394397143e-09 lpscbe2 = 2.6369751425807e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.20214911058571e-08 lalpha0 = -6.63928709212501e-15 ++ alpha1 = -2.97125714285714e-10 lalpha1 = 8.25973830628571e-17 ++ beta0 = 36.35176297792 lbeta0 = -5.77093774788203e-06 wbeta0 = 5.42101086242752e-20 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.13569883902857e-11 lagidl = 1.07422935113613e-17 ++ bgidl = 3233344075.35429 lbgidl = -464.508767544787 ++ cgidl = -629.329141569943 lcgidl = 0.000193289309496849 pcgidl = 5.16987882845642e-26 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.5589 ++ kt2 = -0.12196 ++ at = 232591.6 lat = -0.0404727177008 ++ ute = -0.5501892 lute = 5.20363513296e-8 ++ ua1 = 1.3462e-10 ++ ub1 = 3.927e-19 ++ uc1 = 3.7985e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.8 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.8585156044+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.45649840360528e-8 ++ k1 = -0.556924842106668 lk1 = 3.28437561744082e-7 ++ k2 = 0.480439082930667 lk2 = -1.38013559909424e-7 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 276924.703426667 lvsat = -0.0389420307275056 ++ ua = -8.68544388573337e-10 lua = -2.92191528200609e-16 ++ ub = 7.07058731760001e-19 lub = 2.82494057919502e-25 ++ uc = 2.97575570237334e-13 luc = -2.96373853015625e-20 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.006933818204 lu0 = -6.65719297333552e-10 ++ a0 = -1.110903038712 la0 = 3.41606362855632e-7 ++ keta = -0.108048302823173 lketa = 1.76105766617942e-8 ++ a1 = 0.0 ++ a2 = -0.579795937516 la2 = 2.81095725326598e-7 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {0.036059445901333+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -7.60607094964466e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-0.0205282519999965+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 4.43455010996976e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.807748139174667 leta0 = 2.03530918902461e-7 ++ etab = -0.292823200157334 letab = 4.90018465840075e-8 ++ dsub = 0.419602642290667 ldsub = -2.84073550960312e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.47623844447867 lpclm = -3.82669788474629e-7 ++ pdiblc1 = 1.17802969655867 lpdiblc1 = -2.06204383631084e-7 ++ pdiblc2 = 0.0263847365516267 lpdiblc2 = -4.61963164935093e-9 ++ pdiblcb = -0.501673309421254 lpdiblcb = 8.87429282799077e-8 ++ drout = 0.651497248421334 ldrout = 6.20293077479838e-8 ++ pscbe1 = 883573612.777333 lpscbe1 = -17.382308574332 ++ pscbe2 = 1.035851282288e-08 lpscbe2 = -2.40802105128364e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 15.8918131392 lbeta0 = -1.51551370082633e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.90167027089333e-10 lagidl = -1.60486488175762e-17 ++ bgidl = 728006451.213334 lbgidl = 56.5713942250411 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.21890756 lkt1 = -1.6177463878928e-7 ++ kt2 = -0.12196 ++ at = 38000.0 ++ ute = -0.3 ++ ua1 = 1.3462e-10 ++ ub1 = 3.927e-19 ++ uc1 = 3.7985e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.9 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.07081481302489+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 7.86646183119181e-8 ++ k1 = 0.444261859177239 wk1 = -6.82914935793182e-8 ++ k2 = 0.0156234349599887 wk2 = 2.90166977783888e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 160312.5 ++ ua = -5.9084498548094e-10 wua = 1.74566875420409e-16 ++ ub = 9.43061924020675e-19 wub = -7.01156228778009e-26 ++ uc = -7.48309447284178e-11 wuc = 5.78458981886402e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.01077223375746 wu0 = -2.06511774341783e-9 ++ a0 = 1.3425676466503 wa0 = -7.38688786079359e-7 ++ keta = 0.0300324686133604 wketa = -1.73960429043486e-7 ++ a1 = 0.0 ++ a2 = 1.22315994939213 wa2 = -1.56235246593619e-6 ++ ags = 0.221790026409061 wags = 3.02800936309108e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.269379060845788+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = 8.60363573326029e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.77913338195144+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = 3.90160292344455e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.561230562720794 wpclm = 3.93105295466484e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00992920113806031 wpdiblc2 = -4.86599258927995e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.01214877089399e-08 wpscbe2 = -5.20686181204394e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 0.396943770666869 wbeta0 = 2.96841225898604e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 280422540.76566 wbgidl = 6291.45956139177 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.479524993031196 wkt1 = 2.16721744325171e-7 ++ kt2 = 0.0995282524544426 wkt2 = -7.48127488564214e-7 ++ at = 93143.42649 wat = -0.0156712139045194 ++ ute = -0.775332799574229 wute = 3.04418362296198e-6 ++ ua1 = 7.4055649630191e-10 wua1 = 6.07619802595331e-15 ++ ub1 = -8.34809952239245e-20 wub1 = -3.33495899209456e-24 ++ uc1 = -6.58246062190426e-10 wuc1 = 3.83963302342972e-15 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.10 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.07081481302489+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 7.86646183119181e-8 ++ k1 = 0.444261859177239 wk1 = -6.82914935793165e-8 ++ k2 = 0.0156234349599887 wk2 = 2.90166977783888e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 160312.5 ++ ua = -5.9084498548094e-10 wua = 1.74566875420409e-16 ++ ub = 9.43061924020676e-19 wub = -7.01156228778009e-26 ++ uc = -7.48309447284178e-11 wuc = 5.78458981886404e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.01077223375746 wu0 = -2.06511774341783e-9 ++ a0 = 1.3425676466503 wa0 = -7.38688786079359e-7 ++ keta = 0.0300324686133604 wketa = -1.73960429043486e-7 ++ a1 = 0.0 ++ a2 = 1.22315994939213 wa2 = -1.56235246593619e-6 ++ ags = 0.221790026409061 wags = 3.02800936309125e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.269379060845788+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = 8.60363573326021e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.77913338195144+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = 3.90160292344455e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.561230562720794 wpclm = 3.93105295466484e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00992920113806031 wpdiblc2 = -4.86599258927995e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.01214877089399e-08 wpscbe2 = -5.20686181204391e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 0.396943770666869 wbeta0 = 2.96841225898604e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 280422540.76566 wbgidl = 6291.45956139178 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.479524993031196 wkt1 = 2.16721744325171e-7 ++ kt2 = 0.0995282524544426 wkt2 = -7.48127488564214e-7 ++ at = 93143.4264900001 wat = -0.0156712139045192 ++ ute = -0.775332799574229 wute = 3.04418362296198e-6 ++ ua1 = 7.40556496301911e-10 wua1 = 6.07619802595332e-15 ++ ub1 = -8.34809952239249e-20 wub1 = -3.33495899209456e-24 ++ uc1 = -6.58246062190426e-10 wuc1 = 3.83963302342972e-15 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.11 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.07217603295513+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.09278572652923e-08 wvth0 = 6.31402796577384e-08 pvth0 = 1.24629204423651e-13 ++ k1 = 0.417770816632546 lk1 = 2.12669771656287e-07 wk1 = 1.42241382250679e-07 pk1 = -1.69015540076871e-12 ++ k2 = 0.0238580777309972 lk2 = -6.61076133499426e-08 wk2 = -3.73924100854532e-08 pk2 = 5.33131521021628e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 276284.616584665 lvsat = -0.93102276027629 wvsat = -0.0583232203847563 pvsat = 4.68218113370182e-7 ++ ua = -2.35694865293704e-10 lua = -2.85114090306169e-15 wua = -2.38660252485197e-15 pua = 2.05610372113539e-20 ++ ub = 6.95634019930934e-19 lub = 1.98634824489759e-24 wub = 1.62327188012964e-24 pub = -1.35944945534938e-29 ++ uc = -9.30449199971931e-11 luc = 1.46221574890024e-16 wuc = 1.38447124050586e-16 puc = -6.47065674004993e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0119881429052931 lu0 = -9.76130404789433e-09 wu0 = -1.18825694443716e-08 pu0 = 7.88143844458366e-14 ++ a0 = 1.62221633722806 la0 = -2.24501633217399e-06 wa0 = -2.04925458798039e-06 pa0 = 1.05212065308719e-11 ++ keta = 0.0730525366663151 lketa = -3.45364590088304e-07 wketa = -3.60416275481751e-07 pketa = 1.49686529773623e-12 ++ a1 = 0.0 ++ a2 = 1.64928074895016 la2 = -3.42089266540226e-06 wa2 = -3.13563671207655e-06 pa2 = 1.26303070486038e-11 ++ ags = 0.179857368541926 lags = 3.36634874165466e-07 wags = -1.82068633776421e-07 pags = 1.70473303544134e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.300303243065369+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.4825896376861e-07 wvoff = 2.32599566539056e-07 pvoff = -1.17660768475091e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.220392379615385+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.48556606186184e-06 wnfactor = 6.72634275934427e-06 pnfactor = -2.26769775057249e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.54648460380339 lpclm = 7.90960761876255e-06 wpclm = 7.77393072983995e-06 ppclm = -3.08505766645725e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0196457120200023 lpdiblc2 = -7.80040327620994e-08 wpdiblc2 = -9.67933022740883e-08 ppdiblc2 = 3.8641416798847e-13 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.37702318462581e-08 lpscbe2 = -2.92920741494609e-14 wpscbe2 = -2.5346565289419e-14 ppscbe2 = 1.61681297839925e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -3.69914660914365 lbeta0 = 3.28833644160343e-05 wbeta0 = 4.85039109793066e-05 pbeta0 = -1.51085035353014e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 4.50497326813296e-11 lagidl = 4.41140086631079e-16 wagidl = 2.73948634078415e-16 pagidl = -2.1992563469979e-21 ++ bgidl = 777327945.574429 lbgidl = -3989.15062693994 wbgidl = 4094.15991960932 pbgidl = 0.0176398951566339 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.48771334605363 lkt1 = 6.57359998038579e-08 wkt1 = 3.92157800188839e-07 pkt1 = -1.40839855124088e-12 ++ kt2 = 0.157972924743658 lkt2 = -4.69193127801751e-07 wkt2 = -1.03501934295391e-06 pkt2 = 2.30316436433822e-12 ++ at = 93374.1429062892 lat = -0.00185218862137315 wat = -0.038517698755876 pat = 1.83411306228872e-7 ++ ute = -1.3490524551764 lute = 4.60581451053834e-06 wute = 6.10962514108318e-06 pute = -2.46093277221788e-11 ++ ua1 = -5.22609029505498e-11 lua1 = 6.36472856738995e-15 wua1 = 8.91427444653555e-15 pua1 = -2.27840434475172e-20 ++ ub1 = 4.0123251243327e-19 lub1 = -3.89127422290987e-24 wub1 = -4.89416670630863e-24 pub1 = 1.25173008192179e-29 ++ uc1 = -1.19556152959347e-09 luc1 = 4.31356212452607e-15 wuc1 = 7.73463518284561e-15 puc1 = -3.12690305957649e-20 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.12 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.07803369344703+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.45224434347528e-08 wvth0 = 9.34894310166505e-08 pvth0 = 2.38318693978028e-15 ++ k1 = 0.515778983259007 lk1 = -1.82105947417098e-07 wk1 = -6.39210822425474e-07 pk1 = 1.45752470224038e-12 ++ k2 = -0.00871027749946673 lk2 = 6.50773306981034e-08 wk2 = 2.23598421669588e-07 pk2 = -5.18136417397698e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 36738.8755806705 lvsat = 0.0338646099389072 wvsat = 0.116646440769514 pvsat = -2.36557582123284e-7 ++ ua = -1.29668796248456e-09 lua = 1.42252656050593e-15 wua = 7.4442295346667e-15 pua = -1.90374363544026e-20 ++ ub = 1.41578715713785e-18 lub = -9.14419949934225e-25 wub = -4.63151763606348e-24 pub = 1.1599722560258e-29 ++ uc = -6.22940609826625e-11 luc = 2.23574837898034e-17 wuc = -1.29911867212817e-16 puc = 4.33881122496101e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00845935065992499 lu0 = 4.45262877094148e-09 wu0 = 2.58946508863792e-08 pu0 = -7.33518057197835e-14 ++ a0 = 1.09748023506263 la0 = -1.31385609484833e-07 wa0 = 5.18083039101431e-07 pa0 = 1.8000137703779e-13 ++ keta = -0.00898959240077878 lketa = -1.48998787115987e-08 wketa = 2.46223233317775e-08 pketa = -5.40655578214769e-14 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.0705908374589841 lags = 1.34543724255866e-06 wags = 1.1526272090536e-06 pags = -3.67140580312788e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.254605045408927+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 6.41871719868327e-08 wvoff = 3.50519340998654e-09 pvoff = -2.53818298919492e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.928009366123206+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.63529333161216e-06 wnfactor = 4.09520225764236e-06 pnfactor = -1.20787751385556e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.160612527827676 leta0 = -3.24706294739544e-07 weta0 = -3.37232077556222e-14 peta0 = 1.35836677596333e-19 ++ etab = -0.140472582538707 letab = 2.83862716794921e-07 wetab = -1.76561476756317e-16 petab = 7.11186303100938e-22 ++ dsub = 0.8641982 ldsub = -1.2253066992216e-6 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.02803934334819 lpclm = -2.46054394607662e-06 wpclm = -3.93576127425305e-06 ppclm = 1.63159222116101e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000471776621692122 lpdiblc2 = -7.71651064930893e-10 wpdiblc2 = -3.44635021197535e-09 ppdiblc2 = 1.04137652457033e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 772367617.505149 lpscbe1 = 111.302905100674 wpscbe1 = 196.14053409842 ppscbe1 = -0.000790051717662034 ++ pscbe2 = 5.32147077870037e-09 lpscbe2 = 4.73943404552879e-15 wpscbe2 = 2.07114304888857e-14 ppscbe2 = -2.3839756459137e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 0.927342922078175 lbeta0 = 1.42479201021472e-05 wbeta0 = 2.07302233985774e-05 pbeta0 = -3.92129550620875e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.09900534637341e-10 lagidl = -2.22876965438111e-16 wagidl = -5.47897268156829e-16 pagidl = 1.11112908505483e-21 ++ bgidl = -859463748.071624 lbgidl = 2603.82667356605 wbgidl = 12411.0592008247 pbgidl = -0.0158604753453102 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.481217021021369 lkt1 = 3.95688805298159e-08 wkt1 = 1.03730140486261e-07 pkt1 = -2.46615399090809e-13 ++ kt2 = 0.125810850004869 lkt2 = -3.39644676698805e-07 wkt2 = -9.17106335294092e-07 pkt2 = 1.82821218444057e-12 ++ at = 101384.103772023 lat = -0.0341162148690159 wat = 0.0394963882024144 pat = -1.30828499870078e-7 ++ ute = -0.326588997179381 lute = 4.87343971287855e-07 wute = 1.05394818483942e-06 pute = -4.24512161055237e-12 ++ ua1 = 1.03033322827696e-09 lua1 = 2.00405239793511e-15 wua1 = 9.18520924929356e-15 pua1 = -2.38753655818088e-20 ++ ub1 = -3.55146725872715e-19 lub1 = -8.44587727564221e-25 wub1 = -4.72468019868216e-24 pub1 = 1.18346112003366e-29 ++ uc1 = 9.56376815881239e-11 luc1 = -8.87372803722882e-16 wuc1 = -2.36215370144274e-15 puc1 = 9.40071386868202e-21 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.13 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.08403263211279+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.66882190616416e-08 wvth0 = 1.40137230925579e-07 pvth0 = -9.22179915019122e-14 ++ k1 = 0.324438550909221 lk1 = 2.05930153303079e-07 wk1 = 2.10288169067784e-07 pk1 = -2.65249058520049e-13 ++ k2 = 0.0663841555451893 lk2 = -8.72132783832624e-08 wk2 = -1.03446396233932e-07 pk2 = 1.45106548772826e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -75792.7316435821 lvsat = 0.262077359010405 wvsat = 0.774814082332604 pvsat = -1.57131366120153e-6 ++ ua = -5.35045536740578e-10 lua = -1.22075139193765e-16 wua = -1.48921569869969e-15 pua = -9.20516622478376e-22 ++ ub = 9.29006108501381e-19 lub = 7.2766175327952e-26 wub = 8.96019248280581e-25 pub = 3.89944089250831e-31 ++ uc = -5.36296885389152e-11 luc = 4.78624044635334e-18 wuc = 7.43428967044496e-17 puc = 1.96549123290512e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.011047114778513 lu0 = -7.95325808385669e-10 wu0 = -8.81088895800425e-09 pu0 = -2.96938738185195e-15 ++ a0 = 0.463295678794673 la0 = 1.1547330604119e-06 wa0 = 5.80130984853963e-06 pa0 = -1.05343191937812e-11 ++ keta = -0.0724434340750336 lketa = 1.1378375075769e-07 wketa = 4.59377609969449e-07 pketa = -9.35744062059234e-13 ++ a1 = 0.0 ++ a2 = 0.440955032155319 la2 = 7.28138886249397e-07 wa2 = 1.78997998214212e-06 pa2 = -3.63005792402443e-12 ++ ags = 1.94685543120334 lags = -2.74591958093331e-06 wags = -1.10055852413792e-05 pags = 2.09853031478005e-11 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.208292079609894+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.9734966898017e-08 wvoff = -2.77325805358916e-07 pvoff = 3.15703596611859e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.0655144687291+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.71553366411369e-07 wnfactor = -5.58094653213443e-06 pnfactor = 7.54433849332621e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.502700135655352 leta0 = 1.02048382705207e-06 weta0 = 6.74464157296201e-14 peta0 = -6.9334105233868e-20 ++ etab = 26.7021061400892 letab = -5.41525648217497e-05 wetab = -0.000133119120341906 petab = 2.69963978624295e-10 ++ dsub = 0.26 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.51475066928458 lpclm = 2.69620368606248e-06 wpclm = 1.18566906200502e-05 ppclm = -1.57109807206142e-11 ++ pdiblc1 = 0.432576358597428 lpdiblc1 = -8.63443443192817e-08 wpdiblc1 = -1.67470527129217e-07 ppdiblc1 = 3.39628219371727e-13 ++ pdiblc2 = -0.000256928577458731 lpdiblc2 = 7.06154334484646e-10 wpdiblc2 = 3.42460837201985e-09 ppdiblc2 = -3.52045631113595e-15 ++ pdiblcb = -0.0499802644894317 lpdiblcb = 5.06596766213938e-08 wpdiblcb = 3.21718651128339e-10 ppdiblcb = -6.52441563864533e-16 ++ drout = 0.646834960076699 ldrout = -1.76100257016025e-07 wdrout = -1.72383127190205e-06 pdrout = 3.49590913344211e-12 ++ pscbe1 = 855264764.989703 lpscbe1 = -56.8115152322353 wpscbe1 = -392.28106819684 ppscbe1 = 0.000403260230733532 ++ pscbe2 = 1.92379287531711e-09 lpscbe2 = 1.16298840614551e-14 wpscbe2 = 4.90515584370729e-14 ppscbe2 = -8.13131958565253e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.000160303557730188 lalpha0 = 3.25093894232929e-10 walpha0 = 7.99176604879549e-10 palpha0 = -1.62072056457647e-15 ++ alpha1 = 4.5904496784468e-10 lalpha1 = -7.28138886249397e-16 walpha1 = -1.78997998214212e-15 palpha1 = 3.63005792402443e-21 ++ beta0 = -68.323656004462 lbeta0 = 0.000154688114913184 wbeta0 = 0.000378086578380106 pbeta0 = -7.63927354688367e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = -981367553.268816 lbgidl = 2851.04612766028 wbgidl = 12428.287337412 pbgidl = -0.0158954137995717 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.455903412914567 lkt1 = -1.17668129474825e-08 wkt1 = -2.1069767990056e-09 pkt1 = -3.19789952816946e-14 ++ kt2 = -0.0367163772584209 lkt2 = -1.00414101355812e-08 wkt2 = -2.53763027268e-08 pkt2 = 1.9794379154492e-14 ++ at = -89494.0497550208 lat = 0.352982389945985 wat = 1.12011966509788 pat = -2.32231953793476e-6 ++ ute = 0.300064203004743 lute = -7.83501198847148e-07 wute = -3.24635991842258e-06 pute = 4.47585161916573e-12 ++ ua1 = 2.24618137811119e-09 lua1 = -4.61673059750918e-16 wua1 = -5.79972048526692e-15 pua1 = 6.513892100723e-21 ++ ub1 = -4.27736023852957e-19 lub1 = -6.97377502331863e-25 wub1 = 2.92531503506435e-24 pub1 = -3.67948733375849e-30 ++ uc1 = -6.80795105722935e-10 luc1 = 6.87223571750498e-16 wuc1 = 4.60468990167847e-15 puc1 = -4.72796135632456e-21 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.14 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.065934911151+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.80839790855768e-08 wvth0 = 2.45040992987469e-08 pvth0 = 2.6651480212875e-14 ++ k1 = 0.592920162830969 lk1 = -7.00657219731344e-08 wk1 = -2.10040478895788e-07 pk1 = 1.66843747642727e-13 ++ k2 = -0.0543909295533397 lk2 = 3.69420597970042e-08 wk2 = 1.27494800897408e-07 pk2 = -9.2298230583826e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 279637.739393891 lvsat = -0.103300900050465 wvsat = -1.53603087482087 pvsat = 8.04207224612756e-7 ++ ua = -3.55244762087223e-11 lua = -6.35576795167787e-16 wua = -4.2566861798173e-15 pua = 1.92440982246474e-21 ++ ub = 7.17782078541963e-19 lub = 2.89901943437875e-25 wub = 2.01835338584623e-24 pub = -7.63801936157001e-31 ++ uc = -8.36766426936607e-11 luc = 3.56741487539818e-17 wuc = 1.69983230356963e-16 puc = -7.86622029817287e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0130442316372258 lu0 = -2.84833797374007e-09 wu0 = -1.95967083248846e-08 pu0 = 8.11830549746865e-15 ++ a0 = 2.28083725199641 la0 = -7.13677866340609e-07 wa0 = -9.00007133712943e-06 pa0 = 4.68132304851239e-12 ++ keta = 0.0794843762667092 lketa = -4.23962151398977e-08 wketa = -8.57787414178404e-07 pketa = 4.18285776784469e-13 ++ a1 = 0.0 ++ a2 = 1.52475384014655 la2 = -3.85993282779889e-07 wa2 = -3.62650995050102e-06 pa2 = 1.93802872885352e-12 ++ ags = -2.25003857377069 lags = 1.56843709345193e-06 wags = 1.84702179454882e-05 pags = -9.31546881866101e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.244832686193965+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.82833818312952e-09 wvoff = 1.07971183109911e-07 pvoff = -8.0377083970235e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.04003502020121+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.82627200921925e-07 wnfactor = 4.18076024755921e-06 pnfactor = -2.49057893571749e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = -53.4060920144217 letab = 2.81977015827096e-05 wetab = 0.000266237666086402 petab = -1.40570005542569e-10 ++ dsub = 0.199057618246564 ldsub = 6.2648037133952e-08 wdsub = 1.35462471443922e-07 pdsub = -1.39253795094693e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.62211710315261 lpclm = -5.28458741589685e-07 wpclm = -7.05875325486518e-06 ppclm = 3.73386859747231e-12 ++ pdiblc1 = 0.624709378761775 lpdiblc1 = -2.83854783451988e-07 wpdiblc1 = 6.90783453358746e-07 ppdiblc1 = -5.42646573522135e-13 ++ pdiblc2 = 0.00043 ++ pdiblcb = 0.236155728978864 lpdiblcb = -2.43484691032092e-07 wpdiblcb = -6.43437302256969e-10 ppdiblcb = 3.39727174344073e-16 ++ drout = -0.078299360153399 ldrout = 5.69329122568673e-07 wdrout = 3.44766254380411e-06 pdrout = -1.82032445117804e-12 ++ pscbe1 = 800000000.0 ++ pscbe2 = -3.88525944403607e-09 lpscbe2 = 1.76015201371224e-14 wpscbe2 = 8.80051714644976e-14 ppscbe2 = -1.21357042605362e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000320607415460376 lalpha0 = -1.69276815275293e-10 walpha0 = -1.5983532097591e-09 palpha0 = 8.43911314514287e-16 ++ alpha1 = -6.1808993568936e-10 lalpha1 = 3.79142868964754e-16 walpha1 = 3.57995996428424e-15 palpha1 = -1.89017590162251e-21 ++ beta0 = 158.999009120538 lbeta0 = -7.89968569633352e-05 wbeta0 = -0.000750693989620188 pbeta0 = 3.96445523849119e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 3146032936.68666 lbgidl = -1391.87204720806 wbgidl = -9973.87593932248 pbgidl = 0.00713374122295202 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.423938544205404 lkt1 = -4.46263144020773e-08 wkt1 = -6.82898842947031e-08 pkt1 = 3.60562394289908e-14 ++ kt2 = -0.0372936072334215 lkt2 = -9.44802464804029e-09 wkt2 = -1.25843110660517e-08 pkt2 = 6.64436523114243e-15 ++ at = 431924.18515444 lat = -0.183029298522122 wat = -2.26594491153464 pat = 1.15851421406855e-6 ++ ute = -0.420594685599676 lute = -4.26725092684692e-08 wute = 1.30973386510388e-06 pute = -2.07758117174068e-13 ++ ua1 = 3.18798921225277e-09 lua1 = -1.42984021155445e-15 wua1 = 1.28756947451818e-15 pua1 = -7.71756930456557e-22 ++ ub1 = -2.62027112260764e-18 lub1 = 1.55652226876677e-24 wub1 = -1.97325347100149e-24 pub1 = 1.35618230765514e-30 ++ uc1 = -5.0932591102821e-11 luc1 = 3.97324650711962e-17 wuc1 = 1.12092126441703e-17 puc1 = -5.91832976557027e-24 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.15 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.04103433295755+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 1.49367726063723e-08 wvth0 = 1.99752972686958e-07 pvth0 = -6.58778219496136e-14 ++ k1 = 0.0514910163996825 lk1 = 2.15802370192828e-07 wk1 = 2.48712570662786e-07 pk1 = -7.53723574876054e-14 ++ k2 = 0.159319176640184 lk2 = -7.58943117519023e-08 wk2 = -1.33006477321721e-07 pk2 = 4.52433183005357e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 19943.1757390773 lvsat = 0.0338147132245125 wvsat = 0.291348012617533 pvsat = -1.60626899408073e-7 ++ ua = -3.14511158059148e-10 lua = -4.88275174990943e-16 wua = -1.42833949673599e-15 pua = 4.31076713958006e-22 ++ ub = 6.55772527240271e-19 lub = 3.22642242410553e-25 wub = 8.57057195034159e-25 pub = -1.5065148296252e-31 ++ uc = -3.40688054573241e-11 luc = 9.4818059872429e-18 wuc = 4.39092218856572e-17 puc = -1.2096639396981e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0113842379340385 lu0 = -1.97188121838162e-09 wu0 = -9.08330765362076e-09 pu0 = 2.56735610384937e-15 ++ a0 = 1.27052901763011 la0 = -1.80247242294012e-07 wa0 = -5.40951677677857e-07 pa0 = 2.15009377757876e-13 ++ keta = 0.0364728685250521 lketa = -1.96866551903955e-08 wketa = 2.31260094601655e-07 pketa = -1.56718239281297e-13 ++ a1 = 0.0 ++ a2 = 0.786672191085628 la2 = 3.70497094448905e-09 wa2 = 9.30999724335609e-08 pa2 = -2.58806751368595e-14 ++ ags = -0.16130772847994 lags = 4.65612271908561e-07 wags = -2.40802262282869e-06 pags = 1.70799166252351e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.198053973684804+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.68702606771574e-08 wvoff = -5.64078527194733e-08 pvoff = 6.41307439925072e-15 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.51612745620061+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.31256107823473e-07 wnfactor = -1.25763451296229e-06 pnfactor = 3.80828237100733e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 2.19585075491521 leta0 = -9.00668728386175e-07 weta0 = -8.1230328353848e-06 peta0 = 4.28886386068915e-12 ++ etab = 0.0153311551786357 letab = -8.16879376134646e-09 wetab = -6.89187331738524e-08 petab = 3.6675563149573e-14 ++ dsub = 0.22030846578562 ldsub = 5.14278446435005e-08 wdsub = -3.58704656335305e-07 pdsub = 1.21660518367205e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.228955171086374 lpclm = 2.07114040598104e-07 wpclm = 1.59201285461329e-06 ppclm = -8.33632099139008e-13 ++ pdiblc1 = -0.436001593357444 lpdiblc1 = 2.76187881295295e-07 wpdiblc1 = 3.38583746560303e-07 ppdiblc1 = -3.56689354729037e-13 ++ pdiblc2 = -0.0199738913391894 lpdiblc2 = 1.07730097803959e-08 wpdiblc2 = 6.74883793526914e-08 ppdiblc2 = -3.56330544376688e-14 ++ pdiblcb = -0.25320487931064 lpdiblcb = 1.48918378174662e-08 wpdiblcb = -9.68090980197671e-07 ppdiblcb = 5.11140420452609e-13 ++ drout = 2.36484797423871 ldrout = -7.20623352222351e-07 wdrout = -5.40802437658572e-06 pdrout = 2.85537197454474e-12 ++ pscbe1 = 800013748.334866 lpscbe1 = -0.00725895582877456 wpscbe1 = -0.0685408413955884 ppscbe1 = 3.6188741768875e-8 ++ pscbe2 = 6.57523595102706e-08 lpscbe2 = -1.91663070193241e-14 wpscbe2 = -3.93362159917161e-13 ppscbe2 = 1.32799131956178e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -7.80495931169914e-09 lalpha0 = 4.17372365706541e-15 walpha0 = -7.21631667804203e-15 palpha0 = 3.81012861020606e-21 ++ alpha1 = 2.111952e-10 lalpha1 = -5.87097312576e-17 ++ beta0 = 2.53564170559848 lbeta0 = 3.61392347134402e-06 wbeta0 = -8.38766128157084e-08 pbeta0 = 1.32391502582281e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = -99086310.5175924 lbgidl = 321.511973884815 wbgidl = 3548.88109322333 pbgidl = -6.11221714777108e-6 ++ cgidl = 485.525039274244 lcgidl = -9.79549944363295e-05 wcgidl = 0.000521718828040507 pcgidl = -2.75461280579452e-10 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.477596142685464 lkt1 = -1.62957462957877e-08 wkt1 = 1.76192558395976e-07 pkt1 = -9.30275565223751e-14 ++ kt2 = 0.0292038947384611 lkt2 = -4.45579077191706e-08 wkt2 = -7.08642597504696e-08 pkt2 = 3.74154787771309e-14 ++ at = 8508.65142454905 lat = 0.0405290223008561 wat = 0.371259097775544 pat = -2.33897856399114e-7 ++ ute = -0.480706468446889 lute = -1.09342092665347e-08 wute = 7.15267952128529e-07 pute = 1.06112751285961e-13 ++ ua1 = 9.57125756545949e-10 lua1 = -2.51971077302714e-16 wua1 = -1.01946164284771e-15 pua1 = 4.46327815139218e-22 ++ ub1 = 2.99083011414212e-19 lub1 = 1.51383182528366e-26 wub1 = 9.53138430053858e-25 pub1 = -1.88917499399284e-31 ++ uc1 = -5.43259176884165e-11 luc1 = 4.15241007884717e-17 wuc1 = 4.43230774373703e-16 puc1 = -2.34020530100023e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.16 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.843499238756392+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.99756131604187e-08 wvth0 = -3.7610450696913e-07 pvth0 = 9.42036471050179e-14 ++ k1 = 0.345431055681784 lk1 = 1.34090566552874e-07 wk1 = -7.54434608673133e-07 pk1 = 2.03490520601628e-13 ++ k2 = 0.0698700846096645 lk2 = -5.10285375565221e-08 wk2 = 2.76957809360206e-07 pk2 = -6.87218338255993e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 317530.118977405 lvsat = -0.0489108859524239 wvsat = -1.28967581009938 pvsat = 2.78878751021355e-7 ++ ua = -1.43135886191672e-09 lua = -1.77804915490984e-16 wua = 2.19288554549476e-16 pua = -2.69441127627433e-23 ++ ub = 9.02727358177202e-19 lub = 2.53991762868059e-25 wub = 2.46832881852838e-24 pub = -5.9856565903443e-31 ++ uc = 1.7398130783619e-13 luc = -3.72778200304898e-20 wuc = -1.76202015455572e-18 puc = 5.99417835293709e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00476553688148021 lu0 = -1.31961750183043e-10 wu0 = 8.86519552696004e-09 pu0 = -2.42211239831394e-15 ++ a0 = 0.801114070626047 la0 = -4.97555200062483e-08 wa0 = 1.55345971674365e-06 pa0 = -3.67211856954569e-13 ++ keta = -0.257871825644216 lketa = 6.21376376523308e-08 wketa = 1.33287608886821e-08 pketa = -9.61359436850955e-14 ++ a1 = 0.0 ++ a2 = 0.806834430701738 la2 = -1.89988972191417e-09 wa2 = 5.39557878230444e-07 pa2 = -1.49990615453524e-13 ++ ags = 2.29693798691255 lags = -2.17750538021968e-07 wags = 1.4837003852123e-05 pags = -3.08591875719536e-12 ++ b0 = 0.0 ++ b1 = 1.56079073464786e-23 lb1 = -4.3388109474329e-30 wb1 = -1.09027354236414e-28 pb1 = 3.03082961494724e-35 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.120647836650488+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -3.8388237899053e-08 wvoff = 3.74469042181031e-07 pvoff = -1.1336553186035e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.15927967548548+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -4.753249131109e-08 wnfactor = -3.30749844834442e-06 pnfactor = 9.5066581276974e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -4.45493573811138 leta0 = 9.48170107237301e-07 weta0 = 2.76205323390022e-05 peta0 = -5.64741833500835e-12 ++ etab = 0.124971191967595 letab = -3.86474083082358e-08 wetab = 1.75096264199521e-07 petab = -3.11576779402562e-14 ++ dsub = 0.917448105477036 ldsub = -1.42368609515037e-07 wdsub = -7.25864735948375e-07 pdsub = 2.23726614578682e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.9870776373425 lpclm = -2.81622907551503e-07 wpclm = -5.65586271645222e-06 ppclm = 1.18119033511035e-12 ++ pdiblc1 = 1.69036691024854 lpdiblc1 = -3.14917046285125e-07 wpdiblc1 = -3.96577688836422e-06 ppdiblc1 = 8.39871249452359e-13 ++ pdiblc2 = 0.0625102378327662 lpdiblc2 = -1.21565883198577e-08 wpdiblc2 = -2.43353657893881e-07 ppdiblc2 = 5.07773018124314e-14 ++ pdiblcb = -0.569956859604857 lpdiblcb = 1.02945087315495e-07 wpdiblcb = 3.45746778642026e-06 ppdiblcb = -7.19111809961978e-13 ++ drout = -4.00933949582378 ldrout = 1.05132427420538e-06 wdrout = 2.12999060107777e-05 pdrout = -4.56911217797765e-12 ++ pscbe1 = 799950898.804054 lpscbe1 = 0.0102124595423447 wpscbe1 = 0.244788719282951 ppscbe1 = -5.09131161448989e-8 ++ pscbe2 = -3.88124220327636e-08 lpscbe2 = 9.90144747226091e-15 wpscbe2 = 3.2653436561042e-13 ppscbe2 = -6.73234633821834e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.83319975417827e-08 lalpha0 = -5.8719167047203e-15 walpha0 = 2.57725595644359e-14 palpha0 = -5.36038311868789e-21 ++ alpha1 = -2.97125714285714e-10 lalpha1 = 8.25973830628571e-17 ++ beta0 = 35.9652186307162 lbeta0 = -5.6790977589156e-06 wbeta0 = 2.70016386791144e-06 pbeta0 = -6.41538342574117e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.49682959415039e-11 lagidl = 3.75195666521867e-17 wagidl = 6.72869889834065e-16 pagidl = -1.87049754935192e-22 ++ bgidl = 1918143089.39785 lbgidl = -239.253592538879 wbgidl = 9187.19470873238 pbgidl = -0.00157349574249591 ++ cgidl = -362.589425979443 lcgidl = 0.000137810649530612 wcgidl = -0.0018632815287161 pcgidl = 3.87540198594604e-10 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.53621646549 wkt1 = -1.58453385034581e-7 ++ kt2 = -0.131083267726 wkt2 = 6.37296032117115e-8 ++ at = 612742.466430184 lat = -0.12744072746493 wat = -2.65550289718465 pat = 6.07505657055879e-7 ++ ute = -1.17383484310212 lute = 1.81747161347124e-07 wute = 4.35640941088953e-06 pute = -9.06080880552092e-13 ++ ua1 = -1.9858495788599e-10 lua1 = 6.93026327807913e-17 wua1 = 2.32756731381812e-15 pua1 = -4.84106070466404e-22 ++ ub1 = 2.37184554712153e-19 lub1 = 3.23453464345286e-26 wub1 = 1.08633637849325e-24 pub1 = -2.25944930690054e-31 ++ uc1 = 9.50477991212e-11 wuc1 = -3.98606031891397e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.17 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.85192925885292+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.82222701405816e-08 wvth0 = -4.6008206811037e-08 pvth0 = 2.5547577827741e-14 ++ k1 = -0.724663606244714 lk1 = 3.56657415097643e-07 wk1 = 1.17172105464756e-06 pk1 = -1.97126743501111e-13 ++ k2 = 0.545043873773805 lk2 = -1.49858983617193e-07 wk2 = -4.5128980203829e-07 pk2 = 8.2744930373951e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 337388.503505164 lvsat = -0.0530411916335832 wvsat = -0.422363357450692 pvsat = 9.84881686198598e-8 ++ ua = -1.1356720783473e-09 lua = -2.39304218232022e-16 wua = 1.86599168055105e-15 pua = -3.6943860253356e-22 ++ ub = 1.4486747753705e-18 lub = 1.40441251460858e-25 wub = -5.18047892643157e-24 pub = 9.92294566224307e-31 ++ uc = -6.91624311790405e-13 luc = 1.42757761584407e-19 wuc = 6.90994914015749e-18 puc = -1.2042477143751e-24 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00803075511996353 lu0 = -8.11087961168709e-10 wu0 = -7.66253457666361e-09 pu0 = 1.01545713047855e-15 ++ a0 = 1.28721176685324 la0 = -1.50858007649149e-07 wa0 = -1.67517724574999e-05 pa0 = 3.440056772502e-12 ++ keta = 0.326538314613292 lketa = -5.94126585995476e-08 wketa = -3.03575796766507e-06 pketa = 5.38037506813342e-13 ++ a1 = 0.0 ++ a2 = -2.88995283712663 la2 = 7.66987500539173e-07 wa2 = 1.61373519873163e-05 pa2 = -3.39414461661407e-12 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = -3.64184504751168e-23 lb1 = 6.48204716316508e-30 wb1 = 2.54397159884967e-28 pb1 = -4.52796416936055e-35 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {0.158821789465682+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -9.65145664957027e-08 wvoff = -8.57543117188114e-07 pvoff = 1.4287821314252e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-0.950436192991006+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 5.99251092741599e-07 wnfactor = 6.49577167771671e-06 pnfactor = -1.08829673420946e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -1.89752005415794 leta0 = 4.16258333963195e-07 weta0 = 7.61248423470325e-06 peta0 = -1.48598442589141e-12 ++ etab = -0.272547274226358 letab = 4.40316624385122e-08 wetab = -1.41635294846011e-07 petab = 3.4718685562506e-14 ++ dsub = 0.0724235682306968 ldsub = 3.33863539377547e-08 wdsub = 2.42518199594174e-06 pdsub = -4.31653293093678e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 4.43919083163356 lpclm = -7.91633026605712e-07 wpclm = -1.37119922942032e-05 ppclm = 2.85676861372761e-12 ++ pdiblc1 = 1.10627484963891 lpdiblc1 = -1.9343290678305e-07 wpdiblc1 = 5.01235747985451e-07 ppdiblc1 = -8.92137747567353e-14 ++ pdiblc2 = 0.0410297202686464 lpdiblc2 = -7.6888984327315e-09 wpdiblc2 = -1.02300955025996e-07 ppdiblc2 = 2.14400322483457e-14 ++ pdiblcb = -1.5652402037897 lpdiblcb = 3.09952079505813e-07 wpdiblcb = 7.42943188809961e-06 ppdiblcb = -1.54523267954206e-12 ++ drout = 1.31472570493286 ldrout = -5.60173987695902e-08 wdrout = -4.63291088693368e-06 pdrout = 8.24602542943548e-13 ++ pscbe1 = 1091897231.41031 lpscbe1 = -60.7111213665657 wpscbe1 = -1455.22218067451 ppscbe1 = 0.000302668750914132 ++ pscbe2 = 1.20890865910322e-08 lpscbe2 = -6.85455503385118e-16 wpscbe2 = -1.20887365015751e-14 ppscbe2 = 3.10607837988624e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 21.0250054753212 lbeta0 = -2.57171270515131e-06 wbeta0 = -3.58573617058407e-05 pbeta0 = 7.37796428645949e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.94797529148553e-10 lagidl = -1.76659367776644e-16 wagidl = -5.62065963296656e-15 pagidl = 1.12192886345306e-21 ++ bgidl = -1559685729.11232 lbgidl = 484.093067765413 wbgidl = 15980.4271124478 pbgidl = -0.00298640656367986 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.331803993177514 lkt1 = -1.8053783915734e-07 wkt1 = -7.88625863726045e-07 pkt1 = 1.31068313498079e-13 ++ kt2 = -0.18521100692651 lkt2 = 1.12579202208355e-08 wkt2 = 4.41833090426586e-07 pkt2 = -7.86409880988472e-14 ++ at = -225373.045234974 lat = 0.0468772415752826 wat = 1.83976401587464 pat = -3.27455917657495e-7 ++ ute = -0.3 ++ ua1 = 1.3462e-10 ++ ub1 = 3.927e-19 ++ uc1 = 4.33597582120671e-10 luc1 = -7.04142922664941e-17 wuc1 = -2.7635090453676e-15 puc1 = 4.91871447966888e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.18 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.06587170387177+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 5.40212804961859e-8 ++ k1 = 0.44180966168701 wk1 = -5.60663273386714e-8 ++ k2 = 0.0215768006129515 wk2 = -6.63164911639826e-10 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 319843.64933945 wvsat = -0.795325347573929 ++ ua = -1.09626656057568e-09 wua = 2.69429165360946e-15 ++ ub = 1.23472887415245e-18 wub = -1.52418976106254e-24 ++ uc = -1.04160361652318e-11 wuc = -2.63287684525981e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00856004754755 wu0 = 8.9634981324151e-9 ++ a0 = 1.3212940808532 wa0 = -6.32631717088311e-7 ++ keta = -0.0121258169575241 wketa = 3.62151590069734e-8 ++ a1 = 0.0 ++ a2 = 1.07363292255787 wa2 = -8.16901592667464e-7 ++ ags = 0.296239212736026 wags = -3.40878299179887e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.251471733730319+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = -3.2386915917047e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.28816711935094+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.36387009947623e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.344479425579197 wpclm = -5.84266556468025e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000134084551929727 wpdiblc2 = 1.72571933786498e-10 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 863994449.244292 wpscbe1 = -319.037428105788 ++ pscbe2 = 7.8886136971903e-09 wpscbe2 = 5.92489086971511e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.22675988548041e-10 walpha0 = -6.11587916434692e-16 ++ alpha1 = 2.49269515875774e-10 walpha1 = -7.4416708014486e-16 ++ beta0 = 1.38266462622756 wbeta0 = 2.47699175251708e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1825806024.97249 wbgidl = -1412.88320678178 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.423714028857974 wkt1 = -6.15178011384897e-8 ++ kt2 = -0.0473577876525128 wkt2 = -1.58429699261117e-8 ++ at = 90000.0 ++ ute = -0.154965580668972 wute = -4.85902713039783e-8 ++ ua1 = 1.73469673296457e-09 wua1 = 1.12001904438913e-15 ++ ub1 = -7.7325504722252e-19 wub1 = 1.03835186501631e-25 ++ uc1 = 1.1392027463169e-10 wuc1 = -9.91900926583334e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.19 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.06587170387177+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 5.40212804961876e-8 ++ k1 = 0.44180966168701 wk1 = -5.60663273386706e-8 ++ k2 = 0.0215768006129515 wk2 = -6.63164911639853e-10 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 319843.64933945 wvsat = -0.795325347573929 ++ ua = -1.09626656057568e-09 wua = 2.69429165360946e-15 ++ ub = 1.23472887415245e-18 wub = -1.52418976106254e-24 ++ uc = -1.04160361652318e-11 wuc = -2.63287684525981e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00856004754755 wu0 = 8.9634981324151e-9 ++ a0 = 1.3212940808532 wa0 = -6.32631717088311e-7 ++ keta = -0.0121258169575241 wketa = 3.62151590069734e-8 ++ a1 = 0.0 ++ a2 = 1.07363292255787 wa2 = -8.16901592667464e-7 ++ ags = 0.296239212736026 wags = -3.40878299179887e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.251471733730319+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = -3.23869159170427e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.28816711935094+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.36387009947623e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.344479425579197 wpclm = -5.84266556468025e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000134084551929727 wpdiblc2 = 1.72571933786498e-10 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 863994449.244292 wpscbe1 = -319.037428105788 ++ pscbe2 = 7.8886136971903e-09 wpscbe2 = 5.9248908697151e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.22675988548041e-10 walpha0 = -6.11587916434693e-16 ++ alpha1 = 2.49269515875774e-10 walpha1 = -7.4416708014486e-16 ++ beta0 = 1.38266462622756 wbeta0 = 2.47699175251708e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1825806024.97249 wbgidl = -1412.88320678178 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.423714028857974 wkt1 = -6.15178011384897e-8 ++ kt2 = -0.0473577876525128 wkt2 = -1.58429699261117e-8 ++ at = 90000.0 ++ ute = -0.154965580668972 wute = -4.85902713039783e-8 ++ ua1 = 1.73469673296457e-09 wua1 = 1.12001904438913e-15 ++ ub1 = -7.7325504722252e-19 wub1 = 1.03835186501632e-25 ++ uc1 = 1.1392027463169e-10 wuc1 = -9.91900926583314e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.20 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.06963437051224+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.02066426377255e-08 wvth0 = 5.04690955399505e-08 pvth0 = 2.85168982024773e-14 ++ k1 = 0.52976404061942 lk1 = -7.06096698616839e-07 wk1 = -4.16088763066334e-07 pk1 = 2.89025579375245e-12 ++ k2 = -0.0102118934657894 lk2 = 2.55199254599803e-07 wk2 = 1.32459758573231e-07 pk2 = -1.06870923226146e-12 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 544267.318869628 lvsat = -1.80167052590423 wvsat = -1.39432209409113 pvsat = 4.80873869307915e-6 ++ ua = -1.63066796214493e-09 lua = 4.29016803898109e-15 wua = 4.56788547139998e-15 pua = -1.50411886860965e-20 ++ ub = 1.54545602020819e-18 lub = -2.49451379980975e-24 wub = -2.613424091441e-24 pub = 8.74436013346629e-30 ++ uc = 4.582367216758e-12 luc = -1.20407002369773e-16 wuc = -3.48263192133005e-16 puc = 6.82182355363101e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00629410281212225 lu0 = 1.81909771446772e-08 wu0 = 1.65044536226097e-08 pu0 = -6.05387001838162e-14 ++ a0 = 1.33810517897454 la0 = -1.34959293984969e-07 wa0 = -6.32849035690335e-07 pa0 = 1.74463112921588e-15 ++ keta = -0.0126902903205591 lketa = 4.53158538476451e-09 wketa = 6.70453453845611e-08 pketa = -2.47504366277037e-13 ++ a1 = 0.0 ++ a2 = 1.34918045467487 la2 = -2.21209228126493e-06 wa2 = -1.63951904577882e-06 pa2 = 6.60396304216854e-12 ++ ags = 0.334396635782315 lags = -3.06327334326534e-07 wags = -9.52507491270375e-07 pags = 4.91015181455214e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.253832649645215+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.89534046337942e-08 wvoff = 9.25432572850707e-10 pvoff = -3.34295388235551e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.22318305266557+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.21691307541333e-07 wnfactor = 1.72703795968711e-06 pnfactor = -2.91550722375856e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.206725750144198 lpclm = 1.10588485334806e-06 wpclm = -9.6651049368957e-07 ppclm = 3.06864974108731e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000322442719140064 lpdiblc2 = -1.51213710606658e-09 wpdiblc2 = -4.5922622307049e-10 ppdiblc2 = 5.07206802167003e-15 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 928436667.649947 lpscbe1 = -517.341356053972 wpscbe1 = -640.307161096034 ppscbe1 = 0.0025791495612089 ++ pscbe2 = 6.36982365594844e-09 lpscbe2 = 1.21928282256092e-14 wpscbe2 = 1.15473719793668e-14 ppscbe2 = -4.51372108785108e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.1778265896932e-10 lalpha0 = 3.92835911380165e-17 walpha0 = -5.87192749320908e-16 palpha0 = -1.95844108847456e-22 ++ alpha1 = 2.50600308015112e-10 lalpha1 = -1.06835833250958e-17 walpha1 = -7.50801600896135e-16 palpha1 = 5.3261852976983e-23 ++ beta0 = -9.4582837194124 lbeta0 = 8.70310032274175e-05 wbeta0 = 7.72154682075711e-05 pbeta0 = -4.21032251531701e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.53720272163374e-10 lagidl = -4.31265700284302e-16 wagidl = -2.67816625825163e-16 pagidl = 2.1500286583249e-21 ++ bgidl = 1435872467.17634 lbgidl = 3130.38192278479 wbgidl = 811.057198262406 pbgidl = -0.0178537668844098 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.36925500460282 lkt1 = -4.37196393212085e-07 wkt1 = -1.98403491304958e-07 pkt1 = 1.09891667802813e-12 ++ kt2 = -0.0432594978210332 lkt2 = -3.290102158764e-08 wkt2 = -3.17967931127962e-08 pkt2 = 1.28077101096826e-13 ++ at = 13497.2871138284 lat = 0.614162861017631 wat = 0.359699755072383 pat = -2.88766531732403e-6 ++ ute = -0.0288287594987497 lute = -1.01262488671269e-06 wute = -4.72207773603336e-07 pute = 3.40079622504922e-12 ++ ua1 = 1.28492568479749e-09 lua1 = 3.61075657743271e-15 wua1 = 2.24787486203185e-15 pua1 = -9.05441296976595e-21 ++ ub1 = -6.22270435662136e-19 lub1 = -1.21210264979143e-24 wub1 = 2.08396907803213e-25 pub1 = -8.3942024386845e-31 ++ uc1 = 3.59891340229908e-10 luc1 = -1.97465276296971e-15 wuc1 = -1.99074218394996e-17 puc1 = 8.0186856280442e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.21 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.07232599320665+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.1048466551324e-08 wvth0 = 6.50343067583214e-08 pvth0 = -3.01515978026035e-14 ++ k1 = 0.37366012860566 lk1 = -7.73120142723565e-08 wk1 = 6.93074070362553e-08 pk1 = 9.35085845333262e-13 ++ k2 = 0.0449017933247142 lk2 = 3.32019855718958e-08 wk2 = -4.3678778043131e-08 pk2 = -3.59225320433194e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 97503.5788322117 lvsat = -0.00211154219840071 wvsat = -0.186289436856035 pvsat = -5.72023538719362e-8 ++ ua = 1.3507363128952e-10 lua = -2.82221791047376e-15 wua = 3.06336452805816e-16 pua = 2.1242796222126e-21 ++ ub = 4.63252491038355e-19 lub = 1.86458902924401e-24 wub = 1.17241258538553e-25 pub = -2.25472712826714e-30 ++ uc = -6.16313473490057e-11 luc = 1.46301045336548e-16 wuc = -1.33215754592883e-16 puc = -1.84026142479259e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0136582013187798 lu0 = -1.14715232709573e-08 wu0 = -2.36586372403605e-11 pu0 = 6.03633766151257e-15 ++ a0 = 1.11732408170591 la0 = 7.54344316439938e-07 wa0 = 4.19153680828019e-07 pa0 = -4.23570968697413e-12 ++ keta = -0.0139430117354255 lketa = 9.57753221118941e-09 wketa = 4.93170614460544e-08 pketa = -1.76095051312139e-13 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.255210029904591 lags = 1.26353639096691e-08 wags = -4.71617893853999e-07 pags = 2.97313428683414e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.245204931884238+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.57989389748084e-08 wvoff = -4.33580592351117e-08 pvoff = 1.44943834777012e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.67455573885579+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.29643245596062e-06 wnfactor = 3.73375794083068e-07 pnfactor = 2.53702773534853e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.280942516865585 leta0 = -8.09394046624373e-07 weta0 = -5.99892222498885e-07 peta0 = 2.41635867351884e-12 ++ etab = -0.245666731739443 letab = 7.07583487445697e-07 wetab = 5.24434090734426e-07 petab = -2.11241422426918e-12 ++ dsub = 1.31827366676702 ldsub = -3.05431723045356e-06 wdsub = -2.26374429023166e-06 pdsub = 9.11833483612165e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.0167107524854462 lpclm = 2.00588440470224e-06 wpclm = 1.2727277044652e-06 ppclm = -5.9509748502217e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.000868109022524547 lpdiblc2 = 3.28339102273757e-09 wpdiblc2 = 3.23350522759441e-09 ppdiblc2 = -9.80220994883077e-15 ++ pdiblcb = 0.0108149534565344 lpdiblcb = -1.44262202743479e-07 wpdiblcb = -1.7855158960557e-07 ppdiblcb = 7.1920366031216e-13 ++ drout = 0.56 ++ pscbe1 = 829191134.970585 lpscbe1 = -117.581541367899 wpscbe1 = -87.1469866503321 ppscbe1 = 0.000351027016463702 ++ pscbe2 = 8.69970203313866e-09 lpscbe2 = 2.80810608082749e-15 wpscbe2 = 3.86962274321286e-15 ppscbe2 = -1.42113290882733e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.81831355015394e-10 lalpha0 = -2.18703787951219e-16 walpha0 = -9.06500619009178e-16 palpha0 = 1.09032415856246e-21 ++ alpha1 = 3.97966325078039e-10 lalpha1 = -6.04272131662362e-16 walpha1 = -1.48547899290672e-15 palpha1 = 3.01253357186691e-21 ++ beta0 = 13.5215377181067 lbeta0 = -5.53144176505219e-06 wbeta0 = -4.20567771028238e-05 pbeta0 = 5.93949213116258e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 8.17290400784875e-11 lagidl = -1.41285881341164e-16 wagidl = 9.10879010792211e-17 pagidl = 7.04365530808362e-22 ++ bgidl = 2570451567.50282 lbgidl = -1439.68907838105 wbgidl = -4688.41386010068 pbgidl = 0.00429803654502397 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.50460799020204 lkt1 = 1.08003808545747e-07 wkt1 = 2.20343295790019e-07 pkt1 = -5.87790355428998e-13 ++ kt2 = -0.0754041176538033 lkt2 = 9.65771213633199e-08 wkt2 = 8.60291949947027e-08 pkt2 = -3.46524565088322e-13 ++ at = 174420.68008278 lat = -0.0340346347805908 wat = -0.324619589651941 pat = -1.31235208606589e-7 ++ ute = -1.40423704832166 lute = 4.52750319576653e-06 wute = 6.42644637334934e-06 pute = -2.43868998950264e-11 ++ ua1 = 3.36989280642313e-10 lua1 = 7.42903303813294e-15 wua1 = 1.26418007577486e-14 pua1 = -5.09210217506021e-20 ++ ub1 = 4.58142767663473e-19 lub1 = -5.56399406782854e-24 wub1 = -8.77924729609942e-24 pub1 = 3.53627027577209e-29 ++ uc1 = -5.35545681927539e-10 luc1 = 1.63215681703623e-15 wuc1 = 7.8454291579801e-16 puc1 = -3.16012945031939e-21 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.22 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05428126947424+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.45398335868474e-09 wvth0 = -8.18498031200323e-09 pvth0 = 1.18336237744569e-13 ++ k1 = 0.0980653419667101 lk1 = 4.81590905893993e-07 wk1 = 1.33884739921875e-06 pk1 = -1.63952602433292e-12 ++ k2 = 0.154627916744053 lk2 = -1.89321276009043e-07 wk2 = -5.43376155013411e-07 pk2 = 6.54154963694011e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 244870.871758392 lvsat = -0.300970643845179 wvsat = -0.823819744891491 pvsat = 1.23570146046027e-6 ++ ua = -1.72978311402204e-09 lua = 9.59689190737141e-16 wua = 4.46701970012618e-15 pua = -6.31353607515413e-21 ++ ub = 1.68939709549884e-18 lub = -6.22017514866594e-25 wub = -2.89482804724683e-24 pub = 3.85371327903394e-30 ++ uc = 5.25843552313134e-11 luc = -8.5327028907908e-17 wuc = -4.55175768638106e-16 puc = 4.68904902484284e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00611155081711135 lu0 = 3.83299338662029e-09 wu0 = 1.57948331177688e-08 pu0 = -2.60433737957449e-14 ++ a0 = 2.85373084148988 la0 = -2.76706775552084e-06 wa0 = -6.11594696616675e-06 pa0 = 9.0173960039235e-12 ++ keta = 0.102921143491894 lketa = -2.27421572219952e-07 wketa = -4.14883587189008e-07 pketa = 7.65298293711983e-13 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -1.46687911362951 lags = 3.50501148192711e-06 wags = 6.013220331301e-06 pags = -1.01780398157215e-11 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.306431699428405+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.08368210883552e-07 wvoff = 2.11938689795257e-07 pvoff = -3.72794908695587e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-0.352535582831902+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.81448441932616e-06 wnfactor = 6.47398133412741e-06 pnfactor = -9.83492709259492e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.743360113731169 leta0 = 1.26787939659428e-06 weta0 = 1.19978444499777e-06 peta0 = -1.23336401204437e-12 ++ etab = -34.7328784543308 letab = 7.06472350143202e-05 wetab = 0.000173158372662235 petab = -3.52211970040009e-10 ++ dsub = -0.648150933534041 ldsub = 9.33568261861792e-07 wdsub = 4.52748858046332e-06 pdsub = -4.65420393085333e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.85601505614594 lpclm = -1.79198106249251e-06 wpclm = -4.94789853553843e-06 ppclm = 6.66438051699077e-12 ++ pdiblc1 = 0.391067255322459 lpdiblc1 = -2.16438098688287e-09 wpdiblc1 = 3.94686325668098e-08 ppdiblc1 = -8.00419132218985e-14 ++ pdiblc2 = 0.00108084150236606 lpdiblc2 = -6.69057254334284e-10 wpdiblc2 = -3.24470014933205e-09 ppdiblc2 = 3.33551281711155e-15 ++ pdiblcb = -0.121567847713041 lpdiblcb = 1.24208529434806e-07 wpdiblcb = 3.57213897670962e-07 ppdiblcb = -3.673223186988e-13 ++ drout = 0.220528215552783 ldrout = 6.88444705197542e-07 wdrout = 4.0147504705487e-07 pdrout = -8.14186577726708e-13 ++ pscbe1 = 741617730.058828 lpscbe1 = 60.0162729122849 wpscbe1 = 174.293973300666 ppscbe1 = -0.000179172113025405 ++ pscbe2 = 1.32811339333389e-08 lpscbe2 = -6.48298283559588e-15 wpscbe2 = -7.56924108632876e-15 ppscbe2 = 8.98654949167113e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.50048018043741e-10 lalpha0 = -1.54247561972749e-16 walpha0 = -7.48048218780726e-16 palpha0 = 7.68984592327961e-22 ++ alpha1 = 1.0e-10 ++ beta0 = 12.6274591926958 lbeta0 = -3.71826124446125e-06 wbeta0 = -2.54864799051063e-05 pbeta0 = 2.5790557438221e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -7.8339168810472e-11 lagidl = 1.83330525467139e-16 wagidl = 8.8909070114221e-16 pagidl = -9.13974571685778e-22 ++ bgidl = 2579670906.36265 lbgidl = -1458.38578695672 wbgidl = -5324.88602313467 pbgidl = 0.00558879445399096 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.390580576897025 lkt1 = -1.23242417307863e-07 wkt1 = -3.27766933962736e-07 pkt1 = 5.23770613186833e-13 ++ kt2 = -0.0111038593327429 lkt2 = -3.38230309086907e-08 wkt2 = -1.53064749815835e-07 pkt2 = 1.3835508586011e-13 ++ at = 353983.792411005 lat = -0.398186471824883 wat = -1.09079131010945 pat = 1.4225518464206e-6 ++ ute = 1.67023776830381 lute = -1.70749483865212e-06 wute = -1.00772125235107e-05 pute = 9.08232230389904e-12 ++ ua1 = 3.61852389490631e-09 lua1 = 7.7412021882092e-16 wua1 = -1.26413861642257e-14 pua1 = 3.52977928918536e-22 ++ ub1 = -6.77880942391506e-19 lub1 = -3.26015161612156e-24 wub1 = 4.17238556081607e-24 pub1 = 9.09694674349057e-30 ++ uc1 = 7.19692533299023e-10 luc1 = -9.13451220584661e-16 wuc1 = -2.37729025009802e-15 puc1 = 3.25203026811976e-21 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.23 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.09316551071421+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.4426516742476e-08 wvth0 = 1.60259317962494e-07 pvth0 = -5.48224795500348e-14 ++ k1 = 0.607856353255918 lk1 = -4.2468136219177e-08 wk1 = -2.84503246138047e-07 pk1 = 2.92589588861162e-14 ++ k2 = -0.0503231996028657 lk2 = 2.13660121821936e-08 wk2 = 1.07215571730609e-07 pk2 = -1.46455242981209e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -171066.750165778 lvsat = 0.126608240241404 wvsat = 0.710907771934883 pvsat = -3.41980010107038e-7 ++ ua = 3.94608610900403e-11 lua = -8.59072384750374e-16 wua = -4.63051749550091e-15 pua = 3.03862299150418e-21 ++ ub = 6.11580293647775e-19 lub = 4.85965223634673e-25 wub = 2.54781093588378e-24 pub = -1.74125428395653e-30 ++ uc = -4.25031695544227e-11 luc = 1.24218055215313e-17 wuc = -3.52826814783031e-17 puc = 3.72598476010526e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0134626109766174 lu0 = -3.72380824463002e-09 wu0 = -2.16824934201287e-08 pu0 = 1.24828681572953e-14 ++ a0 = -0.457754550615158 la0 = 6.37099489738434e-07 wa0 = 4.65288287459422e-06 pa0 = -2.05283184642069e-12 ++ keta = -0.226023486691235 lketa = 1.10729560272743e-07 wketa = 6.65289102850801e-07 pketa = -3.4510626957666e-13 ++ a1 = 0.0 ++ a2 = 0.858265210704412 la2 = -5.98959374216073e-08 wa2 = -3.03802735891509e-07 pa2 = 3.1230556686364e-13 ++ ags = 3.24121964282511 lags = -1.33485754252317e-06 wags = -8.90585793571434e-06 pags = 5.15859361383107e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.187819540799715+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.35636648408379e-08 wvoff = -1.76261707236047e-07 pvoff = 2.62704410478296e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.93720749969435+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.67331992593843e-07 wnfactor = -5.27738863376058e-06 pnfactor = 2.24534021795432e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = 69.8838533918854 letab = -3.68975099228079e-05 wetab = -0.000348411066080627 petab = 1.83955154154389e-10 ++ dsub = 0.222046819523638 ldsub = 3.9015414091535e-08 wdsub = 2.08522867129654e-08 pdsub = -2.14359005134877e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.428421300191785 lpclm = 5.56392098586396e-07 wpclm = 3.16398490696842e-06 ppclm = -1.67453831930497e-12 ++ pdiblc1 = 1.04647985794606 lpdiblc1 = -6.75920671532716e-07 wpdiblc1 = -1.41190780375686e-06 ppdiblc1 = 1.4119556468016e-12 ++ pdiblc2 = 0.000678123889252548 lpdiblc2 = -2.55068380664949e-10 wpdiblc2 = -1.23699490211332e-09 ppdiblc2 = 1.27161591543367e-15 ++ pdiblcb = 0.236071081599945 lpdiblcb = -2.43439998231792e-07 wpdiblcb = -2.21436919645206e-10 ppdiblcb = 1.16916036329595e-16 ++ drout = 0.774314128894433 ldrout = 1.19159431713286e-07 wdrout = -8.02950094109737e-07 pdrout = 4.23948014288812e-13 ++ pscbe1 = 800000000.0 ++ pscbe2 = 2.64032820157266e-08 lpscbe2 = -1.99723935985134e-14 wpscbe2 = -6.29950868783798e-14 ppscbe2 = 6.59636538557501e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.54089891363691 lbeta0 = 4.82673683687974e-07 wbeta0 = -6.01300567961907e-07 pbeta0 = 2.08891701788605e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.69539993177706e-10 lagidl = -1.74285078506764e-16 wagidl = -8.4522335957619e-16 pagidl = 8.68879470964008e-22 ++ bgidl = 753521141.376451 lbgidl = 418.874257651909 wbgidl = 1953.73370342503 pbgidl = -0.00189353928147571 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.512587569822165 lkt1 = 2.17930733526492e-09 wkt1 = 3.73660276552699e-07 pkt1 = -1.97288142096507e-13 ++ kt2 = -0.0321981266248769 lkt2 = -1.21383772635845e-08 wkt2 = -3.79872803471408e-08 pkt2 = 2.00568281759261e-14 ++ at = -138709.587173076 lat = 0.108296410066998 wat = 0.578888246083538 pat = -2.93858701191119e-7 ++ ute = 0.354388745501949 lute = -3.54817833400083e-07 wute = -2.5538624874394e-06 pute = 1.34840874701815e-12 ++ ua1 = 8.69330327009257e-09 lua1 = -4.44269208151806e-15 wua1 = -2.61585802879867e-14 pua1 = 1.42484912818154e-20 ++ ub1 = -8.43319901854543e-18 lub1 = 4.71222230234777e-24 wub1 = 2.70064719205692e-23 pub1 = -1.43762200252993e-29 ++ uc1 = -3.72913201647328e-10 luc1 = 2.0973436367137e-16 wuc1 = 1.616408837004e-15 puc1 = -8.53444469032066e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.24 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.00333620026152+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.00228122482068e-09 wvth0 = 1.18129959895948e-08 pvth0 = 2.35553970957932e-14 ++ k1 = 0.111235728789203 lk1 = 2.19741594051755e-07 wk1 = -4.91382524751791e-08 pk1 = -9.50109333879541e-14 ++ k2 = 0.127938719360873 lk2 = -7.27541418876327e-08 wk2 = 2.34374096309928e-08 pk2 = 2.95883399525312e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 135082.171207116 lvsat = -0.0350347164564272 wvsat = -0.282665037304663 pvsat = 1.82614510297732e-7 ++ ua = -9.35894198245189e-10 lua = -3.44096617682085e-16 wua = 1.66949866501979e-15 pua = -2.87709941056827e-22 ++ ub = 1.02366082295916e-18 lub = 2.68391649124614e-25 wub = -9.77010244914083e-25 pub = 1.19809001650575e-31 ++ uc = -5.10855973052019e-11 luc = 1.69532243848097e-17 wuc = 1.28744603233091e-16 puc = -4.93445903991469e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00873554569171037 lu0 = -1.22797449898252e-09 wu0 = 4.12146199148251e-09 pu0 = -1.1413106525705e-15 ++ a0 = 0.559400242467097 la0 = 1.0005396484852e-07 wa0 = 3.00430417121536e-06 pa0 = -1.18240207398109e-12 ++ keta = 0.117680960981484 lketa = -7.07422636450811e-08 wketa = -1.7359409610752e-07 pketa = 9.78139928749459e-14 ++ a1 = 0.0 ++ a2 = 0.683469578591175 la2 = 3.23940587865962e-08 wa2 = 6.07605471783016e-07 pa2 = -1.68907029890017e-13 ++ ags = -3.26526362487771 lags = 2.10048754502471e-06 wags = 1.30664148922167e-05 pags = -6.44250277204261e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.205339729331252+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -4.31321553844871e-09 wvoff = -2.00855033485626e-08 pvoff = -5.61887204903156e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.38500884853079+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 2.52210268836702e-07 wnfactor = -6.03956829010318e-07 pnfactor = -2.22175693772163e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.353485933730394 leta0 = 7.20777888215568e-08 weta0 = 1.0618783737044e-06 peta0 = -5.60659038775439e-13 ++ etab = 0.00514231527341621 letab = -2.38901888966769e-09 wetab = -1.81233701833225e-08 petab = 7.86111858654968e-15 ++ dsub = 0.290725058975033 ldsub = 2.75412780007136e-09 wdsub = -7.09758990772381e-07 pdsub = 3.64318086663445e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.812502089886035 lpclm = -9.88005602940122e-08 wpclm = -1.31719740270458e-06 ppclm = 6.91472166014662e-13 ++ pdiblc1 = -0.87109547305574 lpdiblc1 = 3.36536092332264e-07 wpdiblc1 = 2.50769738067592e-06 ppdiblc1 = -6.57548855316698e-13 ++ pdiblc2 = -0.00500165762792038 lpdiblc2 = 2.74378810302415e-09 wpdiblc2 = -7.15407780804622e-09 ppdiblc2 = 4.39576468477137e-15 ++ pdiblcb = -0.612965135720228 lpdiblcb = 2.04840936078652e-07 wpdiblcb = 8.25454995976688e-07 ppdiblcb = -4.3583033241574e-13 ++ drout = 1.01083301632419 ldrout = -5.71970262297527e-09 wdrout = 1.34227123328469e-06 pdrout = -7.0870310391952e-13 ++ pscbe1 = 800000000.0 ++ pscbe2 = -4.68577695333453e-08 lpscbe2 = 1.8708562486778e-14 wpscbe2 = 1.68043499057875e-13 ppscbe2 = -5.6021947055561e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.32128195859522e-08 lalpha0 = 1.23088889875477e-14 walpha0 = 6.95979097519089e-14 palpha0 = -3.67468611740909e-20 ++ alpha1 = 3.7717584137872e-10 lalpha1 = -1.46345518137868e-16 walpha1 = -8.27478594880468e-16 palpha1 = 4.36898768353749e-22 ++ beta0 = -8.50151007830923 lbeta0 = 9.48086112252763e-06 wbeta0 = 5.49406538008938e-05 pbeta0 = -2.91165937015148e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.84884162798079e-09 lagidl = -2.06411339006318e-15 wagidl = -1.86894458111708e-14 pagidl = 1.02904147947365e-20 ++ bgidl = 34752386.8210707 lbgidl = 798.375534832095 wbgidl = 2881.642695453 pbgidl = -0.00238346409435857 ++ cgidl = 626.255574195907 lcgidl = -0.000172259028108549 wcgidl = -0.000179878083059782 pcgidl = 9.49734693185679e-11 ++ egidl = 2.20111972662033 legidl = -1.10936600221882e-06 wegidl = -1.04749058963591e-05 pegidl = 5.53062461440687e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.386136323069855 lkt1 = -6.45854335349934e-08 wkt1 = -2.79770512929085e-07 pkt1 = 1.47715473580402e-13 ++ kt2 = 0.11033875387042 lkt2 = -8.73961397225351e-08 wkt2 = -4.75353353615034e-07 pkt2 = 2.50980866468495e-13 ++ at = 67228.2041039711 lat = -0.000436272473787899 wat = 0.0785190978600638 pat = -2.9669795358903e-8 ++ ute = -0.33723371376 lute = 1.03505256207149e-8 ++ ua1 = 4.57804105070251e-10 lua1 = -9.44473483762536e-17 wua1 = 1.46985262371035e-15 pua1 = -3.38989754365674e-22 ++ ub1 = 5.581698006954e-19 lub1 = -3.51125377855634e-26 wub1 = -3.38510828351616e-25 pub1 = 6.16027263378787e-32 ++ uc1 = 1.05251536618683e-11 luc1 = 7.28351332837748e-18 wuc1 = 1.19922749102349e-16 puc1 = -6.33177724530512e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.25 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.948983603979549+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.81116507600524e-08 wvth0 = 1.49776424636347e-07 pvth0 = -1.47967805068629e-14 ++ k1 = 0.517108815050108 lk1 = 1.06913746548259e-07 wk1 = -1.61031557114145e-06 pk1 = 3.38977627073446e-13 ++ k2 = 0.00989741452749859 lk2 = -3.99400756396127e-08 wk2 = 5.75945091001007e-07 pk2 = -1.24002165376156e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -219798.887001583 lvsat = 0.0636179591528926 wvsat = 1.38912002514202 pvsat = -2.82121675641698e-7 ++ ua = -1.7683141663095e-09 lua = -1.12693855599822e-16 wua = 1.89914290081789e-15 pua = -3.51548282877873e-22 ++ ub = 1.86129873909603e-18 lub = 3.55383600935579e-26 wub = -2.31052546704758e-24 pub = 4.90510231221019e-31 ++ uc = 3.88090964234418e-11 luc = -8.03642173542856e-18 wuc = -1.94373221697998e-16 puc = 4.04782875177967e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0064253656834486 lu0 = -5.85772178845851e-10 wu0 = 5.90297964291503e-10 pu0 = -1.59689426979723e-16 ++ a0 = 3.75554605911061 la0 = -7.88436218428578e-07 wa0 = -1.31755424740778e-05 pa0 = 3.31540113525067e-12 ++ keta = -0.073204668515808 lketa = -1.76783492723879e-08 wketa = -9.07309443855457e-07 pketa = 3.01778054964699e-13 ++ a1 = 0.0 ++ a2 = 0.518565270761412 la2 = 7.82354775115763e-08 wa2 = 1.97669269969749e-06 pa2 = -5.49496850203505e-13 ++ ags = 13.3256920067458 lags = -2.51159902909904e-06 wags = -4.01456604140722e-05 pags = 8.34981561820205e-12 ++ b0 = 0.0 ++ b1 = -1.56079073464786e-23 lb1 = 4.3388109474329e-30 wb1 = 4.65957248504999e-29 pb1 = -1.29530523597408e-35 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {0.0957599861269758+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -8.80153232392507e-08 wvoff = -7.04408829512716e-07 pvoff = 1.34044952303405e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.17112661306528+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 3.36789637092887e-08 wnfactor = -3.36656007854864e-06 pnfactor = 5.45794858360495e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.77650939199273 leta0 = -3.23505656293872e-07 weta0 = -3.44566560734673e-06 peta0 = 6.92384097429002e-13 ++ etab = 0.0518455394210459 letab = -1.53719547640189e-08 wetab = 5.39656322024998e-07 petab = -1.47194942491057e-13 ++ dsub = 0.153984768260277 ldsub = 4.07662877352853e-08 wdsub = 3.08029943039803e-06 pdsub = -6.89272673720874e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.109830324704986 lpclm = 1.57596782973317e-07 wpclm = 4.7980458816572e-06 ppclm = -1.0084920841185e-12 ++ pdiblc1 = 0.737367328973287 lpdiblc1 = -1.10597265078181e-07 wpdiblc1 = 7.85299790728681e-07 ppdiblc1 = -1.78742994082445e-13 ++ pdiblc2 = 0.00594861771443089 lpdiblc2 = -3.00257038845393e-10 wpdiblc2 = 3.86282018634308e-08 ppdiblc2 = -8.33115967654318e-15 ++ pdiblcb = 1.04668204256623 lpdiblcb = -2.56521063718845e-07 wpdiblcb = -4.60211118668005e-06 ppdiblcb = 1.07296793556864e-12 ++ drout = 1.61785633007545 ldrout = -1.7446489956606e-07 wdrout = -6.75387216753285e-06 pdrout = 1.54192760778695e-12 ++ pscbe1 = 800000000.0 ++ pscbe2 = 5.38579888049033e-08 lpscbe2 = -9.28920974215507e-15 wpscbe2 = -1.3546397775048e-13 ppscbe2 = 2.83494894074398e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 8.33600699498292e-08 lalpha0 = -1.73170954287251e-14 walpha0 = -2.48563963399674e-13 palpha0 = 5.16983216195715e-20 ++ alpha1 = -8.89913719209714e-10 lalpha1 = 2.0589017463099e-16 walpha1 = 2.95528069600167e-15 palpha1 = -6.14662921399995e-22 ++ beta0 = 76.1650183581019 lbeta0 = -1.40554177844534e-05 wbeta0 = -0.000197711604134559 pbeta0 = 4.11177021774459e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.36057186032936e-08 lagidl = 2.78804489950832e-15 wagidl = 6.83283826202546e-14 pagidl = -1.38994972952586e-20 ++ bgidl = 7882249052.55016 lbgidl = -1383.13436828061 wbgidl = -20546.2126399406 pbgidl = 0.00412919855461685 ++ cgidl = -865.198479271098 lcgidl = 0.000242347301306637 wcgidl = 0.000642421725213504 pcgidl = -1.33616009783706e-10 ++ egidl = -7.40399902364405 legidl = 1.56074174892968e-06 wegidl = 3.74103782012826e-05 pegidl = -7.78090974132838e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.901477142942004 lkt1 = 7.86731302996253e-08 wkt1 = 1.66251434730135e-06 pkt1 = -3.92216410145337e-13 ++ kt2 = -0.475065770562859 lkt2 = 7.53392932156233e-08 wkt2 = 1.77861728979107e-06 pkt2 = -3.75595924750681e-13 ++ at = 169427.559955075 lat = -0.0288464670081245 wat = -0.445404220299908 pat = 1.15974600009751e-7 ++ ute = -0.3 ++ ua1 = 6.88193517716455e-11 lua1 = 1.36857452237189e-17 wua1 = 9.94451954204561e-16 pua1 = -2.06834073051098e-22 ++ ub1 = 5.48215445287846e-19 lub1 = -3.23453464345286e-26 wub1 = -4.64274597341862e-25 pub1 = 9.65635449519396e-32 ++ uc1 = 3.6725972864055e-11 wuc1 = -1.07848854179271e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.26 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.956083599107522+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.66349369733752e-08 wvth0 = 4.7324202869037e-07 pvth0 = -8.20737445628477e-14 ++ k1 = -0.296887372665633 lk1 = 2.7621518563888e-07 wk1 = -9.60911243582975e-07 pk1 = 2.03909319793214e-13 ++ k2 = 0.396688359746296 lk2 = -1.2038795075378e-07 wk2 = 2.88320620421442e-07 pk2 = -6.41797269892537e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 117334.701457108 lvsat = -0.0065017816434535 wvsat = 0.67469115086003 pvsat = -1.33529042937535e-7 ++ ua = -6.94613071502795e-10 lua = -3.36010798906481e-16 wua = -3.3286045191125e-16 pua = 1.12681630449556e-22 ++ ub = 1.25646898917168e-19 lub = 3.96533115028679e-25 wub = 1.41533392922136e-24 pub = -2.84423812890165e-31 ++ uc = 8.64214249584742e-13 luc = -1.44341581852367e-19 wuc = -8.46516288181396e-19 puc = 2.2705511301971e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00672419203851266 lu0 = -6.47924474782915e-10 wu0 = -1.14880518159054e-09 pu0 = 2.02023158125985e-16 ++ a0 = -6.86077712125912 la0 = 1.41963160721016e-06 wa0 = 2.38691477909821e-05 pa0 = -4.38944990359861e-12 ++ keta = -0.825048860183211 lketa = 1.38696220464132e-07 wketa = 2.70535575118545e-06 pketa = -4.49612953621469e-13 ++ a1 = 0.0 ++ a2 = 2.76344646704595 la2 = -3.88672872741253e-07 wa2 = -1.20470608071911e-05 pa2 = 2.36727559418725e-12 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 3.64184504751168e-23 lb1 = -6.48204716316509e-30 wb1 = -1.087233579845e-28 pb1 = 1.93514530409452e-35 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {0.0793320731109519+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -8.45985144668743e-08 wvoff = -4.61255705293041e-07 pvoff = 8.34720203032029e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {3.61329944061981+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -2.66275678348123e-07 wnfactor = -1.62562403529487e-05 pnfactor = 3.22669367927243e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.121958650053124 leta0 = 2.06210434206614e-08 weta0 = -2.45540914533672e-06 peta0 = 4.86422636408465e-13 ++ etab = -0.0623581802556036 letab = 8.381048484088e-09 wetab = -1.18951036445288e-06 petab = 2.12450978296104e-13 ++ dsub = 0.883879723873455 ldsub = -1.11043104292788e-07 wdsub = -1.62024519304165e-06 pdsub = 2.88384201419098e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.60732549945199 lpclm = -1.99551022581445e-07 wpclm = 4.05967044305216e-07 ppclm = -9.49923908953373e-14 ++ pdiblc1 = 1.30985000315984 lpdiblc1 = -2.29666791516893e-07 wpdiblc1 = -5.13666234491565e-07 ppdiblc1 = 9.14263515710638e-14 ++ pdiblc2 = 0.0132604600262662 lpdiblc2 = -1.8210324975994e-09 wpdiblc2 = 3.61396983861358e-08 ppdiblc2 = -7.81358081530755e-15 ++ pdiblcb = -0.185381051168264 lpdiblcb = -2.66724979194323e-10 wpdiblcb = 5.50292831522065e-07 ppdiblcb = 1.32972863082054e-15 ++ drout = -0.53193990621094 ldrout = 2.72666920026673e-07 wdrout = 4.57344144687087e-06 pdrout = -8.14017696245653e-13 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.06672940621216e-08 lpscbe2 = -3.06063523993387e-16 wpscbe2 = -5.00054311792556e-15 ppscbe2 = 1.21466056508415e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 11.0593811976463 lbeta0 = -5.14226522724595e-07 wbeta0 = 1.38251838362102e-05 pbeta0 = -2.87941127901845e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.04627209499632e-09 lagidl = 1.75830739140593e-16 wagidl = 4.55487295090224e-15 pagidl = -6.35372566149298e-22 ++ bgidl = 2609694922.03997 lbgidl = -286.50637978405 wbgidl = -4805.57066463772 pbgidl = 0.000855333911457538 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.466367410217014 lkt1 = -2.05822122622813e-07 wkt1 = -1.4594772734401e-06 pkt1 = 2.57120383069437e-13 ++ kt2 = -0.0587089930734905 lkt2 = -1.12579202208356e-08 wkt2 = -1.88829062720548e-07 pkt2 = 3.36093072155047e-14 ++ at = -12370.3889396135 lat = 0.00896532478658391 wat = 0.777862234600464 pat = -1.38450143412067e-7 ++ ute = -0.3 ++ ua1 = 1.3462e-10 ++ ub1 = 3.927e-19 ++ uc1 = 2.92562488016356e-11 luc1 = 1.55361296829446e-18 wuc1 = -7.47708916101277e-16 puc1 = 1.33083214559034e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.27 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0477765+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.42302944 ++ k2 = 0.021354664 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 53438.0 ++ ua = -1.9377487e-10 ++ ub = 7.2417962e-19 ++ uc = -9.8608028e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0115625 ++ a0 = 1.109385 ++ keta = 4.9707517e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.18205713 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25255658+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.745015+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.14877095 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00019189 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 757128280.0 ++ pscbe2 = 9.873241e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.7815831e-11 ++ alpha1 = 6.3056523e-17 ++ beta0 = 9.6797043 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1352540500.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.4443203 ++ kt2 = -0.052664618 ++ at = 90000.0 ++ ute = -0.17124159 ++ ua1 = 2.1098632e-9 ++ ub1 = -7.3847396e-19 ++ uc1 = 1.1059776e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.28 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0477765+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.42302944 ++ k2 = 0.021354664 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 53438.0 ++ ua = -1.9377487e-10 ++ ub = 7.2417962e-19 ++ uc = -9.8608028e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0115625 ++ a0 = 1.109385 ++ keta = 4.9707517e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.18205713 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25255658+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.745015+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.14877095 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00019189 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 757128280.0 ++ pscbe2 = 9.873241e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.7815831e-11 ++ alpha1 = 6.3056523e-17 ++ beta0 = 9.6797043 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1352540500.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.4443203 ++ kt2 = -0.052664618 ++ at = 90000.0 ++ ute = -0.17124159 ++ ua1 = 2.1098632e-9 ++ ub1 = -7.3847396e-19 ++ uc1 = 1.1059776e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.29 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05272902201567+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.9758787311535e-8 ++ k1 = 0.39038913267053 lk1 = 2.62035995557297e-7 ++ k2 = 0.034157405339651 lk2 = -1.02780253841822e-7 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 77219.105207405 lvsat = -0.190914427231785 ++ ua = -1.0058910972481e-10 lua = -7.48094165260102e-16 ++ ub = 6.7005208117432e-19 lub = 4.34535232162094e-25 ++ uc = -1.12073391491597e-10 luc = 1.08099776526179e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0118225066254 lu0 = -2.08733006863168e-9 ++ a0 = 1.126123304134 la0 = -1.34374904728104e-7 ++ keta = 0.00976751116514225 lketa = -7.83735572886294e-08 wketa = 2.14549971380942e-24 pketa = -4.92051989631606e-29 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.01534056962445 lags = 1.33839854609619e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25352266271189+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.75570041805986e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.8016792246885+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.54899715828586e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.11702082281515 lpclm = 2.13377316265875e-06 ppclm = 8.07793566946316e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00016861829933 lpdiblc2 = 1.86824933718352e-10 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 713956586.575161 lpscbe1 = 346.581836754292 ++ pscbe2 = 1.02377817685766e-08 lpscbe2 = -2.92652891564374e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.1094013061788e-11 lalpha0 = -2.63172062538493e-17 walpha0 = -2.46519032881566e-32 ++ alpha1 = -8.91472829004879e-13 lalpha1 = 7.15723939058718e-18 walpha1 = 4.95588418306676e-35 palpha1 = -2.12226091902608e-39 ++ beta0 = 16.4061464909871 lbeta0 = -5.39997971919381e-05 pbeta0 = 5.16987882845642e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.401124638607e-11 lagidl = 2.88917282147587e-16 ++ bgidl = 1707547725.8785 lbgidl = -2849.99374926589 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.43571310391217 lkt1 = -6.90984669067463e-8 ++ kt2 = -0.053910290407949 lkt2 = 1.00002431429455e-8 ++ at = 133983.88685519 lat = -0.353102115866823 ++ ute = -0.18700153612868 lute = 1.2652065840169e-7 ++ ua1 = 2.0378836586382e-09 lua1 = 5.77850894298032e-16 ++ ub1 = -5.52464897949799e-19 lub1 = -1.49327851803026e-24 ++ uc1 = 3.5322306333165e-10 luc1 = -1.94779302364285e-15 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.30 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05054181799566+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.09487557653806e-8 ++ k1 = 0.39687564013418 lk1 = 2.35908421331804e-7 ++ k2 = 0.0302709592108142 lk2 = -8.71256954722211e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 35103.256068578 lvsat = -0.0212722922907794 ++ ua = 2.3768542641842e-10 lua = -2.1106599375506e-15 ++ ub = 5.0252413465642e-19 lub = 1.10933579040084e-24 ++ uc = -1.06253877880466e-10 luc = 8.46588455347066e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0136502765184 lu0 = -9.449565264397e-9 ++ a0 = 1.257725627884 la0 = -6.64467485565218e-7 ++ keta = 0.00257644639341079 lketa = -4.94080346808724e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.0972348419698199 lags = 1.00852939982031e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25972833662654+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.27520804781837e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.7996233243084+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.46618573768344e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.40960767377198 lpclm = 1.25198979477494e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000215 ++ pdiblcb = -0.048993466222987 lpdiblcb = 9.6645394024597e-8 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.9958877548046e-09 lpscbe2 = -1.95218273089826e-15 wpscbe2 = 1.26217744835362e-29 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.1814048429144e-11 lalpha0 = 1.46515950534887e-16 palpha0 = 9.4039548065783e-38 ++ alpha1 = -9.96162012334853e-11 lalpha1 = 4.04819260707093e-16 walpha1 = 4.62223186652937e-33 palpha1 = 7.93458686805044e-38 ++ beta0 = -0.565983882178802 lbeta0 = 1.43637402856096e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.122402409449e-10 lagidl = 9.46514708125539e-17 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.43080083823386 lkt1 = -8.88850141117907e-8 ++ kt2 = -0.046587403054394 lkt2 = -1.94962592425256e-8 ++ at = 65684.68564562 lat = -0.0779937529850897 ++ ute = 0.748393474846899 lute = -3.64123922106781e-06 wute = -2.11758236813575e-22 pute = -1.61558713389263e-27 ++ ua1 = 4.5715420331244e-09 lua1 = -9.62769463423188e-15 ++ ub1 = -2.48259222055664e-18 lub1 = 6.28125117590222e-24 pub1 = 2.80259692864963e-45 ++ uc1 = -2.7275176299186e-10 luc1 = 5.73626065090336e-16 puc1 = 3.76158192263132e-37 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.31 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0570229462+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.40924059902446e-8 ++ k1 = 0.5465315097348 lk1 = -6.75918863478171e-8 ++ k2 = -0.0273837315122164 lk2 = 2.97973254577963e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -31079.385260264 lvsat = 0.112945310132416 ++ ua = -2.3349070054076e-10 lua = -1.15512040619091e-15 ++ ub = 7.19732859340241e-19 lub = 6.68839103246746e-25 ++ uc = -9.9883306685304e-11 luc = 7.17394035977723e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0114022570492 lu0 = -4.89060875709301e-9 ++ a0 = 0.805106554816 la0 = 2.5343856318781e-7 ++ keta = -0.0360500734855628 lketa = 2.89260841154475e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.54733541111568 lags = 9.57308467993349e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.23543979096316+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.6504798664603e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.8160174582484+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.79865680669056e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.34147508 leta0 = 8.5474640453904e-07 weta0 = -2.11758236813575e-22 peta0 = 2.01948391736579e-28 ++ etab = 23.269005741064 letab = -4.73312235748089e-05 wetab = 5.29395592033938e-21 petab = 1.66607423182678e-26 ++ dsub = 0.8683964 ldsub = -6.254241984432e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.19864536932944 lpclm = 4.40348919809567e-7 ++ pdiblc1 = 0.40428784073392 lpdiblc1 = -2.89755695543012e-8 ++ pdiblc2 = -6.01742000000014e-06 lpdiblc2 = 4.4822067555096e-10 ++ pdiblcb = -0.00191392161550472 lpdiblcb = 1.16864251515819e-9 ++ drout = 0.35500804941008 ldrout = 4.1572121589295e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.07457079057876e-08 lpscbe2 = -3.47280899924998e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -5.21477910835981e-13 lalpha0 = 1.03334873034605e-16 ++ alpha1 = 1.0e-10 ++ beta0 = 4.0903966569572 lbeta0 = 4.92065642880828e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.1947453256592e-10 lagidl = -1.22818385783375e-16 ++ bgidl = 796023845.4724 lbgidl = 413.661193668118 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.50037081952 lkt1 = 5.22020730967256e-8 ++ kt2 = -0.062375095928 lkt2 = 1.25209924528329e-8 ++ at = -11392.425196 lat = 0.0783177028763856 ++ ute = -1.705269317 lute = 1.3347594768442e-06 wute = -1.6940658945086e-21 ++ ua1 = -6.1588998352e-10 lua1 = 8.92355246338758e-16 pua1 = -3.76158192263132e-37 ++ ub1 = 7.1971953396e-19 lub1 = -2.12998634516472e-25 ++ uc1 = -7.6614983716e-11 luc1 = 1.75863030360243e-16 wuc1 = 2.46519032881566e-32 puc1 = -4.70197740328915e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.32 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0394843501744+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.60629397390807e-8 ++ k1 = 0.51255790431576 lk1 = -3.26674276603094e-8 ++ k2 = -0.014409803791552 lk2 = 1.64602834480859e-08 pk2 = 1.57772181044202e-30 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 67062.019626976 lvsat = 0.0120571236051922 ++ ua = -1.51159748745824e-09 lua = 1.58758033478822e-16 ++ ub = 1.4650061637508e-18 lub = -9.72929104076568e-26 ++ uc = -5.43216105211752e-11 luc = 2.49025266814019e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00619974835504 lu0 = 4.57507750399142e-10 ++ a0 = 1.10079540292 la0 = -5.05260243969249e-8 ++ keta = -0.0031753453814264 lketa = -4.86874187886744e-9 ++ a1 = 0.0 ++ a2 = 0.75650212045064 la2 = 4.47152982021872e-8 ++ ags = 0.25807460221224 lags = 3.93087487222364e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.24686093148736+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.76400325941179e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.1694703716344+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.84776965805096e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = -46.8214378193087 letab = 2.47209113199315e-5 ++ dsub = 0.22903159248344 ldsub = 3.18351513061334e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.63140089233904 lpclm = -4.5185647780253e-9 ++ pdiblc1 = 0.5735410582276 lpdiblc1 = -2.02965846099194e-7 ++ pdiblc2 = 0.00026377467841408 lpdiblc2 = 1.70877635886467e-10 ++ pdiblcb = 0.235996908122958 lpdiblcb = -2.43400835526024e-07 wpdiblcb = 1.05879118406788e-22 ppdiblcb = 5.60091242706918e-29 ++ drout = 0.50535446117984 ldrout = 2.61166908750579e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 5.302172795176e-09 lpscbe2 = 2.12307977203741e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.3394846512288 lbeta0 = 5.52644959753011e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.35797120242399e-11 lagidl = 1.16758581004374e-16 ++ bgidl = 1407952309.0552 lbgidl = -215.393923753437 wbgidl = 1.81898940354586e-12 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.38742469288 lkt1 = -6.39051897356744e-8 ++ kt2 = -0.044922511832 lkt2 = -5.42005456684598e-9 ++ at = 55197.3460879999 lat = 0.00986421707368904 ++ ute = -0.50106417872 lute = 9.68510451540152e-8 ++ ua1 = -6.88889430400002e-11 lua1 = 3.30044740737804e-16 pua1 = -1.88079096131566e-37 ++ ub1 = 6.1300667616e-19 lub1 = -1.03299097252366e-25 ++ uc1 = 1.6852615664e-10 luc1 = -7.61391202320403e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.33 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.9993792675712+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 4.88793738558286e-9 ++ k1 = 0.0947761652936001 lk1 = 1.87916317162523e-7 ++ k2 = 0.135789416375152 lk2 = -6.28431024092917e-08 wk2 = 2.64697796016969e-23 pk2 = 1.26217744835362e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 40399.45672 lvsat = 0.0261346368693206 ++ ua = -3.76671639473921e-10 lua = -4.40469195146724e-16 ++ ub = 6.96397207507201e-19 lub = 3.08523395181488e-25 ++ uc = -7.96074314597599e-12 luc = 4.24545037705176e-19 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.01011608858704 lu0 = -1.61027289601408e-09 wu0 = -1.32348898008484e-23 ++ a0 = 1.56573507888 la0 = -2.96008594027694e-7 ++ keta = 0.059533124959296 lketa = -3.79780617171248e-08 wketa = -2.64697796016969e-23 ++ a1 = 0.0 ++ a2 = 0.88699575909872 la2 = -2.41837770803352e-8 ++ ags = 1.111519731164 lags = -5.7521299522618e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.21206765718896+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -2.31344345696753e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.1827048333296+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.77789328843571e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.70917734797888 leta0 = -1.15723009604673e-7 ++ etab = -0.000928367896226464 letab = 2.44175699153738e-10 ++ dsub = 0.0529810899339203 ldsub = 1.24787704046249e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.37128789943408 lpclm = 1.32817974119879e-7 ++ pdiblc1 = -0.0311062144665597 lpdiblc1 = 1.1628065811605e-7 ++ pdiblc2 = -0.00739801875194496 lpdiblc2 = 4.21621262559488e-09 wpdiblc2 = 1.65436122510606e-24 ppdiblc2 = -7.88860905221012e-31 ++ pdiblcb = -0.3364671278616 lpdiblcb = 5.88533059053904e-8 ++ drout = 1.46044604649312 ldrout = -2.4310998719581e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.4308142774768e-09 lpscbe2 = -5.67933869196181e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.9016510878832 lbeta0 = -2.72160172803277e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.41145670440272e-09 lagidl = 1.3828088584563e-15 wagidl = 1.57772181044202e-30 pagidl = 2.82118644197349e-37 ++ bgidl = 1000000000.0 ++ cgidl = 566.002826479952 lcgidl = -0.000140446300347497 ++ egidl = -1.3076004664448 legidl = 7.43196155077257e-07 wegidl = 2.11758236813575e-22 pegidl = -3.53409685539013e-28 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.47984947504 lkt1 = -1.51060138525803e-8 ++ kt2 = -0.048887679968 lkt2 = -3.32649337305561e-9 ++ at = 93529.3037919999 lat = -0.0103745966105305 ++ ute = -0.33723371376 lute = 1.0350525620715e-8 ++ ua1 = 9.5015235456e-10 lua1 = -2.07996835899425e-16 ++ ub1 = 4.4478073632e-19 lub1 = -1.44778197281242e-26 ++ uc1 = 5.06950010616e-11 luc1 = -1.39256840605121e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.34 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.898813839137143+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.3068044933944e-8 ++ k1 = -0.0222895163119983 lk1 = 2.204591718607e-7 ++ k2 = 0.202818495717771 lk2 = -8.14763821175879e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 245506.818942857 lvsat = -0.030882748540287 ++ ua = -1.13216897211429e-09 lua = -2.30450002640694e-16 ++ ub = 1.08735504518285e-18 lub = 1.99841807801707e-25 ++ uc = -2.62990061896749e-11 luc = 5.52236210469693e-18 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0066230944657143 lu0 = -6.39262446214984e-10 ++ a0 = -0.657791148 la0 = 3.22105014730224e-7 ++ keta = -0.377120999460686 lketa = 8.34065450221371e-08 wketa = -2.11758236813575e-22 ++ a1 = 0.0 ++ a2 = 1.180686884028 la2 = -1.05826385517176e-7 ++ ags = -0.121673607082855 lags = 2.85291650189949e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.140191869549715+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -4.31150410239341e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.04344895699429+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 2.16500791394273e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.622334202767429 leta0 = -9.15816573536319e-8 ++ etab = 0.232611176185622 letab = -6.46770150810711e-08 wetab = -3.94978742494071e-23 petab = 3.94430452610506e-30 ++ dsub = 1.18577530834343 ldsub = -1.90115495140973e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.49734406318857 lpclm = -1.80212126729905e-7 ++ pdiblc1 = 1.00041477403886 lpdiblc1 = -1.70469798436594e-7 ++ pdiblc2 = 0.0188876887897257 lpdiblc2 = -3.09089864249907e-9 ++ pdiblcb = -0.494861204810126 lpdiblcb = 1.02884958568157e-7 ++ drout = -0.644450166046856 ldrout = 3.42025901135754e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.48238363300001e-09 lpscbe2 = 2.06858951077195e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.93867659484572 lbeta0 = -2.8245281943277e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.28185463423771e-09 lagidl = -1.86779137394967e-15 ++ bgidl = 1000000000.0 ++ cgidl = -650.010094571257 lcgidl = 0.000197590699549687 pcgidl = 5.16987882845642e-26 ++ egidl = 5.12714452301714 legidl = -1.04558573505329e-06 pegidl = 8.07793566946316e-28 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.344594081714287 lkt1 = -5.2705390132409e-8 ++ kt2 = 0.120707638971428 lkt2 = -5.04719568943895e-8 ++ at = 20233.0185142858 lat = 0.0100008911412507 ++ ute = -0.3 ++ ua1 = 4.01925318285714e-10 lua1 = -5.55962985396092e-17 ++ ub1 = 3.927e-19 ++ uc1 = 6.0045e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.35 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 2e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.631418774042189+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -7.86830097329121e-08 wvth0 = -4.96009807674038e-07 pvth0 = 1.03164087878508e-13 ++ k1 = 1.13537408832746 lk1 = -2.03209659410525e-08 wk1 = -5.23677343759238e-06 pk1 = 1.08918603373796e-12 ++ k2 = -0.168045627012562 lk2 = -4.34109495915141e-09 wk2 = 1.97427305956624e-06 pk2 = -4.10625105113063e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 429886.930109763 lvsat = -0.0692315991016693 wvsat = -0.258399834652221 pvsat = 5.37440648096462e-8 ++ ua = -2.38057911553099e-09 lua = 2.92043262682609e-17 wua = 4.70040942539538e-15 pua = -9.77628755569136e-22 ++ ub = 2.00636057944279e-18 lub = 8.69968474205221e-27 wub = -4.19933402305312e-24 pub = 8.73411084786774e-31 ++ uc = -5.15107532176243e-13 luc = 1.59620590721112e-19 wuc = 3.27130020037795e-18 puc = -6.80391186076209e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.000850276244919401 lu0 = 5.61414469891705e-10 wu0 = 1.63871372120596e-08 pu0 = -3.40832789446185e-15 ++ a0 = -2.15964664451502 la0 = 6.3447293573939e-07 wa0 = 9.83442953452796e-06 pa0 = -2.0454433300274e-12 ++ keta = -0.5449792734476 lketa = 1.18319051712127e-07 wketa = 1.86923819148773e-06 pketa = -3.88779112971151e-13 ++ a1 = 0.0 ++ a2 = -1.27188959606534 la2 = 4.04280091424477e-7 ++ ags = -12.7193944818877 lags = 2.90546641949885e-06 wags = 4.17041213249505e-05 pags = -8.6739567861338e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.21588387747846+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -2.73720116788496e-08 wvoff = 4.20079690912184e-07 pvoff = -8.73715347534432e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-1.37669570949875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 7.19861840288825e-07 wnfactor = -1.35914775374701e-06 pnfactor = 2.8268642300633e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -1.28630528404293 leta0 = 3.05392452229081e-07 weta0 = 1.74881101905496e-06 peta0 = -3.63731706231202e-13 ++ etab = -0.297163827087051 letab = 4.55098282996057e-08 wetab = -4.8852341788632e-07 petab = 1.0160700863934e-13 ++ dsub = 0.344676596942732 ldsub = -1.51770563541649e-08 wdsub = -1.05123836870656e-08 pdsub = 2.18644965830532e-15 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.83116724889235 lpclm = -4.57631343478062e-07 wpclm = -3.24768056850837e-06 ppclm = 6.75478586082918e-13 ++ pdiblc1 = 1.13779011954 lpdiblc1 = -1.99042221796686e-7 ++ pdiblc2 = 0.0253659711166133 lpdiblc2 = -4.43830362710378e-9 ++ pdiblcb = -0.294959646075795 lpdiblcb = 6.13078331701212e-08 wpdiblcb = 8.77427914045966e-07 ppdiblcb = -1.82494476986592e-13 ++ drout = 1.0 ++ pscbe1 = 580945406.108536 lpscbe1 = 45.560726874297 wpscbe1 = 653.963875977737 ppscbe1 = -0.000136016638636857 ++ pscbe2 = 5.92861474346898e-09 lpscbe2 = 7.38012234872975e-16 wpscbe2 = 9.14627315828109e-15 ppscbe2 = -1.90231506164457e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 16.4346727555795 lbeta0 = -1.63354206891147e-06 wbeta0 = -2.22216965356937e-06 pbeta0 = 4.62184621906578e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -9.79791607908776e-10 lagidl = 2.66507904661891e-16 wagidl = 4.35640262329887e-15 pagidl = -9.06079468814686e-22 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.196366452218316 lkt1 = -8.35349583360164e-08 wkt1 = 5.19043230150213e-07 pkt1 = -1.07954763352482e-13 ++ kt2 = -0.098872768856284 lkt2 = -4.80186703111902e-09 wkt2 = -6.89244397760454e-08 pkt2 = 1.433545638014e-14 ++ at = 105527.85395772 lat = -0.00773941109295823 wat = 0.425889739861059 pat = -8.85799552142221e-8 ++ ute = 0.731504505742421 lute = -2.14540559140355e-07 wute = -3.07944550570828e-06 pute = 6.40487711841254e-13 ++ ua1 = 1.40038431799035e-10 lua1 = -1.1269687930177e-18 wua1 = -1.61761440290717e-17 pua1 = 3.3644438443184e-24 ++ ub1 = 2.86333827945026e-19 lub1 = 2.21228873933699e-26 wub1 = 3.17544740396779e-25 pub1 = -6.60454954656448e-32 ++ uc1 = -5.92675497788958e-10 luc1 = 1.2339427782873e-16 wuc1 = 1.10900126910266e-15 puc1 = -2.30658955958124e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.36 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0477765+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.42302944 ++ k2 = 0.021354664 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 53438.0 ++ ua = -1.9377487e-10 ++ ub = 7.2417962e-19 ++ uc = -9.8608028e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0115625 ++ a0 = 1.109385 ++ keta = 4.9707517e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.18205713 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25255658+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.745015+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.14877095 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00019189 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 757128280.0 ++ pscbe2 = 9.873241e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.7815831e-11 ++ alpha1 = 6.3056523e-17 ++ beta0 = 9.6797043 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1352540500.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.4443203 ++ kt2 = -0.052664618 ++ at = 90000.0 ++ ute = -0.17124159 ++ ua1 = 2.1098632e-9 ++ ub1 = -7.3847396e-19 ++ uc1 = 1.1059776e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.37 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0477765+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.42302944 ++ k2 = 0.021354664 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 53438.0 ++ ua = -1.9377487e-10 ++ ub = 7.2417962e-19 ++ uc = -9.8608028e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0115625 ++ a0 = 1.109385 ++ keta = 4.9707517e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.18205713 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25255658+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.745015+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.14877095 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00019189 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 757128280.0 ++ pscbe2 = 9.873241e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.7815831e-11 ++ alpha1 = 6.3056523e-17 ++ beta0 = 9.6797043 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1352540500.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.4443203 ++ kt2 = -0.052664618 ++ at = 90000.0 ++ ute = -0.17124159 ++ ua1 = 2.1098632e-9 ++ ub1 = -7.3847396e-19 ++ uc1 = 1.1059776e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.38 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05272902201567+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.97587873115384e-8 ++ k1 = 0.39038913267053 lk1 = 2.62035995557296e-7 ++ k2 = 0.034157405339651 lk2 = -1.02780253841822e-07 pk2 = -2.01948391736579e-28 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 77219.1052074048 lvsat = -0.190914427231785 ++ ua = -1.0058910972481e-10 lua = -7.48094165260102e-16 ++ ub = 6.7005208117432e-19 lub = 4.34535232162091e-25 ++ uc = -1.12073391491597e-10 luc = 1.08099776526179e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0118225066254 lu0 = -2.08733006863173e-9 ++ a0 = 1.126123304134 la0 = -1.34374904728107e-7 ++ keta = 0.00976751116514225 lketa = -7.83735572886293e-08 wketa = -2.42984304937452e-24 pketa = 2.89906382668722e-29 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.0153405696244495 lags = 1.33839854609619e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25352266271189+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.75570041805986e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.8016792246885+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.54899715828579e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.11702082281515 lpclm = 2.13377316265875e-06 ppclm = -1.61558713389263e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00016861829933 lpdiblc2 = 1.86824933718352e-10 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 713956586.575159 lpscbe1 = 346.581836754289 ++ pscbe2 = 1.02377817685766e-08 lpscbe2 = -2.92652891564369e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.1094013061788e-11 lalpha0 = -2.63172062538494e-17 ++ alpha1 = -8.91472829004879e-13 lalpha1 = 7.15723939058718e-18 walpha1 = -1.97483050938144e-35 palpha1 = -5.49147568432238e-39 ++ beta0 = 16.4061464909871 lbeta0 = -5.39997971919381e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.40112463860699e-11 lagidl = 2.88917282147586e-16 ++ bgidl = 1707547725.8785 lbgidl = -2849.99374926589 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.43571310391217 lkt1 = -6.90984669067463e-8 ++ kt2 = -0.0539102904079489 lkt2 = 1.00002431429453e-8 ++ at = 133983.88685519 lat = -0.353102115866823 ++ ute = -0.18700153612868 lute = 1.26520658401691e-7 ++ ua1 = 2.0378836586382e-09 lua1 = 5.77850894298026e-16 ++ ub1 = -5.52464897949799e-19 lub1 = -1.49327851803027e-24 ++ uc1 = 3.5322306333165e-10 luc1 = -1.94779302364285e-15 wuc1 = -3.94430452610506e-31 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.39 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05054181799566+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.09487557653857e-8 ++ k1 = 0.396875640134181 lk1 = 2.35908421331805e-7 ++ k2 = 0.0302709592108142 lk2 = -8.71256954722211e-08 pk2 = 5.04870979341448e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 35103.256068578 lvsat = -0.0212722922907793 ++ ua = 2.3768542641842e-10 lua = -2.1106599375506e-15 pua = -1.50463276905253e-36 ++ ub = 5.0252413465642e-19 lub = 1.10933579040084e-24 ++ uc = -1.06253877880466e-10 luc = 8.46588455347064e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0136502765184 lu0 = -9.44956526439697e-9 ++ a0 = 1.257725627884 la0 = -6.64467485565218e-7 ++ keta = 0.00257644639341079 lketa = -4.94080346808724e-08 pketa = -5.04870979341448e-29 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.0972348419698204 lags = 1.00852939982031e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25972833662654+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.27520804781846e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.7996233243084+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.46618573768347e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.409607673771981 lpclm = 1.25198979477494e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000215 ++ pdiblcb = -0.0489934662229869 lpdiblcb = 9.66453940245971e-8 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.9958877548046e-09 lpscbe2 = -1.95218273089831e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.18140484291439e-11 lalpha0 = 1.46515950534887e-16 ++ alpha1 = -9.96162012334852e-11 lalpha1 = 4.04819260707094e-16 walpha1 = -8.62816615085482e-32 palpha1 = 3.70280720509021e-37 ++ beta0 = -0.565983882178799 lbeta0 = 1.43637402856096e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.122402409449e-10 lagidl = 9.46514708125545e-17 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.43080083823386 lkt1 = -8.88850141117899e-8 ++ kt2 = -0.046587403054394 lkt2 = -1.94962592425257e-8 ++ at = 65684.68564562 lat = -0.0779937529850898 wat = 1.11022302462516e-16 ++ ute = 0.748393474846899 lute = -3.64123922106781e-06 pute = -1.61558713389263e-27 ++ ua1 = 4.5715420331244e-09 lua1 = -9.62769463423188e-15 ++ ub1 = -2.48259222055664e-18 lub1 = 6.28125117590222e-24 pub1 = -5.60519385729927e-45 ++ uc1 = -2.7275176299186e-10 luc1 = 5.73626065090336e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.40 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0570229462+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.40924059902455e-8 ++ k1 = 0.5465315097348 lk1 = -6.75918863478176e-8 ++ k2 = -0.0273837315122164 lk2 = 2.97973254577963e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -31079.385260264 lvsat = 0.112945310132416 ++ ua = -2.33490700540761e-10 lua = -1.15512040619091e-15 ++ ub = 7.19732859340241e-19 lub = 6.6883910324675e-25 ++ uc = -9.9883306685304e-11 luc = 7.17394035977723e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0114022570492 lu0 = -4.89060875709301e-9 ++ a0 = 0.805106554816001 la0 = 2.53438563187809e-7 ++ keta = -0.0360500734855628 lketa = 2.89260841154475e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.54733541111568 lags = 9.57308467993341e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.23543979096316+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.65047986646032e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.8160174582484+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.79865680669059e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.34147508 leta0 = 8.54746404539041e-07 weta0 = 2.11758236813575e-22 peta0 = 2.01948391736579e-28 ++ etab = 23.269005741064 letab = -4.73312235748089e-05 wetab = 9.10560418298373e-21 petab = 2.24162714827603e-26 ++ dsub = 0.868396400000001 ldsub = -6.254241984432e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.19864536932944 lpclm = 4.40348919809568e-7 ++ pdiblc1 = 0.40428784073392 lpdiblc1 = -2.89755695543016e-8 ++ pdiblc2 = -6.01742000000014e-06 lpdiblc2 = 4.4822067555096e-10 ++ pdiblcb = -0.00191392161550472 lpdiblcb = 1.16864251515819e-9 ++ drout = 0.355008049410081 ldrout = 4.1572121589295e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.07457079057876e-08 lpscbe2 = -3.47280899924997e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -5.21477910836032e-13 lalpha0 = 1.03334873034605e-16 ++ alpha1 = 1.0e-10 ++ beta0 = 4.0903966569572 lbeta0 = 4.9206564288083e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.1947453256592e-10 lagidl = -1.22818385783375e-16 ++ bgidl = 796023845.472403 lbgidl = 413.661193668118 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.500370819520001 lkt1 = 5.22020730967264e-8 ++ kt2 = -0.0623750959280001 lkt2 = 1.25209924528329e-8 ++ at = -11392.425196 lat = 0.0783177028763857 ++ ute = -1.705269317 lute = 1.3347594768442e-6 ++ ua1 = -6.1588998352e-10 lua1 = 8.92355246338758e-16 pua1 = 7.52316384526264e-37 ++ ub1 = 7.19719533960001e-19 lub1 = -2.12998634516473e-25 ++ uc1 = -7.6614983716e-11 luc1 = 1.75863030360243e-16 wuc1 = 2.46519032881566e-32 puc1 = -4.70197740328915e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.41 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0394843501744+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.6062939739082e-8 ++ k1 = 0.512557904315759 lk1 = -3.26674276603094e-8 ++ k2 = -0.014409803791552 lk2 = 1.6460283448086e-08 wk2 = 1.32348898008484e-23 pk2 = 9.46633086265214e-30 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 67062.0196269759 lvsat = 0.0120571236051922 ++ ua = -1.51159748745824e-09 lua = 1.5875803347882e-16 ++ ub = 1.4650061637508e-18 lub = -9.72929104076575e-26 ++ uc = -5.43216105211752e-11 luc = 2.49025266814019e-17 puc = -4.70197740328915e-38 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00619974835504 lu0 = 4.57507750399149e-10 ++ a0 = 1.10079540292 la0 = -5.05260243969249e-8 ++ keta = -0.0031753453814264 lketa = -4.86874187886743e-9 ++ a1 = 0.0 ++ a2 = 0.756502120450639 la2 = 4.47152982021876e-8 ++ ags = 0.258074602212239 lags = 3.93087487222364e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.24686093148736+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.76400325941179e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.1694703716344+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.84776965805098e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = -46.8214378193087 letab = 2.47209113199315e-5 ++ dsub = 0.22903159248344 ldsub = 3.18351513061336e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.63140089233904 lpclm = -4.51856477802572e-9 ++ pdiblc1 = 0.5735410582276 lpdiblc1 = -2.02965846099194e-7 ++ pdiblc2 = 0.000263774678414079 lpdiblc2 = 1.70877635886466e-10 ++ pdiblcb = 0.235996908122957 lpdiblcb = -2.43400835526024e-07 wpdiblcb = -1.62127400060393e-22 ppdiblcb = -4.10207670714926e-29 ++ drout = 0.50535446117984 ldrout = 2.61166908750579e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 5.30217279517598e-09 lpscbe2 = 2.12307977203741e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.3394846512288 lbeta0 = 5.52644959753008e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.35797120242402e-11 lagidl = 1.16758581004374e-16 ++ bgidl = 1407952309.0552 lbgidl = -215.393923753436 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.38742469288 lkt1 = -6.39051897356744e-8 ++ kt2 = -0.0449225118319999 lkt2 = -5.42005456684598e-9 ++ at = 55197.3460879999 lat = 0.00986421707368906 ++ ute = -0.501064178719999 lute = 9.68510451540152e-8 ++ ua1 = -6.88889430400008e-11 lua1 = 3.30044740737803e-16 pua1 = -3.76158192263132e-37 ++ ub1 = 6.1300667616e-19 lub1 = -1.03299097252366e-25 ++ uc1 = 1.6852615664e-10 luc1 = -7.61391202320403e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.42 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.9993792675712+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 4.88793738558286e-9 ++ k1 = 0.0947761652936006 lk1 = 1.87916317162523e-7 ++ k2 = 0.135789416375152 lk2 = -6.28431024092917e-08 wk2 = -5.29395592033938e-23 pk2 = -2.52435489670724e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 40399.4567200001 lvsat = 0.0261346368693206 ++ ua = -3.76671639473921e-10 lua = -4.40469195146724e-16 ++ ub = 6.96397207507204e-19 lub = 3.08523395181489e-25 ++ uc = -7.960743145976e-12 luc = 4.24545037705176e-19 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.01011608858704 lu0 = -1.61027289601407e-9 ++ a0 = 1.56573507888 la0 = -2.96008594027693e-7 ++ keta = 0.059533124959296 lketa = -3.79780617171248e-08 pketa = 1.26217744835362e-29 ++ a1 = 0.0 ++ a2 = 0.886995759098721 la2 = -2.41837770803352e-8 ++ ags = 1.111519731164 lags = -5.75212995226182e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.21206765718896+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -2.31344345696753e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.1827048333296+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.77789328843571e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.709177347978882 leta0 = -1.15723009604673e-7 ++ etab = -0.000928367896226465 letab = 2.44175699153739e-10 ++ dsub = 0.0529810899339203 ldsub = 1.24787704046249e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.371287899434082 lpclm = 1.32817974119879e-7 ++ pdiblc1 = -0.0311062144665599 lpdiblc1 = 1.1628065811605e-7 ++ pdiblc2 = -0.00739801875194495 lpdiblc2 = 4.21621262559487e-09 wpdiblc2 = 6.61744490042422e-24 ++ pdiblcb = -0.3364671278616 lpdiblcb = 5.88533059053906e-8 ++ drout = 1.46044604649312 ldrout = -2.4310998719581e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.43081427747681e-09 lpscbe2 = -5.67933869196181e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.90165108788321 lbeta0 = -2.72160172803277e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.41145670440272e-09 lagidl = 1.3828088584563e-15 wagidl = 1.57772181044202e-30 pagidl = 3.76158192263132e-37 ++ bgidl = 1000000000.0 ++ cgidl = 566.002826479952 lcgidl = -0.000140446300347497 pcgidl = 2.06795153138257e-25 ++ egidl = -1.3076004664448 legidl = 7.43196155077258e-07 wegidl = -4.2351647362715e-22 pegidl = -4.03896783473158e-28 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.479849475040001 lkt1 = -1.51060138525806e-8 ++ kt2 = -0.048887679968 lkt2 = -3.32649337305563e-9 ++ at = 93529.3037919999 lat = -0.0103745966105305 ++ ute = -0.337233713760001 lute = 1.03505256207148e-8 ++ ua1 = 9.5015235456e-10 lua1 = -2.07996835899425e-16 wua1 = -1.57772181044202e-30 ++ ub1 = 4.44780736320002e-19 lub1 = -1.4477819728124e-26 ++ uc1 = 5.06950010616e-11 luc1 = -1.39256840605121e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.43 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.898813839137144+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.3068044933944e-8 ++ k1 = -0.022289516312 lk1 = 2.20459171860702e-7 ++ k2 = 0.202818495717771 lk2 = -8.14763821175879e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 245506.818942857 lvsat = -0.0308827485402871 ++ ua = -1.1321689721143e-09 lua = -2.30450002640695e-16 ++ ub = 1.08735504518286e-18 lub = 1.99841807801708e-25 ++ uc = -2.62990061896749e-11 luc = 5.52236210469694e-18 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0066230944657143 lu0 = -6.39262446214982e-10 ++ a0 = -0.657791147999998 la0 = 3.22105014730224e-7 ++ keta = -0.377120999460686 lketa = 8.34065450221371e-08 wketa = -4.2351647362715e-22 pketa = -1.0097419586829e-28 ++ a1 = 0.0 ++ a2 = 1.180686884028 la2 = -1.05826385517176e-7 ++ ags = -0.121673607082858 lags = 2.8529165018995e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.140191869549716+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -4.31150410239343e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.04344895699429+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 2.16500791394272e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.62233420276743 leta0 = -9.1581657353632e-8 ++ etab = 0.232611176185622 letab = -6.46770150810712e-08 wetab = -1.3648480107125e-23 petab = 4.52115906304792e-29 ++ dsub = 1.18577530834343 ldsub = -1.90115495140973e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.49734406318857 lpclm = -1.80212126729905e-7 ++ pdiblc1 = 1.00041477403886 lpdiblc1 = -1.70469798436594e-7 ++ pdiblc2 = 0.0188876887897257 lpdiblc2 = -3.09089864249907e-9 ++ pdiblcb = -0.494861204810126 lpdiblcb = 1.02884958568157e-7 ++ drout = -0.644450166046855 ldrout = 3.42025901135754e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.48238363300005e-09 lpscbe2 = 2.06858951077198e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.93867659484576 lbeta0 = -2.82452819432763e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.28185463423772e-09 lagidl = -1.86779137394967e-15 ++ bgidl = 1000000000.0 ++ cgidl = -650.010094571256 lcgidl = 0.000197590699549687 wcgidl = -4.33680868994202e-19 pcgidl = 1.03397576569128e-25 ++ egidl = 5.12714452301714 legidl = -1.04558573505329e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.344594081714286 lkt1 = -5.27053901324093e-8 ++ kt2 = 0.120707638971428 lkt2 = -5.04719568943896e-8 ++ at = 20233.0185142858 lat = 0.0100008911412507 ++ ute = -0.3 ++ ua1 = 4.01925318285714e-10 lua1 = -5.55962985396093e-17 ++ ub1 = 3.927e-19 ++ uc1 = 6.0045e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.44 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05499365499774+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 9.41548260727043e-09 wvth0 = 3.44952457091043e-07 pvth0 = -7.17459716454515e-14 ++ k1 = -5.8336075143807 lk1 = 1.42914357964301e-06 wk1 = 8.5993882783679e-06 pk1 = -1.78856956924118e-12 ++ k2 = 2.57411961054937 lk2 = -5.74678558389182e-07 wk2 = -3.47000041420037e-06 pk2 = 7.21718446148706e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 185246.718400904 lvsat = -0.0183493707487674 wvsat = 0.227306933480894 pvsat = -4.72771144808239e-8 ++ ua = 3.21091545248878e-09 lua = -1.13375944594503e-15 wua = -6.40090027629346e-15 pua = 1.33131044666572e-21 ++ ub = -3.40841716984992e-18 lub = 1.13490847926194e-24 wub = 6.55112348512614e-24 pub = -1.36255507142442e-30 ++ uc = 7.50245319750923e-13 luc = -1.03557618245515e-19 wuc = 7.59078517914002e-19 puc = -1.57879222783897e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0145039113545784 lu0 = -2.27837778929605e-09 wu0 = -1.07206834363035e-08 pu0 = 2.2297735065499e-15 ++ a0 = 10.1659127171841 la0 = -1.92909550478169e-06 wa0 = -1.46366398828265e-05 pa0 = 3.04424545594931e-12 ++ keta = 2.45759646241143 lketa = -5.06180670437721e-07 wketa = -4.09205225439605e-06 pketa = 8.51097764287326e-13 ++ a1 = 0.0 ++ a2 = -1.27188959606533 la2 = 4.04280091424477e-7 ++ ags = 44.9043577558987 lags = -9.07958256093386e-06 wags = -7.27016269026832e-05 pags = 1.51210659762353e-11 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {0.677644819184748+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -2.13215258240437e-07 wvoff = -1.35392521391912e-06 pvoff = 2.8160019739261e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-4.66791149869223+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.4043952298516e-06 wnfactor = 5.17520640263463e-06 pnfactor = -1.07638082927116e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.211640034146233 leta0 = -6.16219861044704e-09 weta0 = -1.22519793170433e-06 peta0 = 2.5482646741932e-13 ++ etab = -1.634369859887 letab = 3.23632636649601e-07 wetab = 2.16635500942764e-06 petab = -4.50575845700837e-13 ++ dsub = 0.330151342033462 ldsub = -1.21559776360958e-08 wdsub = 1.83259441128108e-08 pdsub = -3.81157646413499e-15 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.526166193498042 lpclm = 2.40653724537832e-07 wpclm = 3.41794306081267e-06 ppclm = -7.10891141332306e-13 ++ pdiblc1 = 1.13779011954 lpdiblc1 = -1.99042221796686e-7 ++ pdiblc2 = 0.0253659711166133 lpdiblc2 = -4.43830362710378e-9 ++ pdiblcb = 0.918160911621315 lpdiblcb = -1.91006685384185e-07 wpdiblcb = -1.53109217886553e-06 ppdiblcb = 3.18448800097883e-13 ++ drout = 1.0 ++ pscbe1 = 1484545605.91082 lpscbe1 = -142.377271482178 wpscbe1 = -1140.03691262814 ppscbe1 = 0.000237113997383701 ++ pscbe2 = 1.12801103999521e-08 lpscbe2 = -3.75034643727644e-16 wpscbe2 = -1.47854457643429e-15 ppscbe2 = 3.07519529363411e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 18.161524987624 lbeta0 = -1.99270661094992e-06 wbeta0 = -5.65064860562293e-06 pbeta0 = 1.17526710218632e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.36463543920016e-09 lagidl = -1.0530567880122e-15 wagidl = -8.23977334950025e-15 pagidl = 1.71377397941586e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.0650646293333352 lkt1 = -1.37909486125781e-7 ++ kt2 = -0.133588549333332 lkt2 = 2.41859871874132e-9 ++ at = 731816.038326358 lat = -0.137999837983423 wat = -0.817537936336597 pat = 1.70038080302776e-7 ++ ute = -0.819546972000001 lute = 1.08059535612336e-7 ++ ua1 = 1.04739583685367e-09 lua1 = -1.89846420755521e-16 wua1 = -1.81763645863678e-15 pua1 = 3.78046571758947e-22 ++ ub1 = -9.47109584890814e-21 lub1 = 8.36467618834228e-26 wub1 = 9.04833528818852e-25 pub1 = -1.88194515991975e-31 ++ uc1 = -3.40950474866667e-11 luc1 = 7.21624713125683e-18 wuc1 = 1.23259516440783e-32 puc1 = 5.87747175411144e-39 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.45 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0477765+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.42302944 ++ k2 = 0.021354664 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 53438.0 ++ ua = -1.9377487e-10 ++ ub = 7.2417962e-19 ++ uc = -9.8608028e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0115625 ++ a0 = 1.109385 ++ keta = 4.9707517e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.18205713 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25255658+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.745015+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.14877095 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00019189 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 757128280.0 ++ pscbe2 = 9.873241e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.7815831e-11 ++ alpha1 = 6.3056523e-17 ++ beta0 = 9.6797043 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1352540500.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.4443203 ++ kt2 = -0.052664618 ++ at = 90000.0 ++ ute = -0.17124159 ++ ua1 = 2.1098632e-9 ++ ub1 = -7.3847396e-19 ++ uc1 = 1.1059776e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.46 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0477765+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.42302944 ++ k2 = 0.021354664 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 53438.0 ++ ua = -1.9377487e-10 ++ ub = 7.2417962e-19 ++ uc = -9.8608028e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0115625 ++ a0 = 1.109385 ++ keta = 4.9707517e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.18205713 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25255658+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.745015+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.14877095 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00019189 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 757128280.0 ++ pscbe2 = 9.873241e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.7815831e-11 ++ alpha1 = 6.3056523e-17 ++ beta0 = 9.6797043 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1352540500.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.4443203 ++ kt2 = -0.052664618 ++ at = 90000.0 ++ ute = -0.17124159 ++ ua1 = 2.1098632e-9 ++ ub1 = -7.3847396e-19 ++ uc1 = 1.1059776e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.47 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05272902201568+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.97587873115384e-8 ++ k1 = 0.390389132670528 lk1 = 2.62035995557316e-7 ++ k2 = 0.0341574053396512 lk2 = -1.02780253841821e-7 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 77219.1052074051 lvsat = -0.190914427231782 ++ ua = -1.00589109724807e-10 lua = -7.48094165260124e-16 ++ ub = 6.70052081174317e-19 lub = 4.34535232162091e-25 ++ uc = -1.12073391491597e-10 luc = 1.08099776526176e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0118225066254001 lu0 = -2.08733006863163e-9 ++ a0 = 1.12612330413401 la0 = -1.3437490472808e-7 ++ keta = 0.00976751116514214 lketa = -7.83735572886297e-08 wketa = -3.6189151799195e-24 pketa = -3.7392006907476e-28 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.0153405696244491 lags = 1.33839854609618e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.253522662711887+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.75570041807003e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.80167922468848+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.54899715828525e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.117020822815149 lpclm = 2.13377316265876e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000168618299329997 lpdiblc2 = 1.86824933718349e-10 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 713956586.57515 lpscbe1 = 346.58183675434 ++ pscbe2 = 1.02377817685768e-08 lpscbe2 = -2.92652891564308e-15 wpscbe2 = -2.01948391736579e-28 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 2.10940130617878e-11 lalpha0 = -2.63172062538492e-17 walpha0 = -3.94430452610506e-31 ++ alpha1 = -8.91472829004876e-13 lalpha1 = 7.15723939058718e-18 walpha1 = -1.2172479101635e-33 palpha1 = -3.5431282361455e-38 ++ beta0 = 16.4061464909871 lbeta0 = -5.39997971919382e-05 pbeta0 = 8.27180612553028e-25 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.40112463860703e-11 lagidl = 2.8891728214758e-16 ++ bgidl = 1707547725.87851 lbgidl = -2849.99374926591 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.435713103912178 lkt1 = -6.90984669067768e-8 ++ kt2 = -0.0539102904079494 lkt2 = 1.0000243142947e-8 ++ at = 133983.886855191 lat = -0.353102115866825 ++ ute = -0.187001536128683 lute = 1.26520658401692e-7 ++ ua1 = 2.03788365863822e-09 lua1 = 5.77850894298115e-16 ++ ub1 = -5.52464897949789e-19 lub1 = -1.49327851803024e-24 ++ uc1 = 3.53223063331649e-10 luc1 = -1.94779302364286e-15 wuc1 = 3.15544362088405e-30 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.48 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05054181799566+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.09487557653687e-8 ++ k1 = 0.396875640134176 lk1 = 2.35908421331797e-7 ++ k2 = 0.030270959210814 lk2 = -8.7125695472221e-08 pk2 = -8.07793566946316e-28 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 35103.256068578 lvsat = -0.0212722922907789 ++ ua = 2.37685426418416e-10 lua = -2.1106599375506e-15 pua = -1.20370621524202e-35 ++ ub = 5.02524134656426e-19 lub = 1.10933579040083e-24 ++ uc = -1.06253877880465e-10 luc = 8.46588455347068e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0136502765183999 lu0 = -9.44956526439708e-9 ++ a0 = 1.25772562788401 la0 = -6.64467485565279e-7 ++ keta = 0.00257644639341081 lketa = -4.94080346808722e-08 pketa = 4.03896783473158e-28 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.0972348419698292 lags = 1.00852939982032e-6 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.259728336626541+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.27520804781854e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.79962332430839+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.46618573768354e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.409607673771973 lpclm = 1.25198979477443e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000215 ++ pdiblcb = -0.0489934662229872 lpdiblcb = 9.66453940245963e-8 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.99588775480453e-09 lpscbe2 = -1.95218273089831e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.18140484291439e-11 lalpha0 = 1.46515950534886e-16 ++ alpha1 = -9.96162012334844e-11 lalpha1 = 4.04819260707093e-16 walpha1 = -6.90253292068385e-31 palpha1 = 1.88079096131566e-36 ++ beta0 = -0.565983882178841 lbeta0 = 1.43637402856095e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.12240240944903e-10 lagidl = 9.46514708125518e-17 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.430800838233857 lkt1 = -8.88850141118034e-8 ++ kt2 = -0.046587403054394 lkt2 = -1.94962592425255e-8 ++ at = 65684.6856456203 lat = -0.0779937529850887 ++ ute = 0.748393474846893 lute = -3.64123922106781e-06 pute = 1.29246970711411e-26 ++ ua1 = 4.57154203312436e-09 lua1 = -9.62769463423185e-15 ++ ub1 = -2.48259222055661e-18 lub1 = 6.2812511759022e-24 ++ uc1 = -2.72751762991859e-10 luc1 = 5.73626065090341e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.49 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.05702294619999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.40924059902506e-8 ++ k1 = 0.546531509734791 lk1 = -6.75918863478201e-8 ++ k2 = -0.0273837315122161 lk2 = 2.97973254577964e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -31079.3852602639 lvsat = 0.112945310132417 ++ ua = -2.3349070054076e-10 lua = -1.1551204061909e-15 ++ ub = 7.19732859340232e-19 lub = 6.68839103246744e-25 ++ uc = -9.98833066853036e-11 luc = 7.17394035977729e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0114022570491998 lu0 = -4.89060875709305e-9 ++ a0 = 0.805106554815993 la0 = 2.53438563187813e-7 ++ keta = -0.0360500734855624 lketa = 2.89260841154472e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.547335411115668 lags = 9.57308467993442e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.235439790963159+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.65047986646045e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.81601745824841+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.79865680669056e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.341475080000002 leta0 = 8.54746404539044e-07 weta0 = -1.6940658945086e-21 peta0 = -3.23117426778526e-27 ++ etab = 23.269005741064 letab = -4.73312235748095e-05 wetab = -5.59041745187838e-20 petab = -2.73034225627855e-25 ++ dsub = 0.868396400000002 ldsub = -6.25424198443206e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.198645369329434 lpclm = 4.40348919809558e-7 ++ pdiblc1 = 0.404287840733915 lpdiblc1 = -2.89755695542991e-8 ++ pdiblc2 = -6.01742000000058e-06 lpdiblc2 = 4.48220675550961e-10 ++ pdiblcb = -0.00191392161550472 lpdiblcb = 1.16864251515819e-9 ++ drout = 0.355008049410081 ldrout = 4.15721215892958e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.07457079057877e-08 lpscbe2 = -3.47280899924986e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -5.21477910836549e-13 lalpha0 = 1.03334873034604e-16 ++ alpha1 = 1.0e-10 ++ beta0 = 4.09039665695707 lbeta0 = 4.92065642880839e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.1947453256592e-10 lagidl = -1.22818385783377e-16 ++ bgidl = 796023845.472382 lbgidl = 413.661193668115 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.500370819520001 lkt1 = 5.22020730967256e-8 ++ kt2 = -0.0623750959280001 lkt2 = 1.25209924528334e-8 ++ at = -11392.4251960004 lat = 0.0783177028763866 ++ ute = -1.70526931699999 lute = 1.3347594768442e-06 pute = -2.58493941422821e-26 ++ ua1 = -6.1588998352e-10 lua1 = 8.92355246338761e-16 pua1 = 6.01853107621011e-36 ++ ub1 = 7.19719533959987e-19 lub1 = -2.12998634516469e-25 ++ uc1 = -7.66149837160004e-11 luc1 = 1.75863030360244e-16 wuc1 = 3.94430452610506e-31 puc1 = 1.1284745767894e-36 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.50 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.03948435017439+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.6062939739076e-8 ++ k1 = 0.512557904315756 lk1 = -3.26674276603115e-8 ++ k2 = -0.0144098037915521 lk2 = 1.64602834480861e-08 wk2 = 1.05879118406788e-22 pk2 = 2.52435489670724e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 67062.0196269751 lvsat = 0.0120571236051932 ++ ua = -1.51159748745826e-09 lua = 1.5875803347882e-16 ++ ub = 1.46500616375079e-18 lub = -9.7292910407656e-26 ++ uc = -5.43216105211753e-11 luc = 2.49025266814022e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00619974835504 lu0 = 4.57507750399142e-10 ++ a0 = 1.10079540292 la0 = -5.05260243969206e-8 ++ keta = -0.00317534538142628 lketa = -4.86874187886741e-9 ++ a1 = 0.0 ++ a2 = 0.756502120450648 la2 = 4.47152982021884e-8 ++ ags = 0.258074602212233 lags = 3.93087487222356e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.246860931487362+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -4.76400325941179e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.16947037163436+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.84776965805099e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = -46.8214378193088 letab = 2.47209113199316e-5 ++ dsub = 0.229031592483441 ldsub = 3.18351513061334e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.63140089233903 lpclm = -4.51856477802742e-9 ++ pdiblc1 = 0.573541058227605 lpdiblc1 = -2.02965846099194e-7 ++ pdiblc2 = 0.000263774678414083 lpdiblc2 = 1.70877635886466e-10 ++ pdiblcb = 0.235996908122957 lpdiblcb = -2.43400835526024e-07 wpdiblcb = -1.16467030247466e-21 ppdiblcb = -1.76704842769507e-28 ++ drout = 0.505354461179834 ldrout = 2.61166908750577e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 5.30217279517591e-09 lpscbe2 = 2.12307977203742e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.33948465122864 lbeta0 = 5.5264495975294e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.35797120242392e-11 lagidl = 1.16758581004374e-16 ++ bgidl = 1407952309.05522 lbgidl = -215.393923753436 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.387424692880003 lkt1 = -6.39051897356731e-8 ++ kt2 = -0.0449225118319996 lkt2 = -5.4200545668464e-9 ++ at = 55197.3460880006 lat = 0.00986421707368956 ++ ute = -0.501064178720007 lute = 9.68510451540169e-8 ++ ua1 = -6.88889430399988e-11 lua1 = 3.30044740737801e-16 ++ ub1 = 6.13006676160004e-19 lub1 = -1.03299097252362e-25 ++ uc1 = 1.68526156640002e-10 luc1 = -7.61391202320401e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.51 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.999379267571214+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 4.88793738558752e-9 ++ k1 = 0.0947761652936023 lk1 = 1.87916317162521e-7 ++ k2 = 0.135789416375152 lk2 = -6.28431024092917e-08 pk2 = 3.02922587604869e-28 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 40399.4567200001 lvsat = 0.0261346368693203 ++ ua = -3.76671639473904e-10 lua = -4.40469195146719e-16 ++ ub = 6.96397207507188e-19 lub = 3.08523395181492e-25 ++ uc = -7.96074314597594e-12 luc = 4.2454503770517e-19 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0101160885870401 lu0 = -1.61027289601409e-9 ++ a0 = 1.56573507888001 la0 = -2.96008594027696e-7 ++ keta = 0.0595331249592963 lketa = -3.79780617171248e-08 pketa = -1.0097419586829e-28 ++ a1 = 0.0 ++ a2 = 0.88699575909871 la2 = -2.41837770803373e-8 ++ ags = 1.11151973116399 lags = -5.7521299522622e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.21206765718896+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -2.31344345696754e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.18270483332961+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.77789328843567e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.709177347978887 leta0 = -1.15723009604668e-7 ++ etab = -0.000928367896226467 letab = 2.44175699153738e-10 ++ dsub = 0.0529810899339225 ldsub = 1.24787704046247e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.371287899434066 lpclm = 1.3281797411988e-7 ++ pdiblc1 = -0.0311062144665613 lpdiblc1 = 1.16280658116051e-7 ++ pdiblc2 = -0.00739801875194496 lpdiblc2 = 4.21621262559486e-09 wpdiblc2 = 3.97046694025453e-23 ppdiblc2 = -6.31088724176809e-30 ++ pdiblcb = -0.336467127861599 lpdiblcb = 5.88533059053909e-8 ++ drout = 1.46044604649313 ldrout = -2.43109987195808e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.4308142774766e-09 lpscbe2 = -5.67933869196308e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.90165108788301 lbeta0 = -2.72160172803244e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.4114567044027e-09 lagidl = 1.38280885845631e-15 wagidl = -1.26217744835362e-29 pagidl = 9.02779661431517e-36 ++ bgidl = 1000000000.0 ++ cgidl = 566.002826479955 lcgidl = -0.000140446300347498 ++ egidl = -1.3076004664448 legidl = 7.43196155077257e-07 wegidl = 3.3881317890172e-21 pegidl = 6.46234853557053e-27 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.479849475039998 lkt1 = -1.51060138525791e-8 ++ kt2 = -0.0488876799679998 lkt2 = -3.32649337305565e-9 ++ at = 93529.3037919998 lat = -0.0103745966105304 ++ ute = -0.33723371376 lute = 1.03505256207158e-8 ++ ua1 = 9.50152354559999e-10 lua1 = -2.07996835899423e-16 ++ ub1 = 4.44780736319999e-19 lub1 = -1.44778197281249e-26 ++ uc1 = 5.06950010616002e-11 luc1 = -1.3925684060512e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.52 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.898813839137148+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.30680449339414e-8 ++ k1 = -0.0222895163119858 lk1 = 2.20459171860698e-7 ++ k2 = 0.202818495717768 lk2 = -8.14763821175869e-08 pk2 = 8.07793566946316e-28 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 245506.81894286 lvsat = -0.0308827485402867 ++ ua = -1.13216897211434e-09 lua = -2.304500026407e-16 ++ ub = 1.08735504518291e-18 lub = 1.99841807801707e-25 ++ uc = -2.6299006189675e-11 luc = 5.52236210469693e-18 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0066230944657143 lu0 = -6.39262446215005e-10 ++ a0 = -0.657791147999973 la0 = 3.22105014730227e-7 ++ keta = -0.377120999460683 lketa = 8.3406545022137e-08 wketa = -3.3881317890172e-21 ++ a1 = 0.0 ++ a2 = 1.18068688402801 la2 = -1.05826385517179e-7 ++ ags = -0.121673607082812 lags = 2.85291650189955e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.140191869549724+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -4.31150410239358e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.04344895699438+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 2.16500791394274e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.622334202767433 leta0 = -9.15816573536328e-8 ++ etab = 0.232611176185621 letab = -6.46770150810708e-08 wetab = -2.18375681713999e-22 petab = 7.09974814698911e-29 ++ dsub = 1.18577530834344 ldsub = -1.90115495140974e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.49734406318856 lpclm = -1.802121267299e-7 ++ pdiblc1 = 1.00041477403886 lpdiblc1 = -1.70469798436593e-7 ++ pdiblc2 = 0.0188876887897258 lpdiblc2 = -3.09089864249909e-9 ++ pdiblcb = -0.494861204810128 lpdiblcb = 1.02884958568158e-7 ++ drout = -0.644450166046852 ldrout = 3.42025901135753e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.48238363300058e-09 lpscbe2 = 2.06858951077166e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.93867659484613 lbeta0 = -2.82452819432716e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.28185463423761e-09 lagidl = -1.86779137394965e-15 ++ bgidl = 1000000000.0 ++ cgidl = -650.010094571251 lcgidl = 0.000197590699549686 pcgidl = 1.65436122510606e-24 ++ egidl = 5.12714452301719 legidl = -1.04558573505328e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.344594081714305 lkt1 = -5.27053901324088e-8 ++ kt2 = 0.120707638971425 lkt2 = -5.04719568943894e-8 ++ at = 20233.0185142867 lat = 0.0100008911412508 ++ ute = -0.3 ++ ua1 = 4.01925318285718e-10 lua1 = -5.55962985396096e-17 ++ ub1 = 3.927e-19 ++ uc1 = 6.0045e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.53 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {5.06083760555936+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.26260402961347e-06 wvth0 = -9.84030522075687e-06 pvth0 = 2.04666540225478e-12 ++ k1 = -0.670026059893303 lk1 = 3.55180600087097e-7 ++ k2 = -1.91120888457414 lk2 = 3.58215944654566e-07 wk2 = 3.99983067601606e-06 pk2 = -8.31916782643231e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1215454.9174796 lvsat = -0.232620313658748 wvsat = -1.48839376564082 pvsat = 3.09568042528103e-7 ++ ua = 6.73525794569108e-09 lua = -1.86678039242119e-15 wua = -1.2270312774601e-14 pua = 2.5520778133637e-21 ++ ub = 1.09879805359291e-17 lub = -1.85936948676764e-24 wub = -1.7424524962176e-23 pub = 3.62409209783309e-30 ++ uc = 1.20604096831587e-12 luc = -1.9835764359924e-19 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.078058426844051 lu0 = -1.54969543569205e-08 wu0 = -1.1656387780725e-07 pu0 = 2.42438878173743e-14 ++ a0 = 1.3772082409493 la0 = -1.01150438178568e-7 ++ keta = 0.000486206703467218 lketa = 4.8687774264674e-9 ++ a1 = 0.0 ++ a2 = -1.27188959606525 la2 = 4.04280091424478e-7 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {8.96383466603152+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -1.9366433121064e-06 wvoff = -1.51536811525769e-05 pvoff = 3.15178383556216e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-90.1684545286597+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.91874821735685e-05 wnfactor = 0.000147567143860507 pnfactor = -3.0692195117259e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.524041285668005 leta0 = 1.46850687735076e-7 ++ etab = -0.333562152592798 letab = 5.30802432248948e-8 ++ dsub = 0.341155323025333 ldsub = -1.44446736346352e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.52616902268267 lpclm = -1.86207372405165e-7 ++ pdiblc1 = 1.13779011954 lpdiblc1 = -1.99042221796684e-7 ++ pdiblc2 = 0.0253659711166132 lpdiblc2 = -4.4383036271038e-9 ++ pdiblcb = -0.00119741061985267 lpdiblcb = 2.08813342110715e-10 ++ drout = 1.0 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.03923047668799e-08 lpscbe2 = -1.90381725716235e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 14.7685412774667 lbeta0 = -1.28700671504171e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 4.16988062503996e-10 lagidl = -2.40055054279194e-17 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.0650646293333352 lkt1 = -1.37909486125783e-7 ++ kt2 = -0.133588549333332 lkt2 = 2.41859871874143e-9 ++ at = 240917.896533329 lat = -0.0358989152681755 ++ ute = -0.819546971999998 lute = 1.08059535612337e-7 ++ ua1 = -4.40206226666681e-11 lua1 = 3.71551058271973e-17 ++ ub1 = 5.33844483999972e-19 lub1 = -2.93563589381902e-26 ++ uc1 = -3.40950474866664e-11 luc1 = 7.21624713125679e-18 wuc1 = -9.86076131526265e-32 puc1 = 2.35098870164458e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.54 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.13721960981+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.46274564127018e-7 ++ k1 = 0.203519229344934 wk1 = 3.58985286325653e-7 ++ k2 = 0.0981375988951133 wk2 = -1.25570212820576e-7 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 47690.62037634 wvsat = 0.00939921980697256 ++ ua = 3.69523124728207e-09 wua = -6.36005026995538e-15 ++ ub = -1.33842099521907e-18 wub = 3.37316095784446e-24 ++ uc = -2.8922147369136e-10 wuc = 3.11727742298774e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.028585014914504 wu0 = -2.78384881155635e-8 ++ a0 = 0.780824991133334 wa0 = 5.37324475732478e-7 ++ keta = -0.021183514387207 wketa = 3.46514833259845e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.156421437812533 wags = 5.53545209667788e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.252878050853266+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = 5.25730925959465e-10 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.33929763662267+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = -9.71885188528142e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.618286094535021 wpclm = -7.67841405154446e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.00100863084676653 wpdiblc2 = 1.96332242873938e-9 ++ pdiblcb = 0.613662666666666 wpdiblcb = -1.04446394349787e-6 ++ drout = 0.56 ++ pscbe1 = 620272418.5402 wpscbe1 = 223.813008355638 ++ pscbe2 = 7.00331450793333e-09 wpscbe2 = 4.69345539969919e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.47073616767133e-11 walpha0 = -9.30399655147577e-17 ++ alpha1 = 2.6441575864954e-16 walpha1 = -3.2930132337922e-22 ++ beta0 = -55.209366896086 wbeta0 = 0.000106119080899324 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -4.91530875745332e-11 wagidl = 2.43923796025309e-16 ++ bgidl = 2478312779.19 wbgidl = -1841.07920436355 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.120870550460667 wkt1 = -5.28967197488579e-7 ++ kt2 = -0.05324138786764 wkt2 = 9.43244942733505e-10 ++ at = 345465.066666667 wat = -0.417785577399147 ++ ute = 3.30390850076513 wute = -5.68323335226659e-6 ++ ua1 = 9.66496536706933e-09 wua1 = -1.23555551542283e-14 ++ ub1 = -4.67523521598747e-18 wub1 = 6.4381486513041e-24 ++ uc1 = 4.376015395468e-10 wuc1 = -5.34779430441356e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.55 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.13721960981+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.46274564127017e-7 ++ k1 = 0.203519229344933 wk1 = 3.58985286325653e-7 ++ k2 = 0.0981375988951133 wk2 = -1.25570212820576e-7 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 47690.6203763401 wvsat = 0.00939921980697256 ++ ua = 3.69523124728206e-09 wua = -6.36005026995538e-15 ++ ub = -1.33842099521907e-18 wub = 3.37316095784446e-24 ++ uc = -2.8922147369136e-10 wuc = 3.11727742298774e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.028585014914504 wu0 = -2.78384881155635e-8 ++ a0 = 0.780824991133334 wa0 = 5.37324475732477e-7 ++ keta = -0.021183514387207 wketa = 3.46514833259845e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.156421437812533 wags = 5.53545209667788e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.252878050853266+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = 5.25730925959677e-10 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.33929763662267+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = -9.71885188528144e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.618286094535021 wpclm = -7.67841405154447e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.00100863084676653 wpdiblc2 = 1.96332242873938e-9 ++ pdiblcb = 0.613662666666666 wpdiblcb = -1.04446394349787e-6 ++ drout = 0.56 ++ pscbe1 = 620272418.5402 wpscbe1 = 223.813008355638 ++ pscbe2 = 7.00331450793334e-09 wpscbe2 = 4.6934553996992e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.47073616767133e-11 walpha0 = -9.30399655147577e-17 ++ alpha1 = 2.6441575864954e-16 walpha1 = -3.2930132337922e-22 ++ beta0 = -55.209366896086 wbeta0 = 0.000106119080899324 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -4.9153087574533e-11 wagidl = 2.43923796025309e-16 ++ bgidl = 2478312779.19 wbgidl = -1841.07920436355 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.120870550460667 wkt1 = -5.28967197488579e-7 ++ kt2 = -0.0532413878676401 wkt2 = 9.43244942733452e-10 ++ at = 345465.066666667 wat = -0.417785577399147 ++ ute = 3.30390850076513 wute = -5.68323335226659e-6 ++ ua1 = 9.66496536706933e-09 wua1 = -1.23555551542283e-14 ++ ub1 = -4.67523521598747e-18 wub1 = 6.4381486513041e-24 ++ uc1 = 4.376015395468e-10 wuc1 = -5.34779430441356e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.56 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.16849585331384+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.51085307533899e-07 wvth0 = 1.89324172923742e-07 pvth0 = -3.4560174282479e-13 ++ k1 = -0.0684463462446692 lk1 = 2.18333637724642e-06 wk1 = 7.50375963301182e-07 pk1 = -3.14207965807141e-12 ++ k2 = 0.190687381623033 lk2 = -7.4298854514235e-07 wk2 = -2.55987902280029e-07 pk2 = 1.04699164596821e-12 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 107242.108209568 lvsat = -0.478078629707302 wvsat = -0.0490993849303141 pvsat = 4.6962609684768e-7 ++ ua = 5.94087624940628e-09 lua = -1.80280111293132e-14 wua = -9.88016532489318e-15 pua = 2.82594414196601e-20 ++ ub = -2.80617705308136e-18 lub = 1.17831280194458e-23 wub = 5.6849980115745e-24 pub = -1.85594001253001e-29 ++ uc = -3.96023936194337e-10 luc = 8.57408887344346e-16 wuc = 4.64370505992611e-16 puc = -1.22541427522097e-21 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0367879347294585 lu0 = -6.58529418394169e-08 wu0 = -4.0828266391038e-08 pu0 = 1.0428178411817e-13 ++ a0 = 0.602643033784551 la0 = 1.43044261541255e-06 wa0 = 8.5609555098338e-07 pa0 = -2.55909036686135e-12 ++ keta = 0.00876325947860087 lketa = -2.40412341233419e-07 wketa = 1.64234537500663e-09 pketa = 2.64996963360795e-13 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.68289560022505 lags = 4.22652825815774e-06 wags = 1.14188998592975e-06 pags = -4.7232248036937e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.220739138238022+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.58010804808232e-07 wvoff = -5.36139202130727e-08 pvoff = 4.34632469668336e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {3.88350450622816+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.23968742187104e-05 wnfactor = -3.40460082719276e-06 pnfactor = 1.95298119546119e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.379651437465387 lpclm = 8.01143053164889e-06 wpclm = 4.29504058680203e-07 ppclm = -9.612275015519e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000405296608393313 lpdiblc2 = -1.13509926428938e-08 wpdiblc2 = -3.8706186055133e-10 ppdiblc2 = 1.88688568698144e-14 ++ pdiblcb = 0.613662666666666 wpdiblcb = -1.04446394349787e-6 ++ drout = 0.56 ++ pscbe1 = 439287218.879787 lpscbe1 = 1452.9470110514 wpscbe1 = 449.192141507947 ppscbe1 = -0.00180934097639713 ++ pscbe2 = 4.45098752630226e-09 lpscbe2 = 2.04900503806104e-14 wpscbe2 = 9.46367816682035e-15 ppscbe2 = -3.82952911317755e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 8.84538062255031e-11 lalpha0 = -1.1035629188035e-16 walpha0 = -1.10159680333553e-16 palpha0 = 1.37436865128711e-22 ++ alpha1 = -3.73822490017055e-12 lalpha1 = 3.00125473664078e-17 walpha1 = 4.65555613251818e-18 palpha1 = -3.73773923922548e-23 ++ beta0 = -27.0032871707239 lbeta0 = -0.00022643806956225 wbeta0 = 7.09914492167796e-05 pbeta0 = 2.82004205615884e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.65430492460613e-10 lagidl = 9.33473611096586e-16 wagidl = 3.75227230064302e-16 pagidl = -1.05410239282383e-21 ++ bgidl = 3966969312.89599 lbgidl = -11950.9167887133 wbgidl = -3695.04043992003 pbgidl = 0.0148835785515126 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.186398469974506 lkt1 = -2.46675200882532e-06 wkt1 = -1.01739641546399e-06 pkt1 = 3.921103900756e-12 ++ kt2 = 0.02431255853778 lkt2 = -6.22602151095355e-07 wkt2 = -1.27925037027623e-07 pkt2 = 1.03455302123864e-12 ++ at = 675471.252361256 lat = -2.64928569868193 wat = -0.885544213947169 pat = 3.75516072110389e-6 ++ ute = 7.0628460466625 lute = -3.01767055112135e-05 wute = -1.18563441880855e-05 pute = 4.95576597126245e-11 ++ ua1 = 1.63592766326956e-08 lua1 = -5.37418505087121e-14 wua1 = -2.34210943629082e-14 pua1 = 8.88340159808119e-20 ++ ub1 = -8.75036842041733e-18 lub1 = 3.27151204635645e-23 wub1 = 1.34067874769959e-23 pub1 = -5.5944148868988e-29 ++ uc1 = 1.1714002730292e-09 luc1 = -5.8909274268119e-15 wuc1 = -1.33804062695714e-15 puc1 = 6.44857124649433e-21 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.57 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.12862681659169+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.04933060455088e-08 wvth0 = 1.27699597640955e-07 pvth0 = -9.73786930806283e-14 ++ k1 = 0.265168445669288 lk1 = 8.39539998794508e-07 wk1 = 2.1539291851177e-07 pk1 = -9.87174373456203e-13 ++ k2 = 0.0856273628741691 lk2 = -3.19808050342149e-07 wk2 = -9.05294307711021e-08 pk2 = 3.80526908231913e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 45318.1421598342 lvsat = -0.228649637546566 wvsat = -0.0167053450375289 pvsat = 3.3914329288802e-7 ++ ua = 3.03286648306796e-09 lua = -6.31458268661959e-15 wua = -4.57121729763241e-15 pua = 6.87506247322998e-21 ++ ub = -5.22696945208319e-19 lub = 2.58529754669449e-24 wub = 1.67663855728637e-24 pub = -2.41377634374095e-30 ++ uc = -2.40409777870372e-10 luc = 2.30596924985318e-16 wuc = 2.19397512427473e-16 puc = -2.38665996816509e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0283126593959142 lu0 = -3.17146344992044e-08 wu0 = -2.39787465913002e-08 pu0 = 3.6412120559064e-14 ++ a0 = 1.40594321902156 la0 = -1.80524089111991e-06 wa0 = -2.42393892449157e-07 pa0 = 1.86561192941159e-12 ++ keta = -0.0255088303349823 lketa = -1.02364774729384e-07 wketa = 4.59304424964556e-08 pketa = 8.66050396127639e-14 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.360966199902876 lags = 2.92980049681283e-06 wags = 7.49338409910481e-07 pags = -3.14203176610701e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.329461403567899+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.79921175273331e-07 wvoff = 1.14040913757977e-07 pvoff = -2.40679189709046e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.290510173684805+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.07566383684219e-06 wnfactor = 2.46799187544725e-06 pnfactor = -4.12492098050966e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.17742104452954 leta0 = 1.03688887831245e-06 weta0 = 4.20984368339462e-07 peta0 = -1.69571998385893e-12 ++ etab = 0.155041034103719 letab = -9.06462584877372e-07 wetab = -3.68030351853156e-07 petab = 1.48242184090029e-12 ++ dsub = -0.411400168036 ldsub = 3.91278822004699e-06 wdsub = 1.58862025788476e-06 pdsub = -6.39894333531674e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.61390691618101 lpclm = -4.04658659413857e-06 wpclm = -3.60489378750164e-06 ppclm = 6.63823109612733e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.0050772400939224 lpdiblc2 = 1.07325994035935e-08 wpdiblc2 = 8.65488817012797e-09 ppdiblc2 = -1.75520093503615e-14 ++ pdiblcb = 0.513050544840932 lpdiblcb = 4.05264419368596e-07 wpdiblcb = -9.19162391750647e-07 ppdiblcb = -5.04713146819179e-13 ++ drout = 0.56 ++ pscbe1 = 800000128.626278 lpscbe1 = -0.000260852548308321 wpscbe1 = -0.000210354410228319 ppscbe1 = 4.26596220154396e-10 ++ pscbe2 = 1.11468581127625e-08 lpscbe2 = -6.48083599120423e-15 wpscbe2 = -1.88228794583548e-15 ppscbe2 = 7.40612421840893e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -8.160051851811e-11 lalpha0 = 5.74620487535027e-16 walpha0 = 9.77743268490283e-17 palpha0 = -7.00118820594641e-22 ++ alpha1 = -4.17731412310327e-10 lalpha1 = 1.69757213833627e-15 walpha1 = 5.2024313489642e-16 palpha1 = -2.11415797260231e-21 ++ beta0 = -185.598762882832 lbeta0 = 0.000412382603460413 wbeta0 = 0.000302601163521992 pbeta0 = -6.50916944288941e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.62126799706337e-10 lagidl = -7.88722031064383e-16 wagidl = -2.45123309083296e-16 pagidl = 1.44466213465623e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.364847550004784 lkt1 = -2.46339655300978e-07 wkt1 = -1.07859493134182e-07 pkt1 = 2.57500092054593e-13 ++ kt2 = -0.170792309756339 lkt2 = 1.63277917134937e-07 wkt2 = 2.03123735622088e-07 pkt2 = -2.98907462409127e-13 ++ at = -55858.7386285234 lat = 0.296502729065006 wat = 0.198771168019225 pat = -6.12448625672167e-7 ++ ute = 0.690267907861497 lute = -4.50803723906071e-06 wute = 9.50580988685042e-08 pute = 1.41755471760105e-12 ++ ua1 = 6.32794014564931e-09 lua1 = -1.33357475149277e-14 wua1 = -2.87239977331795e-15 pua1 = 6.06412075827739e-21 ++ ub1 = -1.4615051626519e-18 lub1 = 3.35566672764443e-24 wub1 = -1.66987781001835e-24 pub1 = 4.78447798712209e-30 ++ uc1 = -4.28906350315289e-10 luc1 = 5.55088448340219e-16 wuc1 = 2.55373994102955e-16 puc1 = 3.03162738397304e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.58 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.14491397512949+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.23523468114267e-07 wvth0 = 1.43736303161259e-07 pvth0 = -1.29900939435341e-13 ++ k1 = 0.79387414802023 lk1 = -2.32668821104779e-07 wk1 = -4.04502221379415e-07 pk1 = 2.69965531501442e-13 ++ k2 = -0.128470728595044 lk2 = 1.14380309980317e-07 wk2 = 1.65316886550679e-07 pk2 = -1.38326353140851e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -381810.571122841 lvsat = 0.637562267446141 wvsat = 0.573583045656409 pvsat = -8.57954479978597e-7 ++ ua = 3.16896159175666e-09 lua = -6.59058193389897e-15 wua = -5.56434393969532e-15 pua = 8.88911138581385e-21 ++ ub = -1.20811943621247e-18 lub = 3.97532613338102e-24 wub = 3.15279460689899e-24 pub = -5.40740309848275e-30 ++ uc = -2.87012869987101e-10 luc = 3.25107436560938e-16 wuc = 3.06030228213165e-16 puc = -4.14356104837304e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0254511589351365 lu0 = -2.59115459027528e-08 wu0 = -2.29754645628258e-08 pu0 = 3.43774766447022e-14 ++ a0 = -1.67683758394951 la0 = 4.44660158393579e-06 wa0 = 4.05895208537284e-06 pa0 = -6.85746609745969e-12 ++ keta = -0.24421165376953 lketa = 3.41161926761997e-07 wketa = 3.40425824736073e-07 pketa = -5.10628061624594e-13 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 3.49120772441307 lags = -4.88236199561282e-06 wags = -4.8143858189625e-06 pags = 8.14113420535666e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.199636694206759+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -8.33617774145498e-08 wvoff = -5.85521051712637e-08 pvoff = 1.09337381563228e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.82345525672655+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.06111839622547e-06 wnfactor = -1.64755591761634e-06 pnfactor = 4.22136055724979e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -1.17253498357265 leta0 = 3.05496800532462e-06 weta0 = 1.35910888403547e-06 peta0 = -3.59822524419625e-12 ++ etab = 97.5544912231146 letab = -0.000198431378774789 wetab = -0.000121485903530559 petab = 2.47108015232837e-10 ++ dsub = 3.796003072144 ldsub = -4.61977506219917e-06 wdsub = -4.78778511629226e-06 pdsub = 6.53233024664977e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.22931844744514 lpclm = 1.71944032459091e-06 wpclm = 6.99888687835377e-07 ppclm = -2.09181610646645e-12 ++ pdiblc1 = 0.424437536426877 lpdiblc1 = -6.98389106232694e-08 wpdiblc1 = -3.29526551686352e-08 ppdiblc1 = 6.68275892501298e-14 ++ pdiblc2 = -0.000711795294184933 lpdiblc2 = 1.87952973506352e-09 wpdiblc2 = 1.15422363037462e-09 ppdiblc2 = -2.34075167171617e-15 ++ pdiblcb = 1.36700738435208 lpdiblcb = -1.32654980367794e-06 wpdiblcb = -2.23872322619321e-06 ppdiblcb = 2.17134039070032e-12 ++ drout = 0.853457797042051 ldrout = -5.95128890907715e-07 wdrout = -8.15160829369292e-07 pdrout = 1.65313638003097e-12 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.19731123413063e-08 lpscbe2 = -8.15646965164032e-15 wpscbe2 = -2.00728764009264e-15 ppscbe2 = 7.65962209836608e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.06336815186522e-10 lalpha0 = -2.12111769969963e-16 walpha0 = -5.01833659036733e-16 palpha0 = 5.15878979485853e-22 ++ alpha1 = 7.47619046947873e-10 lalpha1 = -6.65744608833851e-16 walpha1 = -1.05911113794999e-15 palpha1 = 1.08875354047893e-21 ++ beta0 = 26.943601477197 lbeta0 = -1.86507609533535e-05 wbeta0 = -3.73739529080225e-05 pbeta0 = 3.85485121297318e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.59930826781527e-10 lagidl = 4.72803370761489e-16 wagidl = 9.47555005315012e-16 pagidl = -9.74075174803769e-22 ++ bgidl = 144664071.537354 lbgidl = 1734.6109988911 wbgidl = 1065.22869368714 pbgidl = -0.00216027100805319 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.735007766417638 lkt1 = 5.04340821661694e-07 wkt1 = 3.83723432788211e-07 pkt1 = -7.3942418272091e-13 ++ kt2 = -0.176395494710077 lkt2 = 1.74641108982899e-07 wkt2 = 1.86468070809098e-07 pkt2 = -2.65129974036361e-13 ++ at = -20741.8538763567 lat = 0.225286108190229 wat = 0.0152899827383116 pat = -2.40350983696698e-7 ++ ute = -5.27204677078922 lute = 7.5834653814668e-06 wute = 5.83308002706275e-06 pute = -1.02190848965137e-11 ++ ua1 = -2.37914703018777e-09 lua1 = 4.32212079262382e-15 wua1 = 2.8836168207155e-15 pua1 = -5.60901182222333e-21 ++ ub1 = -2.42128957956151e-19 lub1 = 8.82786417035899e-25 wub1 = 1.57299952126144e-24 pub1 = -1.79203832618535e-30 ++ uc1 = -5.66195449366317e-10 luc1 = 8.33509093746516e-16 wuc1 = 8.00656074796896e-16 puc1 = -1.07550924242261e-21 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.59 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.02472168522517+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.27635998885838e-11 wvth0 = -2.41427471091771e-08 pvth0 = 4.26767096940637e-14 ++ k1 = 0.887957685245111 lk1 = -3.29385568369508e-07 wk1 = -6.13925873613569e-07 pk1 = 4.85250532914325e-13 ++ k2 = -0.131553997279161 lk2 = 1.17549873188366e-07 wk2 = 1.91576700304927e-07 pk2 = -1.65321126562453e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 395440.4500885 lvsat = -0.161442455346864 wvsat = -0.537027523825019 pvsat = 2.83739858121477e-7 ++ ua = -5.13726734439935e-09 lua = 1.94812173772218e-15 wua = 5.92939220381661e-15 pua = -2.92631144488269e-21 ++ ub = 3.89920672994557e-18 lub = -1.27494387751545e-24 wub = -3.98087261919051e-24 pub = 1.92592120593054e-30 ++ uc = 1.83125086055043e-11 luc = 1.12366112722829e-17 wuc = -1.18785271873642e-16 puc = 2.2349131465933e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.00720054071064183 lu0 = 7.65400951271163e-09 wu0 = 2.19147282157613e-08 pu0 = -1.17691028493721e-14 ++ a0 = 4.82334636548389 la0 = -2.23550951387435e-06 wa0 = -6.08783080827947e-06 pa0 = 3.57330495582017e-12 ++ keta = 0.122642513329817 lketa = -3.59597547661261e-08 wketa = -2.05761544757069e-07 pketa = 5.08459999659224e-14 ++ a1 = 0.0 ++ a2 = 0.617599761713941 la2 = 1.87505256155209e-07 wa2 = 2.27159834039599e-07 pa2 = -2.33517583474699e-13 ++ ags = -2.59993950249505 lags = 1.37926425988201e-06 wags = 4.67397397432829e-06 pags = -1.61278580182876e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.263163280019567+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.80572095180129e-08 wvoff = 2.66607336312529e-08 pvoff = 2.17396058283072e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-0.643670559204143+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.03045337041487e-07 wnfactor = 2.9651965357941e-06 pnfactor = -5.204936118267e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 3.18180398526346 leta0 = -1.42124020257129e-06 weta0 = -4.40215524142879e-06 peta0 = 2.3242851416115e-12 ++ etab = -196.291022939917 letab = 0.000103638283638638 wetab = 0.000244441393643479 petab = -1.29060855134508e-10 ++ dsub = -1.64585421998153 ldsub = 9.74388931818378e-07 wdsub = 3.06617363359588e-06 pdsub = -1.54144510073024e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.32111193017591 lpclm = -9.02371498438997e-07 wpclm = -2.76334025153232e-06 ppclm = 1.46834168445627e-12 ++ pdiblc1 = 0.418673626660299 lpdiblc1 = -6.39136805501449e-08 wpdiblc1 = 2.53268989619197e-07 ppdiblc1 = -2.27404826932024e-13 ++ pdiblc2 = 0.0014891195185207 lpdiblc2 = -3.82984281420124e-10 wpdiblc2 = -2.00391939382061e-09 ppdiblc2 = 9.05781459440242e-16 ++ pdiblcb = 1.06944181479077 lpdiblcb = -1.02065596895575e-06 wpdiblcb = -1.36300929949427e-06 ppdiblcb = 1.27111698262093e-12 ++ drout = -0.491545034084099 ldrout = 7.87517879455995e-07 wdrout = 1.63032165873858e-06 pdrout = -8.60790271954068e-13 ++ pscbe1 = 800000000.0 ++ pscbe2 = -1.56219593460229e-09 lpscbe2 = 5.75766483229437e-15 wpscbe2 = 1.12259350786033e-14 ppscbe2 = -5.94397205778075e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.98756819418803 lbeta0 = 1.86380178918031e-06 wbeta0 = 2.2109136288961e-06 pbeta0 = -2.14425565182214e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.62388953346921e-10 lagidl = -4.75330295373195e-16 wagidl = -9.41934662792406e-16 pagidl = 9.6829753013464e-22 ++ bgidl = 2710671856.92529 lbgidl = -903.21421239427 wbgidl = -2130.45738737427 pbgidl = 0.00112485593504497 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.0344448727151181 lkt1 = -2.8664725793511e-07 wkt1 = -6.89922196991645e-07 pkt1 = 3.64270640945225e-13 ++ kt2 = 0.0448945178217516 lkt2 = -5.2842368419671e-08 wkt2 = -1.46886069722914e-07 pkt2 = 7.7554082180862e-14 ++ at = 299968.043039699 lat = -0.104399817320713 wat = -0.400296088583372 pat = 1.86866510589137e-7 ++ ute = 4.92278758071041 lute = -2.89670199386261e-06 wute = -8.87012486132877e-06 pute = 4.89563329029408e-12 ++ ua1 = 3.1654279797503e-09 lua1 = -1.3776357826924e-15 wua1 = -5.28937666785927e-15 pua1 = 2.79272740810968e-21 ++ ub1 = 1.27940151380933e-18 lub1 = -6.81328649573358e-25 wub1 = -1.08981691961199e-24 pub1 = 9.45305021235242e-31 ++ uc1 = 4.77252595966668e-10 luc1 = -2.39142975479249e-16 wuc1 = -5.04888810808605e-16 puc1 = 2.66575233441214e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.60 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.03122419291467+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 3.40048243007515e-09 wvth0 = 5.2078942516297e-08 pvth0 = 2.43257223208837e-15 ++ k1 = -0.975762274849525 lk1 = 6.54636205920939e-07 wk1 = 1.75075021481023e-06 pk1 = -7.63270065660382e-13 ++ k2 = 0.536681092126767 lk2 = -2.35270235196891e-07 wk2 = -6.5561511956912e-07 pk2 = 2.81985988029205e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 189320.257247903 lvsat = -0.0526134669693428 wvsat = -0.243543915601088 pvsat = 1.2878403478254e-7 ++ ua = 4.15988373254162e-10 lua = -9.83930642130266e-16 wua = -1.2963100020674e-15 pua = 8.88772611397599e-22 ++ ub = 1.91353065663267e-19 lub = 6.82758362981634e-25 wub = 8.25945250227266e-25 pub = -6.12020947307607e-31 ++ uc = 1.13816472238423e-10 luc = -3.91883354783344e-17 wuc = -1.99153508177365e-16 puc = 6.47825958154631e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.012850873729801 lu0 = -2.9328966948689e-09 wu0 = -4.47244629114721e-09 pu0 = 2.16300864418155e-15 ++ a0 = 1.79266250060043 la0 = -6.35344801422266e-07 wa0 = -3.71115335447697e-07 pa0 = 5.54947786750667e-13 ++ keta = 0.439202542680105 lketa = -2.03099651542726e-07 wketa = -6.20908404319153e-07 pketa = 2.70038560052388e-13 ++ a1 = 0.0 ++ a2 = 0.183793948350163 la2 = 4.16549519941524e-07 wa2 = 1.15001075632407e-06 pa2 = -7.20771796229831e-13 ++ ags = -2.69407952106477 lags = 1.42896906000659e-06 wags = 6.22364733342076e-06 pags = -2.43099473934927e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.264525644871572+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -1.73378972245326e-08 wvoff = 8.57893838838389e-08 pvoff = -9.47961196125516e-15 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-1.19507566029197+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 7.94180613554647e-07 wnfactor = 3.88860367258087e-06 pnfactor = -1.00804149916447e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.40907929564448 leta0 = -4.85262839148736e-07 weta0 = -1.14461418597713e-06 peta0 = 6.04342554825691e-13 ++ etab = -0.00407271239620571 letab = 1.12936190922435e-09 wetab = 5.14223646937897e-09 petab = -1.44762662349704e-15 ++ dsub = 0.311366999602107 ldsub = -5.90003854671497e-08 wdsub = -4.22562301261257e-07 pdsub = 3.00565608043115e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.404015103000011 lpclm = 5.3646287355349e-07 wpclm = 1.26792448281729e-06 ppclm = -6.60117720103511e-13 ++ pdiblc1 = 0.463498165499105 lpdiblc1 = -8.75804991625678e-08 wpdiblc1 = -8.08872145081684e-07 ppdiblc1 = 3.33392946496425e-13 ++ pdiblc2 = 0.00468698656823939 lpdiblc2 = -2.07141970926699e-09 wpdiblc2 = -1.9763723437588e-08 ppdiblc2 = 1.02827448769009e-14 ++ pdiblcb = -2.04124148968242 lpdiblcb = 6.21747487606441e-07 wpdiblcb = 2.78797469408175e-06 ppdiblcb = -9.20552754179281e-13 ++ drout = 0.912796098788462 ldrout = 4.60426133928776e-08 wdrout = 8.95622452806606e-07 pdrout = -4.72877907612454e-13 ++ pscbe1 = 799747395.294958 lpscbe1 = 0.133372253005973 wpscbe1 = 0.413107764308734 ppscbe1 = -2.18115942262048e-7 ++ pscbe2 = 9.41213875053695e-09 lpscbe2 = -3.66521894429261e-17 wpscbe2 = 3.05418110883279e-17 ppscbe2 = -3.29387572520408e-23 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 13.6068399340374 lbeta0 = -1.6310942581993e-06 wbeta0 = -6.05943693852763e-06 pbeta0 = 2.22239020357078e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.230865343301e-08 lagidl = 6.32042563211461e-15 wagidl = 1.61857983318298e-14 pagidl = -8.07493995822996e-21 ++ bgidl = 1984841617.31006 lbgidl = -519.984555840304 wbgidl = -1610.60229918426 pbgidl = 0.000850378686741698 ++ cgidl = 1415.43319898274 lcgidl = -0.000588935343864497 wcgidl = -0.00138915180563415 pcgidl = 7.33455483553163e-10 ++ egidl = -5.8025098039492 legidl = 3.11645434636753e-06 wegidl = 7.35093967026187e-06 pegidl = -3.88120793462222e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.401305532454498 lkt1 = -5.65762730104147e-08 wkt1 = -1.28450151061577e-07 pkt1 = 6.78201383587002e-14 ++ kt2 = 0.104727511900625 lkt2 = -8.44334712973871e-08 wkt2 = -2.51221086583453e-07 pkt2 = 1.32641719063024e-13 ++ at = 190157.372127418 lat = -0.04642110080708 wat = -0.15802478925681 pat = 5.89501718003043e-8 ++ ute = -0.449146735826713 lute = -6.03851379428055e-08 wute = 1.8302168336633e-07 pute = 1.15680552453606e-13 ++ ua1 = 1.66248057888539e-09 lua1 = -5.84097590404537e-16 wua1 = -1.16493602190159e-15 pua1 = 6.15072240331775e-22 ++ ub1 = -2.95541006665669e-19 lub1 = 1.50222101927197e-25 wub1 = 1.21071640396917e-24 pub1 = -2.69348967215724e-31 ++ uc1 = 5.05951877602402e-11 luc1 = -1.38729838351537e-17 wuc1 = 1.63233894500036e-19 puc1 = -8.61855374892874e-26 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.61 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.89785483493703+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.36745986554131e-08 wvth0 = -1.56834798863205e-09 pvth0 = 1.73458752249719e-14 ++ k1 = 3.25699492471341 lk1 = -5.22019502471163e-07 wk1 = -5.36291619643431e-06 pk1 = 1.21424383266867e-12 ++ k2 = -1.00997991442022 lk2 = 1.94682964691092e-07 wk2 = 1.98340106011207e-06 pk2 = -4.51628841728009e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -241101.67679645 lvsat = 0.0670386656317787 wvsat = 0.795795738385796 pvsat = -1.60139916949966e-7 ++ ua = -7.03564296571385e-09 lua = 1.08753345052677e-15 wua = 9.65449532203558e-15 pua = -2.15541985903914e-21 ++ ub = 5.69243973312517e-18 lub = -8.46477717532768e-25 wub = -7.5311195790003e-24 pub = 1.7111427904397e-30 ++ uc = -1.08808825423924e-10 luc = 2.2698825768226e-17 wuc = 1.34935914799101e-16 puc = -2.80902546989189e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.00703244342293675 lu0 = 2.59442687378636e-09 wu0 = 2.23321601499044e-08 pu0 = -5.2883502911535e-15 ++ a0 = -2.74344714060789 la0 = 6.25639245517952e-07 wa0 = 3.4108655421942e-06 pa0 = -4.9639751346325e-13 ++ keta = -1.19761119132802 lketa = 2.51914924746724e-07 wketa = 1.34182325995634e-06 pketa = -2.75577289836227e-13 ++ a1 = 0.0 ++ a2 = 4.82574774233174 la2 = -8.73857931339827e-07 wa2 = -5.96110409619524e-06 pa2 = 1.25603279939231e-12 ++ ags = 6.00088974435487 lags = -9.88128056148881e-07 wags = -1.00127923489471e-05 pags = 2.08254065507281e-12 ++ b0 = 0.0 ++ b1 = 1.99947914368468e-23 lb1 = -5.55831208194616e-30 wb1 = -3.2699325956446e-29 pb1 = 9.09002022398052e-36 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.442652006206496+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = 3.21790937102405e-08 wvoff = 4.94640948299436e-07 pvoff = -1.23135440650018e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.61882092417292+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -2.66036870167578e-07 wnfactor = -2.57635102722259e-06 pnfactor = 7.89138327924491e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -1.59877089367488 leta0 = 3.50883419279772e-07 weta0 = 3.63237795010199e-06 peta0 = -7.23603935098672e-13 ++ etab = 0.676807644924325 letab = -1.88147206861595e-07 wetab = -7.26435440242819e-07 petab = 2.01922188570373e-13 ++ dsub = -0.434701030633388 ldsub = 1.48397574121956e-07 wdsub = 2.65011436504724e-06 pdsub = -5.53601633070653e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 4.1009857964496 lpclm = -7.15873316482708e-07 wpclm = -4.25797538216956e-06 ppclm = 8.76016131564455e-13 ++ pdiblc1 = -0.21865566962782 lpdiblc1 = 1.02050081156696e-07 wpdiblc1 = 1.99365829482302e-06 ppdiblc1 = -4.45676885431805e-13 ++ pdiblc2 = -0.0391853663278757 lpdiblc2 = 1.01245679276182e-08 wpdiblc2 = 9.49722213694954e-08 ppdiblc2 = -2.16124709481306e-14 ++ pdiblcb = 0.0666106693774444 lpdiblcb = 3.57898816137075e-08 wpdiblcb = -9.18226723565734e-07 ppdiblcb = 1.09726765509707e-13 ++ drout = 1.31144250432692 ldrout = -6.47763035899469e-08 wdrout = -3.19865161716644e-06 pdrout = 6.65281152551214e-13 ++ pscbe1 = 800902159.660864 lpscbe1 = -0.187638383543799 wpscbe1 = -1.47538487253405 ppscbe1 = 3.06862348868359e-7 ++ pscbe2 = 7.85513692079348e-09 lpscbe2 = 3.96175635203805e-16 wpscbe2 = 1.02579438061818e-15 ppscbe2 = -3.09607028550512e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.24841573438354 lbeta0 = 1.36471368214081e-07 wbeta0 = 4.39963162716508e-06 pbeta0 = -6.85105348868998e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.86023934624774e-08 lagidl = -7.83223447226814e-15 wagidl = -4.79505804995003e-14 pagidl = 9.75420372033386e-21 ++ bgidl = -2489269173.2653 lbgidl = 723.764554610159 wbgidl = 5706.32358965852 pbgidl = -0.00118363890724593 ++ cgidl = -3683.68999636691 lcgidl = 0.000828559714964361 wcgidl = 0.00496125644869338 pcgidl = -1.03188180625084e-9 ++ egidl = 21.1803921569614 legidl = -4.38446860394209e-06 wegidl = -2.62533559652209e-05 pegidl = 5.46038300049436e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -1.00539341682278 lkt1 = 1.11352909789356e-07 wkt1 = 1.08066607840162e-06 pkt1 = -2.68299664037314e-13 ++ kt2 = -0.409321262373606 lkt2 = 5.84659193655578e-08 wkt2 = 8.66805131034239e-07 pkt2 = -1.78156153120083e-13 ++ at = -198016.981142164 lat = 0.0614867113096245 wat = 0.35692434708816 pat = -8.4199508713961e-8 ++ ute = -0.959457948260193 lute = 8.14752553791528e-08 wute = 1.07847238481272e-06 pute = -1.33243997140075e-13 ++ ua1 = -1.99143215209431e-09 lua1 = 4.31646301855046e-16 wua1 = 3.91407813887122e-15 pua1 = -7.96832748193137e-22 ++ ub1 = 2.44849592666667e-19 wub1 = 2.41793402919755e-25 ++ uc1 = 4.19143813318708e-12 luc1 = -9.73298283828463e-19 wuc1 = -5.8726739833067e-18 puc1 = 1.59172442164645e-24 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.62 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.50228255977295+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 9.20391149777593e-08 wvth0 = 8.92970305290255e-07 pvth0 = -1.68707430193196e-13 ++ k1 = -2.8786037284572 lk1 = 7.54111390204487e-07 wk1 = 3.61189069226355e-06 pk1 = -6.5240830249782e-13 ++ k2 = 1.58581188824321 lk2 = -3.45210580761269e-07 wk2 = -1.71916981908741e-06 pk2 = 3.18461470294931e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 633987.397437227 lvsat = -0.114969360739935 wvsat = -0.537466318810172 pvsat = 1.17162591802109e-7 ++ ua = 2.91375518298587e-09 lua = -9.81821971624984e-16 wua = -6.02065696419456e-15 pua = 1.10482371466929e-21 ++ ub = -3.17865621549564e-18 lub = 9.98603786628975e-25 wub = 5.74348228133725e-24 pub = -1.04981510128818e-30 ++ uc = 3.04103421329749e-12 luc = -5.64602838000414e-19 wuc = -3.00093363989564e-18 puc = 5.98954534211163e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0179814681363788 lu0 = -2.60816656361257e-09 wu0 = -1.83144881370012e-08 pu0 = 3.16566479274342e-15 ++ a0 = -0.995446855747911 la0 = 2.62076162270492e-07 wa0 = 3.88022163842891e-06 pa0 = -5.94017949206915e-13 ++ keta = -0.98615600484481 lketa = 2.07934783420454e-07 wketa = 1.6135469769568e-06 pketa = -3.3209256228772e-13 ++ a1 = 0.0 ++ a2 = -3.98600027042304 la2 = 9.58879914337014e-07 wa2 = 4.43863542678133e-06 pa2 = -9.06988224512544e-13 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = -4.66545133526427e-23 lb1 = 8.30394352261017e-30 wb1 = 7.62984272317077e-29 pb1 = -1.35802044661172e-35 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.109758858335734+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -3.70586863291031e-08 wvoff = -3.14797076856199e-07 pvoff = 4.52179553260525e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-2.52023047888621+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 8.02824153051882e-07 wnfactor = 4.22792190565471e-06 pnfactor = -6.26068790838793e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -2.88612691530723 leta0 = 6.18638023507043e-07 weta0 = 3.86293641444409e-06 peta0 = -7.71557328980257e-13 ++ etab = -0.979181848994178 letab = 1.56278735999526e-07 wetab = 1.05584141566118e-06 petab = -1.68770010135388e-13 ++ dsub = 0.390269872085943 ldsub = -2.31864739928332e-08 wdsub = -8.03215504402415e-08 pdsub = 1.42962721197574e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 4.30419815299335 lpclm = -7.58139048095532e-07 wpclm = -4.54316717108289e-06 ppclm = 9.3533260135696e-13 ++ pdiblc1 = 4.09376441213614 lpdiblc1 = -7.94881546809227e-07 wpdiblc1 = -4.83417730151225e-06 ppdiblc1 = 9.74430984578775e-13 ++ pdiblc2 = 0.105341514562286 lpdiblc2 = -1.99352889749648e-08 wpdiblc2 = -1.30791379941815e-07 ppdiblc2 = 2.53436489614062e-14 ++ pdiblcb = -1.22221469936708 lpdiblcb = 3.03850092408145e-07 wpdiblcb = 1.99684215008237e-06 ppdiblcb = -4.96572579382616e-13 ++ drout = 1.0 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.62848312553808e-09 lpscbe2 = 2.73409047713684e-17 wpscbe2 = 1.2491479544417e-15 ppscbe2 = -3.56061891662925e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 17.6681406545709 lbeta0 = -2.03070637848585e-06 wbeta0 = -4.74198220444115e-06 pbeta0 = 1.21624062873912e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.12790547813983e-09 lagidl = -1.28591866538174e-15 wagidl = -1.0974981996375e-14 pagidl = 2.06372293886582e-21 ++ bgidl = 934614593.368546 lbgidl = 11.6378177555189 wbgidl = 106.930783998905 pbgidl = -1.90323963823969e-5 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.952396889707316 lkt1 = -2.95843980485225e-07 wkt1 = -1.45113625742397e-06 pkt1 = 2.58284840186377e-13 ++ kt2 = -0.176981045100124 lkt2 = 1.01419422552809e-08 wkt2 = 7.09637491155433e-08 pkt2 = -1.26306957775774e-14 ++ at = 665986.148578232 lat = -0.118215571634661 wat = -0.695153303861862 pat = 1.34620017751832e-7 ++ ute = -2.67568311745295 lute = 4.38429495869215e-07 wute = 3.03551057441182e-06 pute = -5.40284456118411e-13 ++ ua1 = -4.88818078117511e-11 lua1 = 2.7619140848406e-17 wua1 = 7.94994426902696e-18 pua1 = 1.55950427457841e-23 ++ ub1 = -4.91192533348183e-19 lub1 = 1.53087929705576e-25 wub1 = 1.67633754288248e-24 pub1 = -2.98367966582567e-31 ++ uc1 = -4.1642242286105e-11 luc1 = 8.55955723921926e-18 wuc1 = 1.2342623506882e-17 puc1 = -2.19683887274292e-24 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.63 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.019767+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.49177002 ++ k2 = -0.002690247 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 55237.817 ++ ua = -1.4116341e-9 ++ ub = 1.370092e-18 ++ uc = -3.8916596e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0062318252 ++ a0 = 1.212275 ++ keta = 0.0066402373 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.28805317 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25245591+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.5589128+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0017402344 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00056783834 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 799985290.0 ++ pscbe2 = 1.0771971e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.4670794e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.54561 ++ kt2 = -0.052484 ++ at = 10000.0 ++ ute = -1.2595 ++ ua1 = -2.5605e-10 ++ ub1 = 4.9434e-19 ++ uc1 = 8.1951e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.64 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.019767+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.49177002 ++ k2 = -0.002690247 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 55237.817 ++ ua = -1.4116341e-9 ++ ub = 1.370092e-18 ++ uc = -3.8916596e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0062318252 ++ a0 = 1.212275 ++ keta = 0.0066402373 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.28805317 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25245591+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.5589128+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0017402344 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00056783834 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 799985290.0 ++ pscbe2 = 1.0771971e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.4670794e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.54561 ++ kt2 = -0.052484 ++ at = 10000.0 ++ ute = -1.2595 ++ ua1 = -2.5605e-10 ++ ub1 = 4.9434e-19 ++ uc1 = 8.1951e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.65 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.016476133804+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.64190343310947e-8 ++ k1 = 0.534075464395531 lk1 = -3.39627599941981e-7 ++ k2 = -0.014860639538516 lk2 = 9.7703765254496e-08 pk2 = -2.52435489670724e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 67817.2708528591 lvsat = -0.100987704577306 ++ ua = -1.99250034062952e-09 lua = 4.6631872093789e-15 ++ ub = 1.75864839835032e-18 lub = -3.11932610327959e-24 ++ uc = -2.3153039787075e-11 luc = -1.26549640114687e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00400447391202439 lu0 = 1.78811494116526e-8 ++ a0 = 1.290053434286 la0 = -6.24404337106798e-7 ++ keta = 0.010081996961465 lketa = -2.76304052611251e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.23399627201387 lags = 4.33968128349875e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.26378896640707+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 9.09816408392809e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.1497465565696+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.28478169226432e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.0347769002070012 lpclm = 2.9315911841939e-07 wpclm = -9.92616735063633e-24 ppclm = 1.26217744835362e-29 ++ pdiblc1 = 0.39 ++ pdiblc2 = 9.45014544238799e-05 lpdiblc2 = 3.79994283736246e-9 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 799970497.21407 lpscbe1 = 0.118756307932927 ++ pscbe2 = 1.20499416283276e-08 lpscbe2 = -1.02595328685664e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.3586192776195e-10 lagidl = 8.7071656094919e-17 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.63053005701 lkt1 = 6.81737198635594e-7 ++ kt2 = -0.078406116774 lkt2 = 2.08102442396271e-7 ++ at = -35585.2436945 lat = 0.365957789356522 wat = 1.38777878078145e-17 pat = -1.05879118406788e-22 ++ ute = -2.4573229315 lute = 9.61610812020682e-6 ++ ua1 = -2.44692302305e-09 lua1 = 1.75883023385691e-14 pua1 = 3.00926553810506e-36 ++ ub1 = 2.01474435048e-18 lub1 = -1.22057878808012e-23 ++ uc1 = 9.70073011147e-11 luc1 = -7.12983284802398e-16 wuc1 = 1.23259516440783e-32 puc1 = 9.4039548065783e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.66 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.02608916006794+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.23021201037378e-8 ++ k1 = 0.43812032260554 lk1 = 4.68785597263962e-8 ++ k2 = 0.01293585993148 lk2 = -1.42602010526543e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 31904.41993038 lvsat = 0.0436688279842283 ++ ua = -6.37637714430962e-10 lua = -7.94183190597387e-16 ++ ub = 8.2357658796972e-19 lub = 6.47131928071745e-25 ++ uc = -6.42423725923621e-11 luc = 3.89576993530151e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.009058687361 lu0 = -2.47716171025963e-9 ++ a0 = 1.211310642674 la0 = -3.07229317407157e-7 ++ keta = 0.0113714733127004 lketa = -3.28244005301851e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.24072249696746 lags = 4.06874974951514e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.23789112252874+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.33345635285058e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.2722086903828+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.23648231318963e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.160612523 leta0 = -3.24706275293724e-7 ++ etab = -0.140472581489149 letab = 2.83862712567314e-7 ++ dsub = 0.864198200000001 ldsub = -1.2253066992216e-6 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.280678249441224 lpclm = 1.28364680231865e-06 ppclm = 4.03896783473158e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0018722880708822 lpdiblc2 = -3.36096032029225e-9 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 799999959.720119 lpscbe1 = 8.16871124698082e-5 ++ pscbe2 = 9.635456366521e-09 lpscbe2 = -5.34015207832602e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.091654524078e-12 lalpha0 = 1.24531473231319e-17 ++ alpha1 = 2.964777003837e-15 lalpha1 = -1.19420861941314e-20 ++ beta0 = 57.377838 lbeta0 = -0.000110277602929944 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.53026112432198e-11 lagidl = 3.71283736320564e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.45145439814 lkt1 = -3.9577406384857e-8 ++ kt2 = -0.00769209472199994 lkt2 = -7.67327898609207e-8 ++ at = 103746.539146 lat = -0.195268959943618 ++ ute = 0.7665957497 lute = -3.3697976406426e-06 pute = 1.61558713389263e-27 ++ ua1 = 4.02151830254e-09 lua1 = -8.46650169961149e-15 ++ ub1 = -2.80235008686e-18 lub1 = 7.19741070767103e-24 wub1 = -1.46936793852786e-39 pub1 = -2.80259692864963e-45 ++ uc1 = -2.23851272804e-10 luc1 = 5.79431200639238e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.67 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.02949948709812+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.92182223970204e-8 ++ k1 = 0.469075083613521 lk1 = -1.58973241406559e-8 ++ k2 = 0.004272102579488 lk2 = 3.30979489209729e-9 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 78753.615529692 lvsat = -0.051340778500829 ++ ua = -1.29898347783292e-09 lua = 5.47018081432629e-16 ++ ub = 1.32344821524624e-18 lub = -3.66601733585513e-25 ++ uc = -4.1282867652764e-11 luc = -7.60390115043052e-18 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00700278214040001 lu0 = 1.69218940625448e-9 ++ a0 = 1.582338188448 la0 = -1.05966872790628e-6 ++ keta = 0.0291366334094596 lketa = -6.88519320244916e-08 pketa = 6.31088724176809e-30 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.374551045364441 lags = 1.6546423355181e-06 pags = 8.07793566946316e-28 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.2466516868904+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 4.43175587016839e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.5005339170744+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.28464866982522e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.0812250460000001 leta0 = 1.65737412587448e-07 weta0 = -3.30872245021211e-24 peta0 = 3.07655753036195e-29 ++ etab = 0.00618191897829788 letab = -1.35508545266625e-08 wetab = -8.27180612553028e-25 petab = 1.57772181044202e-30 ++ dsub = -0.0483964000000001 ldsub = 6.254241984432e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.332664105388719 lpclm = 3.97958668317801e-8 ++ pdiblc1 = 0.39797787563196 lpdiblc1 = -1.61790360471074e-8 ++ pdiblc2 = 0.000215 ++ pdiblcb = -0.4305976 lpdiblcb = 4.169494656288e-07 wpdiblcb = 4.2351647362715e-22 ++ drout = 0.1989163366336 ldrout = 7.32273336303098e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.03613408527e-08 lpscbe2 = -2.00610023518976e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -9.66154909518441e-11 lalpha0 = 2.02118365312604e-16 walpha0 = 4.93038065763132e-32 palpha0 = 2.93873587705572e-38 ++ alpha1 = -1.02804729554008e-10 lalpha1 = 2.08480828324765e-16 walpha1 = -1.93375403478631e-32 palpha1 = 5.68633912773262e-38 ++ beta0 = -3.06618412128561 lbeta0 = 1.23021486037577e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 4.0091784829048e-10 lagidl = -3.09339937028434e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.42689323608076 lkt1 = -8.9387148307051e-8 ++ kt2 = -0.02666911067692 lkt2 = -3.82476292285345e-8 ++ at = -8464.61082126801 lat = 0.0322939056562017 ++ ute = -0.588317398578001 lute = -6.22050034892599e-7 ++ ua1 = -6.371834778916e-11 lua1 = -1.81690795583757e-16 ++ ub1 = 1.02092658491736e-18 lub1 = -5.56148503373387e-25 ++ uc1 = 7.6699274718912e-11 luc1 = -3.00817031306568e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.68 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0441073431+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.42349230726832e-8 ++ k1 = 0.394999825372881 lk1 = 6.02511524276241e-8 ++ k2 = 0.0222744113979824 lk2 = -1.51963625456091e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -35771.1183033839 lvsat = 0.0663892735827671 pvsat = 2.64697796016969e-23 ++ ua = -3.76203156092561e-10 lua = -4.01589015952602e-16 ++ ub = 7.0272563813328e-19 lub = 2.71493627015686e-25 ++ uc = -7.7067301765592e-11 luc = 2.91820677043474e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.01039610732984 lu0 = -1.79610816858756e-9 ++ a0 = -0.0649376692799999 la0 = 6.33711086527808e-7 ++ keta = -0.042575756671448 lketa = 4.86754443000049e-9 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 1.1530741861472 lags = 8.42619290269124e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.24175577993144+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.01177732758859e-10 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.7372633632936+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.5109837022539e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.35295016 leta0 = 4.4506756907808e-7 ++ etab = -0.01438523215 letab = 7.5919300274142e-9 ++ dsub = 0.81616026316352 ldsub = -2.63329676608941e-07 wdsub = -8.470329472543e-22 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.10226051009128 lpclm = 2.76647997954405e-7 ++ pdiblc1 = 0.62203846997568 lpdiblc1 = -2.46510638305319e-7 ++ pdiblc2 = -0.000119947403386 lpdiblc2 = 3.44321911311968e-10 ++ pdiblcb = -0.025 ++ drout = 0.817537886732799 ldrout = 9.63378062597227e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 7.45177980621521e-09 lpscbe2 = 9.84893605864053e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.7628431869944 lbeta0 = 1.42050479173602e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.939468120388e-10 lagidl = 3.02173795414142e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.51953498759848 lkt1 = 5.84746055214585e-9 ++ kt2 = -0.0730491064621599 lkt2 = 9.43044987874294e-9 ++ at = -21453.665385464 lat = 0.0456464978795404 pat = 1.32348898008484e-23 ++ ute = -2.199567016764 lute = 1.03429523760719e-6 ++ ua1 = -1.08172939594168e-09 lua1 = 8.64812345784455e-16 pua1 = 3.76158192263132e-37 ++ ub1 = 4.0432222584528e-19 lub1 = 7.77133785004025e-26 ++ uc1 = 7.1847125458176e-11 luc1 = -2.50937519164114e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.69 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.989406890288+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 5.35374039338062e-9 ++ k1 = 0.43001994765856 lk1 = 4.17609481022523e-8 ++ k2 = 0.0102484393695712 lk2 = -8.84679362627236e-9 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -6235.74157807988 lvsat = 0.0507949490963273 ++ ua = -6.24896580150402e-10 lua = -2.70281872371151e-16 ++ ub = 8.54553983596802e-19 lub = 1.91330082551093e-25 ++ uc = -4.6095809352361e-11 luc = 1.28294913680704e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00925967869008 lu0 = -1.19608748393796e-9 ++ a0 = 1.49467176688 la0 = -1.89743980451438e-7 ++ keta = -0.0593620093695648 lketa = 1.37304844195738e-8 ++ a1 = 0.0 ++ a2 = 1.10720647359648 la2 = -1.62201331581259e-7 ++ ags = 2.30325973754048 lags = -5.23022239882123e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.19564020951728+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -2.49496455245904e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.9273171671088+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -1.52362907462407e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = 5.62972999999999e-05 letab = -3.30242238324e-11 wetab = -1.29246970711411e-26 petab = -6.16297582203915e-33 ++ dsub = -0.0279335847931197 ldsub = 1.8234174598599e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.61407741662336 lpclm = 6.41481310834537e-9 ++ pdiblc1 = -0.18599373358432 lpdiblc1 = 1.80120668787918e-07 ppdiblc1 = 5.04870979341448e-29 ++ pdiblc2 = -0.011182490884396 lpdiblc2 = 6.18521211876348e-09 wpdiblc2 = 8.27180612553028e-25 ppdiblc2 = -1.18329135783152e-30 ++ pdiblcb = 0.1973904 lpdiblcb = -1.174194625152e-07 wpdiblcb = -5.29395592033938e-23 ++ drout = 1.63194501654032 ldrout = -3.3365938539309e-7 ++ pscbe1 = 800079104.265282 lpscbe1 = -0.0417661028168368 ++ pscbe2 = 9.4366626002032e-09 lpscbe2 = -6.31006907680859e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.7413550381728 lbeta0 = 1.53395963893621e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.878936240776e-10 lagidl = -1.63427372770084e-16 ++ bgidl = 691592551.526402 lbgidl = 162.835431904679 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.50444585328 lkt1 = -2.11942129839932e-9 ++ kt2 = -0.096992947392 lkt2 = 2.20725105636073e-8 ++ at = 63269.802688 lat = 0.000913523418368256 ++ ute = -0.30218766272 lute = 3.25017072242073e-8 ++ ua1 = 7.2708366384e-10 lua1 = -9.02192440235539e-17 ++ ub1 = 6.7661571952e-19 lub1 = -6.60543186379256e-26 ++ uc1 = 5.072625803232e-11 luc1 = -1.39421873659686e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.70 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.899114155485712+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.9746556368837e-8 ++ k1 = -1.04921174370343 lk1 = 4.52969607520589e-7 ++ k2 = 0.582611608243943 lk2 = -1.67956886215321e-07 wk2 = -1.58818677610181e-22 pk2 = 5.04870979341448e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 397890.392036 lvsat = -0.0615472665347835 ++ ua = 7.16529660737141e-10 lua = -6.43182270222996e-16 ++ ub = -3.54747312851425e-19 lub = 5.27501331348142e-25 ++ uc = -4.606963774268e-13 luc = 1.43477582394365e-19 wuc = 1.92592994438724e-34 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0108993857228571 lu0 = -1.65190636256561e-9 ++ a0 = -0.00465887600000059 la0 = 2.27051946301488e-7 ++ keta = -0.120180916787726 lketa = 3.06374108549335e-08 wketa = 5.29395592033938e-23 pketa = 6.31088724176809e-30 ++ a1 = 0.0 ++ a2 = 0.0392201759994286 la2 = 1.34686043315151e-7 ++ ags = -2.03898102803886 lags = 6.84068586059746e-7 ++ b0 = 0.0 ++ b1 = -6.26145615844572e-24 lb1 = 1.74060967457401e-30 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.0454751583834279+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -6.66937277591875e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.550114353485718+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 3.67609565007212e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.31788295248057 leta0 = -2.30141526194169e-7 ++ etab = 0.0935091143547428 letab = -2.60117859312463e-08 wetab = -1.24077091882954e-23 petab = 2.26797510251041e-30 ++ dsub = 1.693234542632 ldsub = -2.96122339420664e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.682002296978858 lpclm = -1.24674885319186e-8 ++ pdiblc1 = 1.382172001224 lpdiblc1 = -2.55810587499977e-7 ++ pdiblc2 = 0.03707351932236 lpdiblc2 = -7.22937964659221e-9 ++ pdiblcb = -0.670688571428571 lpdiblcb = 1.23896074594286e-7 ++ drout = -1.256946487644 ldrout = 4.694177860721e-7 ++ pscbe1 = 799717484.766857 lpscbe1 = 0.0587597783110141 ++ pscbe2 = 8.67880867706288e-09 lpscbe2 = 1.47573605617857e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 10.7811435185828 lbeta0 = -4.13640756198613e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 2092679862.27428 lbgidl = -226.650027435504 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.137661887428571 lkt1 = -1.04080962397506e-7 ++ kt2 = 0.286688501485714 lkt2 = -8.45863280470107e-08 wkt2 = 5.29395592033938e-23 ++ at = 88578.9579428572 lat = -0.00612211803261897 ++ ute = -0.0934878668571431 lute = -2.55143316281167e-8 ++ ua1 = 1.15141565028571e-09 lua1 = -2.08178444271625e-16 ++ ub1 = 4.39e-19 ++ uc1 = -5.24083598285714e-13 luc1 = 3.0479260324025e-19 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.71 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1e-06 wmax = 1.26e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.795606604232184+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -4.12748849389571e-08 wvth0 = 1.28815823322332e-08 pvth0 = -2.67921454611697e-15 ++ k1 = 4.11830102617436 lk1 = -6.21811038460751e-07 wk1 = -5.10199991329752e-06 pk1 = 1.06115475796693e-12 ++ k2 = -1.38668350242437 lk2 = 2.41632865262361e-07 wk2 = 1.98275275498596e-06 pk2 = -4.1238878000402e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -463072.955473881 lvsat = 0.117522778187102 wvsat = 0.828804087634571 pvsat = -1.72381304578939e-7 ++ ua = -5.09677744853903e-09 lua = 5.65915848821138e-16 wua = 3.95559789295204e-15 pua = -8.22716894559309e-22 ++ ub = 3.63535079134925e-18 lub = -3.02391193148348e-25 wub = -2.74262889573271e-24 pub = 5.70433898765656e-31 ++ uc = 2.22165827457476e-12 luc = -4.14419996966135e-19 wuc = -1.98048923694266e-18 puc = 4.11917995413231e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.00491157762202928 lu0 = 1.63658428161063e-09 wu0 = 1.01963324847634e-08 pu0 = -2.12071480084097e-15 ++ a0 = -2.08228480125189 la0 = 6.59173207242779e-07 wa0 = 5.23376113842361e-06 pa0 = -1.08855951165845e-12 ++ keta = 0.761151985656444 lketa = -1.52669256858625e-07 wketa = -5.62536765411136e-07 pketa = 1.17000896764331e-13 ++ a1 = 0.0 ++ a2 = 2.40751338627852 la2 = -3.57890524904377e-07 wa2 = -3.52379661186828e-06 pa2 = 7.32907409709259e-13 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 1.46100643697067e-23 lb1 = -2.60041613703535e-30 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.792461582861876+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = 8.86704846952355e-08 wvoff = 5.35435571187402e-07 pvoff = -1.11364173580126e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {4.79901743330167+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -5.1611128875755e-07 wnfactor = -4.88741235405034e-06 pnfactor = 1.01652312069422e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 3.17607718361169 leta0 = -6.16623627938668e-07 weta0 = -3.68688528515757e-06 peta0 = 7.66827896689352e-13 ++ etab = 0.199797492392715 letab = -4.81184931026082e-08 wetab = -4.12450260063194e-07 petab = 8.57847046900236e-14 ++ dsub = 0.467176730191369 ldsub = -4.11170271267622e-08 wdsub = -1.76100751651244e-07 pdsub = 3.66268431344385e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.99898054296121 lpclm = 7.53132770381538e-07 wpclm = 4.55215461206512e-06 ppclm = -9.46793533454201e-13 ++ pdiblc1 = -2.54577407474361 lpdiblc1 = 5.61155060948375e-07 wpdiblc1 = 3.43465214164761e-06 ppdiblc1 = -7.14366429637004e-13 ++ pdiblc2 = -0.0496171610450667 lpdiblc2 = 1.08012415816681e-08 wpdiblc2 = 6.21929459819132e-08 ppdiblc2 = -1.29353864488862e-14 ++ pdiblcb = 3.79591210725848 lpdiblcb = -8.05103267364477e-07 wpdiblcb = -4.25269383350002e-06 ppdiblcb = 8.84509285042002e-13 ++ drout = 1.0 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.50686260347976e-08 lpscbe2 = -1.18143172698268e-15 wpscbe2 = -5.52596359163528e-15 ppscbe2 = 1.14933411549704e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -1.5848823221769 lbeta0 = 2.15834422636934e-06 wbeta0 = 1.92355824372214e-05 pbeta0 = -4.00077031995282e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.98778569869926e-09 lagidl = -2.05654077190107e-15 wagidl = -1.4536654515994e-14 pagidl = 3.02344969947256e-21 ++ bgidl = 1020475725.30667 lbgidl = -3.64443339588342 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.212807338666664 lkt1 = -8.84516102853977e-8 ++ kt2 = -0.12 ++ at = -563008.159389326 lat = 0.129400183327067 wat = 0.835426621125335 pat = -1.73758712074616e-7 ++ ute = -0.238289841333334 lute = 4.60274143923742e-9 ++ ua1 = -1.73814038436491e-09 lua1 = 3.92814536263289e-16 wua1 = 2.11173939929144e-15 pua1 = -4.39216454179828e-22 ++ ub1 = 8.54839297333334e-19 lub1 = -8.64895837737652e-26 ++ uc1 = 2.28016756041765e-12 luc1 = -2.7845798675616e-19 wuc1 = -4.23580031211806e-17 puc1 = 8.80995635316812e-24 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.72 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.019767+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.49177002 ++ k2 = -0.002690247 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 55237.817 ++ ua = -1.4116341e-9 ++ ub = 1.370092e-18 ++ uc = -3.8916596e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0062318252 ++ a0 = 1.212275 ++ keta = 0.0066402373 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.28805317 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25245591+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.5589128+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0017402344 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00056783834 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 799985290.0 ++ pscbe2 = 1.0771971e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.4670794e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.54561 ++ kt2 = -0.052484 ++ at = 10000.0 ++ ute = -1.2595 ++ ua1 = -2.5605e-10 ++ ub1 = 4.9434e-19 ++ uc1 = 8.1951e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.73 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.019767+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.49177002 ++ k2 = -0.002690247 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 55237.817 ++ ua = -1.4116341e-9 ++ ub = 1.370092e-18 ++ uc = -3.8916596e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0062318252 ++ a0 = 1.212275 ++ keta = 0.0066402373 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.28805317 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25245591+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.5589128+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0017402344 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00056783834 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 799985290.0 ++ pscbe2 = 1.0771971e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.4670794e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.54561 ++ kt2 = -0.052484 ++ at = 10000.0 ++ ute = -1.2595 ++ ua1 = -2.5605e-10 ++ ub1 = 4.9434e-19 ++ uc1 = 8.1951e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.74 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.016476133804+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.64190343310947e-8 ++ k1 = 0.53407546439553 lk1 = -3.39627599941978e-7 ++ k2 = -0.014860639538516 lk2 = 9.77037652544961e-08 wk2 = -6.61744490042422e-24 pk2 = 5.04870979341448e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 67817.2708528591 lvsat = -0.100987704577306 ++ ua = -1.99250034062952e-09 lua = 4.66318720937891e-15 ++ ub = 1.75864839835032e-18 lub = -3.11932610327959e-24 ++ uc = -2.3153039787075e-11 luc = -1.26549640114687e-16 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00400447391202441 lu0 = 1.78811494116526e-8 ++ a0 = 1.290053434286 la0 = -6.24404337106798e-7 ++ keta = 0.010081996961465 lketa = -2.7630405261125e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.23399627201387 lags = 4.33968128349875e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.26378896640707+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 9.09816408392809e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.1497465565696+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.28478169226435e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.0347769002070012 lpclm = 2.93159118419391e-07 wpclm = 3.30872245021211e-24 ppclm = 8.83524213847533e-29 ++ pdiblc1 = 0.39 ++ pdiblc2 = 9.45014544238799e-05 lpdiblc2 = 3.79994283736246e-9 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 799970497.214071 lpscbe1 = 0.118756307929289 ++ pscbe2 = 1.20499416283276e-08 lpscbe2 = -1.02595328685664e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.3586192776195e-10 lagidl = 8.7071656094919e-17 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.63053005701 lkt1 = 6.81737198635594e-7 ++ kt2 = -0.078406116774 lkt2 = 2.08102442396271e-7 ++ at = -35585.2436945 lat = 0.365957789356522 wat = -1.38777878078145e-17 pat = -5.29395592033938e-23 ++ ute = -2.4573229315 lute = 9.61610812020682e-6 ++ ua1 = -2.44692302305e-09 lua1 = 1.75883023385691e-14 ++ ub1 = 2.01474435048e-18 lub1 = -1.22057878808012e-23 wub1 = 7.3468396926393e-40 pub1 = 5.60519385729927e-45 ++ uc1 = 9.70073011147001e-11 luc1 = -7.12983284802399e-16 wuc1 = -1.23259516440783e-32 puc1 = -3.29138418230241e-37 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.75 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.02608916006794+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.23021201037378e-8 ++ k1 = 0.43812032260554 lk1 = 4.68785597263962e-8 ++ k2 = 0.01293585993148 lk2 = -1.42602010526543e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 31904.41993038 lvsat = 0.0436688279842286 ++ ua = -6.37637714430961e-10 lua = -7.94183190597387e-16 ++ ub = 8.23576587969721e-19 lub = 6.47131928071748e-25 ++ uc = -6.42423725923619e-11 luc = 3.89576993530149e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.009058687361 lu0 = -2.47716171025968e-9 ++ a0 = 1.211310642674 la0 = -3.07229317407161e-7 ++ keta = 0.0113714733127004 lketa = -3.28244005301851e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.24072249696746 lags = 4.06874974951516e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.23789112252874+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.3334563528505e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.2722086903828+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.23648231318964e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.160612523 leta0 = -3.24706275293724e-7 ++ etab = -0.140472581489149 letab = 2.83862712567314e-7 ++ dsub = 0.8641982 ldsub = -1.2253066992216e-6 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.280678249441224 lpclm = 1.28364680231865e-06 wpclm = -1.05879118406788e-22 ppclm = -3.02922587604869e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0018722880708822 lpdiblc2 = -3.36096032029225e-9 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 799999959.720119 lpscbe1 = 8.16871142887976e-5 ++ pscbe2 = 9.635456366521e-09 lpscbe2 = -5.34015207832627e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.091654524078e-12 lalpha0 = 1.24531473231319e-17 ++ alpha1 = 2.964777003837e-15 lalpha1 = -1.19420861941314e-20 ++ beta0 = 57.377838 lbeta0 = -0.000110277602929944 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.53026112432198e-11 lagidl = 3.71283736320564e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.45145439814 lkt1 = -3.9577406384857e-8 ++ kt2 = -0.007692094722 lkt2 = -7.67327898609206e-8 ++ at = 103746.539146 lat = -0.195268959943618 ++ ute = 0.7665957497 lute = -3.3697976406426e-06 wute = -4.2351647362715e-22 pute = -1.41363874215605e-27 ++ ua1 = 4.02151830254e-09 lua1 = -8.46650169961149e-15 ++ ub1 = -2.80235008686e-18 lub1 = 7.19741070767104e-24 wub1 = 1.46936793852786e-39 ++ uc1 = -2.23851272804e-10 luc1 = 5.79431200639238e-16 wuc1 = -9.86076131526265e-32 puc1 = 1.88079096131566e-37 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.76 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.02949948709812+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.92182223970221e-8 ++ k1 = 0.46907508361352 lk1 = -1.58973241406542e-8 ++ k2 = 0.004272102579488 lk2 = 3.30979489209729e-9 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 78753.615529692 lvsat = -0.0513407785008291 ++ ua = -1.29898347783292e-09 lua = 5.47018081432629e-16 ++ ub = 1.32344821524624e-18 lub = -3.66601733585511e-25 ++ uc = -4.1282867652764e-11 luc = -7.60390115043042e-18 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00700278214039998 lu0 = 1.69218940625448e-9 ++ a0 = 1.582338188448 la0 = -1.05966872790628e-6 ++ keta = 0.0291366334094596 lketa = -6.88519320244915e-08 wketa = 6.61744490042422e-24 pketa = -6.31088724176809e-30 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.374551045364441 lags = 1.6546423355181e-06 pags = 8.07793566946316e-28 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.2466516868904+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 4.43175587016839e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.5005339170744+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.28464866982522e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.081225046 leta0 = 1.65737412587448e-07 weta0 = -1.73707928636136e-23 peta0 = -1.65660790096412e-29 ++ etab = 0.00618191897829788 letab = -1.35508545266625e-08 wetab = -2.48154183765908e-24 petab = 6.31088724176809e-30 ++ dsub = -0.0483964000000001 ldsub = 6.25424198443201e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.332664105388719 lpclm = 3.97958668317805e-8 ++ pdiblc1 = 0.39797787563196 lpdiblc1 = -1.61790360471074e-8 ++ pdiblc2 = 0.000215 ++ pdiblcb = -0.4305976 lpdiblcb = 4.169494656288e-7 ++ drout = 0.1989163366336 ldrout = 7.322733363031e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.03613408527e-08 lpscbe2 = -2.00610023518978e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -9.6615490951844e-11 lalpha0 = 2.02118365312604e-16 walpha0 = 1.23259516440783e-32 palpha0 = 6.46521892952258e-38 ++ alpha1 = -1.02804729554008e-10 lalpha1 = 2.08480828324765e-16 walpha1 = 2.60301469046087e-32 palpha1 = -2.28957371358892e-38 ++ beta0 = -3.0661841212856 lbeta0 = 1.23021486037577e-05 pbeta0 = -6.46234853557053e-27 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 4.0091784829048e-10 lagidl = -3.09339937028434e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.426893236080759 lkt1 = -8.9387148307051e-8 ++ kt2 = -0.02666911067692 lkt2 = -3.82476292285343e-8 ++ at = -8464.61082126801 lat = 0.0322939056562017 pat = 1.32348898008484e-23 ++ ute = -0.588317398578001 lute = -6.22050034892598e-7 ++ ua1 = -6.371834778916e-11 lua1 = -1.81690795583757e-16 ++ ub1 = 1.02092658491736e-18 lub1 = -5.56148503373388e-25 ++ uc1 = 7.66992747189121e-11 luc1 = -3.00817031306569e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.77 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0441073431+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.42349230726832e-8 ++ k1 = 0.39499982537288 lk1 = 6.02511524276237e-8 ++ k2 = 0.0222744113979824 lk2 = -1.51963625456091e-08 pk2 = 6.31088724176809e-30 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -35771.118303384 lvsat = 0.0663892735827671 ++ ua = -3.7620315609256e-10 lua = -4.015890159526e-16 ++ ub = 7.0272563813328e-19 lub = 2.71493627015684e-25 ++ uc = -7.7067301765592e-11 luc = 2.91820677043474e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.01039610732984 lu0 = -1.79610816858756e-9 ++ a0 = -0.064937669279999 la0 = 6.33711086527809e-7 ++ keta = -0.042575756671448 lketa = 4.86754443000046e-9 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 1.1530741861472 lags = 8.42619290269124e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.24175577993144+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.01177732758859e-10 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.7372633632936+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.5109837022539e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.35295016 leta0 = 4.4506756907808e-07 peta0 = 1.0097419586829e-28 ++ etab = -0.01438523215 letab = 7.59193002741421e-9 ++ dsub = 0.81616026316352 ldsub = -2.63329676608941e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.10226051009128 lpclm = 2.76647997954406e-7 ++ pdiblc1 = 0.62203846997568 lpdiblc1 = -2.46510638305319e-7 ++ pdiblc2 = -0.000119947403386 lpdiblc2 = 3.44321911311968e-10 ++ pdiblcb = -0.025 ++ drout = 0.817537886732801 ldrout = 9.63378062597227e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 7.4517798062152e-09 lpscbe2 = 9.84893605864059e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.76284318699442 lbeta0 = 1.42050479173596e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.939468120388e-10 lagidl = 3.02173795414142e-16 pagidl = -9.4039548065783e-38 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.51953498759848 lkt1 = 5.84746055214585e-9 ++ kt2 = -0.07304910646216 lkt2 = 9.43044987874294e-9 ++ at = -21453.665385464 lat = 0.0456464978795403 ++ ute = -2.199567016764 lute = 1.03429523760719e-6 ++ ua1 = -1.08172939594168e-09 lua1 = 8.64812345784456e-16 pua1 = -1.88079096131566e-37 ++ ub1 = 4.04322225845279e-19 lub1 = 7.77133785004025e-26 ++ uc1 = 7.1847125458176e-11 luc1 = -2.50937519164114e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.78 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.989406890288+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 5.35374039338062e-9 ++ k1 = 0.430019947658559 lk1 = 4.17609481022523e-8 ++ k2 = 0.0102484393695712 lk2 = -8.84679362627236e-09 pk2 = -3.15544362088405e-30 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -6235.74157807999 lvsat = 0.0507949490963273 ++ ua = -6.24896580150399e-10 lua = -2.70281872371151e-16 ++ ub = 8.54553983596799e-19 lub = 1.91330082551092e-25 ++ uc = -4.60958093523609e-11 luc = 1.28294913680704e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00925967869008 lu0 = -1.19608748393796e-9 ++ a0 = 1.49467176688 la0 = -1.89743980451438e-7 ++ keta = -0.0593620093695648 lketa = 1.37304844195738e-08 pketa = 1.26217744835362e-29 ++ a1 = 0.0 ++ a2 = 1.10720647359648 la2 = -1.62201331581258e-7 ++ ags = 2.30325973754048 lags = -5.23022239882123e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.19564020951728+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -2.49496455245903e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.9273171671088+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -1.52362907462415e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = 5.62973e-05 letab = -3.30242238324e-11 wetab = 1.29246970711411e-26 petab = -3.08148791101958e-33 ++ dsub = -0.0279335847931206 ldsub = 1.8234174598599e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.614077416623358 lpclm = 6.41481310834558e-9 ++ pdiblc1 = -0.18599373358432 lpdiblc1 = 1.80120668787918e-7 ++ pdiblc2 = -0.011182490884396 lpdiblc2 = 6.18521211876347e-09 wpdiblc2 = -8.27180612553028e-25 ppdiblc2 = 9.86076131526265e-31 ++ pdiblcb = 0.1973904 lpdiblcb = -1.174194625152e-07 wpdiblcb = 1.05879118406788e-22 ppdiblcb = -3.78653234506086e-29 ++ drout = 1.63194501654032 ldrout = -3.3365938539309e-7 ++ pscbe1 = 800079104.265278 lpscbe1 = -0.0417661028168368 ++ pscbe2 = 9.43666260020318e-09 lpscbe2 = -6.31006907680828e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.74135503817278 lbeta0 = 1.53395963893621e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.87893624077599e-10 lagidl = -1.63427372770084e-16 ++ bgidl = 691592551.526398 lbgidl = 162.835431904679 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.504445853279999 lkt1 = -2.11942129839953e-9 ++ kt2 = -0.0969929473919998 lkt2 = 2.20725105636073e-8 ++ at = 63269.8026879999 lat = 0.000913523418368284 ++ ute = -0.30218766272 lute = 3.25017072242073e-8 ++ ua1 = 7.27083663839999e-10 lua1 = -9.02192440235541e-17 ++ ub1 = 6.7661571952e-19 lub1 = -6.60543186379258e-26 wub1 = -7.3468396926393e-40 ++ uc1 = 5.072625803232e-11 luc1 = -1.39421873659686e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.79 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.899114155485712+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.97465563688362e-8 ++ k1 = -1.04921174370343 lk1 = 4.52969607520589e-7 ++ k2 = 0.582611608243943 lk2 = -1.67956886215321e-7 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 397890.392035999 lvsat = -0.0615472665347836 ++ ua = 7.16529660737154e-10 lua = -6.43182270222999e-16 ++ ub = -3.54747312851432e-19 lub = 5.27501331348143e-25 ++ uc = -4.606963774268e-13 luc = 1.43477582394365e-19 puc = 4.59177480789956e-41 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0108993857228571 lu0 = -1.65190636256561e-9 ++ a0 = -0.00465887600000059 la0 = 2.27051946301489e-7 ++ keta = -0.120180916787726 lketa = 3.06374108549335e-08 wketa = 2.64697796016969e-23 pketa = 6.31088724176809e-30 ++ a1 = 0.0 ++ a2 = 0.0392201759994251 la2 = 1.34686043315151e-7 ++ ags = -2.03898102803886 lags = 6.84068586059746e-7 ++ b0 = 0.0 ++ b1 = -6.26145615844572e-24 lb1 = 1.74060967457401e-30 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.045475158383427+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -6.66937277591875e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.550114353485711+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 3.67609565007215e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.31788295248057 leta0 = -2.30141526194169e-7 ++ etab = 0.0935091143547429 letab = -2.60117859312463e-08 wetab = 4.54949336904165e-24 petab = 1.17343059651626e-29 ++ dsub = 1.693234542632 ldsub = -2.96122339420665e-07 wdsub = 1.6940658945086e-21 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.682002296978856 lpclm = -1.24674885319186e-8 ++ pdiblc1 = 1.382172001224 lpdiblc1 = -2.55810587499977e-7 ++ pdiblc2 = 0.03707351932236 lpdiblc2 = -7.22937964659221e-9 ++ pdiblcb = -0.67068857142857 lpdiblcb = 1.23896074594286e-7 ++ drout = -1.256946487644 ldrout = 4.694177860721e-7 ++ pscbe1 = 799717484.766857 lpscbe1 = 0.0587597783110141 ++ pscbe2 = 8.67880867706283e-09 lpscbe2 = 1.47573605617844e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 10.7811435185828 lbeta0 = -4.13640756198613e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 2092679862.27428 lbgidl = -226.650027435504 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.137661887428569 lkt1 = -1.04080962397506e-7 ++ kt2 = 0.286688501485715 lkt2 = -8.45863280470108e-08 wkt2 = 5.29395592033938e-23 ++ at = 88578.9579428567 lat = -0.00612211803261897 ++ ute = -0.0934878668571422 lute = -2.55143316281165e-8 ++ ua1 = 1.15141565028571e-09 lua1 = -2.08178444271625e-16 ++ ub1 = 4.39e-19 ++ uc1 = -5.24083598285719e-13 luc1 = 3.0479260324025e-19 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.80 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 8.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.767077914345563+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -4.72085100910932e-08 wvth0 = -1.52303661582568e-08 pvth0 = 3.16773339652276e-15 ++ k1 = -5.85428844906348 lk1 = 1.45236790131502e-06 wk1 = 4.72491196940394e-06 pk1 = -9.82724990692385e-13 ++ k2 = 2.23290028267025 lk2 = -5.111971270319e-07 wk2 = -1.58395687409276e-06 pk2 = 3.29444022328805e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 345526.071194263 lvsat = -0.0506561161715524 wvsat = 0.0320169138281901 pvsat = -6.6591338732976e-9 ++ ua = -1.61065089243855e-09 lua = -1.59156641329088e-16 wua = 5.20395976357762e-16 pua = -1.08236118330697e-22 ++ ub = 2.52206562900939e-18 lub = -7.08412388036046e-26 wub = -1.64560638038729e-24 pub = 3.4226637984399e-31 ++ uc = -2.16467088758533e-12 luc = 4.97883832813219e-19 wuc = 2.34176530608242e-18 puc = -4.87059082481471e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00572819837825704 lu0 = -5.76361449136928e-10 wu0 = -2.88019795665969e-10 pu0 = 5.99046612609752e-17 ++ a0 = 13.0631229413606 la0 = -2.4908898583277e-06 wa0 = -9.69040551696633e-06 pa0 = 2.01548806266279e-12 ++ keta = 3.15941242683372 lketa = -6.51478649498204e-07 wketa = -2.92576389771578e-06 pketa = 6.08523781558111e-13 ++ a1 = 0.0 ++ a2 = -1.16852122396933 la2 = 3.85881761611854e-7 ++ ags = -19.2893357426319 lags = 4.27193536243852e-06 wags = 2.02393012339707e-05 pags = -4.20953178505109e-12 ++ b0 = 0.0 ++ b1 = 1.46100643697067e-23 lb1 = -2.60041613703535e-30 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {0.220456653985726+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -1.22004353550224e-07 wvoff = -4.62686158639979e-07 pvoff = 9.62331687632118e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {3.32921144413835+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -2.10409280683448e-07 wnfactor = -3.43907699681553e-06 pnfactor = 7.15286746413668e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.24878542050382 leta0 = -2.15770068713389e-07 weta0 = -1.78774701466683e-06 peta0 = 3.71829926086525e-13 ++ etab = -1.48931911887505 letab = 3.03197492641753e-07 wetab = 1.2519920735705e-06 petab = -2.60399327397781e-13 ++ dsub = 0.0959968937635232 ldsub = 3.6083924692192e-08 wdsub = 1.89656963962031e-07 pdsub = -3.94463726205349e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -3.53376297321431 lpclm = 8.64361098485018e-07 wpclm = 5.07912504753356e-06 ppclm = -1.05639706038641e-12 ++ pdiblc1 = 0.939794556353335 lpdiblc1 = -1.63801387496217e-7 ++ pdiblc2 = 0.01349775500756 lpdiblc2 = -2.32590357828559e-9 ++ pdiblcb = -0.519825152991825 lpdiblcb = 9.25182939204638e-8 ++ drout = 1.0 ++ pscbe1 = -147376080.210926 lpscbe1 = 197.042856170909 wpscbe1 = 933.536999906417 ppscbe1 = -0.000194164493536536 ++ pscbe2 = -8.12769301278432e-09 lpscbe2 = 3.64312427908578e-15 wpscbe2 = 1.73315082665633e-14 ppscbe2 = -3.60474574134596e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 18.2284323446247 lbeta0 = -1.9625874645494e-06 wbeta0 = -2.88303291590499e-07 pbeta0 = 5.9963625011327e-14 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.614334899479e-08 lagidl = 5.45830167072838e-15 wagidl = 2.10666837881197e-14 pagidl = -4.38161742772344e-21 ++ bgidl = 1020475725.30666 lbgidl = -3.64443339588342 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.212807338666668 lkt1 = -8.84516102853981e-8 ++ kt2 = -0.12 ++ at = 284803.119333333 lat = -0.0469343889119013 pat = 5.29395592033938e-23 ++ ute = -0.238289841333334 lute = 4.60274143923742e-9 ++ ua1 = 4.04904181333334e-10 lua1 = -5.29130168671572e-17 ++ ub1 = 8.54839297333336e-19 lub1 = -8.64895837737656e-26 ++ uc1 = -4.07057654733333e-11 luc1 = 8.66210025306766e-18 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.81 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.950470729975101+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = -5.71966007676452e-8 ++ k1 = 0.53274593583127 wk1 = -3.38212013149867e-8 ++ k2 = -0.0043856305323825 wk2 = 1.39935634363696e-9 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 151381.852093917 wvsat = -0.0793565366430454 ++ ua = -2.96695920428551e-09 wua = 1.28375320954145e-15 ++ ub = 2.46710918726135e-18 wub = -9.05469429631458e-25 ++ uc = 5.20559427867161e-11 wuc = -7.50880239287529e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.000746420104814099 wu0 = 5.75978924428018e-9 ++ a0 = 1.0913966940152 wa0 = 9.97720109090673e-8 ++ keta = 0.0042407059278715 wketa = 1.98055447821016e-9 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.45406393599493 wags = -1.3702399136824e-7 ++ b0 = 2.35197498576e-07 wb0 = -1.94130180784142e-13 ++ b1 = 4.049414495e-11 wb1 = -3.34235513873994e-17 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.14070598491445+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = -9.22375165161974e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.2571207257031+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = 2.49096824146482e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0017402344 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.00027655585819909 wpdiblc2 = 6.96956384918783e-10 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 830904617.828781 wpscbe1 = -25.5205720191179 ++ pscbe2 = 1.46936572682486e-08 wpscbe2 = -3.2369292566595e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.126961e-10 walpha0 = 2.5809692191042e-16 ++ alpha1 = -3.126961e-10 walpha1 = 2.5809692191042e-16 ++ beta0 = 114.427947 wbeta0 = -6.96861689158134e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.06299013410886e-09 wagidl = 2.64925975472447e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.60814922 wkt1 = 5.16193843820841e-8 ++ kt2 = -0.052484 ++ at = 10000.0 ++ ute = -1.2595 ++ ua1 = -2.5605e-10 ++ ub1 = 4.9434e-19 ++ uc1 = 8.1951e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.82 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.950470729975101+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = -5.71966007676452e-8 ++ k1 = 0.53274593583127 wk1 = -3.38212013149867e-8 ++ k2 = -0.0043856305323825 wk2 = 1.39935634363696e-9 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 151381.852093917 wvsat = -0.0793565366430454 ++ ua = -2.96695920428551e-09 wua = 1.28375320954145e-15 ++ ub = 2.46710918726135e-18 wub = -9.05469429631458e-25 ++ uc = 5.2055942786716e-11 wuc = -7.50880239287529e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.000746420104814099 wu0 = 5.75978924428018e-9 ++ a0 = 1.0913966940152 wa0 = 9.97720109090681e-8 ++ keta = 0.0042407059278715 wketa = 1.98055447821017e-9 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.45406393599493 wags = -1.3702399136824e-7 ++ b0 = 2.35197498576e-07 wb0 = -1.94130180784142e-13 ++ b1 = 4.049414495e-11 wb1 = -3.34235513873994e-17 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.14070598491445+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = -9.22375165161972e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.2571207257031+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = 2.49096824146482e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0017402344 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.000276555858199089 wpdiblc2 = 6.96956384918783e-10 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 830904617.82878 wpscbe1 = -25.5205720191175 ++ pscbe2 = 1.46936572682486e-08 wpscbe2 = -3.2369292566595e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.126961e-10 walpha0 = 2.5809692191042e-16 ++ alpha1 = -3.126961e-10 walpha1 = 2.5809692191042e-16 ++ beta0 = 114.427947 wbeta0 = -6.96861689158134e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.06299013410886e-09 wagidl = 2.64925975472448e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.60814922 wkt1 = 5.16193843820844e-8 ++ kt2 = -0.052484 ++ at = 10000.0 ++ ute = -1.2595 ++ ua1 = -2.5605e-10 ++ ub1 = 4.9434e-19 ++ uc1 = 8.1951e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.83 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.826096246413726+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.98476861536911e-07 wvth0 = -1.57138074088811e-07 pvth0 = 8.02328948524631e-13 ++ k1 = 0.656080299923008 lk1 = -9.90126794916101e-07 wk1 = -1.00701839606663e-07 pk1 = 5.36916961637919e-13 ++ k2 = -0.00169830334201462 lk2 = -2.15738304363471e-08 wk2 = -1.08640896303699e-08 pk2 = 9.84507971179755e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 302434.794026307 lvsat = -1.21265120519792 wvsat = -0.193651473610683 pvsat = 9.1755838243695e-7 ++ ua = -5.15378635516125e-09 lua = 1.75558221253047e-14 wua = 2.60930081836358e-15 pua = -1.06414802970528e-20 ++ ub = 2.75485325774249e-18 lub = -2.31000594489376e-24 wub = -8.22259720544395e-25 pub = -6.68006546034432e-31 ++ uc = 1.0239789727854e-10 luc = -4.04144606556908e-16 wuc = -1.03628764156649e-16 puc = 2.2912472006067e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.0146214045712885 lu0 = 1.11388208797043e-07 wu0 = 1.53736548182743e-08 pu0 = -7.71799974616378e-14 ++ a0 = 1.40303131382241 la0 = -2.50179898819684e-06 wa0 = -9.32510605418914e-08 pa0 = 1.54958690133144e-12 ++ keta = 0.0286207430774165 lketa = -1.95722645676101e-07 wketa = -1.53017364418867e-08 pketa = 1.38742024119046e-13 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.228069837984056 lags = 1.81427790690212e-06 wags = 4.89163242202345e-09 pags = -1.13929692480075e-12 ++ b0 = 5.25293861983067e-07 lb0 = -2.32889012427557e-12 wb0 = -4.335734563887e-13 pb0 = 1.92224774323409e-18 ++ b1 = 5.64114806105796e-10 lb1 = -4.2036203843108e-15 wb1 = -4.65615960864236e-16 pb1 = 3.46963547697113e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {0.00226276346076126+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.14775139633121e-06 wvoff = -2.19597022629415e-07 pvoff = 1.02244058676284e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.431089270255342+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 6.63137061195712e-06 wnfactor = 5.93174118596954e-07 pnfactor = -2.76224839092085e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.10455555171378 lpclm = -8.85338813361125e-06 wpclm = -9.40396119022292e-07 ppclm = 7.54948875875753e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.00351433207547088 lpdiblc2 = 2.59928286189433e-08 wpdiblc2 = 2.9787030466736e-09 ppdiblc2 = -1.83178348196078e-14 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 862025558.385254 lpscbe1 = -249.838537336094 wpscbe1 = -51.2197634612185 ppscbe1 = 0.00020631280050689 ++ pscbe2 = 2.01615025709145e-08 lpscbe2 = -4.38957964756584e-14 wpscbe2 = -6.69521913183589e-15 ppscbe2 = 2.77631096184376e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -5.60565689369467e-10 lalpha0 = 1.98989408902301e-15 walpha0 = 4.62686547593181e-16 palpha0 = -1.6424430599057e-21 ++ alpha1 = -6.27580028736629e-10 lalpha1 = 2.52788440129051e-15 walpha1 = 5.17999660594989e-16 palpha1 = -2.08649606732686e-21 ++ beta0 = 179.501412415642 lbeta0 = -0.000522408999475192 wbeta0 = -0.000123397299696854 pbeta0 = 4.31192313376628e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -6.48698338111023e-09 lagidl = 2.7487776699008e-14 wagidl = 5.46644485974969e-15 pagidl = -2.26163282169211e-20 ++ bgidl = 2012767503.31827 lbgidl = -8130.48536342901 wbgidl = -835.930397652373 pbgidl = 0.00671083920118848 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.540885823082166 lkt1 = -5.39989743295614e-07 wkt1 = -7.39916514590096e-08 pkt1 = 1.00840388839987e-12 ++ kt2 = -0.178494104302999 lkt2 = 1.01160760522323e-06 wkt2 = 8.26118442201334e-08 pkt2 = -6.632068940571e-13 ++ at = -11866.5628524492 lat = 0.175544504180708 wat = -0.0195772141613182 pat = 1.57165640360492e-7 ++ ute = -3.38260966720648 lute = 1.70442989310176e-05 wute = 7.63724454415591e-07 pute = -6.13117075535491e-12 ++ ua1 = -7.31153498536269e-09 lua1 = 5.66413487966719e-14 wua1 = 4.01521276971959e-15 pua1 = -3.22340799327556e-20 ++ ub1 = 6.54537531720348e-18 lub1 = -4.85776389140857e-23 wub1 = -3.73954746101202e-24 pub1 = 3.00210421424349e-29 ++ uc1 = 2.09108936411466e-10 luc1 = -1.61293386774521e-15 wuc1 = -9.25278153811954e-17 puc1 = 7.42812191546452e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.84 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.20600150053032+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.31776943181678e-07 wvth0 = 1.48498242501392e-07 pvth0 = -4.28770467064906e-13 ++ k1 = 0.321160683423464 lk1 = 3.5892540130866e-07 wk1 = 9.65375738956997e-08 pk1 = -2.57561029076637e-13 ++ k2 = -0.0115142773622294 lk2 = 1.796479512539e-08 wk2 = 2.01809526111569e-08 pk2 = -2.65982604903875e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -118454.570645007 lvsat = 0.482686105025755 wvsat = 0.124105138020798 pvsat = -3.62361436135315e-7 ++ ua = 7.02274779863739e-10 lua = -6.0323218538424e-15 wua = -1.10595332147339e-15 pua = 4.32351879516086e-21 ++ ub = 2.49796314127376e-18 lub = -1.27525563843909e-24 wub = -1.38202560088203e-24 pub = 1.58672370277502e-30 ++ uc = -1.79935970959967e-11 luc = 8.07908880857929e-17 wuc = -3.81733785542511e-17 puc = -3.45287876811628e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0252909293929805 lu0 = -4.93781934630253e-08 wu0 = -1.33979659617089e-08 pu0 = 3.87117457806851e-14 ++ a0 = 0.823512765740823 la0 = -1.67505230746783e-07 wa0 = 3.20085342797205e-07 pa0 = -1.15327171281599e-13 ++ keta = -0.0368938819187056 lketa = 6.81694776327784e-08 wketa = 3.98378477382317e-08 pketa = -8.33595592834604e-14 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 1.32919678758711 lags = -2.62104823257558e-06 wags = -8.98418189377992e-07 pags = 2.49922419769185e-12 ++ b0 = -3.43279868884635e-07 lb0 = 1.16971444077476e-12 wb0 = 2.83340526194401e-13 pb0 = -9.65473175642851e-19 ++ b1 = -2.39750083810187e-10 lb1 = -9.65662254107895e-16 wb1 = 1.97887849126275e-16 pb1 = 7.97050092375074e-22 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.371907071953499+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.594002106794e-07 wvoff = 1.10615719330791e-07 pvoff = -3.07652375299967e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {3.57053313598733+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.01427160588491e-06 wnfactor = -1.0716268704713e-06 pnfactor = 3.9435500154342e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.160612523 leta0 = -3.24706275293724e-7 ++ etab = -0.140472576764777 letab = 2.83862693537599e-07 wetab = -3.89946006240709e-15 petab = 1.57069784342903e-20 ++ dsub = 0.864198200000001 ldsub = -1.2253066992216e-6 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.72301199405387 lpclm = 6.56400800993033e-06 wpclm = 2.01588322260007e-06 ppclm = -4.35836895394526e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00593487442439421 lpdiblc2 = -1.20684617720353e-08 wpdiblc2 = -3.35322708801526e-09 ppdiblc2 = 7.18710377975736e-15 ++ pdiblcb = 0.4091439384468 lpdiblcb = -2.55432417433645e-06 wpdiblcb = -5.23417460471269e-07 ppdiblcb = 2.10831924976875e-12 ++ drout = 0.56 ++ pscbe1 = 799999833.766506 lpscbe1 = 0.000337119528921903 wpscbe1 = 0.000103961129752861 ppscbe1 = -2.10831924835064e-10 ++ pscbe2 = 9.64880370804336e-09 lpscbe2 = -1.55077160839974e-15 wpscbe2 = -1.10167915833004e-17 ppscbe2 = 8.39222802328199e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.35008944937042e-10 lalpha0 = 2.75756629130135e-16 walpha0 = 1.08883502551995e-16 palpha0 = -2.17328640116342e-22 ++ alpha1 = 1.04123206521175e-14 lalpha1 = -4.23642029235366e-20 walpha1 = -6.14714443645029e-21 palpha1 = 2.51101778559406e-26 ++ beta0 = 97.2682858590333 lbeta0 = -0.000191174952502689 wbeta0 = -3.29252645173528e-05 pbeta0 = 6.67720413380173e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.55688317156121e-10 lagidl = -1.68561798954846e-15 wagidl = -5.69838976652002e-16 pagidl = 1.69775064069883e-21 ++ bgidl = -1025535006.63654 lbgidl = 4107.76068703882 wbgidl = 1671.86079530475 pbgidl = -0.00339051363054848 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.944475077367157 lkt1 = 1.08566292989328e-06 wkt1 = 4.06935423072798e-07 pkt1 = -9.28764596689352e-13 ++ kt2 = 0.15452570783273 lkt2 = -3.29792201821745e-07 wkt2 = -1.33893308929814e-07 pkt2 = 2.08873264769051e-13 ++ at = 159274.741919703 lat = -0.513810617745863 wat = -0.0458325454494327 pat = 2.62921799725042e-7 ++ ute = 2.39403337397805 lute = -6.22394991915717e-06 wute = -1.34327432106563e-06 pute = 2.35579502829815e-12 ++ ua1 = 1.42087134312964e-08 lua1 = -3.00419535826498e-14 wua1 = -8.4084313991535e-15 pua1 = 1.78082096957352e-20 ++ ub1 = -1.25943146465141e-17 lub1 = 2.85168025834891e-23 wub1 = 8.08221117021491e-24 pub1 = -1.75968597630436e-29 ++ uc1 = -4.9793710461712e-10 luc1 = 1.23503910096544e-15 wuc1 = 2.26228307709061e-16 puc1 = -5.41133647187624e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.85 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.884619779317166+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.19981330857944e-07 wvth0 = -1.19582580740679e-07 pvth0 = 1.14894225500134e-13 ++ k1 = 0.644716835770763 lk1 = -2.97242592977832e-07 wk1 = -1.44973332224921e-07 pk1 = 2.32220190405108e-13 ++ k2 = -0.0340285625151805 lk2 = 6.36234952441529e-08 wk2 = 3.16130702239516e-08 pk2 = -4.9782457823724e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 398654.417530706 lvsat = -0.566004717686734 wvsat = -0.264043626745382 pvsat = 4.2479960102532e-7 ++ ua = -6.73437798725114e-09 lua = 9.04912071803336e-15 wua = 4.48633223199662e-15 pua = -7.01756919984968e-21 ++ ub = 4.57710644369682e-18 lub = -5.49173330603344e-24 wub = -2.68554412322893e-24 pub = 4.23024362387225e-30 ++ uc = 1.70316151541443e-10 luc = -3.01099022433951e-16 wuc = -1.74652179970549e-16 puc = 2.42248583845472e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.0172207856913285 lu0 = 3.68350545873725e-08 wu0 = 1.99939439444797e-08 pu0 = -2.90066468061464e-14 ++ a0 = 3.08892761444984 la0 = -4.76173935895049e-06 wa0 = -1.2435271608244e-06 pa0 = 3.05566022271297e-12 ++ keta = 0.155795943219055 lketa = -3.22603175468699e-07 wketa = -1.04543606374224e-07 pketa = 2.0944429707915e-13 ++ a1 = 0.0 ++ a2 = -0.485791353787201 la2 = 2.6075694359842e-06 wa2 = 1.0612821542434e-06 pa2 = -2.15226747341976e-12 ++ ags = -3.71294613864432 lags = 7.60435711610664e-06 wags = 2.75548527051148e-06 pags = -4.91084817212249e-12 ++ b0 = 3.47902669421447e-07 lb0 = -2.31995452719514e-13 wb0 = -2.87156149699641e-13 pb0 = 1.91487237110156e-19 ++ b1 = -1.61316221449302e-09 lb1 = 1.81960106597131e-15 wb1 = 1.33149150917726e-15 pb1 = -1.50188452696441e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.225170202535416+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 6.18196003419603e-08 wvoff = -1.7730649631026e-08 pvoff = -4.73674792018301e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-1.39723128963658+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.06029503610725e-06 wnfactor = 2.39179279905063e-06 pnfactor = -3.08022351332024e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.23671109163188 leta0 = -2.50702125929636e-06 weta0 = -1.08781420809948e-06 peta0 = 2.20607416025525e-12 ++ etab = -0.501705675216391 letab = 1.01643908240029e-06 wetab = 4.19206458725062e-07 petab = -8.50145660018e-13 ++ dsub = -1.0127399153404 ldsub = 2.58110127543135e-06 wdsub = 7.95961615682547e-07 pdsub = -1.61420060506482e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.64596131932591 lpclm = -2.29621744192411e-06 wpclm = -1.08398527666548e-06 ppclm = 1.9281271641433e-12 ++ pdiblc1 = 0.424558783130533 lpdiblc1 = -7.00847974833219e-08 wpdiblc1 = -2.19396737182433e-08 ppdiblc1 = 4.44933950245121e-14 ++ pdiblc2 = 0.000437487774803728 lpdiblc2 = -9.19827615305554e-10 wpdiblc2 = -1.83639673918353e-10 ppdiblc2 = 7.59218539017805e-16 ++ pdiblcb = -2.3417811537872 lpdiblcb = 3.024518901613e-06 wpdiblcb = 1.57747599806424e-06 ppdiblcb = -2.15226747341976e-12 ++ drout = 0.12536052068447 ldrout = 8.81443648378144e-07 wdrout = 6.07123967490479e-08 pdrout = -1.23124012058308e-13 ++ pscbe1 = 796130700.223832 lpscbe1 = 7.84689351447105 wpscbe1 = 3.19368985471101 ppscbe1 = -6.47676470107508e-6 ++ pscbe2 = 1.12929380733249e-08 lpscbe2 = -4.88505637157819e-15 wpscbe2 = -7.6893307944542e-16 ppscbe2 = 2.37626793911713e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.00838691094847e-10 lalpha0 = 2.0645976438121e-16 walpha0 = 3.4857964570735e-18 palpha0 = -3.58335692831407e-24 ++ alpha1 = -1.02820048141589e-10 lalpha1 = 2.08496575648976e-16 walpha1 = 1.26438427045347e-20 palpha1 = -1.2997718574203e-26 ++ beta0 = -6.20504158031115 lbeta0 = 1.86677138643721e-05 wbeta0 = 2.59078846359151e-06 pbeta0 = -5.25408791470202e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.44774765528405e-09 lagidl = -3.08910602255985e-15 wagidl = -8.64045157419999e-16 pagidl = 2.29439724482216e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.316910684426488 lkt1 = -1.8703012821768e-07 wkt1 = -9.07787402715334e-08 pkt1 = 8.05937540029894e-14 ++ kt2 = 0.0626045910175198 lkt2 = -1.433772799739e-07 wkt2 = -7.36858170437173e-08 pkt2 = 8.67731937139491e-14 ++ at = -54145.3067505522 lat = -0.08099732008317 wat = 0.037704490110603 pat = 9.35096940537166e-8 ++ ute = 3.11446327404258 lute = -7.68497311132924e-06 wute = -3.05624628549178e-06 pute = 5.82968161649081e-12 ++ ua1 = 3.01809803043835e-09 lua1 = -7.34751983709457e-15 wua1 = -2.54370720042124e-15 pua1 = 5.9146193973965e-21 ++ ub1 = -6.24425004782261e-19 lub1 = 4.24201002873262e-24 wub1 = 1.35806036839567e-24 pub1 = -3.96036262676375e-30 ++ uc1 = 1.56350819388653e-11 luc1 = 1.9352086949614e-16 wuc1 = 5.04019084199468e-17 puc1 = -1.84559819346092e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.86 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.06522603991619+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.56797377627292e-08 wvth0 = 1.74312076262507e-08 pvth0 = -2.59543047756093e-14 ++ k1 = 0.284432272997548 lk1 = 7.31256141382783e-08 wk1 = 9.12615953036901e-08 pk1 = -1.0626480275173e-14 ++ k2 = 0.0381590533819347 lk2 = -1.05845076466908e-08 wk2 = -1.31110595933467e-08 pk2 = -3.806589061099e-15 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -407489.685253423 lvsat = 0.262701746246119 wvsat = 0.30681360575574 pvsat = -1.62034783699044e-7 ++ ua = 3.23754187697586e-09 lua = -1.20189323935362e-15 wua = -2.98275696308342e-15 pua = 6.60564863622258e-22 ++ ub = -1.36265473387054e-18 lub = 6.14269907371677e-25 wub = 1.70474884908505e-24 pub = -2.82924868150848e-31 ++ uc = -2.0025731090297e-10 luc = 7.98460500773559e-17 wuc = 1.0168007265992e-16 puc = -4.18176558716188e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0241713865702727 lu0 = -5.71560179148645e-09 wu0 = -1.13700080378751e-08 pu0 = 3.23511946429049e-15 ++ a0 = -4.47425929806876 la0 = 3.01312602887568e-06 wa0 = 3.63941967969354e-06 pa0 = -1.96395053397738e-12 ++ keta = -0.209895172663364 lketa = 5.33229033650372e-08 wketa = 1.38104140868282e-07 pketa = -3.99946753131797e-14 ++ a1 = 0.0 ++ a2 = 3.3715827075744 la2 = -1.35776481060679e-06 wa2 = -2.12256430848679e-06 pa2 = 1.12068848410932e-12 ++ ags = 4.6066654391118 lags = -9.48103750487718e-07 wags = -2.85056728218521e-06 pags = 8.52106579419076e-13 ++ b0 = 2.60231798748187e-08 lb0 = 9.88927999805458e-14 wb0 = -2.14793296878723e-14 pb0 = -8.16253457401026e-20 ++ b1 = 1.41682771270583e-09 lb1 = -1.29519221930998e-15 wb1 = -1.16943854281124e-15 pb1 = 1.06904155531915e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.116278783849872+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -5.01194713697536e-08 wvoff = -1.03567733845156e-07 pvoff = 4.08720133252851e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {3.46968243908804+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.42833874056907e-07 wnfactor = -1.42992519229194e-06 pnfactor = 8.48456721164027e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -2.98882243526376 leta0 = 1.83677649995004e-06 weta0 = 2.17562841619896e-06 peta0 = -1.14870569621206e-12 ++ etab = 1.00138993734189 letab = -5.28725170162269e-07 wetab = -8.38412901852283e-07 petab = 4.42671951223183e-13 ++ dsub = 2.67956436099563 ldsub = -1.21454321299077e-06 wdsub = -1.53803920779866e-06 pdsub = 7.85124233463982e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.85485478310782 lpclm = 1.30257950158453e-06 wpclm = 1.61538769750725e-06 ppclm = -8.46795860830579e-13 ++ pdiblc1 = 0.704329441393986 lpdiblc1 = -3.57685676930253e-07 wpdiblc1 = -6.79223259390925e-08 ppdiblc1 = 9.17630097157193e-14 ++ pdiblc2 = -0.00016724460365879 lpdiblc2 = -2.98169987034628e-10 wpdiblc2 = 3.90387401869989e-11 ppdiblc2 = 5.30307801458473e-16 ++ pdiblcb = 0.6003922 wpdiblcb = -5.1619384382084e-7 ++ drout = 0.42109693342068 ldrout = 5.77430164922273e-07 wdrout = 3.27219270624388e-07 pdrout = -3.97089880319671e-13 ++ pscbe1 = 807738599.552336 lpscbe1 = -4.08588770043889 wpscbe1 = -6.38737970942202 ppscbe1 = 3.37245983801811e-6 ++ pscbe2 = -2.38539883508921e-08 lpscbe2 = 3.12455622293997e-14 wpscbe2 = 2.58395368518847e-14 ppscbe2 = -2.49769198486511e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 15.129968555878 lbeta0 = -3.26442053550879e-06 wbeta0 = -5.25537561589866e-06 pbeta0 = 2.81167460504493e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.76604573241876e-09 lagidl = 2.270611014478e-15 wagidl = 2.94838258651004e-15 pagidl = -1.624732726805e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.491803336822593 lkt1 = -7.24258026631223e-09 wkt1 = -2.28894882435408e-08 pkt1 = 1.08044175892376e-14 ++ kt2 = -0.167164157473485 lkt2 = 9.28222362498715e-08 wkt2 = 7.76818290073502e-08 pkt2 = -6.88309300147958e-14 ++ at = -338982.735166071 lat = 0.211812138278842 wat = 0.262086017470169 pat = -1.37151823493589e-7 ++ ute = -8.22187538224392 lute = 3.96864699126942e-06 wute = 4.97076635086188e-06 pute = -2.42199104952912e-12 ++ ua1 = -9.88220749747184e-09 lua1 = 5.91383944193077e-15 wua1 = 7.2638459812738e-15 pua1 = -4.16742758274782e-21 ++ ub1 = 6.37173816541423e-18 lub1 = -2.94996175627133e-24 wub1 = -4.92545857067588e-24 pub1 = 2.49901944037454e-30 ++ uc1 = 3.9350575589662e-10 luc1 = -1.94925648884345e-16 wuc1 = -2.65494524626574e-16 puc1 = 1.40177923068536e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.87 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.90822930550617+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.72126540449507e-08 wvth0 = -6.70033452937613e-08 pvth0 = 1.86261259515219e-14 ++ k1 = 0.248004431126993 lk1 = 9.23590775118292e-08 wk1 = 1.50234187624126e-07 pk1 = -4.17633013492557e-14 ++ k2 = 0.0622434603725366 lk2 = -2.33007855248447e-08 wk2 = -4.29162847746838e-08 pk2 = 1.19302121719448e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -6037.62183194386 lvsat = 0.0507398741843385 wvsat = -0.000163526493126787 pvsat = 4.54584027713051e-11 ++ ua = 3.80594257632444e-09 lua = -1.50200198780128e-15 wua = -3.65718007920891e-15 pua = 1.01665217585913e-21 ++ ub = -2.13632510239344e-18 lub = 1.02275857790735e-24 wub = 2.46864826871947e-24 pub = -6.86254594924788e-31 ++ uc = -1.0361126603803e-10 luc = 2.8818098141206e-17 wuc = 4.74728093277888e-17 puc = -1.31968713194133e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0226744327408748 lu0 = -4.9252281330103e-09 wu0 = -1.10724333584444e-08 pu0 = 3.07800360444724e-15 ++ a0 = 1.70005590370782 la0 = -2.46838305879929e-07 wa0 = -1.69522464541414e-07 pa0 = 4.71252108729386e-14 ++ keta = -0.218911148872376 lketa = 5.80832306116812e-08 wketa = 1.31690615262332e-07 pketa = -3.66084107555452e-14 ++ a1 = 0.0 ++ a2 = 1.10720647359648 la2 = -1.62201331581258e-7 ++ ags = 5.46761597336305 lags = -1.40267530116597e-06 wags = -2.61183495506931e-06 pags = 7.26058775489808e-13 ++ b0 = 4.50530906705166e-07 lb0 = -1.25242185693156e-13 wb0 = -3.71864696253371e-13 pb0 = 1.03373923182082e-19 ++ b1 = -2.1884967557354e-09 lb1 = 6.08375836133373e-16 wb1 = 1.80636815190931e-15 pb1 = -5.02148669812964e-22 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.128711991236801+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -4.35548870679443e-08 wvoff = -5.5242029328605e-08 pvoff = 1.53566212490002e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.47432825475324+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.10689191021657e-07 wnfactor = 3.73893514944764e-07 pnfactor = -1.03937910432465e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = 5.62973e-05 letab = -3.30242238324e-11 wetab = 6.46234853557053e-27 petab = -1.54074395550979e-33 ++ dsub = 0.102632280904263 ldsub = 1.46046002112506e-07 wdsub = -1.07768047132867e-07 pdsub = 2.99582238863715e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.584469873441449 lpclm = 1.46453548223983e-08 wpclm = 2.44378352035122e-08 ppclm = -6.79342493255385e-15 ++ pdiblc1 = -0.456899306415221 lpdiblc1 = 2.55429167168034e-07 wpdiblc1 = 2.23603346751158e-07 ppdiblc1 = -6.21590471566608e-14 ++ pdiblc2 = -0.0138523478944929 lpdiblc2 = 6.92740032928629e-09 wpdiblc2 = 2.2036791512493e-09 ppdiblc2 = -6.12596359897492e-16 ++ pdiblcb = 1.5181887075744 lpdiblcb = -4.84585542441192e-07 wpdiblcb = -1.09017662084511e-06 ppdiblcb = 3.03056018475491e-13 ++ drout = 2.71905018696108 ldrout = -6.35861577508017e-07 wdrout = -8.97288128244968e-07 pdrout = 2.49435332194562e-13 ++ pscbe1 = 800079104.265281 lpscbe1 = -0.0417661028166094 ++ pscbe2 = 6.43630574234068e-08 lpscbe2 = -1.53319793348808e-14 wpscbe2 = -4.53358178611927e-14 ppscbe2 = 1.26028133355972e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.56253413650776 lbeta0 = 2.0310602870568e-07 wbeta0 = 1.47597377431285e-07 pbeta0 = -4.10302997573688e-14 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.01754104123872e-09 lagidl = -2.55065398971869e-16 wagidl = -2.72088406874933e-16 pagidl = 7.5637312050349e-23 ++ bgidl = 691592551.5264 lbgidl = 162.835431904679 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.498238101234401 lkt1 = -3.84510187405127e-09 wkt1 = -5.12383011797179e-09 pkt1 = 1.42436328683466e-15 ++ kt2 = 0.0378077278790432 lkt2 = -1.54004595536395e-08 wkt2 = -1.11263425923452e-07 pkt2 = 3.09298972456086e-14 ++ at = 57326.2103039152 lat = 0.00256577077803524 wat = 0.00490579479380299 pat = -1.36375208313971e-9 ++ ute = -1.28360684516316 lute = 3.05324462913216e-07 wute = 8.10055738118959e-07 pute = -2.25185774528213e-13 ++ ua1 = 2.33700472094244e-09 lua1 = -5.37757978845346e-16 wua1 = -1.32881628314811e-15 pua1 = 3.69394980919776e-22 ++ ub1 = 1.1688112088376e-18 lub1 = -2.02878758322347e-25 wub1 = -4.06254317757931e-25 pub1 = 1.12933825284892e-31 ++ uc1 = 5.072625803232e-11 luc1 = -1.39421873659686e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.88 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.938359361478756+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -8.83686004524396e-09 wvth0 = 3.23926869140483e-08 pvth0 = -9.00477824986256e-15 ++ k1 = -2.40846499358988 lk1 = 8.30825699950024e-07 wk1 = 1.12191703028093e-06 pk1 = -3.11879471413736e-13 ++ k2 = 0.856328152214882 lk2 = -2.44046800840715e-07 wk2 = -2.25923500404571e-07 pk2 = 6.28040220304658e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 556060.464674618 lvsat = -0.105516648687448 wvsat = -0.130552344229348 pvsat = 3.62919850676281e-8 ++ ua = -5.60434370133591e-11 lua = -4.28416219925532e-16 wua = 6.37675808813097e-16 pua = -1.77266222740336e-22 ++ ub = 6.68390258815575e-19 lub = 2.43081364075577e-25 wub = -8.44489771180884e-25 pub = 2.34758022511031e-31 ++ uc = -1.88949989961328e-12 luc = 5.4066781591994e-19 wuc = 1.17932328254525e-18 puc = -3.27837720668188e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00988099864215233 lu0 = -1.36880697477464e-09 wu0 = 8.40568752994524e-10 pu0 = -2.33668026507443e-16 ++ a0 = 1.98082893666874 la0 = -3.24889839766669e-07 wa0 = -1.63880615377184e-06 pa0 = 4.55568445074725e-13 ++ keta = 0.188768187392565 lketa = -5.52467327179371e-08 wketa = -2.55004180787399e-07 pketa = 7.08881022087276e-14 ++ a1 = 0.0 ++ a2 = -0.129692156859157 la2 = 1.81641644901844e-07 wa2 = 1.39418922025282e-07 pa2 = -3.87567872959642e-14 ++ ags = -2.03898102803885 lags = 6.84068586059746e-7 ++ b0 = -7.05986321635221e-07 lb0 = 1.96255725578732e-13 wb0 = 5.82715603184402e-13 pb0 = -1.61987945098026e-19 ++ b1 = 6.71488819302796e-08 lb1 = -1.86665833900346e-14 wb1 = -5.54241633839737e-14 pb1 = 1.54072523307841e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.099859314080347+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -5.15755850853126e-08 wvoff = 4.4888257915822e-08 pvoff = -1.24783970415035e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.88788810007505+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -4.27548325966071e-09 wnfactor = -1.10418801579961e-06 pnfactor = 3.06951018136102e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.503041913103093 leta0 = -3.62549533970225e-09 weta0 = 6.72563438142064e-07 peta0 = -1.86964565042236e-13 ++ etab = -0.532087212115869 letab = 1.47896485671666e-07 wetab = 5.16362328217497e-07 petab = -1.43542530896525e-13 ++ dsub = 1.61531859349785 ldsub = -2.7446264055276e-07 wdsub = 6.4311216670925e-08 pdsub = -1.78777464999171e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.718485472944057 lpclm = -2.2609373652132e-08 wpclm = -3.01129288729016e-08 ppclm = 8.37103287152006e-15 ++ pdiblc1 = 1.53929200445416 lpdiblc1 = -2.99488062957922e-07 wpdiblc1 = -1.29685625130147e-07 ppdiblc1 = 3.60510475586792e-14 ++ pdiblc2 = 0.046985619865208 lpdiblc2 = -9.98482465229743e-09 wpdiblc2 = -8.18137047368248e-09 ppdiblc2 = 2.27432281523804e-15 ++ pdiblcb = 0.0260830744133318 lpdiblcb = -6.97980816900133e-08 wpdiblcb = -5.7510988165907e-07 ppdiblcb = 1.59873645782641e-13 ++ drout = -1.256946487644 ldrout = 4.694177860721e-7 ++ pscbe1 = 799717484.766857 lpscbe1 = 0.0587597783105593 ++ pscbe2 = -6.92572842854773e-08 lpscbe2 = 2.18128722160884e-14 wpscbe2 = 6.43278432297555e-14 ppscbe2 = -1.78823684837533e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.91463038118624 lbeta0 = 6.61203497839997e-07 wbeta0 = 3.1913897848047e-06 pbeta0 = -8.87168063498287e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 4.20537319092002e-08 lagidl = -1.16626340259748e-14 wagidl = -3.4628283078745e-14 pagidl = 9.62624715649416e-21 ++ bgidl = 2065239807.49686 lbgidl = -219.022021488037 wbgidl = 22.6488071808599 pbgidl = -6.29609661059304e-6 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 0.00616287317092201 lkt1 = -1.44062519947038e-07 wkt1 = -1.1871183556569e-07 pkt1 = 3.30004657452349e-14 ++ kt2 = 0.286688501485714 lkt2 = -8.45863280470107e-08 wkt2 = -2.64697796016969e-23 ++ at = 423445.367602224 lat = -0.0992109615210069 wat = -0.276396122574846 pat = 7.68348053223362e-8 ++ ute = 0.687421120764791 lute = -2.42597659279163e-07 wute = -6.44556187293041e-07 pute = 1.79178885393218e-13 ++ ua1 = 1.2910594585422e-09 lua1 = -2.46997747241229e-16 wua1 = -1.15260910113199e-16 pua1 = 3.20411498805481e-23 ++ ub1 = -1.77551105515664e-19 lub1 = 1.71393808720088e-25 wub1 = 5.08896473394005e-25 pub1 = -1.41467112845853e-31 ++ uc1 = 2.16687075276997e-10 luc1 = -6.00773030301819e-17 wuc1 = -1.79284396288619e-16 puc1 = 4.98389107554807e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.89 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 6.4e-07 wmax = 8.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.409313924038159+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.18871962487639e-07 wvth0 = -3.10525973198869e-07 pvth0 = 6.23181880297028e-14 ++ k1 = 2.87063228078955 lk1 = -2.67163183953605e-07 wk1 = -2.47656954663507e-06 pk1 = 4.36562554745868e-13 ++ k2 = -0.219073747550769 lk2 = -2.03761105122567e-08 wk2 = 4.39883365054233e-07 pk2 = -7.56758163025798e-14 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 564321.036243699 lvsat = -0.107234748446958 wvsat = -0.148574743722887 pvsat = 4.00404278934902e-8 ++ ua = -3.57520475541252e-11 lua = -4.32636585436376e-16 wua = -7.79513245998855e-16 pua = 1.17492094391894e-22 ++ ub = -1.65455691486622e-18 lub = 7.26226500835309e-25 wub = 1.80174528967182e-24 pub = -3.15627115325602e-31 ++ uc = 3.80520059730702e-12 luc = -6.43761551033518e-19 wuc = -2.58572005255014e-18 puc = 4.55246112511631e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00337981765237499 lu0 = -1.66393430728316e-11 wu0 = 1.65031533810742e-09 pu0 = -4.02085599251901e-16 ++ a0 = -3.11032092071965 la0 = 7.34008236771827e-07 wa0 = 3.65902889393257e-06 pa0 = -6.46317670827218e-13 ++ keta = -2.90179189141525 lketa = 5.87552676953143e-07 wketa = 2.07710686917323e-06 pketa = -4.14163010850485e-13 ++ a1 = 0.0 ++ a2 = -0.774392447299292 la2 = 3.15731568909907e-07 wa2 = -3.2531081805899e-07 pa2 = 5.79014218846835e-14 ++ ags = 17.6814685941055 lags = -3.41754828995082e-06 wags = -1.02761122932986e-05 pags = 2.13730804365858e-12 ++ b0 = 4.18392337074251e-06 lb0 = -8.20786811519528e-13 wb0 = -3.45337771560858e-12 pb0 = 6.77471032091088e-19 ++ b1 = -1.44630239680196e-07 lb1 = 2.53809325554851e-14 wb1 = 1.19376671716165e-13 pb1 = -2.09492237600235e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.958768674953031+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = 1.27067255063875e-07 wvoff = 5.10637229908507e-07 pvoff = -1.09348594228318e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-1.41054828822428+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 6.8175970426994e-07 wnfactor = 4.73083716150691e-07 pnfactor = -2.11025748487773e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.00637465344417176 leta0 = 1.0232703750333e-07 weta0 = -7.51747679878731e-07 peta0 = 1.09275055772673e-13 ++ etab = 2.25739932157671 letab = -4.32283239497985e-07 wetab = -1.84052010277455e-06 petab = 3.46660732160648e-13 ++ dsub = 0.596558858858248 ldsub = -6.25728408645384e-08 wdsub = -2.23502977643825e-07 pdsub = 4.19841521472192e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.37267020192843 lpclm = -1.58671947064135e-07 wpclm = 1.02939337494951e-06 ppclm = -2.11993564247895e-13 ++ pdiblc1 = 0.392298716780865 lpdiblc1 = -6.09272230413295e-08 wpdiblc1 = 4.51898795515568e-07 ppdiblc1 = -8.49115329225817e-14 ++ pdiblc2 = -0.0136191705894393 lpdiblc2 = 2.62024450478374e-09 wpdiblc2 = 2.23820988757436e-08 ppdiblc2 = -4.08251204781038e-15 ++ pdiblcb = -2.25935770971245 lpdiblcb = 4.05546176118739e-07 wpdiblcb = 1.43579660396326e-06 ppdiblcb = -2.58370772348975e-13 ++ drout = 1.0 ++ pscbe1 = 1557294026.57566 lpscbe1 = -157.508069999418 wpscbe1 = -473.484409808392 ppscbe1 = 9.84790754272277e-5 ++ pscbe2 = 1.9905375425348e-07 lpscbe2 = -3.39926040675522e-14 wpscbe2 = -1.53674442291722e-13 ppscbe2 = 2.74594908772879e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 35.2315556743004 lbeta0 = -5.22837716002422e-06 wbeta0 = -1.43225486635428e-05 pbeta0 = 2.75552096649661e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -7.06434631858049e-08 lagidl = 1.17770301874452e-14 wagidl = 5.77967309404927e-14 pagidl = -9.59704665933905e-21 ++ bgidl = 1084502519.78733 lbgidl = -15.040434491907 wbgidl = -52.8472167553409 pbgidl = 9.4061704158494e-6 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.548398446732154 lkt1 = -2.87204201430374e-08 wkt1 = 2.76994282986609e-07 pkt1 = -4.93016584402205e-14 ++ kt2 = -0.12 ++ at = -112598.585960197 lat = 0.012279748292534 wat = 0.32801226781598 pat = -4.88748869782707e-8 ++ ute = -1.48770899348361 lute = 2.09803302923133e-07 wute = 1.03126082271545e-06 pute = -1.69370942884428e-13 ++ ua1 = 1.32279813775936e-09 lua1 = -2.53599011654247e-16 wua1 = -7.5762251206118e-16 pua1 = 1.65644654746505e-22 ++ ub1 = 2.29345854353655e-18 lub1 = -3.42546546166984e-25 wub1 = -1.18742510458602e-24 pub1 = 2.11347419515056e-31 ++ uc1 = -5.47531802848994e-10 luc1 = 9.88710529934868e-17 wuc1 = 4.18330258006779e-16 puc1 = -7.44577659621106e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.90 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0419279+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.47866595 ++ k2 = -0.0021480645 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 24491.02 ++ ua = -9.1424219e-10 ++ ub = 1.01926665e-18 ++ uc = -6.8009552e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0084634633 ++ a0 = 1.2509318 ++ keta = 0.0074076058 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.23496304 ++ b0 = -7.5216e-8 ++ b1 = -1.295e-11 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.28819346+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.6554257+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0017402344 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00083787503 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 790097310.0 ++ pscbe2 = 9.5178184e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.1731672e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.52561 ++ kt2 = -0.052484 ++ at = 10000.0 ++ ute = -1.2595 ++ ua1 = -2.5605e-10 ++ ub1 = 4.9434e-19 ++ uc1 = 8.1951e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.91 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0419279+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.47866595 ++ k2 = -0.0021480645 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 24491.02 ++ ua = -9.1424219e-10 ++ ub = 1.01926665e-18 ++ uc = -6.8009552e-11 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0084634633 ++ a0 = 1.2509318 ++ keta = 0.0074076058 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.23496304 ++ b0 = -7.5216e-8 ++ b1 = -1.295e-11 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.28819346+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.6554257+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0017402344 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00083787503 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 790097310.0 ++ pscbe2 = 9.5178184e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.1731672e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.52561 ++ kt2 = -0.052484 ++ at = 10000.0 ++ ute = -1.2595 ++ ua1 = -2.5605e-10 ++ ub1 = 4.9434e-19 ++ uc1 = 8.1951e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.92 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0773594922438+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.84444397354113e-7 ++ k1 = 0.49505840101435 lk1 = -1.31598400033788e-7 ++ k2 = -0.0190699456975955 lk2 = 1.35848659191723e-07 wk2 = 3.30872245021211e-24 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -7213.25340806 lvsat = 0.254521526468625 ++ ua = -9.8152322433938e-10 lua = 5.40131336304132e-16 ++ ub = 1.44006276220322e-18 lub = -3.37814613921411e-24 ++ uc = -6.3304144027139e-11 luc = -3.77749587412317e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00996101717665511 lu0 = -1.20223445511406e-8 ++ a0 = 1.2539231852882 la0 = -2.40148051970651e-8 ++ keta = 0.00415331856862559 lketa = 2.61253788420269e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.23589154158385 lags = -7.45399957312793e-9 ++ b0 = -1.67988619616e-07 lb0 = 7.44777477005813e-13 ++ b1 = -1.8040353113e-10 lb1 = 1.34431493846927e-15 wb1 = -3.69778549322349e-32 pb1 = -2.82118644197349e-37 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.34887212857885+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 4.87127623206986e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.3795726677089+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.21454483299657e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.399134643050864 lpclm = 3.218218705677e-06 wpclm = -9.42985898310452e-23 ppclm = -2.39813715187188e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00124860396158491 lpdiblc2 = -3.29732693401648e-9 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 780125330.878071 lpscbe1 = 80.0549287271133 ++ pscbe2 = 9.45586995855402e-09 lpscbe2 = 4.97321344547225e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.79268526012786e-10 lalpha0 = -6.36366775608334e-16 ++ alpha1 = 2.00699666141224e-10 lalpha1 = -8.08415711385755e-16 ++ beta0 = -17.810449959447 lbeta0 = 0.000167066042549041 wbeta0 = 6.7762635780344e-21 pbeta0 = -2.58493941422821e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.2538433508984e-09 lagidl = -8.67565517129855e-15 ++ bgidl = 676117641.595701 lbgidl = 2600.12368668142 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.659198222020001 lkt1 = 1.0724446433179e-6 ++ kt2 = -0.0463980464689979 lkt2 = -4.88579619154418e-8 ++ at = -43170.461687961 lat = 0.426851828385411 wat = 1.38777878078145e-17 pat = -5.29395592033938e-23 ++ ute = -2.16141686305 lute = 7.24057775356305e-6 ++ ua1 = -8.9122342772e-10 lua1 = 5.09916465565503e-15 wua1 = -3.94430452610506e-31 ++ ub1 = 5.65851650275721e-19 lub1 = -5.74094670273675e-25 ++ uc1 = 6.1157274428481e-11 luc1 = -4.25179700765752e-16 wuc1 = 1.23259516440783e-32 puc1 = -9.4039548065783e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.93 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.968553316012839+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.5382557483007e-7 ++ k1 = 0.47552393562856 lk1 = -5.2913807873411e-8 ++ k2 = 0.020754997200448 lk2 = -2.45657329022821e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 79989.122801758 lvsat = -0.0967285984760076 ++ ua = -1.0661408183375e-09 lua = 8.80969989517428e-16 wua = 7.88860905221012e-31 ++ ub = 2.88108907591218e-19 lub = 1.26191016371678e-24 ++ uc = -7.90326995252419e-11 luc = 2.55794740624601e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00386762740471 lu0 = 1.2521756329577e-8 ++ a0 = 1.3353281398328 la0 = -3.51912985243237e-7 ++ keta = 0.0268067010726264 lketa = -6.51221740434983e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.1073708404997 lags = 1.37520275631082e-6 ++ b0 = 1.09780668478e-07 lb0 = -3.74073882205362e-13 pb0 = -9.62964972193618e-35 ++ b1 = 7.66719136599999e-11 lb1 = 3.08818131760483e-16 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.1950329130964+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.32534890685738e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.857005416148+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.9145145147685e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.160612523 leta0 = -3.24706275293724e-7 ++ etab = -0.140472583 letab = 2.83862718653004e-7 ++ dsub = 0.864198200000001 ldsub = -1.2253066992216e-6 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.50037842015352 lpclm = -4.05009118753497e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0005730757194931 lpdiblc2 = -5.76307281209572e-10 ++ pdiblcb = -0.4277988 lpdiblcb = 8.16871132814399e-7 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.63118789578462e-09 lpscbe2 = -2.0885720280246e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.90954051843623e-11 lalpha0 = -7.17511269888927e-17 ++ alpha1 = 5.83057612939408e-16 lalpha1 = -2.21311396537472e-21 walpha1 = 1.41059322098675e-37 palpha1 = 8.96831017167883e-44 ++ beta0 = 44.620899918894 lbeta0 = -8.44066855847181e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.5548226772166e-10 lagidl = 1.02907950859563e-15 ++ bgidl = 1647764716.8086 lbgidl = -1313.65907251125 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.293786720408439 lkt1 = -3.99428500235448e-7 ++ kt2 = -0.059569237594818 lkt2 = 4.19543788506765e-9 ++ at = 85988.65768419 lat = -0.093399554536181 wat = 5.55111512312578e-17 ++ ute = 0.24614230487672 lute = -2.45704168413577e-06 pute = 8.07793566946316e-28 ++ ua1 = 7.63660232434117e-10 lua1 = -1.56668686884183e-15 ++ ub1 = 3.2911351618912e-19 lub1 = 3.79483692969539e-25 ++ uc1 = -1.36198810297074e-10 luc1 = 3.69768240235766e-16 wuc1 = -4.93038065763132e-32 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.94 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.07583191906032+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.37341448069794e-8 ++ k1 = 0.4129049707924 lk1 = 7.40767013867425e-8 ++ k2 = 0.016520629214348 lk2 = -1.59784854388872e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -23550.443267656 lvsat = 0.113248399037971 ++ ua = 4.39251987661599e-10 lua = -2.17194855633507e-15 ++ ub = 2.82930527801281e-19 lub = 1.27241185579021e-24 ++ uc = -1.089520900045e-10 luc = 8.62556389217099e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0147494626496 lu0 = -9.54647496503702e-9 ++ a0 = 1.1005319152 la0 = 1.24250940757385e-7 ++ keta = -0.0113689276799161 lketa = 1.22975429591129e-8 ++ a1 = 0.0 ++ a2 = 1.2111952 la2 = -8.33898931257601e-7 ++ ags = 0.693065433792119 lags = -2.48072402717693e-7 ++ b0 = -1.11259036944e-07 lb0 = 7.41919879139886e-14 ++ b1 = 5.15888178488e-10 lb1 = -5.81907182715522e-16 wb1 = -1.97215226305253e-31 pb1 = 3.76158192263132e-37 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.25352145096964+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -1.39208377412623e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.4272372583412+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.64971881708853e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.502700126000001 leta0 = 1.02048381712649e-06 weta0 = 4.30133918527574e-23 peta0 = -3.06078031225753e-28 ++ etab = 0.168604026 letab = -3.42940935479688e-07 wetab = -4.30133918527574e-23 petab = -9.78187522474055e-29 ++ dsub = 0.26 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.0873274499703598 lpclm = 7.86851333387291e-7 ++ pdiblc1 = 0.389477319501401 lpdiblc1 = 1.05998977899449e-9 ++ pdiblc2 = 0.0001438485610138 lpdiblc2 = 2.94160245460547e-10 ++ pdiblcb = 0.1805976 lpdiblcb = -4.169494656288e-07 wpdiblcb = -2.64697796016969e-23 ppdiblcb = -5.04870979341448e-29 ++ drout = 0.22243943652168 ldrout = 6.84568772007271e-7 ++ pscbe1 = 801237399.43548 lpscbe1 = -2.50943120636111 ++ pscbe2 = 1.00634166954672e-08 lpscbe2 = -1.08541202181314e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -9.52649144198684e-11 lalpha0 = 2.00729988844652e-16 walpha0 = -2.46519032881566e-32 palpha0 = 2.93873587705572e-38 ++ alpha1 = -1.02799830680123e-10 lalpha1 = 2.08475792341198e-16 walpha1 = -4.34161785510107e-33 palpha1 = 3.93163543278263e-38 ++ beta0 = -2.0623796417844 lbeta0 = 1.0266445164983e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.61423883491589e-11 lagidl = 5.79627365579883e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.462065581260081 lkt1 = -5.81609897746535e-8 ++ kt2 = -0.055218779730816 lkt2 = -4.62723845763395e-9 ++ at = 6144.04465261986 lat = 0.0685243625564864 ++ ute = -1.77246413805463 lute = 1.63666795885169e-6 ++ ua1 = -1.04928112846583e-09 lua1 = 2.10993645576693e-15 wua1 = -1.35585468084861e-31 pua1 = 2.11588983148012e-37 ++ ub1 = 1.54710890369256e-18 lub1 = -2.09059633694279e-24 pub1 = -7.00649232162409e-46 ++ uc1 = 9.62275620176813e-11 luc1 = -1.01589653702089e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.95 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0373535982288+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.41788927320285e-8 ++ k1 = 0.430359253384721 lk1 = 5.61339083332286e-8 ++ k2 = 0.0171945137005208 lk2 = -1.6671230604059e-08 wk2 = -4.96308367531817e-24 pk2 = -5.52202633654708e-30 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 83104.2264642799 lvsat = 0.00360867840957768 ++ ua = -1.5318763586264e-09 lua = -1.45652269891164e-16 ++ ub = 1.3632328756728e-18 lub = 1.6187400580647e-25 ++ uc = -3.767122067044e-11 luc = 1.29797606167283e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00599078240239999 lu0 = -5.42656775078368e-10 ++ a0 = 1.3451603872 la0 = -1.27224192916953e-7 ++ keta = 0.0109328787070922 lketa = -1.0628446385055e-08 wketa = 2.48154183765908e-24 pketa = 3.15544362088405e-30 ++ a1 = 0.0 ++ a2 = -0.0223903999999999 la2 = 4.342122625152e-7 ++ ags = 0.0486180535108804 lags = 4.14411770842858e-7 ++ b0 = -8.322195216e-09 lb0 = -3.16258501402946e-14 ++ b1 = -4.53100538416e-10 lb1 = 4.14201590397187e-16 wb1 = -4.93038065763132e-32 pb1 = -4.70197740328915e-38 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.28188323789512+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 1.52347388766883e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.183236921712+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.13845536341924e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = -0.33922944015 letab = 1.79105773720918e-7 ++ dsub = 0.22024490066552 ldsub = 4.08677650546537e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.728144649100079 lpclm = -5.14441987919331e-8 ++ pdiblc1 = 0.59572187331256 lpdiblc1 = -2.10956936604232e-7 ++ pdiblc2 = -0.000104821790923039 lpdiblc2 = 5.49790383207395e-10 ppdiblc2 = 1.97215226305253e-31 ++ pdiblcb = -0.225 ++ drout = 0.944319433836238 ldrout = -5.75152026721289e-8 ++ pscbe1 = 797525201.12904 lpscbe1 = 1.30666410627964 ++ pscbe2 = 1.74633431602536e-08 lpscbe2 = -8.69244762849598e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.7266408266568 lbeta0 = 1.23143759167113e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.48407991996161e-10 lagidl = -3.27331087781989e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.528403550646081 lkt1 = 1.00336466985229e-8 ++ kt2 = -0.0429511771911121 lkt2 = -1.72381866572192e-8 ++ at = 80091.9150616879 lat = -0.00749316084959051 ++ ute = -0.273636899477624 lute = 9.58915435213917e-8 ++ ua1 = 1.7326575124752e-09 lua1 = -7.49863083856756e-16 ++ ub1 = -1.50405333098736e-18 lub1 = 1.04596182636135e-24 pub1 = 3.50324616081204e-46 ++ uc1 = -3.10190856773144e-11 luc1 = 2.92183731685939e-17 wuc1 = -9.24446373305873e-33 puc1 = 5.87747175411144e-39 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.96 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.0153674266624+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 1.25704579790273e-8 ++ k1 = 0.48822838598944 lk1 = 2.55797007475276e-8 ++ k2 = -0.00637953296617763 lk2 = -4.22441685260222e-9 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -6299.10013807984 lvsat = 0.0508125620157046 ++ ua = -2.0418759273424e-09 lua = 1.23621382396059e-16 ++ ub = 1.811035080096e-18 lub = -7.45601845025277e-26 ++ uc = -2.77024054417689e-11 luc = 7.71634580177271e-18 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00496964947296 lu0 = -3.51084192920702e-12 ++ a0 = 1.42899005968 la0 = -1.71485254030323e-7 ++ keta = -0.00833830312480799 lketa = -4.53493631993675e-10 ++ a1 = 0.0 ++ a2 = 1.10720647359648 la2 = -1.62201331581258e-7 ++ ags = 1.29130076657072 lags = -2.41709789460181e-7 ++ b0 = -1.44079477392e-07 lb0 = 4.00523657612473e-14 ++ b1 = 6.9987977328e-10 lb1 = -1.94558178414561e-16 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.2170438081808+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -1.89997019393162e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {2.0721827130352+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = -5.550717412723e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = 5.62972999999999e-05 letab = -3.30242238324e-11 petab = 4.62223186652937e-33 ++ dsub = -0.0696884597971197 ldsub = 1.93949100178602e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.62354588878592 lpclm = 3.78269146881947e-9 ++ pdiblc1 = -0.0993583157358398 lpdiblc1 = 1.56037062251055e-07 ppdiblc1 = -5.04870979341448e-29 ++ pdiblc2 = -0.0103286724293219 lpdiblc2 = 5.94786083407434e-09 wpdiblc2 = 1.65436122510606e-24 ppdiblc2 = -1.18329135783152e-30 ++ pdiblcb = -0.225 ++ drout = 1.28428952278112 ldrout = -2.37015329993958e-7 ++ pscbe1 = 800079104.265282 lpscbe1 = -0.0417661028168368 ++ pscbe2 = -8.12876108854878e-09 lpscbe2 = 4.8198763096207e-15 wpscbe2 = 1.57772181044202e-30 ppscbe2 = -3.76158192263132e-37 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.7985418408432 lbeta0 = 1.37498718992877e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.82472604384319e-10 lagidl = -1.34121594347588e-16 ++ bgidl = 691592551.5264 lbgidl = 162.835431904679 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.50643108816 lkt1 = -1.56754982457856e-9 ++ kt2 = -0.140102111616 lkt2 = 3.40563409079086e-8 ++ at = 65170.5594880001 lat = 0.00038513583704991 ++ ute = 0.011669524 lute = -5.4746824397712e-8 ++ ua1 = 2.12232005280001e-10 lua1 = 5.29033388362234e-17 ++ ub1 = 5.19211936959999e-19 lub1 = -2.22979559316367e-26 ++ uc1 = 5.072625803232e-11 luc1 = -1.39421873659686e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.97 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.886563565314287+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.3235469829412e-8 ++ k1 = -0.614523479319423 lk1 = 3.3213148628101e-7 ++ k2 = 0.495077243737657 lk2 = -1.43623383294948e-07 wk2 = 1.05879118406788e-22 pk2 = -2.52435489670724e-29 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 347307.710435999 lvsat = -0.0474858880421628 ++ ua = 9.63598011685718e-10 lua = -7.11864306966487e-16 ++ ub = -6.81946012057137e-19 lub = 6.18458643342941e-25 ++ uc = -3.76598328805711e-15 luc = 1.64564159885244e-20 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0112250652182857 lu0 = -1.74244135414081e-9 ++ a0 = -0.639616527428572 la0 = 4.03562553906815e-7 ++ keta = -0.218982630074297 lketa = 5.81031015280409e-08 wketa = -2.64697796016969e-23 pketa = 6.31088724176809e-30 ++ a1 = 0.0 ++ a2 = 0.0932382251080011 la2 = 1.19669673879558e-7 ++ ags = -2.03898102803885 lags = 6.84068586059746e-7 ++ b0 = 2.25773945257143e-07 lb0 = -6.27624474941426e-14 ++ b1 = -2.14741667485714e-08 lb1 = 5.96956066610188e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.0280831423982866+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -7.15284994988654e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.122295219640002+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 4.86538150386715e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.57846856239943 leta0 = -3.02581198724292e-7 ++ etab = 0.293574393861143 letab = -8.16275328506714e-08 wetab = 5.91434137975415e-23 petab = 1.97215226305253e-31 ++ dsub = 1.71815201014571 ldsub = -3.03049096379867e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.670335002130859 lpclm = -9.22412057171261e-9 ++ pdiblc1 = 1.33192513110629 lpdiblc1 = -2.41842560569694e-7 ++ pdiblc2 = 0.0339036363136342 lpdiblc2 = -6.34819020876257e-9 ++ pdiblcb = -0.893515669637313 lpdiblcb = 1.85839333971137e-7 ++ drout = -1.256946487644 ldrout = 4.69417786072101e-7 ++ pscbe1 = 799717484.766857 lpscbe1 = 0.0587597783114688 ++ pscbe2 = 3.36027181733885e-08 lpscbe2 = -6.78097414744674e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 12.01765178888 lbeta0 = -7.57375217241974e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.33167749163486e-08 lagidl = 3.72970242544591e-15 wagidl = -1.38050658413677e-30 pagidl = -9.4039548065783e-38 ++ bgidl = 2101455173.12 lbgidl = -229.089458546882 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.183656947999999 lkt1 = -9.1294887499376e-8 ++ kt2 = 0.286688501485714 lkt2 = -8.45863280470106e-08 pkt2 = 1.89326617253043e-29 ++ at = -18511.0919999997 lat = 0.023647630770896 ++ ute = -0.343222029714286 lute = 4.39087688362148e-8 ++ ua1 = 1.10675765542857e-09 lua1 = -1.95764057597278e-16 ++ ub1 = 6.36172624000001e-19 lub1 = -5.48116234005122e-26 ++ uc1 = -6.99880644011428e-11 luc1 = 1.96149456986649e-17 wuc1 = 2.31111593326468e-32 puc1 = -3.30607786168768e-39 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.98 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.5e-07 wmax = 6.4e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.00798429187781+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = 2.01858424708101e-09 wvth0 = 6.38778052191776e-08 pvth0 = -1.32858169519271e-14 ++ k1 = -4.88851898234773 lk1 = 1.22107126296486e-06 wk1 = 2.37594313195114e-06 pk1 = -4.94167660128254e-13 ++ k2 = 1.50150398554703 lk2 = -3.52948068470396e-07 wk2 = -6.36152528718813e-07 pk2 = 1.32312092143168e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 667936.145459418 lvsat = -0.114172754985813 wvsat = -0.213374824828545 pvsat = 4.43794030664396e-8 ++ ua = 3.14196323311354e-09 lua = -1.16493813264082e-15 wua = -2.76683159634921e-15 pua = 5.7546777006148e-22 ++ ub = -1.28134486079427e-18 lub = 7.4312641109408e-25 wub = 1.56834138210924e-24 pub = -3.26196187382136e-31 ++ uc = 1.7810784520001e-13 luc = -2.13711578510518e-20 wuc = -3.17364536705885e-19 puc = 6.60080152603835e-26 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0164970212043459 lu0 = -2.83894493576949e-09 wu0 = -6.55308144910749e-09 pu0 = 1.36296230443697e-15 ++ a0 = -11.8177787646799 la0 = 2.72848616130825e-06 wa0 = 9.10460511137415e-06 pa0 = -1.89364860790449e-12 ++ keta = -0.457162210384712 lketa = 1.07641596077644e-07 wketa = 5.48254534768247e-07 pketa = -1.14030364177378e-13 ++ a1 = 0.0 ++ a2 = -8.33568581458309 la2 = 1.87278472704683e-06 wa2 = 4.40346307575203e-06 pa2 = -9.15867478199513e-13 ++ ags = 23.3086543735184 lags = -4.58793540583935e-06 wags = -1.37953103876943e-05 pags = 2.86925901691577e-12 ++ b0 = -5.21268025187409e-06 lb0 = 1.06837076405879e-12 wb0 = 2.42318489646759e-12 pb0 = -5.03993380246502e-19 ++ b1 = 1.12349204198144e-07 lb1 = -2.18640946103636e-14 wb1 = -4.13362680456873e-14 pb1 = 8.5974477182864e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {0.792240881525096+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -2.42146052586641e-07 wvoff = -5.84430488838372e-07 pvoff = 1.21554528512514e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {-3.62230156819396+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.26536934709473e-06 wnfactor = 1.85629696576816e-06 pnfactor = -3.86087493316185e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -7.15720513546989 leta0 = 1.51433410234815e-06 weta0 = 3.72032592710239e-06 peta0 = -7.73783148926172e-13 ++ etab = -1.47286370581888 letab = 2.85770394625578e-07 wetab = 4.92357298507041e-07 petab = -1.02404409801882e-13 ++ dsub = 0.0699005973160887 ldsub = 3.97674184717412e-08 wdsub = 1.058649911902e-07 pdsub = -2.20186477876675e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 16.953341025109 lpclm = -3.3958939772789e-06 wpclm = -8.71463662863521e-06 ppclm = 1.81253984311658e-12 ++ pdiblc1 = 7.04922961070779 lpdiblc1 = -1.43097328467305e-06 wpdiblc1 = -3.71129386148536e-06 ppdiblc1 = 7.71904587662617e-13 ++ pdiblc2 = 0.142856403880401 lpdiblc2 = -2.90090584294393e-08 wpdiblc2 = -7.5476504888214e-08 ppdiblc2 = 1.56982072986899e-14 ++ pdiblcb = -2.39333422744247 lpdiblcb = 4.97783596171917e-07 wpdiblcb = 1.51958447313478e-06 ppdiblcb = -3.16055335398356e-13 ++ drout = 1.0 ++ pscbe1 = 801348527.984619 lpscbe1 = -0.280477638466436 wpscbe1 = -0.721991364451242 ppscbe1 = 1.50165539909448e-7 ++ pscbe2 = -3.3724744808313e-08 lpscbe2 = 7.22233022319138e-15 wpscbe2 = -8.09658465076991e-15 ppscbe2 = 1.68399244834433e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 14.1084083939135 lbeta0 = -1.19222750200969e-06 wbeta0 = -1.11229711493766e-06 pbeta0 = 2.31344452341652e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.43608215507211e-08 lagidl = -2.02690550854698e-15 wagidl = 4.63571429969025e-15 pagidl = -9.64172945763976e-22 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = 2.27296681850359 lkt1 = -6.02243151446923e-07 wkt1 = -1.48746554724275e-06 pkt1 = 3.09374984239926e-13 ++ kt2 = -0.12 ++ at = 1006593.23617672 lat = -0.189561768237925 wat = -0.371921568052239 pat = 7.73552230960491e-8 ++ ute = 6.56922441422295 lute = -1.3937971421454e-06 wute = -4.00748248638365e-06 pute = 8.33508267377963e-13 ++ ua1 = -7.16984270367696e-10 lua1 = 1.83552378065237e-16 wua1 = 5.18041495678697e-16 pua1 = -1.07746414603221e-22 ++ ub1 = 5.26415890634492e-19 lub1 = -3.19835399412866e-26 wub1 = -8.23304123937596e-26 pub1 = 1.71237378129534e-32 ++ uc1 = 7.09478228921501e-10 luc1 = -1.42504689716925e-16 wuc1 = -3.67794011184241e-16 puc1 = 7.64967407981879e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.99 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.11326102335215+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} wvth0 = 3.81911978443809e-8 ++ k1 = 0.5433973549272 wk1 = -3.46566892930645e-8 ++ k2 = -0.0852793716207807 wk2 = 4.45078534082705e-8 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -211476.0232462 wvsat = 0.126334914411078 ++ ua = -1.38898106038814e-09 wua = 2.5417148824262e-16 ++ ub = 2.4656318328274e-18 wub = -7.74372637237363e-25 ++ uc = -1.35202780985493e-11 wuc = -2.91731322305003e-17 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.011933028659388 wu0 = -1.85757823080653e-9 ++ a0 = 0.178394076144155 wa0 = 5.74228331558174e-7 ++ keta = -0.0465029744780326 wketa = 2.88633041783325e-8 ++ a1 = 0.0 ++ a2 = 0.177878893076924 wa2 = 3.33078788101981e-7 ++ ags = 0.543504299226646 wags = -1.65190583568124e-7 ++ b0 = -8.01992056584615e-08 wb0 = 2.66796944053616e-15 ++ b1 = -5.885056017e-09 wb1 = 3.14387975907487e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.415461382931554+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} wvoff = 6.8138253247755e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {3.05861128106077+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} wnfactor = -7.51254615252403e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.00672845800311313 wpclm = -2.67065600896267e-9 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.00408148750021257 wpdiblc2 = 2.63378832764807e-9 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 1242087877.74128 wpscbe1 = -241.992224442251 ++ pscbe2 = 8.32815351435955e-09 wpscbe2 = 6.36937300385794e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.11840153846154e-10 walpha0 = -1.66956786016031e-16 ++ alpha1 = 4.11840153846154e-10 walpha1 = -1.66956786016031e-16 ++ beta0 = -81.1968415384615 wbeta0 = 4.50783322243283e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.07306874221129e-09 wagidl = -1.0171924664679e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -1.10903174383077 wkt1 = 3.12359450957392e-7 ++ kt2 = -0.0323186599922416 wkt2 = -1.07963657505018e-8 ++ at = -223997.4452425 wat = 0.125280407002762 ++ ute = -4.24929865901538 wute = 1.6007148816073e-6 ++ ua1 = -2.98627144930512e-09 wua1 = 1.46173926823066e-15 ++ ub1 = 1.27170642412126e-18 wub1 = -4.16195920016415e-25 ++ uc1 = -1.81977917287344e-10 wuc1 = 1.01817150106109e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.100 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.13868730325264+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.09237228731543e-07 wvth0 = 5.18042297781171e-08 pvth0 = -2.72641640212483e-13 ++ k1 = 0.564852267623844 lk1 = -4.29698734029446e-07 wk1 = -4.6143482202529e-08 pk1 = 2.30057350549239e-13 ++ k2 = -0.107540793365244 lk2 = 4.45851487561056e-07 wk2 = 5.64264449711666e-08 pk2 = -2.38705408798586e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -292319.756188324 lvsat = 1.61913731324007 wvsat = 0.169618018447174 pvsat = -8.66873488237688e-7 ++ ua = -1.43985562967835e-09 lua = 1.01891526324946e-15 wua = 2.81409335818957e-16 pua = -5.45519284404705e-22 ++ ub = 2.55171561834692e-18 lub = -1.72408502337961e-24 wub = -8.2046122455099e-25 pub = 9.2306167365426e-31 ++ uc = -3.94116632973286e-12 luc = -1.91850335556514e-16 wuc = -3.43017139544528e-17 puc = 1.0271517322434e-22 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0124647218004262 lu0 = -1.06487438483953e-08 wu0 = -2.14224259131188e-09 pu0 = 5.70125439622886e-15 ++ a0 = -0.504927130230127 la0 = 1.36855489214096e-05 wa0 = 9.40073175545553e-07 pa0 = -7.32713614524112e-12 ++ keta = -0.0770795812570842 lketa = 6.12387913651565e-07 wketa = 4.52337809503038e-08 pketa = -3.27867712343321e-13 ++ a1 = 0.0 ++ a2 = -0.238319505333507 la2 = 8.33561652898333e-06 wa2 = 5.55908164263418e-07 pa2 = -4.46282407180875e-12 ++ ags = 0.729584329189762 lags = -3.72680860714094e-06 wags = -2.64816380186143e-07 pags = 1.99530425915613e-12 ++ b0 = 1.48933137670934e-07 lb0 = -4.58905982261302e-12 wb0 = -1.20007699945744e-13 pb0 = 2.45694683436039e-18 ++ b1 = -2.755327509254e-09 lb1 = -6.26821649963949e-14 wb1 = 1.46824752791002e-15 pb1 = 3.35595422181829e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.398443040851588+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -3.40843150957435e-07 wvoff = 5.90267656412101e-08 pvoff = 1.82484764446033e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {4.40818246636896+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.70291955044983e-05 wnfactor = -1.47380450121116e-06 pnfactor = 1.44712204453835e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -4.31037554146123e-07 lcit = 2.08912694961988e-10 wcit = 5.58469614439691e-12 pcit = -1.11850227363628e-16 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.28515221638871 lpclm = -2.56042556918617e-05 wpclm = -6.87128764543298e-07 ppclm = 1.37083187842284e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.00808025555849981 lpdiblc2 = 8.00872786861604e-08 wpdiblc2 = 4.77469755566421e-09 ppdiblc2 = -4.28781043277965e-14 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 1539133660.72275 lpscbe1 = -5949.22937700359 wpscbe1 = -401.028219693426 ppscbe1 = 0.00318517100445858 ++ pscbe2 = 7.46574891732366e-09 lpscbe2 = 1.72722289205794e-14 wpscbe2 = 1.09866199488295e-15 ppscbe2 = -9.24741664069265e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 6.20460904929076e-10 lalpha0 = -4.17825389923976e-15 walpha0 = -2.78650708903969e-16 palpha0 = 2.23700454727256e-21 ++ alpha1 = 6.20460904929076e-10 lalpha1 = -4.17825389923976e-15 walpha1 = -2.78650708903969e-16 palpha1 = 2.23700454727256e-21 ++ beta0 = -133.715720785245 lbeta0 = 0.00105184748332804 wbeta0 = 7.31965305257983e-05 pbeta0 = -5.63150938163463e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.65822330812897e-09 lagidl = -1.17194686243446e-14 wagidl = -1.33047965685461e-15 pagidl = 6.27451208961883e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -1.21334211937223 lkt1 = 2.08912694961988e-06 wkt1 = 3.68206412401361e-07 pkt1 = -1.11850227363628e-12 ++ kt2 = -0.0323186599922415 wkt2 = -1.07963657505018e-8 ++ at = -223997.4452425 wat = 0.125280407002762 ++ ute = -4.24929865901538 wute = 1.6007148816073e-6 ++ ua1 = -2.98627144930512e-09 wua1 = 1.46173926823066e-15 ++ ub1 = 1.27170642412126e-18 wub1 = -4.16195920016415e-25 ++ uc1 = -1.96164128360983e-10 luc1 = 2.84121265148304e-16 wuc1 = 1.09412336862489e-16 puc1 = -1.52116309214534e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.101 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.23455148758623+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.27883375019144e-06 wvth0 = 8.41593682087762e-08 pvth0 = -5.32388303272153e-13 ++ k1 = 0.578837878854054 lk1 = -5.41975053158237e-07 wk1 = -4.48548789554506e-08 pk1 = 2.19712459144934e-13 ++ k2 = -0.132263169415061 lk2 = 6.44322425820473e-07 wk2 = 6.06027690711861e-08 pk2 = -2.72232888557653e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -284068.204935431 lvsat = 1.55289395880046 wvsat = 0.148225981579132 pvsat = -6.9513847296549e-7 ++ ua = -1.58292081804459e-09 lua = 2.16744087867137e-15 wua = 3.21983580768536e-16 pua = -8.7124883596899e-22 ++ ub = 4.07000450455871e-18 lub = -1.39128899824212e-23 wub = -1.40805029531154e-24 pub = 5.64021968265111e-30 ++ uc = -8.4600927856893e-12 luc = -1.55572448195213e-16 wuc = -2.93630772510725e-17 puc = 6.30678570332432e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.017775417652256 lu0 = -5.32829464185349e-08 wu0 = -4.18376906231302e-09 pu0 = 2.20906044071083e-14 ++ a0 = 1.21212221414844 la0 = -9.89026106693711e-08 wa0 = 2.2379913900653e-08 pa0 = 4.00943469249966e-14 ++ keta = -0.0142004248313807 lketa = 1.07594800415894e-07 wketa = 9.82645105716485e-09 pketa = -4.36180928491603e-14 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = 0.269181293730407 lags = -3.06985633096655e-08 wags = -1.78230736392001e-08 pags = 1.24449581169448e-14 ++ b0 = -9.81013005632141e-07 lb0 = 4.48213425647034e-12 wb0 = 4.35286914682831e-13 pb0 = -2.00095166834243e-18 ++ b1 = -2.12930886650066e-08 lb1 = 8.61387591088527e-14 wb1 = 1.13035669417335e-14 pb1 = -4.53982840121588e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.711656369270886+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 2.17362969103274e-06 wvoff = 1.94231852749439e-07 pvoff = -9.02940052397784e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.0109069084194164+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.27207990741392e-06 wnfactor = 7.32772971930666e-07 pnfactor = -3.24315703006947e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.55920076923077e-05 wcit = -8.34783930080153e-12 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.08 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -3.55518543240045 lpclm = 1.32539168685659e-05 wpclm = 1.68972497542161e-06 ppclm = -5.37303451796503e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00358729987432153 lpdiblc2 = -1.35797163178641e-08 wpdiblc2 = -1.25211954985107e-09 ppdiblc2 = 5.50511107347484e-15 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 757003976.593337 lpscbe1 = 329.698341631138 wpscbe1 = 12.3789927374828 ppscbe1 = -0.000133657136050199 ++ pscbe2 = 9.36212194753825e-09 lpscbe2 = 2.0481689904929e-15 wpscbe2 = 5.0191953863338e-17 ppscbe2 = -8.30311733027708e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.26459619482637e-10 lalpha0 = -2.62081390769117e-15 walpha0 = -1.32344183353229e-16 palpha0 = 1.06245751582952e-21 ++ alpha1 = 5.14721659958582e-10 lalpha1 = -3.32938050948757e-15 walpha1 = -1.68124926118261e-16 palpha1 = 1.34970488937829e-21 ++ beta0 = -88.3989569000244 lbeta0 = 0.000688045046658652 wbeta0 = 3.7792536025631e-05 pbeta0 = -2.78928095164053e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.64905525509914e-09 lagidl = -3.57298316046377e-14 wagidl = -2.35316217085622e-15 pagidl = 1.44845950398336e-20 ++ bgidl = -333877603.132822 lbgidl = 10708.3533914191 wbgidl = 540.743576064741 pbgidl = -0.00434108293972483 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -1.55751699082723 lkt1 = 4.85215868756214e-06 wkt1 = 4.80952861932993e-07 pkt1 = -2.02362941751882e-12 ++ kt2 = 0.00424056330456918 lkt2 = -2.93497005916117e-07 wkt2 = -2.71115166916116e-08 pkt2 = 1.30977835973418e-13 ++ at = -509706.415220086 lat = 2.29366818247242 wat = 0.249779710540662 pat = -9.99478914810623e-7 ++ ute = -9.06389947841203 lute = 3.86515576029065e-05 wute = 3.69553535290043e-06 pute = -1.68171936056956e-11 ++ ua1 = -7.63719412710378e-09 lua1 = 3.73375514462955e-14 wua1 = 3.61174009387862e-15 pua1 = -1.72601808282919e-20 ++ ub1 = 3.05734698815079e-18 lub1 = -1.43351010203423e-23 wub1 = -1.33392717023468e-24 pub1 = 7.36753546397722e-30 ++ uc1 = -9.2654929824246e-13 luc1 = -1.28324367671643e-15 wuc1 = 3.32391949694627e-17 puc1 = 4.5940075982498e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.102 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.764847969952619+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.13126382394549e-07 wvth0 = -1.09062253378943e-07 pvth0 = 2.45906069823721e-13 ++ k1 = 0.427985192937786 lk1 = 6.56577554802654e-08 wk1 = 2.54518720344479e-08 pk1 = -6.34822901613645e-14 ++ k2 = 0.0801979339213927 lk2 = -2.11468348885523e-07 wk2 = -3.18252846654874e-08 pk2 = 1.00066202757023e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 225623.524728826 lvsat = -0.500138211986417 wvsat = -0.0779715228434174 pvsat = 2.15982360478488e-7 ++ ua = -1.78008953695845e-09 lua = 2.9616341124318e-15 wua = 3.82242575149653e-16 pua = -1.11397134222819e-21 ++ ub = -9.59433986835266e-19 lub = 6.34562790765184e-24 wub = 6.67924734841365e-25 pub = -2.72178282710443e-30 ++ uc = -5.74447059131038e-11 luc = 4.17369856666548e-17 wuc = -1.15580433935887e-17 puc = -8.65060568429516e-24 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.00808766247099412 lu0 = 5.08932299609552e-08 wu0 = 6.40076894819097e-09 pu0 = -2.05437876847456e-14 ++ a0 = 1.52326109204192 la0 = -1.35216627715779e-06 wa0 = -1.00617836735738e-07 pa0 = 5.35527810515373e-13 ++ keta = 0.0545380124998506 lketa = -1.69282800293058e-07 wketa = -1.48471278339067e-08 pketa = 5.57667868411293e-14 ++ a1 = 0.0 ++ a2 = 0.8 ++ ags = -0.694088580084904 lags = 3.84934092917993e-06 wags = 3.1412410137555e-07 pags = -1.32463427947637e-12 ++ b0 = 5.74898978832841e-07 lb0 = -1.78506054601079e-12 wb0 = -2.49020715441161e-13 pb0 = 7.55431254105448e-19 ++ b1 = 1.74882720706222e-09 lb1 = -6.67380152084989e-15 wb1 = -8.95258901276262e-16 pb1 = 3.73844009757431e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.00572646503784502+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -6.69847492059097e-07 wvoff = -1.01353195700256e-07 pvoff = 2.87672975737006e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.87556588426301+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.61255928623639e-07 wnfactor = -9.93712985712451e-09 pnfactor = -2.51529652589471e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.55920076923077e-05 wcit = -8.34783930080154e-12 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.160612523 leta0 = -3.24706275293724e-7 ++ etab = -0.140472583 letab = 2.83862718653004e-7 ++ dsub = 0.8641982 ldsub = -1.2253066992216e-6 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0961805721275844 lpclm = -1.453741581281e-06 wpclm = 2.16404375089872e-07 ppclm = 5.61483180324017e-13 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00325723443517498 lpdiblc2 = -1.22502166897671e-08 wpdiblc2 = -1.43707763993809e-09 ppdiblc2 = 6.25012004084831e-15 ++ pdiblcb = -1.06020688991815 lpdiblcb = 3.36420333010764e-06 wpdiblcb = 3.38586358559078e-07 ppdiblcb = -1.36382178923966e-12 ++ drout = 0.56 ++ pscbe1 = 878255437.650689 lpscbe1 = -158.701088490347 wpscbe1 = -41.8973509257657 ppscbe1 = 8.49673249092419e-5 ++ pscbe2 = 9.97523101600994e-09 lpscbe2 = -4.21426980002176e-16 wpscbe2 = -1.84198003032306e-16 ppscbe2 = 1.13808200668466e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.67033621947176e-10 lalpha0 = 9.78166146869217e-16 walpha0 = 2.70977533319814e-16 palpha0 = -5.62117519068896e-22 ++ alpha1 = -6.2804179753986e-10 lalpha1 = 1.27365698415466e-15 walpha1 = 3.36248991841319e-16 palpha1 = -6.81907199675885e-22 ++ beta0 = 248.320664665369 lbeta0 = -0.000668257548371293 wbeta0 = -0.000109059265187098 pbeta0 = 3.12589197899203e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.70207214470676e-09 lagidl = 5.96438534825166e-15 wagidl = 1.89881655673678e-15 pagidl = -2.64232425116628e-21 ++ bgidl = 3667755206.26564 lbgidl = -5410.17554524424 wbgidl = -1081.48715212948 pbgidl = 0.00219324296667276 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.102613801415074 lkt1 = -1.00817390055175e-06 wkt1 = -1.0235248968028e-07 pkt1 = 3.25917539115222e-13 ++ kt2 = -0.0729134658922656 lkt2 = 1.7278497840383e-08 wkt2 = 7.14439574547267e-09 pkt2 = -7.0045682522081e-15 ++ at = 188057.184210266 lat = -0.516915222869843 wat = -0.054646692967554 pat = 2.2674698540363e-7 ++ ute = 2.52936313191256 lute = -8.04596507232968e-06 wute = -1.22241862167254e-06 pute = 2.99226598843659e-12 ++ ua1 = 3.2341880759269e-09 lua1 = -6.45224561092569e-15 wua1 = -1.32270133728886e-15 pua1 = 2.61569004315351e-21 ++ ub1 = -8.89528535135056e-19 lub1 = 1.56286622494682e-24 wub1 = 6.52451448870964e-25 pub1 = -6.33573777236884e-31 ++ uc1 = -6.97576530921233e-10 luc1 = 1.5228540894612e-15 wuc1 = 3.00557252875954e-16 puc1 = -6.17353169605671e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.103 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-1.23956016871898+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.49584260157244e-07 wvth0 = 8.76588277868981e-08 pvth0 = -1.53041922127631e-13 ++ k1 = 0.307608382905939 lk1 = 3.09780481703131e-07 wk1 = 5.63749718410262e-08 pk1 = -1.26193965491908e-13 ++ k2 = -0.0135669873388701 lk2 = -2.13142137487654e-08 wk2 = 1.61086752191839e-08 pk2 = 2.85670731842799e-15 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -251934.189863779 lvsat = 0.468343102514813 wvsat = 0.122274876534341 pvsat = -1.90114934502814e-7 ++ ua = 2.56729247533979e-09 lua = -5.85480443992489e-15 wua = -1.1393362783871e-15 pua = 1.9717723137981e-21 ++ ub = 1.9374185703351e-18 lub = 4.70845683941016e-25 wub = -8.85799992965876e-25 pub = 4.29152276191922e-31 ++ uc = -1.11385513785976e-10 luc = 1.51128296743145e-16 wuc = 1.30283611189661e-18 puc = -3.47323149908651e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0362302214658926 lu0 = -3.89829068484438e-08 wu0 = -1.15006307203243e-08 pu0 = 1.57600360262073e-14 ++ a0 = -0.460648598564658 la0 = 2.67117876847607e-06 wa0 = 8.3584386986159e-07 pa0 = -1.36360529292353e-12 ++ keta = -0.0865780177215923 lketa = 1.16898815603666e-07 wketa = 4.02663601774111e-08 pketa = -5.60027054839671e-14 ++ a1 = 0.0 ++ a2 = 2.493466944288 la2 = -3.43433064141273e-06 wa2 = -6.86518290172189e-07 pa2 = 1.39225085424972e-12 ++ ags = 2.56634612704128 lags = -2.76278153165549e-06 wags = -1.00293987157619e-06 pags = 1.34635565290209e-12 ++ b0 = -5.80645479319665e-07 lb0 = 5.58369748588995e-13 wb0 = 2.51305840033681e-13 pb0 = -2.59224996478865e-19 ++ b1 = 1.81497086775926e-10 lb1 = -3.49527484487072e-15 wb1 = 1.7903038225213e-16 pb1 = 1.55979432205013e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.505117774979488+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 3.42912091806837e-07 wvoff = 1.34702709423545e-07 pvoff = -1.91045567183201e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {3.16233025622179+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.84828677653629e-06 wnfactor = -3.93563057339881e-07 pnfactor = 5.2645912483443e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.55920076923077e-05 wcit = -8.34783930080154e-12 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -0.502700126 leta0 = 1.02048381712649e-06 weta0 = 2.85377311330795e-23 peta0 = -9.86076131526265e-31 ++ etab = -0.0958645212593997 letab = 1.93398104739807e-07 wetab = 1.41594397348014e-07 petab = -2.87151738689004e-13 ++ dsub = 0.26 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.10406536529312 lpclm = 3.00833077685695e-06 wpclm = 1.07974574930807e-06 ppclm = -1.189362766494e-12 ++ pdiblc1 = 0.377007194731406 lpdiblc1 = 2.63492531710464e-08 wpdiblc1 = 6.67640753488177e-09 ppdiblc1 = -1.35396743638498e-14 ++ pdiblc2 = -0.00608661918204546 lpdiblc2 = 6.69900631971255e-09 wpdiblc2 = 3.33574383198553e-09 ppdiblc2 = -3.42910463035514e-15 ++ pdiblcb = 1.44541377983631 lpdiblcb = -1.71716532070636e-06 wpdiblcb = -6.77172717118156e-07 ppdiblcb = 6.96125427124859e-13 ++ drout = -0.248320924845185 ldrout = 1.63926513573494e-06 wdrout = 2.52041425545001e-07 pdrout = -5.11136986508156e-13 ++ pscbe1 = 729431656.367858 lpscbe1 = 143.111754065861 wpscbe1 = 38.4442347536087 ppscbe1 = -7.79644467495018e-5 ++ pscbe2 = 1.06741197222242e-08 lpscbe2 = -1.83876488954022e-15 wpscbe2 = -3.26965637042088e-16 ppscbe2 = 4.03339249228711e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -7.17712340361928e-11 lalpha0 = 1.76578767334398e-16 walpha0 = -1.25783332267129e-17 palpha0 = 1.29303756170621e-23 ++ alpha1 = -1.02803044754604e-10 lalpha1 = 2.08479096371196e-16 walpha1 = 1.72079040750854e-21 palpha1 = -1.76895188943389e-27 ++ beta0 = -177.846304375612 lbeta0 = 0.000196003950840187 wbeta0 = 9.41133421878783e-05 pbeta0 = -9.94424117859599e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 4.77701822314251e-10 lagidl = -2.51214609957935e-15 wagidl = -2.20345710781324e-16 pagidl = 1.65531139741323e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.592176172339096 lkt1 = -1.53472870662801e-08 wkt1 = 6.96601956010945e-08 pkt1 = -2.29221224831813e-14 ++ kt2 = -0.0790969944556881 lkt2 = 2.98186195646609e-08 wkt2 = 1.27842099136216e-08 pkt2 = -1.84420437074441e-14 ++ at = -251839.851460247 lat = 0.375190686705529 wat = 0.138122565704439 pat = -1.64186757952069e-7 ++ ute = -4.48789203866116 lute = 6.18494420653178e-06 wute = 1.45381891764711e-06 pute = -2.43511162645319e-12 ++ ua1 = -4.54665601797395e-09 lua1 = 9.32721284137611e-15 wua1 = 1.87246723631851e-15 pua1 = -3.86407348209934e-21 ++ ub1 = 4.45772694948955e-18 lub1 = -9.28130373080606e-24 wub1 = -1.55832219889895e-24 pub1 = 3.84984865115673e-30 ++ uc1 = 2.0787211949509e-10 luc1 = -3.13384908199301e-16 wuc1 = -5.97736252458561e-17 puc1 = 1.13393527254822e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.104 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.889557183849071+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.02146082532018e-08 wvth0 = -7.91290474468741e-08 pvth0 = 1.84140121581838e-14 ++ k1 = 0.603238458959026 lk1 = 5.8763110814697e-09 wk1 = -9.25581782066799e-08 pk1 = 2.69075255593331e-14 ++ k2 = -0.0288760627544941 lk2 = -5.57666793040898e-09 wk2 = 2.46658272835186e-08 pk2 = -5.93994231788334e-15 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 285319.907215259 lvsat = -0.083947662233274 wvsat = -0.108264698191764 pvsat = 4.68769818407258e-8 ++ ua = -3.97443494142799e-09 lua = 8.70012843783389e-16 wua = 1.30772681327503e-15 pua = -5.43779179673469e-22 ++ ub = 2.77119159498454e-18 lub = -3.86262980122309e-25 wub = -7.53810116241494e-25 pub = 2.93468266797778e-31 ++ uc = 5.71460387077809e-11 luc = -2.21201168418071e-17 wuc = -5.07644210964763e-17 puc = 1.87922006122556e-23 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.00602682287067936 lu0 = 4.4568276450201e-09 wu0 = 6.43413212588555e-09 pu0 = -2.67668496254224e-15 ++ a0 = 3.6315066925976 la0 = -1.53550776497524e-06 wa0 = -1.22409197840869e-06 pa0 = 7.53984039868143e-13 ++ keta = -0.0129908778027087 lketa = 4.12521188127327e-08 wketa = 1.28085926300466e-08 pketa = -2.7776449938487e-14 ++ a1 = 0.0 ++ a2 = -2.586933888576 la2 = 1.78826044996147e-06 wa2 = 1.37303658034438e-06 pa2 = -7.24946837982868e-13 ++ ags = 0.209281556720716 lags = -3.39747438140794e-07 wags = -8.60179864432211e-08 pags = 4.03770958048017e-13 ++ b0 = 7.61179449584864e-08 lb0 = -1.16775170407853e-13 wb0 = -4.52085924163267e-14 pb0 = 4.55882819065527e-20 ++ b1 = 6.62536566757009e-09 lb1 = -1.01194944195042e-14 wb1 = -3.78975559464855e-15 pb1 = 5.6396586808723e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.178390273289819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = 7.0401407998778e-09 wvoff = -5.54093260045541e-08 pvoff = 4.3873238924596e-15 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.856087110130421+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.22503502727878e-07 wnfactor = 1.75153457352247e-07 pnfactor = -5.81746276709018e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.20567936072e-05 lcit = -1.69256023430783e-11 wcit = -1.71629572543047e-11 pcit = 9.06183547478585e-18 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = 0.189717944859997 letab = -1.00177243441338e-07 wetab = -2.83194304144749e-07 petab = 1.49525948981138e-13 ++ dsub = 0.349430903910948 ldsub = -9.19338960496078e-08 wdsub = -6.91651784867769e-08 pdsub = 7.11009735022648e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.10799899993606 lpclm = -2.93632845826269e-07 wpclm = -2.03371056573647e-07 ppclm = 1.29665912550737e-13 ++ pdiblc1 = 1.13078485510816 lpdiblc1 = -7.48525136364332e-07 wpdiblc1 = -2.86468546962106e-07 ppdiblc1 = 2.87809821119599e-13 ++ pdiblc2 = 0.00284137468502792 lpdiblc2 = -2.47886423971249e-09 wpdiblc2 = -1.57737061289163e-09 ppdiblc2 = 1.62151806160524e-15 ++ pdiblcb = 0.433591436595692 lpdiblcb = -6.77024093723132e-07 wpdiblcb = -3.52604718140128e-07 ppdiblcb = 3.62473418991434e-13 ++ drout = 1.96188892814783 ldrout = -6.3280407062365e-07 wdrout = -5.44798770212372e-07 pdrout = 3.08005172648075e-13 ++ pscbe1 = 941136687.264283 lpscbe1 = -74.518477235295 wpscbe1 = -76.8884695072179 ppscbe1 = 4.05961892381769e-5 ++ pscbe2 = 4.45126514006198e-08 lpscbe2 = -3.66243693925508e-14 wpscbe2 = -1.44819886472878e-14 ppscbe2 = 1.49545330434852e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 17.5977248012758 lbeta0 = -4.91016582530341e-06 wbeta0 = -5.82029356555601e-06 pbeta0 = 3.28816656494152e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.28603756487539e-09 lagidl = 1.35693282557895e-15 wagidl = 2.26708912247368e-15 pagidl = -9.01741761954922e-22 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.710280397833605 lkt1 = 1.06062439491369e-07 wkt1 = 9.73754453447931e-08 pkt1 = -5.14130666367064e-14 ++ kt2 = -0.0953472908233255 lkt2 = 4.65237292270359e-08 wkt2 = 2.80524705490008e-08 pkt2 = -3.41376324214863e-14 ++ at = 120078.995856369 lat = -0.00713742530978467 wat = -0.0214087711582422 pat = -1.90458033274866e-10 ++ ute = 3.07093472158738 lute = -1.58543899708259e-06 wute = -1.79065755825956e-06 pute = 9.00171257061154e-13 ++ ua1 = 9.24417835983556e-09 lua1 = -4.84959940899953e-15 wua1 = -4.02160967181413e-15 pua1 = 2.1949668505381e-21 ++ ub1 = -9.94912100968526e-18 lub1 = 5.52876308905013e-24 wub1 = 4.52142336364696e-24 pub1 = -2.40005683019372e-30 ++ uc1 = -2.25071063967566e-10 luc1 = 1.31675489082107e-16 wuc1 = 1.0389391557117e-16 puc1 = -5.48547406945908e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.105 pmos +* DC IV MOS Parameters ++ lmin = 2.5e-07 lmax = 5e-07 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.840802497661218+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.5956497504153e-08 wvth0 = -9.34607013807863e-08 pvth0 = 2.5980953455442e-14 ++ k1 = 0.652310580953471 lk1 = -2.00331804661336e-08 wk1 = -8.78483273426215e-08 pk1 = 2.44207808213207e-14 ++ k2 = -0.0593001283568993 lk2 = 1.04868736188737e-08 wk2 = 2.83332739915483e-08 pk2 = -7.87631017036254e-15 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 70545.3486839054 lvsat = 0.0294507273765786 wvsat = -0.0411419185125901 pvsat = 1.14369596434779e-8 ++ ua = -3.13778195670918e-09 lua = 4.28270107687672e-16 wua = 5.86739540055946e-16 pua = -1.63106551261072e-22 ++ ub = 2.59202836954875e-18 lub = -2.91666947050918e-25 wub = -4.18137715425344e-25 pub = 1.1623726723566e-31 ++ uc = 3.2147585302442e-11 luc = -8.92123342522901e-18 wuc = -3.20432182145228e-17 puc = 8.90763014501876e-24 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = -0.000413018338336589 lu0 = 1.49280621759751e-09 wu0 = 2.88183836135927e-09 pu0 = -8.01116482397541e-16 ++ a0 = 0.624509423823941 la0 = 5.21507089700311e-08 wa0 = 4.30712657488374e-07 pa0 = -1.19732950229878e-13 ++ keta = 0.148658132257956 lketa = -4.40966187111775e-08 wketa = -8.40546669317359e-08 pketa = 2.33661887510194e-14 ++ a1 = 0.0 ++ a2 = 1.10720647359648 la2 = -1.62201331581259e-7 ++ ags = -1.38602246243287 lags = 5.02553940324069e-07 wags = 1.43341797368734e-06 pags = -3.98472995669395e-13 ++ b0 = -3.06343235540447e-07 lb0 = 8.51597433614177e-14 wb0 = 8.68747504553648e-14 pb0 = -2.4150138129586e-20 ++ b1 = -2.64855234056606e-08 lb1 = 7.36265768049279e-15 wb1 = 1.455485281586e-14 pb1 = -4.0460744245753e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.0312500269039497+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -7.06481436089048e-08 wvoff = -9.94725413041316e-08 pvoff = 2.7652172812053e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.81588987970968+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.57391580232668e-08 wnfactor = 1.37217183878385e-07 pnfactor = -3.81447305119846e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 0.49 ++ etab = 3.57163176063846e-05 letab = -2.73029576987636e-11 wetab = 1.1018897441879e-11 petab = -3.06312126207307e-18 ++ dsub = -0.328060466287976 ldsub = 2.65773417518982e-07 wdsub = 1.38330356973554e-07 pdsub = -3.84541792743643e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.457025456605324 lpclm = 5.00733733698394e-08 wpclm = 8.91537405301201e-08 ppclm = -2.4783670022487e-14 ++ pdiblc1 = -1.11960378024706 lpdiblc1 = 4.396530584396e-07 wpdiblc1 = 5.46231463784684e-07 ppdiblc1 = -1.51845792154577e-13 ++ pdiblc2 = -0.0162210653812238 lpdiblc2 = 7.58587536598766e-09 wpdiblc2 = 3.15474122578327e-09 ppdiblc2 = -8.7698020387304e-16 ++ pdiblcb = -1.54218287319138 lpdiblcb = 3.66161032552727e-07 wpdiblcb = 7.05209436280256e-07 ppdiblcb = -1.96039760772676e-13 ++ drout = 1.1321919796254 ldrout = -1.94734038167184e-07 wdrout = 8.14318382447382e-08 pdrout = -2.26370738499783e-14 ++ pscbe1 = 800079104.265281 lpscbe1 = -0.0417661028166094 ++ pscbe2 = -6.272960750791e-08 lpscbe2 = 1.99982564040461e-14 wpscbe2 = 2.92328672863239e-14 ppscbe2 = -8.12638631119062e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.19133571530959 lbeta0 = 5.84282735417716e-07 wbeta0 = 8.60485623402909e-07 pbeta0 = -2.39204677478528e-13 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.62341750489791e-09 lagidl = 4.79089385351561e-16 wagidl = 1.18101635856685e-15 pagidl = -3.28308375485283e-22 ++ bgidl = 691592551.5264 lbgidl = 162.83543190468 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.506431088159999 lkt1 = -1.56754982457793e-9 ++ kt2 = 0.00428747494323944 lkt2 = -6.08223148052129e-09 wkt2 = -7.73050584050417e-08 pkt2 = 2.14898785759007e-14 ++ at = 151044.296905712 lat = -0.0234867326802252 wat = -0.0459761291982913 pat = 1.27808122035746e-8 ++ ute = 0.349922085835547 lute = -1.48776977557252e-07 wute = -1.8109778323677e-07 pute = 5.03430105664232e-14 ++ ua1 = -3.22741818666681e-10 lua1 = 2.01619642207514e-16 wua1 = 2.86420812545226e-16 pua1 = -7.96215488378224e-23 ++ ub1 = 6.14839413553695e-19 lub1 = -4.88812468949642e-26 wub1 = -5.11982050739465e-26 pub1 = 1.42324866320963e-32 ++ uc1 = 5.072625803232e-11 luc1 = -1.39421873659686e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.24e-6 ++ sbref = 1.24e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.106 pmos +* DC IV MOS Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.886563565314288+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -2.32354698294124e-8 ++ k1 = -0.614523479319425 lk1 = 3.32131486281009e-7 ++ k2 = 0.495077243737657 lk2 = -1.43623383294948e-07 wk2 = 2.64697796016969e-23 pk2 = 6.31088724176809e-30 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 347307.710436 lvsat = -0.0474858880421628 ++ ua = 9.63598011685708e-10 lua = -7.11864306966488e-16 ++ ub = -6.81946012057137e-19 lub = 6.18458643342942e-25 ++ uc = -3.76598328805701e-15 luc = 1.64564159885245e-20 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.0112250652182857 lu0 = -1.74244135414081e-9 ++ a0 = -0.639616527428569 la0 = 4.03562553906814e-7 ++ keta = -0.218982630074297 lketa = 5.81031015280409e-08 wketa = -2.64697796016969e-23 pketa = -6.31088724176809e-30 ++ a1 = 0.0 ++ a2 = 0.0932382251080011 la2 = 1.19669673879557e-7 ++ ags = -2.03898102803886 lags = 6.84068586059746e-7 ++ b0 = 2.25773945257143e-07 lb0 = -6.27624474941426e-14 ++ b1 = -2.14741667485714e-08 lb1 = 5.96956066610187e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.0280831423982866+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope/sqrt(l*w*mult))} lvoff = -7.15284994988652e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {0.122295219640005+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 4.86538150386715e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = 1.57846856239943 leta0 = -3.02581198724292e-7 ++ etab = 0.293574393861143 letab = -8.16275328506713e-08 wetab = -3.05022850878929e-23 petab = 3.8087190580202e-30 ++ dsub = 1.71815201014572 ldsub = -3.03049096379867e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.670335002130859 lpclm = -9.22412057171261e-9 ++ pdiblc1 = 1.33192513110629 lpdiblc1 = -2.41842560569694e-7 ++ pdiblc2 = 0.0339036363136343 lpdiblc2 = -6.34819020876257e-9 ++ pdiblcb = -0.893515669637313 lpdiblcb = 1.85839333971137e-7 ++ drout = -1.256946487644 ldrout = 4.694177860721e-7 ++ pscbe1 = 799717484.766859 lpscbe1 = 0.0587597783114688 ++ pscbe2 = 3.36027181733886e-08 lpscbe2 = -6.78097414744674e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 12.01765178888 lbeta0 = -7.57375217241974e-7 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.33167749163486e-08 lagidl = 3.72970242544591e-15 wagidl = 5.91645678915759e-31 pagidl = 2.11588983148012e-37 ++ bgidl = 2101455173.12 lbgidl = -229.089458546882 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.183656948000001 lkt1 = -9.12948874993758e-8 ++ kt2 = 0.286688501485714 lkt2 = -8.45863280470108e-08 wkt2 = -1.32348898008484e-23 pkt2 = 6.31088724176809e-30 ++ at = -18511.0919999995 lat = 0.023647630770896 ++ ute = -0.343222029714286 lute = 4.39087688362147e-8 ++ ua1 = 1.10675765542857e-09 lua1 = -1.95764057597278e-16 wua1 = -3.94430452610506e-31 ++ ub1 = 6.36172624000001e-19 lub1 = -5.48116234005122e-26 ++ uc1 = -6.99880644011428e-11 luc1 = 1.96149456986649e-17 wuc1 = -2.31111593326468e-33 puc1 = 9.18354961579912e-40 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8__model.107 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = -1.3994e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.3039e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__vth0_slope_spectre) ++ vth0 = {-0.776926328257098+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__vth0_slope1/sqrt(l*w*mult))} lvth0 = -4.60386994904642e-08 wvth0 = -5.98288262512368e-08 pvth0 = 1.24436779143419e-14 ++ k1 = -4.07900378532117 lk1 = 1.0527018161657e-06 wk1 = 1.94253500968166e-06 pk1 = -4.04023971593668e-13 ++ k2 = 1.29649356002937 lk2 = -3.1030836008783e-07 wk2 = -5.26391545977979e-07 pk2 = 1.09483124864868e-13 ++ k3 = -15.845 ++ dvt0 = 4.4955 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.9772 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 846266.409780116 lvsat = -0.151263310001347 wvsat = -0.308851457369786 pvsat = 6.4237396915427e-8 ++ ua = -1.13013872242038e-09 lua = -2.76392191113232e-16 wua = -4.79581531751624e-16 pua = 9.97472036259565e-23 ++ ub = 1.1406395781353e-18 lub = 2.39382711609998e-25 wub = 2.71629804984974e-25 pub = -5.64957398792145e-32 ++ uc = 8.38613022801412e-13 luc = -1.58748308730012e-19 wuc = -6.7099385685329e-19 puc = 1.39558670299202e-25 ++ rdsw = 547.88 ++ prwb = -0.32348 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = 0.00855601333936618 lu0 = -1.18731059194809e-09 wu0 = -2.30152777805868e-09 pu0 = 4.7869015950287e-16 ++ a0 = 9.35601258824698 la0 = -1.67540835460431e-06 wa0 = -2.23167762341037e-06 pa0 = 4.64162165537875e-13 ++ keta = 1.25740201008753 lketa = -2.48967187009938e-07 wketa = -3.69709775271674e-07 pketa = 7.68951967392049e-14 ++ a1 = 0.0 ++ a2 = -4.87718884908827 la2 = 1.15345886018749e-06 wa2 = 2.55181077670244e-06 pa2 = -5.30746019824786e-13 ++ ags = -14.0213761047436 lags = 3.17626297327342e-06 wags = 6.19089675612945e-06 pags = -1.28763223451385e-12 ++ b0 = -2.12154650021876e-06 lb0 = 4.25452037319499e-13 wb0 = 7.68215996674591e-13 pb0 = -1.59779708716355e-19 ++ b1 = 3.73452524038533e-08 lb1 = -6.26417268457264e-15 wb1 = -1.17973728584803e-15 pb1 = 2.45371198608958e-22 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8__voff_slope_spectre) ++ voff = {-0.249048672134716+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__voff_slope1/sqrt(l*w*mult))} lvoff = -2.55703209000449e-08 wvoff = -2.69321838674287e-08 pvoff = 5.60157105821871e-15 +*(mismatch parameter sky130_fd_pr__pfet_01v8__nfactor_slope_spectre) ++ nfactor = {1.79675447745407+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8__nfactor_slope1/sqrt(l*w*mult))} lnfactor = 1.38270718272485e-07 wnfactor = -1.04502337243465e-06 pnfactor = 2.17352321185938e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.00013 ++ cdscb = 0.00078 ++ cdscd = 0.0 ++ eta0 = -2.10768448899337 leta0 = 4.64094402128792e-07 weta0 = 1.01685195923991e-06 peta0 = -2.1149300529839e-13 ++ etab = -1.00242774536384 letab = 1.87925360082455e-07 wetab = 2.40489554679903e-07 petab = -5.00189414987637e-14 ++ dsub = 0.267634130210667 ldsub = -1.35878356793621e-9 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.82107240499831 lpclm = -6.64539691519309e-07 wpclm = -1.68372244112318e-06 ppclm = 3.50194063084328e-13 ++ pdiblc1 = 2.71046970141515 lpdiblc1 = -5.28563288659095e-07 wpdiblc1 = -1.38835564837737e-06 ppdiblc1 = 2.88761314594713e-13 ++ pdiblc2 = 0.06202563151509 lpdiblc2 = -1.21972277467229e-08 wpdiblc2 = -3.22003398438507e-08 ppdiblc2 = 6.69728428344282e-15 ++ pdiblcb = 1.82981488155382 lpdiblcb = -3.80580740710005e-07 wpdiblcb = -7.41456619258789e-07 ppdiblcb = 1.54214079326397e-13 ++ drout = -0.670911346534268 ldrout = 3.4752950914297e-07 wdrout = 8.94592901825945e-07 pdrout = -1.86064588464975e-13 ++ pscbe1 = 745143924.105118 lpscbe1 = 11.4094055132259 wpscbe1 = 29.3695151567299 ppscbe1 = -6.10850671841799e-6 ++ pscbe2 = -7.30189637019774e-08 lpscbe2 = 1.53950562224469e-14 wpscbe2 = 1.29412336499907e-14 ppscbe2 = -2.69162130439426e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 29.926269521579 lbeta0 = -4.48215280223057e-06 wbeta0 = -9.58105658337296e-06 pbeta0 = 1.99274479666258e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.96473383143427e-08 lagidl = -5.2063175571791e-15 wagidl = -3.54856754072194e-15 pagidl = 7.38059465659677e-22 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.139535831054751 lkt1 = -1.00471550370584e-07 wkt1 = -1.95830446189887e-07 pkt1 = 4.07303828421421e-14 ++ kt2 = -0.12 ++ at = 416639.166937892 lat = -0.0668584012850783 wat = -0.0560647610235083 pat = 1.16607975157574e-8 ++ ute = -3.91515044854398 lute = 7.86827016811766e-07 wute = 1.60577003701783e-06 pute = -3.33980898459265e-13 ++ ua1 = -1.35143182854246e-10 lua1 = 6.25364139554892e-17 wua1 = 2.06528315784479e-16 pua1 = -4.29554113433821e-23 ++ ub1 = 3.7264e-19 ++ uc1 = 2.69989354843281e-10 luc1 = -5.10962777751443e-17 wuc1 = -1.3249509601598e-16 puc1 = 2.75573900301716e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 5.248925e-11 ++ cgso = 5.248925e-11 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.54827175e-12 ++ cgdl = 9.54827175e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = -3.0e-9 ++ dwc = 0.0 ++ vfbcv = -0.14469 ++ acde = 0.8 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007380194454 ++ mjs = 0.34629 ++ pbs = 0.6587 ++ cjsws = 9.888892e-11 ++ mjsws = 0.29781 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__pfet_01v8 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_01v8__toxe_slope=4.443e-03 +.param sky130_fd_pr__pfet_01v8__toxe_slope1=6.443e-03 +.param sky130_fd_pr__pfet_01v8__toxe_slope2=3.443e-03 +.param sky130_fd_pr__pfet_01v8__lint_slope=0.0 +.param sky130_fd_pr__pfet_01v8__nfactor_slope=0.1 +.param sky130_fd_pr__pfet_01v8__nfactor_slope1=0.1 +.param sky130_fd_pr__pfet_01v8__nfactor_slope2=0.0 +.param sky130_fd_pr__pfet_01v8__voff_slope=0.0 +.param sky130_fd_pr__pfet_01v8__voff_slope1=0.0 +.param sky130_fd_pr__pfet_01v8__voff_slope2=0.007 +.param sky130_fd_pr__pfet_01v8__vth0_slope=5.856e-03 +.param sky130_fd_pr__pfet_01v8__vth0_slope1=7.356e-03 +.param sky130_fd_pr__pfet_01v8__vth0_slope2=4.356e-03 +.param sky130_fd_pr__pfet_01v8__wint_slope=0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 9 +.param ++ sky130_fd_pr__nfet_03v3_nvt__toxe_mult = 1.0 ++ sky130_fd_pr__nfet_03v3_nvt__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_03v3_nvt__overlap_mult = 0.77117 ++ sky130_fd_pr__nfet_03v3_nvt__ajunction_mult = 0.97602 ++ sky130_fd_pr__nfet_03v3_nvt__pjunction_mult = 1.0437 ++ sky130_fd_pr__nfet_03v3_nvt__lint_diff = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__wint_diff = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__dlc_diff = -1.5781e-8 ++ sky130_fd_pr__nfet_03v3_nvt__dwc_diff = 0.0 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 000, W = 10.0, L = 0.5 +* ------------------------------------- ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_0 = 0.038449 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_0 = 0.0019135 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_0 = -1.3838 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_0 = -0.015836 ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_0 = -0.00065697 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_0 = -3837.2 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_0 = -1.4132e-19 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_0 = 3.4977e-11 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 001, W = 1.0, L = 0.5 +* ------------------------------------ ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_1 = 5.3407e-11 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_1 = 0.033082 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_1 = 0.0096743 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_1 = -1.3958 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_1 = -0.015324 ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_1 = -0.0011594 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_1 = -6763.8 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_1 = 1.0429e-19 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 002, W = 1.0, L = 0.6 +* ------------------------------------ ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_2 = 2.6095e-18 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_2 = 5.8204e-11 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_2 = 0.0057767 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_2 = -0.46503 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_2 = 0.0032323 ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_2 = 0.0063254 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_2 = -4056.8 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_2 = 0.0 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 003, W = 4.0, L = 0.5 +* ------------------------------------ ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_3 = -3.3361e-19 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_3 = 3.7397e-11 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_3 = 0.040673 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_3 = 0.0032721 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_3 = -1.3621 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_3 = -0.01875 ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_3 = -0.00011074 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_3 = -4396.2 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_3 = 0.0 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 004, W = 0.42, L = 0.5 +* ------------------------------------- ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_4 = 3.7224e-19 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_4 = 2.2268e-11 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_4 = 0.045453 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_4 = 0.010004 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_4 = -1.3404 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_4 = -0.018338 ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_4 = -0.0018856 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_4 = -8376.1 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 005, W = 0.42, L = 0.6 +* ------------------------------------- ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_5 = 0.011241 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_5 = -6843.2 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_5 = 3.0597e-18 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_5 = 7.2969e-11 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_5 = 0.012715 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_5 = -1.6434 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_5 = 0.051876 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 006, W = 0.42, L = 0.8 +* ------------------------------------- ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_6 = -0.746 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_6 = 0.022008 ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_6 = 0.007881 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_6 = -3534.9 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_6 = 2.3973e-18 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_6 = 8.5737e-11 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_6 = 0.012066 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 007, W = 0.7, L = 0.5 +* ------------------------------------ ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_7 = 0.04193 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_7 = 0.011117 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_7 = -1.3436 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_7 = -0.014154 ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_7 = -0.0017689 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_7 = -7893.2 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_7 = 2.6547e-19 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_7 = 4.0061e-11 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_7 = 0.0 +* +* sky130_fd_pr__nfet_03v3_nvt, Bin 008, W = 0.7, L = 0.6 +* ------------------------------------ ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__k2_diff_8 = 0.0084797 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_8 = -0.43724 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_diff_8 = -0.0034771 ++ sky130_fd_pr__nfet_03v3_nvt__u0_diff_8 = 0.005952 ++ sky130_fd_pr__nfet_03v3_nvt__vsat_diff_8 = -5528.9 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ub_diff_8 = 2.4645e-18 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ua_diff_8 = 5.5525e-11 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_8 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__nfet_03v3_nvt d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__nfet_03v3_nvt d g s b sky130_fd_pr__nfet_03v3_nvt__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__nfet_03v3_nvt__model.0 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 5.05e-07 wmin = 9.995e-06 wmax = 1.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {-0.0007337+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.27 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_0} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.01855708 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {116050+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_0} ++ ua = {5.1975e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_0} ++ ub = {5.727e-020+sky130_fd_pr__nfet_03v3_nvt__ub_diff_0} ++ uc = 1.3541e-10 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_0} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.091551+sky130_fd_pr__nfet_03v3_nvt__u0_diff_0} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_0} ++ keta = {0.0070658+sky130_fd_pr__nfet_03v3_nvt__keta_diff_0} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_0} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_0} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {2.0354+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_0} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0.017338+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_0} ++ etab = 0.0 ++ dsub = 0.59286 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_0} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 6.234e-7 ++ alpha1 = 0.0 ++ beta0 = 21.814 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_0} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_0} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.33884+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_0} ++ kt2 = 0.0 ++ at = 40500.0 ++ ute = -1.716 ++ ua1 = 1.0e-9 ++ ub1 = -1.18e-17 ++ uc1 = -3.696e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 1.745e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_03v3_nvt__model.1 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 5.05e-07 wmin = 9.95e-07 wmax = 1.005e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {-0.0007337+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.27 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_1} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.018557 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {125130+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_1} ++ ua = {4.848e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_1} ++ ub = {5.727e-020+sky130_fd_pr__nfet_03v3_nvt__ub_diff_1} ++ uc = 1.3541e-10 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_1} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.087889+sky130_fd_pr__nfet_03v3_nvt__u0_diff_1} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_1} ++ keta = {0.019064+sky130_fd_pr__nfet_03v3_nvt__keta_diff_1} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_1} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_1} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {2.0354+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_1} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0.0017338+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_1} ++ etab = 1.0e-10 ++ dsub = 0.59286 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_1} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 4.8972e-7 ++ alpha1 = 0.03 ++ beta0 = 20.82 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_1} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_1} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.33884+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_1} ++ kt2 = -0.02 ++ at = 40500.0 ++ ute = -1.716 ++ ua1 = 1.0e-9 ++ ub1 = -1.2744e-17 ++ uc1 = -2.5133e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 1.745e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_03v3_nvt__model.2 nmos +* DC IV MOS Parameters ++ lmin = 5.95e-07 lmax = 6.05e-07 wmin = 9.95e-07 wmax = 1.005e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {0.068092+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.33502 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_2} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.01855708 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {118050+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_2} ++ ua = {4.145e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_2} ++ ub = {3.7798e-019+sky130_fd_pr__nfet_03v3_nvt__ub_diff_2} ++ uc = 1.3541e-10 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_2} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.083529+sky130_fd_pr__nfet_03v3_nvt__u0_diff_2} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_2} ++ keta = {-0.016684+sky130_fd_pr__nfet_03v3_nvt__keta_diff_2} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_2} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_2} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {0.77345+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_2} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_2} ++ etab = 0.0 ++ dsub = 0.071143 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_2} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 8.3952e-7 ++ alpha1 = 0.33 ++ beta0 = 23.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_2} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_2} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.29818+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_2} ++ kt2 = -0.02 ++ at = 37260.0 ++ ute = -1.613 ++ ua1 = 1.0e-9 ++ ub1 = -8.411e-18 ++ uc1 = -2.5133e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 1.95e-6 ++ sbref = 1.94e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_03v3_nvt__model.3 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 5.05e-07 wmin = 3.995e-06 wmax = 4.005e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {-0.0007337+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.27 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_3} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.01855708 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {118050+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_3} ++ ua = {5.05e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_3} ++ ub = {5.727e-020+sky130_fd_pr__nfet_03v3_nvt__ub_diff_3} ++ uc = 1.3541e-10 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_3} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.087889+sky130_fd_pr__nfet_03v3_nvt__u0_diff_3} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_3} ++ keta = {0.0070658+sky130_fd_pr__nfet_03v3_nvt__keta_diff_3} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_3} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_3} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {2.0354+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_3} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0.017338+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_3} ++ etab = 0.0 ++ dsub = 0.59286 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_3} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 7.6956e-7 ++ alpha1 = 0.0 ++ beta0 = 22.396 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_3} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_3} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.33884+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_3} ++ kt2 = -0.02 ++ at = 40500.0 ++ ute = -1.716 ++ ua1 = 1.0e-9 ++ ub1 = -1.2744e-17 ++ uc1 = -2.5133e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 1.745e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_03v3_nvt__model.4 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 5.05e-07 wmin = 4.15e-07 wmax = 4.25e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {-0.022934+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.30326 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_4} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.01855708 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {133130+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_4} ++ ua = {4.1034e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_4} ++ ub = {4.971e-020+sky130_fd_pr__nfet_03v3_nvt__ub_diff_4} ++ uc = 2.1124e-11 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_4} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.084373+sky130_fd_pr__nfet_03v3_nvt__u0_diff_4} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_4} ++ keta = {0.030502+sky130_fd_pr__nfet_03v3_nvt__keta_diff_4} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_4} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_4} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {2.0354+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_4} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0.00020806+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_4} ++ etab = 1.0e-10 ++ dsub = 0.59286 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_4} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 5.4233e-7 ++ alpha1 = 0.0 ++ beta0 = 21.174 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_4} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_4} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.35239+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_4} ++ kt2 = -0.02 ++ at = 40500.0 ++ ute = -1.5444 ++ ua1 = 1.0e-9 ++ ub1 = -9.4306e-18 ++ uc1 = -1.2064e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 1.745e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_03v3_nvt__model.5 nmos +* DC IV MOS Parameters ++ lmin = 5.95e-07 lmax = 6.05e-07 wmin = 4.15e-07 wmax = 4.25e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {0.007535+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.33965 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_5} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.01855708 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {127800+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_5} ++ ua = {3.7751e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_5} ++ ub = {4.4739e-020+sky130_fd_pr__nfet_03v3_nvt__ub_diff_5} ++ uc = 6.2248e-11 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_5} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.079311+sky130_fd_pr__nfet_03v3_nvt__u0_diff_5} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_5} ++ keta = {0.0018301+sky130_fd_pr__nfet_03v3_nvt__keta_diff_5} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_5} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_5} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {2.0354+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_5} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0.00020806+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_5} ++ etab = 1.0e-10 ++ dsub = 0.59286 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_5} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 5.3596e-7 ++ alpha1 = 0.0 ++ beta0 = 21.074 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_5} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_5} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.33884+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_5} ++ kt2 = -0.02 ++ at = 40500.0 ++ ute = -1.5444 ++ ua1 = 1.0e-9 ++ ub1 = -8.6659e-18 ++ uc1 = -2.5133e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 1.95e-6 ++ sbref = 1.94e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_03v3_nvt__model.6 nmos +* DC IV MOS Parameters ++ lmin = 7.95e-07 lmax = 8.05e-07 wmin = 4.15e-07 wmax = 4.25e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {0.071092+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.40202 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_6} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.01855708 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {117770+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_6} ++ ua = {2.9844e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_6} ++ ub = {3.7798e-019+sky130_fd_pr__nfet_03v3_nvt__ub_diff_6} ++ uc = 7.3121e-11 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_6} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.073506+sky130_fd_pr__nfet_03v3_nvt__u0_diff_6} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_6} ++ keta = {0+sky130_fd_pr__nfet_03v3_nvt__keta_diff_6} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_6} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_6} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {0.77345+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_6} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_6} ++ etab = 0.0 ++ dsub = 0.071143 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_6} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 8.5632e-7 ++ alpha1 = 0.09 ++ beta0 = 21.989 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_6} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_6} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.30496+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_6} ++ kt2 = -0.02 ++ at = 28350.0 ++ ute = -1.613 ++ ua1 = 1.0e-9 ++ ub1 = -6.8818e-18 ++ uc1 = -1.3069e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 2.34e-6 ++ sbref = 2.34e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_03v3_nvt__model.7 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 5.05e-07 wmin = 6.95e-07 wmax = 7.05e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {-0.011467+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.2808 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_7} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.01855708 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {128010+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_7} ++ ua = {4.4602e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_7} ++ ub = {8.0178e-020+sky130_fd_pr__nfet_03v3_nvt__ub_diff_7} ++ uc = 7.0413e-11 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_7} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.084373+sky130_fd_pr__nfet_03v3_nvt__u0_diff_7} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_7} ++ keta = {0.019064+sky130_fd_pr__nfet_03v3_nvt__keta_diff_7} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_7} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_7} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {2.0354+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_7} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0.00020806+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_7} ++ etab = 1.0e-10 ++ dsub = 0.59286 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_7} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 3.9877e-7 ++ alpha1 = 0.15 ++ beta0 = 20.36 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_7} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_7} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34562+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_7} ++ kt2 = -0.02 ++ at = 40500.0 ++ ute = -1.613 ++ ua1 = 1.0e-9 ++ ub1 = -1.1724e-17 ++ uc1 = -2.5133e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 1.745e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_03v3_nvt__model.8 nmos +* DC IV MOS Parameters ++ lmin = 5.95e-07 lmax = 6.05e-07 wmin = 6.95e-07 wmax = 7.05e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__nfet_03v3_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__nfet_03v3_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.89 ++ rnoib = 0.38 ++ tnoia = 6.4e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_03v3_nvt__toxe_mult*(sky130_fd_pr__nfet_03v3_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_03v3_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__vth0_slope_spectre) ++ vth0 = {0.071092+sky130_fd_pr__nfet_03v3_nvt__vth0_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.33502 ++ k2 = {0+sky130_fd_pr__nfet_03v3_nvt__k2_diff_8} ++ k3 = 0.0 ++ dvt0 = 1.0e-10 ++ dvt1 = 0.536 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5000000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.01855708 ++ lpe0 = -1.0e-10 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {120770+sky130_fd_pr__nfet_03v3_nvt__vsat_diff_8} ++ ua = {4.145e-009+sky130_fd_pr__nfet_03v3_nvt__ua_diff_8} ++ ub = {3.7798e-019+sky130_fd_pr__nfet_03v3_nvt__ub_diff_8} ++ uc = 1.3541e-10 ++ rdsw = {0+sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_8} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.083529+sky130_fd_pr__nfet_03v3_nvt__u0_diff_8} ++ a0 = {0.00031139121+sky130_fd_pr__nfet_03v3_nvt__a0_diff_8} ++ keta = {0.0047834+sky130_fd_pr__nfet_03v3_nvt__keta_diff_8} ++ a1 = 0.0 ++ a2 = 0.6218093 ++ ags = {0.00014554757+sky130_fd_pr__nfet_03v3_nvt__ags_diff_8} ++ b0 = {0+sky130_fd_pr__nfet_03v3_nvt__b0_diff_8} ++ b1 = {0+sky130_fd_pr__nfet_03v3_nvt__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__voff_slope_spectre) ++ voff = {-0.098774+sky130_fd_pr__nfet_03v3_nvt__voff_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_03v3_nvt__nfactor_slope_spectre) ++ nfactor = {0.77345+sky130_fd_pr__nfet_03v3_nvt__nfactor_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_03v3_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_8} ++ cit = -3.3686011e-37 ++ cdsc = 0.0 ++ cdscb = -0.0001 ++ cdscd = 1.5e-5 ++ eta0 = {0+sky130_fd_pr__nfet_03v3_nvt__eta0_diff_8} ++ etab = 0.0 ++ dsub = 0.071143 +* BSIM4 - Sub-threshold parameters ++ voffl = -2.9752837e-11 ++ minv = 0.0 +* Rout Parameters ++ pclm = {2.8944111+sky130_fd_pr__nfet_03v3_nvt__pclm_diff_8} ++ pdiblc1 = 0.87012255 ++ pdiblc2 = 0.032974 ++ pdiblcb = -0.05 ++ drout = 0.27268 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 5.2718232 ++ delta = 0.01 ++ alpha0 = 3.498e-7 ++ alpha1 = 0.35 ++ beta0 = 21.582 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {5.666761e-016+sky130_fd_pr__nfet_03v3_nvt__pdits_diff_8} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_8} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.8 ++ egidl = 0.5 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.30496+sky130_fd_pr__nfet_03v3_nvt__kt1_diff_8} ++ kt2 = -0.02 ++ at = 34830.0 ++ ute = -1.6817 ++ ua1 = 1.0e-9 ++ ub1 = -9.9403e-18 ++ uc1 = -2.5133e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgso = {3.2646e-010*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_03v3_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5233e-008+sky130_fd_pr__nfet_03v3_nvt__dlc_diff+sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_03v3_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.00 ++ voffcv = -0.14208 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_03v3_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_03v3_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 1.95e-6 ++ sbref = 1.94e-6 ++ wlod = {0+sky130_fd_pr__nfet_03v3_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_03v3_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_03v3_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_03v3_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_03v3_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_03v3_nvt + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_03v3_nvt__toxe_slope=0.45e-2 +.param sky130_fd_pr__nfet_03v3_nvt__vth0_slope=0.006 ; All devices +.param sky130_fd_pr__nfet_03v3_nvt__voff_slope=0.0065 +.param sky130_fd_pr__nfet_03v3_nvt__nfactor_slope=0 +.param sky130_fd_pr__nfet_03v3_nvt__lint_slope=0.0e-9 ; All devices +.param sky130_fd_pr__nfet_03v3_nvt__wint_slope=0.0e-9 ; All devices + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 11 +.param ++ sky130_fd_pr__nfet_05v0_nvt__toxe_mult = 1.0 ++ sky130_fd_pr__nfet_05v0_nvt__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_05v0_nvt__overlap_mult = 7.7117e-1 ++ sky130_fd_pr__nfet_05v0_nvt__ajunction_mult = 9.7602e-1 ++ sky130_fd_pr__nfet_05v0_nvt__pjunction_mult = 1.0437e+0 ++ sky130_fd_pr__nfet_05v0_nvt__lint_diff = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__wint_diff = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__dlc_diff = -1.5781e-8 ++ sky130_fd_pr__nfet_05v0_nvt__dwc_diff = 0.0 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 000, W = 10.0, L = 2.0 +* ------------------------------------- ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_0 = 0.010308 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_0 = 0.0064317 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_0 = -0.00025708 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_0 = -0.0078378 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_0 = -2.691e-11 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_0 = -0.0046033 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_0 = 0.00034013 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_0 = -1.3689e-18 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 001, W = 10.0, L = 4.0 +* ------------------------------------- ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_1 = -1.2472e-18 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_1 = 0.029952 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_1 = -0.00044213 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_1 = -0.0039719 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_1 = -0.0070434 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_1 = -2.4351e-11 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_1 = -0.0022002 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_1 = -0.00056708 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 002, W = 10.0, L = 0.9 +* ------------------------------------- ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_2 = -1.5224e-18 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_2 = -0.044586 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_2 = 0.0015915 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_2 = -0.008363 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_2 = -3.3419e-11 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_2 = -2848.5 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_2 = -0.0011931 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_2 = 0.0 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 003, W = 1.0, L = 25.0 +* ------------------------------------- ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_3 = -1.6915e-11 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_3 = 0.0075894 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_3 = -9.6861e-19 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_3 = 0.064023 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_3 = 0.0012767 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_3 = -0.0046305 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_3 = -0.0066322 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_3 = -0.013446 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 004, W = 1.0, L = 2.0 +* ------------------------------------ ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_4 = -0.0078468 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_4 = -0.0057166 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_4 = -2.429e-11 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_4 = -0.0084686 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_4 = -1.2894e-18 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_4 = 0.024393 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_4 = -0.035687 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_4 = -0.0016893 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 005, W = 1.0, L = 4.0 +* ------------------------------------ ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_5 = -0.00013553 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_5 = -0.0079399 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_5 = -0.0050535 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_5 = -2.1501e-11 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_5 = 0.0053237 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_5 = -1.2037e-18 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_5 = 0.053081 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_5 = 0.02762 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_5 = 0.0 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 006, W = 1.0, L = 8.0 +* ------------------------------------ ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_6 = 0.00043703 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_6 = -0.0023615 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_6 = -0.0068188 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_6 = -0.011078 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_6 = -1.9014e-11 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_6 = -0.00041719 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_6 = -1.0585e-18 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_6 = 0.055346 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 007, W = 1.0, L = 0.9 +* ------------------------------------ ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_7 = 0.0016268 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_7 = 0.00035232 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_7 = -0.0094947 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_7 = -0.014254 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_7 = -2.898e-11 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_7 = -3146.5 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_7 = -1.429e-18 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_7 = 0.0 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 008, W = 0.42, L = 1.0 +* ------------------------------------- ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_8 = 0.017467 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_8 = 8.0096e-8 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_8 = -0.0049283 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_8 = -0.0086023 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_8 = -0.031553 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_8 = -2.2873e-11 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_8 = 1.6514e-9 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_8 = -1.2296e-18 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_8 = 0.0 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 009, W = 0.42, L = 0.9 +* ------------------------------------- ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_9 = -0.011611 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_9 = -0.0030036 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_9 = -0.0089225 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_9 = -0.010333 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_9 = -1.3766e-11 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_9 = -6052.7 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_9 = -9.127e-19 +* +* sky130_fd_pr__nfet_05v0_nvt, Bin 010, W = 0.7, L = 0.9 +* ------------------------------------ ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_10 = -4889.9 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_diff_10 = -0.010495 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_10 = 0.011275 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__u0_diff_10 = -0.0096426 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__k2_diff_10 = 0.00063457 ++ sky130_fd_pr__nfet_05v0_nvt__ua_diff_10 = -2.505e-11 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ub_diff_10 = -1.3111e-18 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_10 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* parameters sky130_fd_pr__nfet_05v0_nvt__lint_slope_spectre = 0.0 +* parameters sky130_fd_pr__nfet_05v0_nvt__wint_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__nfet_05v0_nvt d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__nfet_05v0_nvt d g s b sky130_fd_pr__nfet_05v0_nvt__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__nfet_05v0_nvt__model.0 nmos +* DC IV MOS Parameters ++ lmin = 1.995e-06 lmax = 2.005e-06 wmin = 9.995e-06 wmax = 1.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.053+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.038817+sky130_fd_pr__nfet_05v0_nvt__k2_diff_0} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {68940+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_0} ++ ua = {8.4094e-010+sky130_fd_pr__nfet_05v0_nvt__ua_diff_0} ++ ub = {1.2863e-018+sky130_fd_pr__nfet_05v0_nvt__ub_diff_0} ++ uc = 3.2583e-11 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_0} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.050801+sky130_fd_pr__nfet_05v0_nvt__u0_diff_0} ++ a0 = {0.08+sky130_fd_pr__nfet_05v0_nvt__a0_diff_0} ++ keta = {-0.019904+sky130_fd_pr__nfet_05v0_nvt__keta_diff_0} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.87995+sky130_fd_pr__nfet_05v0_nvt__ags_diff_0} ++ b0 = {3.3993e-007+sky130_fd_pr__nfet_05v0_nvt__b0_diff_0} ++ b1 = {0+sky130_fd_pr__nfet_05v0_nvt__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_0} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_0} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.11748+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_0} ++ pdiblc1 = 8.833e-7 ++ pdiblc2 = 0.0002 ++ pdiblcb = 0.0 ++ drout = 0.13139 ++ pscbe1 = 2.4476e+8 ++ pscbe2 = 3.84e-9 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 2.0236e-6 ++ alpha1 = 0.093632 ++ beta0 = 22.1 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0.02+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_0} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_0} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.35858+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_0} ++ kt2 = -0.016016 ++ at = 11600.0 ++ ute = -1.7861 ++ ua1 = 4.4e-10 ++ ub1 = -1.4256e-18 ++ uc1 = -3.94e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.1 nmos +* DC IV MOS Parameters ++ lmin = 3.995e-06 lmax = 4.005e-06 wmin = 9.995e-06 wmax = 1.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.06+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.043475+sky130_fd_pr__nfet_05v0_nvt__k2_diff_1} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {73076+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_1} ++ ua = {8.4094e-010+sky130_fd_pr__nfet_05v0_nvt__ua_diff_1} ++ ub = {1.2348e-018+sky130_fd_pr__nfet_05v0_nvt__ub_diff_1} ++ uc = 2.9976e-11 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_1} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.049769+sky130_fd_pr__nfet_05v0_nvt__u0_diff_1} ++ a0 = {0.0832+sky130_fd_pr__nfet_05v0_nvt__a0_diff_1} ++ keta = {-0.019904+sky130_fd_pr__nfet_05v0_nvt__keta_diff_1} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.70396+sky130_fd_pr__nfet_05v0_nvt__ags_diff_1} ++ b0 = {3.3993e-007+sky130_fd_pr__nfet_05v0_nvt__b0_diff_1} ++ b1 = {0+sky130_fd_pr__nfet_05v0_nvt__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_1} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_1} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.11748+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_1} ++ pdiblc1 = 8.833e-7 ++ pdiblc2 = 0.0002 ++ pdiblcb = 0.0 ++ drout = 0.13139 ++ pscbe1 = 2.4476e+8 ++ pscbe2 = 3.84e-9 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 2.01e-6 ++ alpha1 = 0.093632 ++ beta0 = 19.448 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0.0068+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_1} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_1} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.35858+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_1} ++ kt2 = -0.016016 ++ at = 22800.0 ++ ute = -1.7861 ++ ua1 = 4.4e-10 ++ ub1 = -1.6252e-18 ++ uc1 = -3.94e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.2 nmos +* DC IV MOS Parameters ++ lmin = 8.95e-07 lmax = 9.05e-07 wmin = 9.995e-06 wmax = 1.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.062+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.038817+sky130_fd_pr__nfet_05v0_nvt__k2_diff_2} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {74500+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_2} ++ ua = {9.1406e-010+sky130_fd_pr__nfet_05v0_nvt__ua_diff_2} ++ ub = {1.2863e-018+sky130_fd_pr__nfet_05v0_nvt__ub_diff_2} ++ uc = 3.2583e-11 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_2} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.050801+sky130_fd_pr__nfet_05v0_nvt__u0_diff_2} ++ a0 = {0.08+sky130_fd_pr__nfet_05v0_nvt__a0_diff_2} ++ keta = {-0.019904+sky130_fd_pr__nfet_05v0_nvt__keta_diff_2} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.87995+sky130_fd_pr__nfet_05v0_nvt__ags_diff_2} ++ b0 = {3.3993e-007+sky130_fd_pr__nfet_05v0_nvt__b0_diff_2} ++ b1 = {0+sky130_fd_pr__nfet_05v0_nvt__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_2} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_2} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.11748+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_2} ++ pdiblc1 = 8.833e-7 ++ pdiblc2 = 0.0002 ++ pdiblcb = 0.0 ++ drout = 0.13139 ++ pscbe1 = 2.4476e+8 ++ pscbe2 = 3.84e-9 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 2.1079e-6 ++ alpha1 = 0.1232 ++ beta0 = 25.668 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0.0002+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_2} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_2} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.37322+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_2} ++ kt2 = -0.01144 ++ at = 19488.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -7.128e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 2.54e-6 ++ sbref = 2.54e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.3 nmos +* DC IV MOS Parameters ++ lmin = 2.4995e-05 lmax = 2.5005e-05 wmin = 9.95e-07 wmax = 1.005e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.053+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.045565+sky130_fd_pr__nfet_05v0_nvt__k2_diff_3} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {75917+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_3} ++ ua = {1.1128e-009+sky130_fd_pr__nfet_05v0_nvt__ua_diff_3} ++ ub = {7.7697e-019+sky130_fd_pr__nfet_05v0_nvt__ub_diff_3} ++ uc = 1.9159e-11 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_3} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.051064+sky130_fd_pr__nfet_05v0_nvt__u0_diff_3} ++ a0 = {0.97+sky130_fd_pr__nfet_05v0_nvt__a0_diff_3} ++ keta = {-0.011815+sky130_fd_pr__nfet_05v0_nvt__keta_diff_3} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.17353+sky130_fd_pr__nfet_05v0_nvt__ags_diff_3} ++ b0 = {5.734e-008+sky130_fd_pr__nfet_05v0_nvt__b0_diff_3} ++ b1 = {4.9905e-008+sky130_fd_pr__nfet_05v0_nvt__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_3} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_3} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.089+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_3} ++ pdiblc1 = 1.0772e-6 ++ pdiblc2 = 0.00051 ++ pdiblcb = 0.0 ++ drout = 0.11135 ++ pscbe1 = 2.7814e+8 ++ pscbe2 = 1.6e-8 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 2.1412e-6 ++ alpha1 = 0.5456 ++ beta0 = 19.766 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_3} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_3} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3659+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_3} ++ kt2 = -0.01144 ++ at = 66400.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -9.6941e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.4 nmos +* DC IV MOS Parameters ++ lmin = 1.995e-06 lmax = 2.005e-06 wmin = 9.95e-07 wmax = 1.005e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.034+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.039065+sky130_fd_pr__nfet_05v0_nvt__k2_diff_4} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {80900+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_4} ++ ua = {1.1128e-009+sky130_fd_pr__nfet_05v0_nvt__ua_diff_4} ++ ub = {8.4896e-019+sky130_fd_pr__nfet_05v0_nvt__ub_diff_4} ++ uc = 9.7749e-12 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_4} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.05215+sky130_fd_pr__nfet_05v0_nvt__u0_diff_4} ++ a0 = {0.07+sky130_fd_pr__nfet_05v0_nvt__a0_diff_4} ++ keta = {-0.021098+sky130_fd_pr__nfet_05v0_nvt__keta_diff_4} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.51037+sky130_fd_pr__nfet_05v0_nvt__ags_diff_4} ++ b0 = {-9.2201e-008+sky130_fd_pr__nfet_05v0_nvt__b0_diff_4} ++ b1 = {4.9905e-008+sky130_fd_pr__nfet_05v0_nvt__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_4} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_4} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.089+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_4} ++ pdiblc1 = 1.0772e-6 ++ pdiblc2 = 0.00051 ++ pdiblcb = 0.0 ++ drout = 0.11135 ++ pscbe1 = 2.7814e+8 ++ pscbe2 = 1.6e-8 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 1.8277e-6 ++ alpha1 = 0.5456 ++ beta0 = 22.1 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0.0158+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_4} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_4} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3659+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_4} ++ kt2 = -0.01144 ++ at = 9744.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -7.128e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.5 nmos +* DC IV MOS Parameters ++ lmin = 3.995e-06 lmax = 4.005e-06 wmin = 9.95e-07 wmax = 1.005e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.043+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.039065+sky130_fd_pr__nfet_05v0_nvt__k2_diff_5} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {74428+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_5} ++ ua = {1.1128e-009+sky130_fd_pr__nfet_05v0_nvt__ua_diff_5} ++ ub = {8.8292e-019+sky130_fd_pr__nfet_05v0_nvt__ub_diff_5} ++ uc = 9.7749e-12 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_5} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.05215+sky130_fd_pr__nfet_05v0_nvt__u0_diff_5} ++ a0 = {0.07+sky130_fd_pr__nfet_05v0_nvt__a0_diff_5} ++ keta = {-0.021098+sky130_fd_pr__nfet_05v0_nvt__keta_diff_5} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.51037+sky130_fd_pr__nfet_05v0_nvt__ags_diff_5} ++ b0 = {-9.2201e-008+sky130_fd_pr__nfet_05v0_nvt__b0_diff_5} ++ b1 = {4.9905e-008+sky130_fd_pr__nfet_05v0_nvt__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_5} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_5} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.089+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_5} ++ pdiblc1 = 1.0772e-6 ++ pdiblc2 = 0.00051 ++ pdiblcb = 0.0 ++ drout = 0.11135 ++ pscbe1 = 2.7814e+8 ++ pscbe2 = 1.6e-8 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 3.2899e-7 ++ alpha1 = 0.5456 ++ beta0 = 19.006 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0.005688+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_5} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_5} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.35485+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_5} ++ kt2 = -0.01144 ++ at = 23200.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -7.128e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.6 nmos +* DC IV MOS Parameters ++ lmin = 7.995e-06 lmax = 8.005e-06 wmin = 9.95e-07 wmax = 1.005e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.053+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.04219+sky130_fd_pr__nfet_05v0_nvt__k2_diff_6} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {75917+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_6} ++ ua = {1.1128e-009+sky130_fd_pr__nfet_05v0_nvt__ua_diff_6} ++ ub = {7.7697e-019+sky130_fd_pr__nfet_05v0_nvt__ub_diff_6} ++ uc = 1.9159e-11 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_6} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.051064+sky130_fd_pr__nfet_05v0_nvt__u0_diff_6} ++ a0 = {0.07+sky130_fd_pr__nfet_05v0_nvt__a0_diff_6} ++ keta = {-0.021098+sky130_fd_pr__nfet_05v0_nvt__keta_diff_6} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.51037+sky130_fd_pr__nfet_05v0_nvt__ags_diff_6} ++ b0 = {5.734e-008+sky130_fd_pr__nfet_05v0_nvt__b0_diff_6} ++ b1 = {4.9905e-008+sky130_fd_pr__nfet_05v0_nvt__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_6} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_6} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.089+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_6} ++ pdiblc1 = 1.0772e-6 ++ pdiblc2 = 0.00051 ++ pdiblcb = 0.0 ++ drout = 0.11135 ++ pscbe1 = 2.7814e+8 ++ pscbe2 = 1.6e-8 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 3.2899e-7 ++ alpha1 = 0.5456 ++ beta0 = 19.006 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0.005688+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_6} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_6} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3559+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_6} ++ kt2 = -0.01144 ++ at = 23200.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -7.128e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.7 nmos +* DC IV MOS Parameters ++ lmin = 8.95e-07 lmax = 9.05e-07 wmin = 9.95e-07 wmax = 1.005e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.052+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.039065+sky130_fd_pr__nfet_05v0_nvt__k2_diff_7} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {84924+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_7} ++ ua = {1.1128e-009+sky130_fd_pr__nfet_05v0_nvt__ua_diff_7} ++ ub = {8.4896e-019+sky130_fd_pr__nfet_05v0_nvt__ub_diff_7} ++ uc = 9.7749e-12 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_7} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.053281+sky130_fd_pr__nfet_05v0_nvt__u0_diff_7} ++ a0 = {0.07+sky130_fd_pr__nfet_05v0_nvt__a0_diff_7} ++ keta = {-0.021098+sky130_fd_pr__nfet_05v0_nvt__keta_diff_7} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.51037+sky130_fd_pr__nfet_05v0_nvt__ags_diff_7} ++ b0 = {-9.2201e-008+sky130_fd_pr__nfet_05v0_nvt__b0_diff_7} ++ b1 = {4.9905e-008+sky130_fd_pr__nfet_05v0_nvt__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_7} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_7} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.089+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_7} ++ pdiblc1 = 1.0772e-6 ++ pdiblc2 = 0.0 ++ pdiblcb = 0.0 ++ drout = 0.11135 ++ pscbe1 = 2.7814e+8 ++ pscbe2 = 1.6e-8 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 1.8277e-6 ++ alpha1 = 0.5456 ++ beta0 = 24.57 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_7} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_7} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3659+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_7} ++ kt2 = -0.01144 ++ at = 19952.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -7.128e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 2.54e-6 ++ sbref = 2.54e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.8 nmos +* DC IV MOS Parameters ++ lmin = 9.95e-07 lmax = 1.005e-06 wmin = 4.15e-07 wmax = 4.25e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.0218+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.049624+sky130_fd_pr__nfet_05v0_nvt__k2_diff_8} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {95531+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_8} ++ ua = {1.1922e-009+sky130_fd_pr__nfet_05v0_nvt__ua_diff_8} ++ ub = {8.4896e-019+sky130_fd_pr__nfet_05v0_nvt__ub_diff_8} ++ uc = 1.4076e-11 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_8} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.060327+sky130_fd_pr__nfet_05v0_nvt__u0_diff_8} ++ a0 = {0.07+sky130_fd_pr__nfet_05v0_nvt__a0_diff_8} ++ keta = {-0.039012+sky130_fd_pr__nfet_05v0_nvt__keta_diff_8} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.51037+sky130_fd_pr__nfet_05v0_nvt__ags_diff_8} ++ b0 = {-9.2201e-008+sky130_fd_pr__nfet_05v0_nvt__b0_diff_8} ++ b1 = {4.9905e-008+sky130_fd_pr__nfet_05v0_nvt__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_8} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_8} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.089+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_8} ++ pdiblc1 = 1.0772e-6 ++ pdiblc2 = 0.0 ++ pdiblcb = 0.0 ++ drout = 0.11135 ++ pscbe1 = 2.7814e+8 ++ pscbe2 = 1.6e-8 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 1.1804e-6 ++ alpha1 = 0.28371 ++ beta0 = 22.113 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_8} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_8} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.35126+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_8} ++ kt2 = -0.01144 ++ at = 19488.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -9.2664e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 2.745e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.9 nmos +* DC IV MOS Parameters ++ lmin = 8.95e-07 lmax = 9.05e-07 wmin = 4.15e-07 wmax = 4.25e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.014+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_9+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.052791+sky130_fd_pr__nfet_05v0_nvt__k2_diff_9} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {95531+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_9} ++ ua = {9.9354e-010+sky130_fd_pr__nfet_05v0_nvt__ua_diff_9} ++ ub = {8.4896e-019+sky130_fd_pr__nfet_05v0_nvt__ub_diff_9} ++ uc = 9.7749e-12 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_9} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.060327+sky130_fd_pr__nfet_05v0_nvt__u0_diff_9} ++ a0 = {0.07+sky130_fd_pr__nfet_05v0_nvt__a0_diff_9} ++ keta = {-0.019904+sky130_fd_pr__nfet_05v0_nvt__keta_diff_9} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.51037+sky130_fd_pr__nfet_05v0_nvt__ags_diff_9} ++ b0 = {-9.2201e-008+sky130_fd_pr__nfet_05v0_nvt__b0_diff_9} ++ b1 = {4.9905e-008+sky130_fd_pr__nfet_05v0_nvt__b1_diff_9} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_9+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_9+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_9} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_9} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.089+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_9} ++ pdiblc1 = 1.0772e-6 ++ pdiblc2 = 0.0 ++ pdiblcb = 0.0 ++ drout = 0.11135 ++ pscbe1 = 2.7814e+8 ++ pscbe2 = 1.6e-8 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 1.9039e-6 ++ alpha1 = 0.5456 ++ beta0 = 24.57 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_9} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_9} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3659+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_9} ++ kt2 = -0.01144 ++ at = 23200.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -7.128e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 2.54e-6 ++ sbref = 2.54e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_05v0_nvt__model.10 nmos +* DC IV MOS Parameters ++ lmin = 8.95e-07 lmax = 9.05e-07 wmin = 6.95e-07 wmax = 7.05e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.03576+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_10+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.039065+sky130_fd_pr__nfet_05v0_nvt__k2_diff_10} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {89700+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_10} ++ ua = {1.1128e-009+sky130_fd_pr__nfet_05v0_nvt__ua_diff_10} ++ ub = {8.4896e-019+sky130_fd_pr__nfet_05v0_nvt__ub_diff_10} ++ uc = 9.7749e-12 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_10} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.055501+sky130_fd_pr__nfet_05v0_nvt__u0_diff_10} ++ a0 = {0.07+sky130_fd_pr__nfet_05v0_nvt__a0_diff_10} ++ keta = {-0.019904+sky130_fd_pr__nfet_05v0_nvt__keta_diff_10} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.51037+sky130_fd_pr__nfet_05v0_nvt__ags_diff_10} ++ b0 = {-9.2201e-008+sky130_fd_pr__nfet_05v0_nvt__b0_diff_10} ++ b1 = {4.9905e-008+sky130_fd_pr__nfet_05v0_nvt__b1_diff_10} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_10+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_10+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_10} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_10} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.089+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_10} ++ pdiblc1 = 1.0772e-6 ++ pdiblc2 = 0.0 ++ pdiblcb = 0.0 ++ drout = 0.11135 ++ pscbe1 = 2.7814e+8 ++ pscbe2 = 1.6e-8 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 1.8277e-6 ++ alpha1 = 0.5456 ++ beta0 = 24.57 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_10} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_10} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3659+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_10} ++ kt2 = -0.01144 ++ at = 21344.0 ++ ute = -1.464 ++ ua1 = 1.0e-9 ++ ub1 = -7.128e-19 ++ uc1 = 1.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 2.54e-6 ++ sbref = 2.54e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_05v0_nvt + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_05v0_nvt__toxe_slope=0.105e-2 +.param sky130_fd_pr__nfet_05v0_nvt__toxe_slope1=1.205e-2 +.param sky130_fd_pr__nfet_05v0_nvt__toxe_slope2=2.525e-2 +.param sky130_fd_pr__nfet_05v0_nvt__vth0_slope=0.0012 ; All devices +.param sky130_fd_pr__nfet_05v0_nvt__voff_slope=0.0035 +.param sky130_fd_pr__nfet_05v0_nvt__nfactor_slope=0.02 +.param sky130_fd_pr__nfet_05v0_nvt__lint_slope=0.0e-9 ; All devices +.param sky130_fd_pr__nfet_05v0_nvt__wint_slope=0.0e-9 ; All devices + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 3 +.param ++ sky130_fd_pr__esd_nfet_01v8__toxe_mult = 1.0 ++ sky130_fd_pr__esd_nfet_01v8__rshn_mult = 1.0 ++ sky130_fd_pr__esd_nfet_01v8__overlap_mult = 0.9842 ++ sky130_fd_pr__esd_nfet_01v8__ajunction_mult = 9.9543e-1 ++ sky130_fd_pr__esd_nfet_01v8__pjunction_mult = 1.0204e+0 ++ sky130_fd_pr__esd_nfet_01v8__lint_diff = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__wint_diff = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__dlc_diff = -.61491e-9 ++ sky130_fd_pr__esd_nfet_01v8__dwc_diff = 0.0 +* +* sky130_fd_pr__esd_nfet_01v8, Bin 000, W = 20.35, L = 0.165 +* ---------------------------------------- ++ sky130_fd_pr__esd_nfet_01v8__eta0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__ua_diff_0 = 3.4854e-11 ++ sky130_fd_pr__esd_nfet_01v8__keta_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pdits_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pclm_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__a0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__voff_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__k2_diff_0 = 0.017628 ++ sky130_fd_pr__esd_nfet_01v8__ub_diff_0 = -3.6155e-19 ++ sky130_fd_pr__esd_nfet_01v8__vth0_diff_0 = -0.0084454 ++ sky130_fd_pr__esd_nfet_01v8__u0_diff_0 = -0.0038175 ++ sky130_fd_pr__esd_nfet_01v8__vsat_diff_0 = -4452.6 ++ sky130_fd_pr__esd_nfet_01v8__kt1_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__nfactor_diff_0 = 0.0043861 ++ sky130_fd_pr__esd_nfet_01v8__b1_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__b0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__ags_diff_0 = 0.0 +* +* sky130_fd_pr__esd_nfet_01v8, Bin 001, W = 40.31, L = 0.165 +* ---------------------------------------- ++ sky130_fd_pr__esd_nfet_01v8__ags_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__eta0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__ua_diff_1 = 2.339e-11 ++ sky130_fd_pr__esd_nfet_01v8__keta_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pdits_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pclm_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__a0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__voff_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__k2_diff_1 = -0.0030404 ++ sky130_fd_pr__esd_nfet_01v8__ub_diff_1 = -1.2496e-19 ++ sky130_fd_pr__esd_nfet_01v8__vth0_diff_1 = -0.012651 ++ sky130_fd_pr__esd_nfet_01v8__u0_diff_1 = -0.0031435 ++ sky130_fd_pr__esd_nfet_01v8__vsat_diff_1 = -93.683 ++ sky130_fd_pr__esd_nfet_01v8__kt1_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__nfactor_diff_1 = -0.53169 ++ sky130_fd_pr__esd_nfet_01v8__b1_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__b0_diff_1 = 0.0 +* +* sky130_fd_pr__esd_nfet_01v8, Bin 002, W = 5.4, L = 0.18 +* ------------------------------------- ++ sky130_fd_pr__esd_nfet_01v8__b0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__ags_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__eta0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__ua_diff_2 = 2.8715e-11 ++ sky130_fd_pr__esd_nfet_01v8__keta_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pdits_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pclm_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__a0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__voff_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__k2_diff_2 = 0.0032898 ++ sky130_fd_pr__esd_nfet_01v8__ub_diff_2 = -4.8763e-19 ++ sky130_fd_pr__esd_nfet_01v8__vth0_diff_2 = -0.015538 ++ sky130_fd_pr__esd_nfet_01v8__u0_diff_2 = -0.0043417 ++ sky130_fd_pr__esd_nfet_01v8__vsat_diff_2 = 1060.2 ++ sky130_fd_pr__esd_nfet_01v8__kt1_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__nfactor_diff_2 = -0.66776 ++ sky130_fd_pr__esd_nfet_01v8__b1_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__rdsw_diff_2 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__esd_nfet_01v8 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__esd_nfet_01v8 d g s b sky130_fd_pr__esd_nfet_01v8__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__esd_nfet_01v8__model.0 nmos +* DC IV MOS Parameters ++ lmin = 1.6e-07 lmax = 1.7e-07 wmin = 2.0345e-05 wmax = 2.0355e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.1482e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1.2561e-008+sky130_fd_pr__esd_nfet_01v8__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {1.1879846e-008+sky130_fd_pr__esd_nfet_01v8__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {4.1482e-009*sky130_fd_pr__esd_nfet_01v8__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_01v8__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.565+sky130_fd_pr__esd_nfet_01v8__vth0_diff_0} ++ k1 = 0.50824 ++ k2 = {-0.036074+sky130_fd_pr__esd_nfet_01v8__k2_diff_0} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 8.8387e-8 ++ lpeb = -7.1972e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {163960+sky130_fd_pr__esd_nfet_01v8__vsat_diff_0} ++ ua = {-1.244e-009+sky130_fd_pr__esd_nfet_01v8__ua_diff_0} ++ ub = {1.6282e-018+sky130_fd_pr__esd_nfet_01v8__ub_diff_0} ++ uc = 1.9958e-11 ++ rdsw = {174.5+sky130_fd_pr__esd_nfet_01v8__rdsw_diff_0} ++ prwb = -0.17995 ++ prwg = 0.011 ++ wr = 1.0 ++ u0 = {0.028432+sky130_fd_pr__esd_nfet_01v8__u0_diff_0} ++ a0 = {1.5+sky130_fd_pr__esd_nfet_01v8__a0_diff_0} ++ keta = {0.0873+sky130_fd_pr__esd_nfet_01v8__keta_diff_0} ++ a1 = 0.0 ++ a2 = 0.42385546 ++ ags = {0.4092+sky130_fd_pr__esd_nfet_01v8__ags_diff_0} ++ b0 = {0+sky130_fd_pr__esd_nfet_01v8__b0_diff_0} ++ b1 = {0+sky130_fd_pr__esd_nfet_01v8__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1848+sky130_fd_pr__esd_nfet_01v8__voff_diff_0} ++ nfactor = {2+sky130_fd_pr__esd_nfet_01v8__nfactor_diff_0} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_01v8__tvoff_diff_0} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = {0+sky130_fd_pr__esd_nfet_01v8__eta0_diff_0} ++ etab = 0.001 ++ dsub = 0.1 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.8197729e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.17122+sky130_fd_pr__esd_nfet_01v8__pclm_diff_0} ++ pdiblc1 = 0.10049528 ++ pdiblc2 = 0.020103 ++ pdiblcb = -1.0 ++ drout = 0.48621 ++ pscbe1 = 3.6928e+8 ++ pscbe2 = 2.2e-6 ++ pvag = 0.0 ++ delta = 0.01184 ++ alpha0 = 1.414e-6 ++ alpha1 = 1.4744 ++ beta0 = 17.6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {3.041136e-013+sky130_fd_pr__esd_nfet_01v8__pdits_diff_0} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_01v8__pditsd_diff_0} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.1482e-9 +* Temperature Effects Parameters ++ kt1 = {-0.29744+sky130_fd_pr__esd_nfet_01v8__kt1_diff_0} ++ kt2 = -0.019143 ++ at = 79266.0 ++ ute = -1.6806 ++ ua1 = 5.504e-10 ++ ub1 = 2.7351e-19 ++ uc1 = 1.6706e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.0027500000000000003 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.2e-010*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgso = {3.2e-010*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {0*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgdl = {0*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cf = 1.4067e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {1.8739e-008+sky130_fd_pr__esd_nfet_01v8__dlc_diff+sky130_fd_pr__esd_nfet_01v8__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_01v8__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.621 ++ voffcv = -0.1372 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0013459*sky130_fd_pr__esd_nfet_01v8__ajunction_mult} ++ mjs = 0.44 ++ pbs = 0.729 ++ cjsws = {3.6001e-011*sky130_fd_pr__esd_nfet_01v8__pjunction_mult} ++ mjsws = 0.0009 ++ pbsws = 0.2 ++ cjswgs = {2.3347e-010*sky130_fd_pr__esd_nfet_01v8__pjunction_mult} ++ mjswgs = 0.8000 ++ pbswgs = 0.95578 +.model sky130_fd_pr__esd_nfet_01v8__model.1 nmos +* DC IV MOS Parameters ++ lmin = 1.6e-07 lmax = 1.7e-07 wmin = 4.0305e-05 wmax = 4.0315e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.1482e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1.2561e-008+sky130_fd_pr__esd_nfet_01v8__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {1.1879846e-008+sky130_fd_pr__esd_nfet_01v8__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {4.1482e-009*sky130_fd_pr__esd_nfet_01v8__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_01v8__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.574+sky130_fd_pr__esd_nfet_01v8__vth0_diff_1} ++ k1 = 0.47947 ++ k2 = {-0.0071285+sky130_fd_pr__esd_nfet_01v8__k2_diff_1} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 8.8387e-8 ++ lpeb = -7.1972e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {162130+sky130_fd_pr__esd_nfet_01v8__vsat_diff_1} ++ ua = {-1.3196e-009+sky130_fd_pr__esd_nfet_01v8__ua_diff_1} ++ ub = {1.5781e-018+sky130_fd_pr__esd_nfet_01v8__ub_diff_1} ++ uc = 1.9293e-11 ++ rdsw = {174.5+sky130_fd_pr__esd_nfet_01v8__rdsw_diff_1} ++ prwb = -0.17995 ++ prwg = 0.011 ++ wr = 1.0 ++ u0 = {0.028739+sky130_fd_pr__esd_nfet_01v8__u0_diff_1} ++ a0 = {1.5+sky130_fd_pr__esd_nfet_01v8__a0_diff_1} ++ keta = {0.072913+sky130_fd_pr__esd_nfet_01v8__keta_diff_1} ++ a1 = 0.0 ++ a2 = 0.42385546 ++ ags = {0.4092+sky130_fd_pr__esd_nfet_01v8__ags_diff_1} ++ b0 = {0+sky130_fd_pr__esd_nfet_01v8__b0_diff_1} ++ b1 = {0+sky130_fd_pr__esd_nfet_01v8__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1936+sky130_fd_pr__esd_nfet_01v8__voff_diff_1} ++ nfactor = {2+sky130_fd_pr__esd_nfet_01v8__nfactor_diff_1} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_01v8__tvoff_diff_1} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = {0+sky130_fd_pr__esd_nfet_01v8__eta0_diff_1} ++ etab = 0.001 ++ dsub = 0.1 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.8197729e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.15544+sky130_fd_pr__esd_nfet_01v8__pclm_diff_1} ++ pdiblc1 = 0.10049528 ++ pdiblc2 = 0.030979 ++ pdiblcb = -1.0 ++ drout = 0.57882 ++ pscbe1 = 3.6928e+8 ++ pscbe2 = 2.2e-6 ++ pvag = 0.0 ++ delta = 0.01376 ++ alpha0 = 1.414e-6 ++ alpha1 = 1.4744 ++ beta0 = 17.6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {3.041136e-013+sky130_fd_pr__esd_nfet_01v8__pdits_diff_1} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_01v8__pditsd_diff_1} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.1482e-9 +* Temperature Effects Parameters ++ kt1 = {-0.29351+sky130_fd_pr__esd_nfet_01v8__kt1_diff_1} ++ kt2 = -0.019143 ++ at = 71264.0 ++ ute = -1.6806 ++ ua1 = 5.7242e-10 ++ ub1 = 9.1861e-19 ++ uc1 = 1.6038e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.0027500000000000003 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.2e-010*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgso = {3.2e-010*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {0*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgdl = {0*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cf = 1.4067e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {1.8739e-008+sky130_fd_pr__esd_nfet_01v8__dlc_diff+sky130_fd_pr__esd_nfet_01v8__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_01v8__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.621 ++ voffcv = -0.1372 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0013459*sky130_fd_pr__esd_nfet_01v8__ajunction_mult} ++ mjs = 0.44 ++ pbs = 0.729 ++ cjsws = {3.6001e-011*sky130_fd_pr__esd_nfet_01v8__pjunction_mult} ++ mjsws = 0.0009 ++ pbsws = 0.2 ++ cjswgs = {2.3347e-010*sky130_fd_pr__esd_nfet_01v8__pjunction_mult} ++ mjswgs = 0.8000 ++ pbswgs = 0.95578 +.model sky130_fd_pr__esd_nfet_01v8__model.2 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 5.395e-06 wmax = 5.405e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.1482e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1.2561e-008+sky130_fd_pr__esd_nfet_01v8__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {1.1879846e-008+sky130_fd_pr__esd_nfet_01v8__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {4.1482e-009*sky130_fd_pr__esd_nfet_01v8__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_01v8__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.59966+sky130_fd_pr__esd_nfet_01v8__vth0_diff_2} ++ k1 = 0.47947 ++ k2 = {-0.008+sky130_fd_pr__esd_nfet_01v8__k2_diff_2} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -3.58 ++ dvt1w = 1670600.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 8.8387e-8 ++ lpeb = -7.1972e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {140380+sky130_fd_pr__esd_nfet_01v8__vsat_diff_2} ++ ua = {-1.1107e-009+sky130_fd_pr__esd_nfet_01v8__ua_diff_2} ++ ub = {1.6158e-018+sky130_fd_pr__esd_nfet_01v8__ub_diff_2} ++ uc = 3.08e-11 ++ rdsw = {174.5+sky130_fd_pr__esd_nfet_01v8__rdsw_diff_2} ++ prwb = -0.17995 ++ prwg = 0.011 ++ wr = 1.0 ++ u0 = {0.029546+sky130_fd_pr__esd_nfet_01v8__u0_diff_2} ++ a0 = {1.5+sky130_fd_pr__esd_nfet_01v8__a0_diff_2} ++ keta = {0.0873+sky130_fd_pr__esd_nfet_01v8__keta_diff_2} ++ a1 = 0.0 ++ a2 = 0.42385546 ++ ags = {0.4092+sky130_fd_pr__esd_nfet_01v8__ags_diff_2} ++ b0 = {0+sky130_fd_pr__esd_nfet_01v8__b0_diff_2} ++ b1 = {0+sky130_fd_pr__esd_nfet_01v8__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1936+sky130_fd_pr__esd_nfet_01v8__voff_diff_2} ++ nfactor = {2+sky130_fd_pr__esd_nfet_01v8__nfactor_diff_2} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_01v8__tvoff_diff_2} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = {0.00078661337+sky130_fd_pr__esd_nfet_01v8__eta0_diff_2} ++ etab = -0.0029133829 ++ dsub = 0.1 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.8197729e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.33234+sky130_fd_pr__esd_nfet_01v8__pclm_diff_2} ++ pdiblc1 = 0.10049528 ++ pdiblc2 = 0.015545 ++ pdiblcb = -1.0 ++ drout = 0.87701 ++ pscbe1 = 3.6928e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.008 ++ alpha0 = 1.414e-6 ++ alpha1 = 1.4744 ++ beta0 = 17.6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {3.041136e-013+sky130_fd_pr__esd_nfet_01v8__pdits_diff_2} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_01v8__pditsd_diff_2} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.1482e-9 +* Temperature Effects Parameters ++ kt1 = {-0.33011+sky130_fd_pr__esd_nfet_01v8__kt1_diff_2} ++ kt2 = -0.019143 ++ at = 77739.0 ++ ute = -1.6806 ++ ua1 = 5.504e-10 ++ ub1 = 4.8841e-19 ++ uc1 = 1.6706e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.2928 ++ jss = 0.0027500000000000003 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.7 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.2e-010*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgso = {3.2e-010*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {0*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cgdl = {0*sky130_fd_pr__esd_nfet_01v8__overlap_mult} ++ cf = 1.4067e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {1.8739e-008+sky130_fd_pr__esd_nfet_01v8__dlc_diff+sky130_fd_pr__esd_nfet_01v8__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_01v8__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.621 ++ voffcv = -0.1372 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0013459*sky130_fd_pr__esd_nfet_01v8__ajunction_mult} ++ mjs = 0.44 ++ pbs = 0.729 ++ cjsws = {3.6001e-011*sky130_fd_pr__esd_nfet_01v8__pjunction_mult} ++ mjsws = 0.0009 ++ pbsws = 0.2 ++ cjswgs = {2.3347e-010*sky130_fd_pr__esd_nfet_01v8__pjunction_mult} ++ mjswgs = 0.8000 ++ pbswgs = 0.95578 +.ends sky130_fd_pr__esd_nfet_01v8 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__pfet_01v8_lvt__ajunction_mult = 9.9626e-1 ++ sky130_fd_pr__pfet_01v8_lvt__pjunction_mult = 1.0009e+0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__pfet_01v8_lvt d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__pfet_01v8_lvt d g s b sky130_fd_pr__pfet_01v8_lvt__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__pfet_01v8_lvt__model.0 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-0.452509+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.64774 ++ k2 = -0.04782713 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.0054e-9 ++ ub = 3.0419e-18 ++ uc = 4.9353e-11 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 0.0025322839 ++ a0 = 1.75209 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 0.385036 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0018466 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 0.01363 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.60135 ++ kt2 = -0.055045 ++ at = 285600.0 ++ ute = -0.22271 ++ ua1 = 6.8217e-10 ++ ub1 = -1.4864e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.1 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-0.452509+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.64774 ++ k2 = -0.04782713 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.0054e-9 ++ ub = 3.0419e-18 ++ uc = 4.9353e-11 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 0.0025322839 ++ a0 = 1.75209 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 0.385036 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0018466 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 0.01363 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.60135 ++ kt2 = -0.055045 ++ at = 285600.0 ++ ute = -0.22271 ++ ua1 = 6.8217e-10 ++ ub1 = -1.4864e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.2 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.619357840e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.536148201e-8 ++ k1 = 0.64774 ++ k2 = -4.894119812e-02 lk2 = 8.906306163e-9 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.064217540e-09 lua = 4.702109418e-16 ++ ub = 3.130975120e-18 lub = -7.121021393e-25 ++ uc = 3.779220780e-11 luc = 9.242159716e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.450704172e-03 lu0 = 6.521809796e-10 ++ a0 = 1.841424756e+00 la0 = -7.141777734e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.685411252e-01 lags = 1.318666271e-7 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 7.488388000e-05 lpdiblc2 = 1.416380735e-8 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 5.859893400e-03 ldelta = 6.211734020e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.113360000e-01 lkt1 = 7.983207840e-8 ++ kt2 = -0.055045 ++ at = 2.991709740e+05 lat = -1.084917945e-1 ++ ute = -3.123143780e-01 lute = 7.163332395e-7 ++ ua1 = 6.682694880e-10 lua1 = 1.111262531e-16 ++ ub1 = -1.753625360e-19 lub1 = 2.136306418e-25 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.3 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.223840804e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -8.262384285e-8 ++ k1 = 0.64774 ++ k2 = -3.968662488e-02 lk2 = -2.806016118e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.566795664e+05 lvsat = -1.314939160e-1 ++ ua = -3.113132120e-09 lua = 6.655953401e-16 ++ ub = 3.175873360e-18 lub = -8.914436692e-25 ++ uc = 6.136577640e-11 luc = -1.740665252e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.320245161e-03 lu0 = 1.173286451e-9 ++ a0 = 2.262730999e+00 la0 = -2.397043431e-6 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 4.230875368e-01 lags = -8.601355939e-8 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.638259200e-04 lpdiblc2 = 1.340909727e-8 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.967986080e-02 ldelta = 6.914862420e-9 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.59135 ++ kt2 = -0.055045 ++ at = 3.780323040e+05 lat = -4.234954911e-1 ++ ute = -0.13298 ++ ua1 = 6.9609e-10 ++ ub1 = -1.571260340e-19 lub1 = 1.407867582e-25 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.4 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-06 lmax = 2e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.703903488e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.311985885e-8 ++ k1 = 0.64774 ++ k2 = -4.752564752e-02 lk2 = -1.242601443e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 90748.0 ++ ua = -2.234840640e-09 lua = -1.086069188e-15 ++ ub = 1.831961120e-18 lub = 1.788854902e-24 ++ uc = 2.748768160e-11 luc = 6.582580702e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 3.154886244e-03 lu0 = -4.913217254e-10 ++ a0 = 1.100574118e+00 la0 = -7.923774814e-8 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 1.672471040e-01 lags = 4.242345998e-7 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -3.278730240e-03 lpdiblc2 = 2.047437127e-8 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.696915040e-02 ldelta = 1.232110324e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.59135 ++ kt2 = -0.055045 ++ at = 2.058295840e+05 lat = -8.005438633e-2 ++ ute = -0.13298 ++ ua1 = 8.001002400e-10 lua1 = -2.074380227e-16 ++ ub1 = -3.124733360e-19 lub1 = 4.506114173e-25 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.5 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 1.5e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.490338288e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.879532464e-8 ++ k1 = 0.64774 ++ k2 = -5.110258288e-02 lk2 = -7.080642224e-9 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 9.002606560e+04 lvsat = 1.078858767e-3 ++ ua = -3.153121440e-09 lua = 2.862096399e-16 ++ ub = 3.140969440e-18 lub = -1.673271311e-25 ++ uc = 6.937218560e-11 luc = 3.233604239e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.029192874e-03 lu0 = 1.190914448e-9 ++ a0 = 8.695573776e-01 la0 = 2.659936693e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = -2.128955200e-02 lags = 7.059837785e-7 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-1.529629600e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.324351258e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.597759520e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.035070669e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 5.973280000e-04 lpdiblc2 = 1.468198984e-8 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.023634720e-02 ldelta = 2.238260434e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.510140000e-01 lkt1 = 8.916188160e-8 ++ kt2 = -9.336122080e-02 lkt2 = 5.725976036e-8 ++ at = 2.043466720e+05 lat = -7.783832264e-2 ++ ute = 5.615488000e-02 lute = -2.826431647e-7 ++ ua1 = 6.6129e-10 ++ ub1 = -1.050445280e-20 lub1 = -6.508817357e-28 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.6 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.731173008e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.153279916e-9 ++ k1 = 0.64774 ++ k2 = -6.360790704e-02 lk2 = 5.354652121e-9 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 6.327331360e+04 lvsat = 2.768179536e-2 ++ ua = -2.789854560e-09 lua = -7.502294554e-17 ++ ub = 2.825072160e-18 lub = 1.468011241e-25 ++ uc = 7.576047360e-11 luc = -3.118909348e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 3.243992422e-03 lu0 = -1.708222323e-11 ++ a0 = 1.205606459e+00 la0 = -6.817353743e-8 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 9.534706400e-01 lags = -2.633177564e-7 ++ b0 = 7.305551040e-07 lb0 = -7.264639954e-13 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-2.108370400e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.430647258e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.476840480e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.989118669e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -2.274734080e-02 lpdiblc2 = 3.789592849e-08 ppdiblc2 = 2.524354897e-29 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.136885600e-02 ldelta = 1.131243759e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -4.915308320e-01 lkt1 = -6.942818066e-8 ++ kt2 = -1.576964320e-02 lkt2 = -1.989730440e-8 ++ at = 1.920565792e+05 lat = -6.561705436e-2 ++ ute = -5.958848000e-02 lute = -1.675479675e-7 ++ ua1 = 4.288626720e-10 lua1 = 2.311257350e-16 ++ ub1 = 5.703157168e-19 lub1 = -5.782184584e-25 wub1 = 2.295887404e-40 pub1 = 2.299005293e-46 ++ uc1 = -2.284941472e-11 luc1 = 1.281623960e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.7 pmos +* DC IV MOS Parameters ++ lmin = 3.5e-07 lmax = 5e-07 wmin = 7e-06 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.792412720e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 8.180971277e-9 ++ k1 = 0.64774 ++ k2 = -6.623966640e-02 lk2 = 6.655793948e-9 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.582753360e+05 lvsat = -1.928720452e-2 ++ ua = -3.074079200e-09 lua = 6.549771648e-17 ++ ub = 3.030160000e-18 lub = 4.540569600e-26 ++ uc = 8.394894400e-11 luc = -7.167289114e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.404704480e-03 lu0 = 3.978617355e-10 ++ a0 = 1.223188640e+00 la0 = -7.686616762e-8 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 4.111120000e-01 lags = 4.824355200e-9 ++ b0 = -2.435183680e-06 lb0 = 8.386772594e-13 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -8.848803200e-02 lpdiblc2 = 7.039812622e-8 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.489701600e-02 ldelta = 9.568115290e-9 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.549200000e-01 lkt1 = 1.135142400e-8 ++ kt2 = -0.056015 ++ at = 1.376778160e+05 lat = -3.873219383e-2 ++ ute = -0.39848 ++ ua1 = 8.9635e-10 ++ ub1 = -5.9922e-19 ++ uc1 = 3.0734e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.44e-6 ++ sbref = 1.44e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.8 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.865294601e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 2.376345466e-7 ++ k1 = 0.64774 ++ k2 = -5.280901249e-02 wk2 = 3.479868835e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.121800871e-09 wua = 8.130656698e-16 ++ ub = 3.232079581e-18 wub = -1.328413503e-24 ++ uc = 5.087094712e-11 wuc = -1.060293347e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.602789677e-03 wu0 = -4.924862376e-10 ++ a0 = 1.746656298e+00 wa0 = 3.795467152e-8 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 4.370225731e-01 wags = -3.631287082e-7 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.350837605e-03 wpdiblc2 = -3.522123876e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 3.485427320e-03 wdelta = 7.086032711e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.60135 ++ kt2 = -0.055045 ++ at = 285600.0 ++ ute = -0.22271 ++ ua1 = 6.8217e-10 ++ ub1 = -1.4864e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.9 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.865294601e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 2.376345466e-7 ++ k1 = 0.64774 ++ k2 = -5.280901249e-02 wk2 = 3.479868835e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.121800871e-09 wua = 8.130656698e-16 ++ ub = 3.232079581e-18 wub = -1.328413503e-24 ++ uc = 5.087094712e-11 wuc = -1.060293347e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.602789677e-03 wu0 = -4.924862376e-10 ++ a0 = 1.746656298e+00 wa0 = 3.795467152e-8 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 4.370225731e-01 wags = -3.631287082e-7 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.350837605e-03 wpdiblc2 = -3.522123876e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 3.485427320e-03 wdelta = 7.086032711e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.60135 ++ kt2 = -0.055045 ++ at = 285600.0 ++ ute = -0.22271 ++ ua1 = 6.8217e-10 ++ ub1 = -1.4864e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.10 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.981316602e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.275262867e-08 wvth0 = 2.528299327e-07 pvth0 = -1.214779942e-13 ++ k1 = 0.64774 ++ k2 = -5.805719263e-02 lk2 = 4.195605127e-08 wk2 = 6.367565923e-08 pk2 = -2.308540559e-13 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.327222541e-09 lua = 1.642222999e-15 wua = 1.837102555e-15 pua = -8.186560478e-21 ++ ub = 3.543176576e-18 lub = -2.487033812e-24 wub = -2.879246953e-24 pub = 1.239798293e-29 ++ uc = 1.049460290e-11 luc = 3.227846462e-16 wuc = 1.906750805e-16 puc = -1.609096955e-21 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.370302029e-03 lu0 = 1.858599252e-09 wu0 = 5.616128242e-10 pu0 = -8.426889539e-15 ++ a0 = 2.069238474e+00 la0 = -2.578850947e-06 wa0 = -1.591289752e-06 pa0 = 1.302483162e-11 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 4.890458391e-01 lags = -4.158947976e-07 wags = -8.417312105e-07 pags = 3.826139844e-12 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.270175929e-04 lpdiblc2 = 1.980896560e-08 wpdiblc2 = 1.410291480e-09 ppdiblc2 = -3.943170132e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = -1.193099316e-02 ldelta = 1.232450319e-07 wdelta = 1.242701966e-07 pdelta = -4.269798606e-13 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.113360000e-01 lkt1 = 7.983207840e-8 ++ kt2 = -0.055045 ++ at = 2.991709740e+05 lat = -1.084917945e-1 ++ ute = -3.123143780e-01 lute = 7.163332395e-7 ++ ua1 = 6.682694880e-10 lua1 = 1.111262531e-16 ++ ub1 = -1.753625360e-19 lub1 = 2.136306418e-25 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.11 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-3.970759399e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.109043407e-07 wvth0 = -1.767785765e-07 pvth0 = 1.594550235e-12 ++ k1 = 0.64774 ++ k2 = -2.489203631e-02 lk2 = -9.051884911e-08 wk2 = -1.033409113e-07 pk2 = 4.362769332e-13 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.387323757e+05 lvsat = -4.592456576e-01 wvsat = -5.731428116e-01 pvsat = 2.289361647e-6 ++ ua = -3.645202145e-09 lua = 2.912360732e-15 wua = 3.716534667e-15 pua = -1.569376411e-20 ++ ub = 3.994770385e-18 lub = -4.290880126e-24 wub = -5.720035029e-24 pub = 2.374522682e-29 ++ uc = 1.110001615e-10 luc = -7.867475726e-17 wuc = -3.466985627e-16 puc = 5.373883255e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 1.830227593e-03 lu0 = 4.015872580e-09 wu0 = 3.422796234e-09 pu0 = -1.985560055e-14 ++ a0 = 3.513946251e+00 la0 = -8.349591691e-06 wa0 = -8.739798589e-06 pa0 = 4.157883532e-11 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 6.916239938e-01 lags = -1.225072979e-06 wags = -1.875740042e-06 pags = 7.956384720e-12 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.375208404e-03 lpdiblc2 = 9.814074073e-09 wpdiblc2 = -1.474810800e-08 ppdiblc2 = 2.511140956e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 3.346518452e-02 ldelta = -5.808546025e-08 wdelta = -9.629114790e-08 pdelta = 4.540303739e-13 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.59135 ++ kt2 = -0.055045 ++ at = 5.814493013e+05 lat = -1.236024345e+00 wat = -1.420877490e+00 pat = 5.675553047e-6 ++ ute = -0.13298 ++ ua1 = 6.9609e-10 ++ ub1 = -2.449776196e-19 lub1 = 4.917011319e-25 wub1 = 6.136475426e-25 pub1 = -2.451153744e-30 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.12 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-06 lmax = 2e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-6.295995097e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.528406671e-07 wvth0 = 1.112083631e-06 pvth0 = -9.759565522e-13 ++ k1 = 0.64774 ++ k2 = -5.921996873e-02 lk2 = -2.205522070e-08 wk2 = 8.168539499e-08 pk2 = 6.726046804e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -3.876929948e+05 lvsat = 7.900971013e-01 wvsat = 3.341933314e+00 pvsat = -5.518866177e-6 ++ ua = -4.901468211e-10 lua = -3.380081607e-15 wua = -1.218677007e-14 pua = 1.602378686e-20 ++ ub = -8.162212032e-19 lub = 5.304161498e-24 wub = 1.849768064e-23 pub = -2.455458531e-29 ++ uc = 5.303659099e-11 luc = 3.692778787e-17 wuc = -1.784603584e-16 puc = 2.018540508e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 5.342101450e-03 lu0 = -2.988208640e-09 wu0 = -1.527780319e-08 pu0 = 1.744087495e-14 ++ a0 = -7.730963958e-01 la0 = 2.004861626e-07 wa0 = 1.308767848e-05 pa0 = -1.953884943e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.710450387e-01 lags = -5.857103109e-07 wags = -1.423538356e-06 pags = 7.054513679e-12 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -6.755076593e-03 lpdiblc2 = 2.802351447e-08 wpdiblc2 = 2.428244614e-08 ppdiblc2 = -5.273112762e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = -6.396132487e-03 ldelta = 2.141395040e-08 wdelta = 1.632076225e-07 pdelta = -6.351397383e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -8.148396719e-01 lkt1 = 4.457278017e-07 wkt1 = 1.561086086e-06 pkt1 = -3.113430090e-12 ++ kt2 = -2.067721383e-01 lkt2 = 3.026046046e-07 wkt2 = 1.059821344e-06 pkt2 = -2.113707688e-12 ++ at = -8.460038989e+05 lat = 1.610888317e+00 wat = 7.347107366e+00 pat = -1.181131595e-5 ++ ute = -0.13298 ++ ua1 = 8.464370986e-10 lua1 = -2.998522535e-16 wua1 = -3.236651818e-16 pua1 = 6.455178386e-22 ++ ub1 = 3.893300660e-20 lub1 = -7.453022106e-26 wub1 = -2.454590171e-24 pub1 = 3.668139551e-30 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.13 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 1.5e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.992457806e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.195994570e-08 wvth0 = 3.507328936e-07 pvth0 = 1.618059900e-13 ++ k1 = 0.64774 ++ k2 = -5.528005725e-02 lk2 = -2.794302442e-08 wk2 = 2.917985900e-08 pk2 = 1.457247410e-13 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 4.247553090e+05 lvsat = -4.240256438e-01 wvsat = -2.338099832e+00 pvsat = 2.969375355e-6 ++ ua = -3.222586688e-09 lua = 7.032765301e-16 wua = 4.852180921e-16 pua = -2.913232247e-21 ++ ub = 3.124193358e-18 lub = -5.843940213e-25 wub = 1.171817404e-25 pub = 2.913232247e-30 ++ uc = 1.040374915e-10 luc = -3.928795792e-17 wuc = -2.421388260e-16 puc = 2.970151527e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 1.765272969e-03 lu0 = 2.357003841e-09 wu0 = 1.843493200e-09 pu0 = -8.145190384e-15 ++ a0 = -2.280614091e+00 la0 = 2.453320606e-06 wa0 = 2.200409891e-05 pa0 = -1.527858364e-11 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = -1.808012019e+00 lags = 2.670672557e-06 wags = 1.248034220e-05 pags = -1.372344542e-11 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-8.083669331e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.510290055e-07 wvoff = -5.038054349e-07 pvoff = 7.528868419e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.748456325e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.155520115e-07 wnfactor = -1.052624414e-06 pnfactor = 1.573041924e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 1.739099758e-02 lpdiblc2 = -8.060378777e-09 wpdiblc2 = -1.173045881e-07 ppdiblc2 = 1.588565364e-13 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 5.502178720e-03 ldelta = 3.633114129e-09 wdelta = 3.306839408e-08 pdelta = 1.309660891e-13 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.258095973e-01 lkt1 = 1.632412582e-07 wkt1 = -1.760539626e-07 pkt1 = -5.174480013e-13 ++ kt2 = 5.836591748e-02 lkt2 = -9.361770594e-08 wkt2 = -1.059821344e-06 pkt2 = 1.053886344e-12 ++ at = 9.371843412e+05 lat = -1.053908189e+00 wat = -5.118906295e+00 pat = 6.817894865e-6 ++ ute = 3.430240933e-01 lute = -7.113405170e-07 wute = -2.003795225e-06 pute = 2.994471584e-12 ++ ua1 = 5.166036478e-10 lua1 = 1.930508554e-16 wua1 = 1.010641115e-15 pua1 = -1.348469491e-21 ++ ub1 = -9.418840951e-21 lub1 = -2.273220083e-27 wub1 = -7.583050876e-27 pub1 = 1.133211123e-32 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.14 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-5.702377328e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.863445151e-08 wvth0 = 6.783908790e-07 pvth0 = -1.640171107e-13 ++ k1 = 0.64774 ++ k2 = -1.117953342e-01 lk2 = 2.825576697e-08 wk2 = 3.365914916e-07 pk2 = -1.599653865e-13 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8.779420910e+03 lvsat = -1.037922070e-02 wvsat = 3.806424561e-01 pvsat = 2.658580241e-7 ++ ua = -1.775445946e-09 lua = -7.357602237e-16 wua = -7.085692860e-15 pua = 4.615281603e-21 ++ ub = 1.488559256e-18 lub = 1.042080530e-24 wub = 9.335606789e-24 pub = -6.253569621e-30 ++ uc = 3.651704314e-11 luc = 2.785437597e-17 wuc = 2.741172454e-16 puc = -2.163498847e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 5.222720488e-03 lu0 = -1.081081971e-09 wu0 = -1.382151052e-08 pu0 = 7.432089310e-15 ++ a0 = 8.974429753e-01 la0 = -7.069393404e-07 wa0 = 2.152536727e-06 pa0 = 4.461809795e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 1.132073412e+00 lags = -2.529483965e-07 wags = -1.247548938e-06 pags = -7.243047650e-14 ++ b0 = -5.063575781e-07 lb0 = 5.035219756e-13 wb0 = 8.639894456e-12 pb0 = -8.591511047e-18 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-2.829633067e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.996569883e-08 wvoff = 5.038054349e-07 pvoff = -2.490814070e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.326143675e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.043956869e-07 wnfactor = 1.052624414e-06 pnfactor = -5.204175102e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -4.153656099e-02 lpdiblc2 = 5.053718547e-08 wpdiblc2 = 1.312436049e-07 ppdiblc2 = -8.829978676e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 4.106256910e-03 ldelta = 5.021218777e-09 wdelta = 1.205800832e-07 pdelta = 4.394446541e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -3.088218836e-01 lkt1 = -1.519713243e-07 wkt1 = -1.276230775e-06 pkt1 = 5.765678205e-13 ++ kt2 = -1.576964320e-02 lkt2 = -1.989730440e-8 ++ at = -3.026155963e+05 lat = 1.789488692e-01 wat = 3.455308890e+00 pat = -1.708304715e-6 ++ ute = -3.464576933e-01 lute = -2.571982844e-08 wute = 2.003795225e-06 pute = -9.906763590e-13 ++ ua1 = 5.272121655e-10 lua1 = 1.825017454e-16 wua1 = -6.869759332e-16 pua1 = 3.396409014e-22 ++ ub1 = 5.692301050e-19 lub1 = -5.776817319e-25 wub1 = 7.583050876e-27 pub1 = -3.749060353e-33 ++ uc1 = -2.284941472e-11 luc1 = 1.281623960e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.15 pmos +* DC IV MOS Parameters ++ lmin = 3.5e-07 lmax = 5e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-5.648983947e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.599468275e-08 wvth0 = 5.983191133e-07 pvth0 = -1.244296297e-13 ++ k1 = 0.64774 ++ k2 = -6.003801544e-02 lk2 = 2.666948580e-09 wk2 = -4.331882962e-08 pk2 = 2.786227636e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -2.612834642e+05 lvsat = 1.231398697e-01 wvsat = 2.930638358e+00 pvsat = -9.948599499e-7 ++ ua = -4.135503596e-09 lua = 4.310522785e-16 wua = 7.414100356e-15 pua = -2.553416163e-21 ++ ub = 4.593542837e-18 lub = -4.930233532e-25 wub = -1.092030416e-23 pub = 3.760952753e-30 ++ uc = 1.610911660e-10 luc = -3.373507037e-17 wuc = -5.388421234e-16 puc = 1.855772273e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 1.348473447e-03 lu0 = 8.343457659e-10 wu0 = 7.377824465e-09 pu0 = -3.048861904e-15 ++ a0 = -4.050956890e+00 la0 = 1.739549553e-06 wa0 = 3.684015968e-05 pa0 = -1.268775100e-11 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 1.093466766e+00 lags = -2.338612706e-07 wags = -4.766280794e-06 pags = 1.667230553e-12 ++ b0 = 1.687858594e-06 lb0 = -5.812984996e-13 wb0 = -2.879964819e-11 pb0 = 9.918598835e-18 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.576217744e-01 lpdiblc2 = 1.079297150e-07 wpdiblc2 = 4.829025089e-07 ppdiblc2 = -2.621599489e-13 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.427768939e-03 ldelta = 6.345463229e-09 wdelta = 1.639338172e-07 pdelta = 2.251037933e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.029989305e-01 lkt1 = -6.530192349e-09 wkt1 = -3.626711629e-07 pkt1 = 1.249039485e-13 ++ kt2 = -0.056015 ++ at = 1.376778160e+05 lat = -3.873219383e-2 ++ ute = -0.39848 ++ ua1 = 8.9635e-10 ++ ub1 = -5.9922e-19 ++ uc1 = 3.0734e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.44e-6 ++ sbref = 1.44e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.16 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.449641247e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 3.042935440e-8 ++ k1 = 0.64774 ++ k2 = -4.424453353e-02 wk2 = -7.895650373e-9 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.107952400e-09 wua = 7.440303781e-16 ++ ub = 3.070076680e-18 wub = -5.208212647e-25 ++ uc = 3.055610254e-11 wuc = 9.066754188e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.148846437e-03 wu0 = 1.770442606e-9 ++ a0 = 1.766947499e+00 wa0 = -6.319794032e-8 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.736102592e-01 wags = -4.701527959e-8 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 7.438602708e-04 wpdiblc2 = 4.488735271e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.327168129e-02 wdelta = 2.207538132e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.60135 ++ kt2 = -0.055045 ++ at = 2.898686186e+05 wat = -2.127926881e-2 ++ ute = -1.196661430e-01 wute = -5.136785731e-7 ++ ua1 = 6.8217e-10 ++ ub1 = -1.464161392e-19 wub1 = -1.108605263e-26 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.17 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.449641247e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 3.042935440e-8 ++ k1 = 0.64774 ++ k2 = -4.424453353e-02 wk2 = -7.895650373e-9 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.107952400e-09 wua = 7.440303781e-16 ++ ub = 3.070076680e-18 wub = -5.208212647e-25 ++ uc = 3.055610254e-11 wuc = 9.066754188e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.148846437e-03 wu0 = 1.770442606e-9 ++ a0 = 1.766947499e+00 wa0 = -6.319794032e-8 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.736102592e-01 wags = -4.701527959e-8 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 7.438602708e-04 wpdiblc2 = 4.488735271e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.327168129e-02 wdelta = 2.207538132e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.60135 ++ kt2 = -0.055045 ++ at = 2.898686186e+05 wat = -2.127926881e-2 ++ ute = -1.196661430e-01 wute = -5.136785731e-7 ++ ua1 = 6.8217e-10 ++ ub1 = -1.464161392e-19 wub1 = -1.108605263e-26 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.18 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.387610425e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.958991985e-08 wvth0 = -4.313544628e-08 pvth0 = 5.881064426e-13 ++ k1 = 0.64774 ++ k2 = -3.687425423e-02 lk2 = -5.892096088e-08 wk2 = -4.192230550e-08 pk2 = 2.720226917e-13 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.152665434e-09 lua = 3.574538789e-16 wua = 9.669269988e-16 pua = -1.781924744e-21 ++ ub = 3.070076680e-18 wub = -5.208212647e-25 ++ uc = 3.055610254e-11 wuc = 9.066754188e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 1.895206273e-03 lu0 = 2.027700927e-09 wu0 = 2.929987976e-09 pu0 = -9.269869507e-15 ++ a0 = 1.823456539e+00 la0 = -4.517558671e-07 wa0 = -3.660550087e-07 pa0 = 2.421160548e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.208830350e-01 lags = 4.215225210e-07 wags = -3.431560364e-09 pags = -3.484256850e-13 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.497520522e-03 lpdiblc2 = 1.791849461e-08 wpdiblc2 = 8.242314363e-09 ppdiblc2 = -3.000761269e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.181842103e-02 ldelta = 1.161794385e-08 wdelta = 5.878226883e-09 pdelta = 1.294865314e-13 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.113360000e-01 lkt1 = 7.983207840e-8 ++ kt2 = -0.055045 ++ at = 3.077022352e+05 lat = -1.425690643e-01 wat = -4.252874665e-02 pat = 1.698768256e-7 ++ ute = -1.063709255e-01 lute = -1.062872873e-07 wute = -1.026637996e-06 pute = 4.100802812e-12 ++ ua1 = 6.682694880e-10 lua1 = 1.111262531e-16 ++ ub1 = -1.709179279e-19 lub1 = 1.958770991e-25 wub1 = -2.215658479e-26 pub1 = 8.850226230e-32 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.19 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.472146933e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.582265732e-08 wvth0 = 7.316551589e-08 pvth0 = 1.235538793e-13 ++ k1 = 0.64774 ++ k2 = -5.566791247e-02 lk2 = 1.614842762e-08 wk2 = 5.007830862e-08 pk2 = -9.546456129e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.916032420e-09 lua = -5.877530322e-16 wua = 8.158858559e-17 pua = 1.754471013e-21 ++ ub = 2.775291051e-18 lub = 1.177491717e-24 wub = 3.591279877e-25 pub = -3.514869294e-30 ++ uc = -2.128076763e-12 luc = 1.305536858e-16 wuc = 2.172511354e-16 puc = -5.056255061e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.375100637e-03 lu0 = 1.108108785e-10 wu0 = 7.065779573e-10 pu0 = -3.886805294e-16 ++ a0 = 1.388012170e+00 la0 = 1.287583117e-06 wa0 = 1.858084846e-06 pa0 = -6.462943686e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 2.179597572e-01 lags = 8.326392618e-07 wags = 4.854989135e-07 pags = -2.301409570e-12 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.467300553e-03 lpdiblc2 = 1.779778396e-08 wpdiblc2 = 4.406983594e-09 ppdiblc2 = -1.468776747e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.266017464e-02 ldelta = 8.255643234e-09 wdelta = 7.422825020e-09 pdelta = 1.233167886e-13 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.59135 ++ kt2 = -0.055045 ++ at = 2.853630531e+05 lat = -5.333743550e-02 wat = 5.512666901e-02 pat = -2.201979667e-7 ++ ute = -0.13298 ++ ua1 = 6.9609e-10 ++ ub1 = -1.2188e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.20 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-06 lmax = 2e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.382725820e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.365680408e-08 wvth0 = 1.583097125e-07 pvth0 = -4.625770650e-14 ++ k1 = 0.64774 ++ k2 = -5.250534235e-02 lk2 = 9.840997767e-09 wk2 = 4.821266018e-08 pk2 = -9.174371205e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 5.199177925e+05 lvsat = -7.900971013e-01 wvsat = -1.182550026e+00 pvsat = 2.358477772e-6 ++ ua = -3.003633671e-09 lua = -4.130410963e-16 wua = 3.430825262e-16 pua = 1.232947498e-21 ++ ub = 2.892636887e-18 lub = 9.434571803e-25 wub = 8.845033135e-27 pub = -2.816264969e-30 ++ uc = -3.342407414e-11 luc = 1.929704230e-16 wuc = 2.525502073e-16 puc = -5.760259751e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 1.919212887e-03 lu0 = 1.020033408e-09 wu0 = 1.785460591e-09 pu0 = -2.540404054e-15 ++ a0 = 1.832594506e+00 la0 = 4.009081077e-07 wa0 = 9.818426055e-08 pa0 = -2.952997960e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = -1.301370049e-01 lags = 1.526883444e-06 wags = 1.074878188e-06 pags = -3.476867595e-12 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -7.423973215e-03 lpdiblc2 = 2.967777192e-08 wpdiblc2 = 2.761692791e-08 ppdiblc2 = -6.097768041e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = -1.440346889e-02 ldelta = 6.223137389e-08 wdelta = 2.031245788e-07 pdelta = -2.669907892e-13 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -3.678603281e-01 lkt1 = -4.457278017e-07 wkt1 = -6.671273982e-07 pkt1 = 1.330518883e-12 ++ kt2 = 9.668213828e-02 lkt2 = -3.026046046e-07 wkt2 = -4.529127907e-07 pkt2 = 9.032892697e-13 ++ at = 1.110114556e+06 lat = -1.698221833e+00 wat = -2.404237026e+00 pat = 4.684756987e-6 ++ ute = -0.13298 ++ ua1 = 9.090011608e-10 lua1 = -4.246300191e-16 wua1 = -6.355500347e-16 pua1 = 1.267540989e-21 ++ ub1 = -9.483448068e-19 lub1 = 1.648301411e-24 wub1 = 2.467037119e-24 pub1 = -4.920258829e-30 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.21 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 1.5e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.838287605e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.442234913e-08 wvth0 = 2.738783084e-07 pvth0 = -2.189634161e-13 ++ k1 = 0.64774 ++ k2 = -3.886579843e-02 lk2 = -1.054193667e-08 wk2 = -5.264600912e-08 pk2 = 5.897948335e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -2.950518845e+05 lvsat = 4.277935839e-01 wvsat = 1.250173578e+00 pvsat = -1.276984382e-6 ++ ua = -3.161590542e-09 lua = -1.769903496e-16 wua = 1.811493738e-16 pua = 1.474940401e-21 ++ ub = 3.631122076e-18 lub = -1.601350853e-25 wub = -2.409882253e-24 pub = 7.982810867e-31 ++ uc = 1.588360267e-10 luc = -9.434307180e-17 wuc = -5.153121542e-16 puc = 5.714675381e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 3.556774614e-03 lu0 = -1.427138838e-09 wu0 = -7.087228491e-09 pu0 = 1.071894251e-14 ++ a0 = 2.670256879e+00 la0 = -8.508945424e-07 wa0 = -2.676230509e-06 pa0 = 1.193087472e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 1.218875403e+00 lags = -4.890806979e-07 wags = -2.608836892e-06 pags = 2.028076221e-12 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {6.773703047e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.330880713e-06 wnfactor = -2.111867254e-05 pnfactor = 3.155974424e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.483574667e-02 lpdiblc2 = 4.075392618e-08 wpdiblc2 = 4.334727888e-08 ppdiblc2 = -8.448511689e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 3.774569402e-02 ldelta = -1.570033516e-08 wdelta = -1.276670774e-07 pdelta = 2.273442618e-13 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -8.543183066e-01 lkt1 = 2.812350014e-07 wkt1 = 9.630729214e-07 pkt1 = -1.105652475e-12 ++ kt2 = -2.450883591e-01 lkt2 = 2.081372267e-07 wkt2 = 4.529127907e-07 pkt2 = -4.503764790e-13 ++ at = -6.458038851e+05 lat = 9.258226854e-01 wat = 2.772365996e+00 pat = -3.051158569e-6 ++ ute = -6.691962966e-01 lute = 8.013216337e-07 wute = 3.042172006e-06 pute = -4.546221845e-12 ++ ua1 = 5.918468712e-10 lua1 = 4.932535128e-17 wua1 = 6.355500347e-16 pua1 = -6.319909545e-22 ++ ub1 = 4.839473348e-19 lub1 = -4.921159657e-25 wub1 = -2.467037119e-24 pub1 = 2.453221711e-30 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.22 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.211650916e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.789040322e-08 wvth0 = -6.474339260e-08 pvth0 = 1.177620033e-13 ++ k1 = 0.64774 ++ k2 = -4.217404180e-02 lk2 = -7.252219466e-09 wk2 = -1.047399277e-08 pk2 = 1.704363029e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.809084120e+04 lvsat = 1.164044575e-01 wvsat = 3.342245790e-01 pvsat = -3.661646974e-7 ++ ua = -3.860260525e-09 lua = 5.177670821e-16 wua = 3.307207888e-15 pua = -1.633612185e-21 ++ ub = 4.002440454e-18 lub = -5.293740804e-25 wub = -3.196211651e-24 pub = 1.580207040e-30 ++ uc = 7.475688874e-11 luc = -1.073477697e-17 wuc = 8.348977963e-17 puc = -2.398110499e-23 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 7.659748549e-04 lu0 = 1.348032443e-09 wu0 = 8.395580388e-09 pu0 = -4.677162639e-15 ++ a0 = 1.714069737e+00 la0 = 9.993795093e-08 wa0 = -1.918386880e-06 pa0 = 4.394877672e-13 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 1.057449275e+00 lags = -3.285585568e-07 wags = -8.755440330e-07 pags = 3.044898019e-13 ++ b0 = 1.436664019e-06 lb0 = -1.428618701e-12 wb0 = -1.046161472e-12 pb0 = 1.040302968e-18 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {4.071697472e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.061880156e-5 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -9.804184284e-03 lpdiblc2 = 3.575054054e-08 wpdiblc2 = -2.694381612e-08 ppdiblc2 = -1.458765203e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.953963187e-03 ldelta = 1.889656198e-08 wdelta = 1.263243228e-07 pdelta = -2.522478645e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.349831360e-01 lkt1 = -3.631189225e-08 wkt1 = -1.488060756e-07 pkt1 = -1.615587134e-27 ++ kt2 = -8.330096427e-03 lkt2 = -2.729518971e-08 wkt2 = -3.708649776e-08 pkt2 = 3.687881337e-14 ++ at = 5.138530430e+05 lat = -2.273401638e-01 wat = -6.148264673e-01 pat = 3.170656163e-7 ++ ute = 6.128402314e-01 lute = -4.735354898e-07 wute = -2.778350976e-06 pute = 1.241706208e-12 ++ ua1 = 3.894048800e-10 lua1 = 2.506336673e-16 ++ ub1 = 5.176959761e-19 lub1 = -5.256756146e-25 wub1 = 2.644831570e-25 pub1 = -2.630020513e-31 ++ uc1 = -2.284941472e-11 luc1 = 1.281623960e-17 wuc1 = 2.465190329e-32 puc1 = 1.175494351e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.23 pmos +* DC IV MOS Parameters ++ lmin = 3.5e-07 lmax = 5e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.077983629e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -3.449891392e-08 wvth0 = -1.848320861e-07 pvth0 = 1.771338534e-13 ++ k1 = 0.64774 ++ k2 = -5.005687440e-02 lk2 = -3.354947025e-09 wk2 = -9.307529680e-08 pk2 = 5.788171500e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 6.576482278e+05 lvsat = -1.997927144e-01 wvsat = -1.650280235e+00 pvsat = 6.149744828e-7 ++ ua = -2.883571214e-09 lua = 3.489188677e-17 wua = 1.173157339e-15 pua = -5.785375939e-22 ++ ub = 2.725739067e-18 lub = 1.018270854e-25 wub = -1.609212711e-24 pub = 7.955947642e-31 ++ uc = -1.338483519e-11 luc = 3.284249134e-17 wuc = 3.309291173e-16 puc = -1.463151135e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.516996829e-03 lu0 = 4.823271788e-10 wu0 = 1.552679318e-09 pu0 = -1.294032350e-15 ++ a0 = 5.712683402e+00 la0 = -1.876976645e-06 wa0 = -1.183205582e-05 pa0 = 5.340805693e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = -1.142113310e-01 lags = 2.507104469e-07 wags = 1.254052488e-06 pags = -7.483827182e-13 ++ b0 = -4.788880065e-06 lb0 = 1.649290294e-12 wb0 = 3.487204908e-12 pb0 = -1.200993370e-18 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {4.071697472e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.061880156e-5 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -3.097773221e-02 lpdiblc2 = 4.621874263e-08 wpdiblc2 = -1.484241202e-07 ppdiblc2 = 4.547221030e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 5.923589745e-02 ldelta = -8.929226321e-09 wdelta = -1.242424782e-07 pdelta = 9.865543992e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.801685910e-01 lkt1 = 3.546779672e-08 wkt1 = 2.202329919e-08 pkt1 = -8.445804291e-14 ++ kt2 = -8.081348924e-02 lkt2 = 8.540599695e-09 wkt2 = 1.236216592e-07 pkt2 = -4.257529943e-14 ++ at = 1.201648976e+05 lat = -3.270074473e-02 wat = 8.730273890e-02 pat = -3.006706328e-8 ++ ute = -2.220717825e-01 lute = -6.075499010e-08 wute = -8.794034317e-07 pute = 3.028665419e-13 ++ ua1 = 8.9635e-10 ++ ub1 = -4.223690402e-19 lub1 = -6.090747055e-26 wub1 = -8.816105234e-25 pub1 = 3.036266643e-31 ++ uc1 = 3.0734e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.44e-6 ++ sbref = 1.44e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.24 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.499316648e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 4.525770017e-8 ++ k1 = 0.64774 ++ k2 = -5.094469785e-02 wk2 = 1.210466172e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.845106338e-09 wua = -4.057773476e-17 ++ ub = 2.873731934e-18 wub = 6.527722548e-26 ++ uc = 5.912933226e-11 wuc = 5.375079648e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.832952674e-03 wu0 = -2.716473513e-10 ++ a0 = 1.879412537e+00 wa0 = -3.989114773e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.985572581e-01 wags = -1.214832690e-7 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.668905030e-03 wpdiblc2 = -1.257615736e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.448898624e-02 wdelta = -1.140881238e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.914995200e-01 wkt1 = -2.940415562e-8 ++ kt2 = -0.055045 ++ at = 2.869166035e+05 wat = -1.246736198e-2 ++ ute = -0.29175 ++ ua1 = 6.8217e-10 ++ ub1 = -1.5013e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.25 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.499316648e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 4.525770017e-8 ++ k1 = 0.64774 ++ k2 = -5.094469785e-02 wk2 = 1.210466172e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.845106338e-09 wua = -4.057773476e-17 ++ ub = 2.873731934e-18 wub = 6.527722548e-26 ++ uc = 5.912933226e-11 wuc = 5.375079648e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.832952674e-03 wu0 = -2.716473513e-10 ++ a0 = 1.879412537e+00 wa0 = -3.989114773e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.985572581e-01 wags = -1.214832690e-7 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.668905030e-03 wpdiblc2 = -1.257615736e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.448898624e-02 wdelta = -1.140881238e-8 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.914995200e-01 wkt1 = -2.940415562e-8 ++ kt2 = -0.055045 ++ at = 2.869166035e+05 wat = -1.246736198e-2 ++ ute = -0.29175 ++ ua1 = 6.8217e-10 ++ ub1 = -1.5013e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.26 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.703840235e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.635043363e-07 wvth0 = 5.126066980e-08 pvth0 = -4.799014046e-14 ++ k1 = 0.64774 ++ k2 = -5.617500129e-02 lk2 = 4.181313783e-08 wk2 = 1.569135093e-08 pk2 = -2.867342818e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.824985027e-09 lua = -1.608578060e-16 wua = -1.121474495e-17 pua = -2.347394857e-22 ++ ub = 2.914554195e-18 lub = -3.263494810e-25 wub = -5.657918221e-26 pub = 9.741688656e-31 ++ uc = 5.912933226e-11 wuc = 5.375079648e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 3.037702044e-03 lu0 = -1.636848358e-09 wu0 = -4.804167407e-10 pu0 = 1.668986006e-15 ++ a0 = 1.858357856e+00 la0 = 1.683195430e-07 wa0 = -4.702371158e-07 pa0 = 5.702056847e-13 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.658669565e-01 lags = 2.613393475e-07 wags = -1.377107253e-07 pags = 1.297287768e-13 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.767954761e-03 lpdiblc2 = -7.918431740e-10 wpdiblc2 = -4.490334099e-09 ppdiblc2 = 2.584364368e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.901431008e-02 ldelta = 4.376675113e-08 wdelta = -1.560184733e-08 pdelta = 3.352079856e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.916488307e-01 lkt1 = 1.193649236e-09 wkt1 = -5.876714543e-08 pkt1 = 2.347394857e-13 ++ kt2 = -0.055045 ++ at = 3.018023378e+05 lat = -1.190025141e-01 wat = -2.491726966e-02 pat = 9.952954194e-8 ++ ute = -4.502977220e-01 lute = 1.267493909e-6 ++ ua1 = 6.682694880e-10 lua1 = 1.111262531e-16 ++ ub1 = -1.537629899e-19 lub1 = 2.904357429e-26 wub1 = -7.336489818e-26 pub1 = 5.865083420e-31 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.27 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.407785307e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.524815609e-08 wvth0 = 5.395326185e-08 pvth0 = -5.874543012e-14 ++ k1 = 0.64774 ++ k2 = -4.215464154e-02 lk2 = -1.418978714e-08 wk2 = 9.740546259e-09 pk2 = -4.903534004e-15 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.840698611e-09 lua = -9.809146598e-17 wua = -1.432864504e-16 pua = 2.928077343e-22 ++ ub = 2.803138174e-18 lub = 1.186906738e-25 wub = 2.760029889e-25 pub = -3.542973585e-31 ++ uc = 7.363920701e-11 luc = -5.795824370e-17 wuc = -8.917843455e-18 puc = 5.709165204e-23 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.687485181e-03 lu0 = -2.379421229e-10 wu0 = -2.259049025e-10 pu0 = 6.523639198e-16 ++ a0 = 2.173045338e+00 la0 = -1.088668135e-06 wa0 = -4.852768404e-07 pa0 = 6.302803605e-13 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 4.386443858e-01 lags = -2.936281621e-08 wags = -1.732552957e-07 pags = 2.717080090e-13 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 7.195206015e-06 lpdiblc2 = 1.023573479e-08 wpdiblc2 = 5.542977376e-12 ppdiblc2 = 7.885312286e-15 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.213994084e-02 ldelta = 7.122573161e-08 wdelta = 8.975747882e-09 pdelta = -6.465194774e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.59135 ++ kt2 = -0.055045 ++ at = 3.038306520e+05 lat = -1.271044123e-1 ++ ute = -1.434315642e-01 lute = 4.174772792e-08 wute = 3.119842072e-08 pute = -1.246189717e-13 ++ ua1 = 6.9609e-10 ++ ub1 = -1.710349202e-19 lub1 = 9.803457293e-26 wub1 = 1.467297964e-25 pub1 = -2.926379059e-31 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.28 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-06 lmax = 2e-06 wmin = 1e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-3.813067111e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.336244111e-08 wvth0 = -1.173614650e-08 pvth0 = 7.226552589e-14 ++ k1 = 0.64774 ++ k2 = -3.363371117e-02 lk2 = -3.118393067e-08 wk2 = -8.120064724e-09 pk2 = 3.071766854e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.889882058e-09 wua = 3.528498675e-18 ++ ub = 2.862650144e-18 wub = 9.835690056e-26 ++ uc = 4.457871578e-11 wuc = 1.970813531e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.441714806e-03 lu0 = 2.522223145e-10 wu0 = 2.257672828e-10 pu0 = -2.484510865e-16 ++ a0 = 2.067482699e+00 la0 = -8.781340074e-07 wa0 = -6.029682700e-07 pa0 = 8.650041477e-13 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 1.529247686e-01 lags = 5.404763882e-07 wags = 2.299252068e-07 pags = -5.323951853e-13 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.782923056e-03 lpdiblc2 = 1.380594665e-08 wpdiblc2 = 1.077812241e-08 ppdiblc2 = -1.359952014e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 6.821660907e-02 ldelta = -4.061357551e-08 wdelta = -4.350031964e-08 pdelta = 4.000632133e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -0.59135 ++ kt2 = -0.055045 ++ at = 3.364990924e+05 lat = -1.922583498e-01 wat = -9.495773312e-02 pat = 1.893837029e-7 ++ ute = -1.224990893e-01 wute = -3.128602158e-8 ++ ua1 = 6.9609e-10 ++ ub1 = -1.2188e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.29 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 1.5e-06 wmin = 1e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-3.643340974e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.872631491e-08 wvth0 = -8.281899669e-08 pvth0 = 1.784917372e-13 ++ k1 = 0.64774 ++ k2 = -5.645174755e-02 lk2 = 2.915342883e-09 wk2 = -1.511068737e-10 pk2 = 1.880885793e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.206603053e-09 lua = 4.733078560e-16 wua = 3.155138821e-16 pua = -4.662309569e-22 ++ ub = 2.755493369e-18 lub = 1.601350853e-25 wub = 2.039114679e-25 pub = -1.577407455e-31 ++ uc = -5.239965021e-11 luc = 1.449244701e-16 wuc = 1.152364808e-16 puc = -1.427575595e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 4.500013503e-04 lu0 = 3.228638902e-09 wu0 = 2.186638826e-09 pu0 = -3.178777521e-15 ++ a0 = 1.862580881e+00 la0 = -5.719287314e-07 wa0 = -2.652788887e-07 pa0 = 3.603611364e-13 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.688342294e-01 lags = 2.178212901e-07 wags = -7.142318800e-08 pags = -8.206014406e-14 ++ b0 = 0.0 ++ b1 = 2.1073e-24 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {-1.699103047e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 6.330880713e-06 wnfactor = 4.173060348e-06 pnfactor = -6.236221385e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 3.452987237e-03 lpdiblc2 = 5.981402313e-09 wpdiblc2 = -1.124546971e-08 ppdiblc2 = 1.931253593e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.891008018e-03 ldelta = 5.700900270e-08 wdelta = -2.362416664e-08 pdelta = 1.030339828e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.276895105e-01 lkt1 = -9.513423545e-08 wkt1 = -1.192971288e-08 pkt1 = 1.782776293e-14 ++ kt2 = -9.336122080e-02 lkt2 = 5.725976036e-8 ++ at = 2.299094310e+05 lat = -3.297075991e-02 wat = 1.583197130e-01 pat = -1.891141126e-7 ++ ute = 5.937751710e-01 lute = -1.070400255e-06 wute = -7.278584479e-07 pute = 1.040957834e-12 ++ ua1 = 8.047580320e-10 lua1 = -1.623935070e-16 ++ ub1 = -3.425174720e-19 lub1 = 3.297206382e-25 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.30 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 1e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.780726907e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.437534228e-08 wvth0 = 1.051285223e-07 pvth0 = -8.403275717e-15 ++ k1 = 0.64774 ++ k2 = -5.238211477e-02 lk2 = -1.131499952e-09 wk2 = 1.999759504e-08 pk2 = -1.227011250e-15 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.238143166e+05 lvsat = -5.401244379e-05 wvsat = 1.863493020e-02 pvsat = -1.853057459e-8 ++ ua = -2.705835824e-09 lua = -2.465507672e-17 wua = -1.388052570e-16 pua = -1.445600502e-23 ++ ub = 2.955490879e-18 lub = -3.874243903e-26 wub = -7.101691666e-26 pub = 1.156480401e-31 ++ uc = 1.177368754e-10 luc = -2.425929097e-17 wuc = -4.480754372e-17 puc = 1.639021849e-23 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 4.002733598e-03 lu0 = -3.041980452e-10 wu0 = -1.266299825e-09 pu0 = 2.548246741e-16 ++ a0 = 8.076930383e-01 la0 = 4.770517396e-07 wa0 = 7.871910729e-07 pa0 = -6.862149934e-13 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 8.428720172e-01 lags = -2.535618861e-07 wags = -2.350206177e-07 pags = 8.062113999e-14 ++ b0 = 1.567506541e-06 lb0 = -1.558728504e-12 wb0 = -1.436732679e-12 pb0 = 1.428686976e-18 ++ b1 = 5.445233443e-08 lb1 = -5.414740135e-14 wb1 = -1.625428320e-13 pb1 = 1.616325921e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {4.667430253e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = -2.098280545e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -2.138041016e-02 lpdiblc2 = 3.067573269e-08 wpdiblc2 = 7.611773787e-09 ppdiblc2 = 5.608929947e-16 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 5.188371922e-02 ldelta = 8.290650686e-09 wdelta = -1.973334761e-08 pdelta = 6.434367834e-15 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.790899508e-01 lkt1 = -4.402163762e-08 wkt1 = -1.714511620e-08 pkt1 = 2.301395999e-14 ++ kt2 = -2.075418400e-02 lkt2 = -1.494067703e-8 ++ at = 3.280447319e+05 lat = -1.305565031e-01 wat = -6.017973988e-02 pat = 2.816174338e-8 ++ ute = -4.331209124e-01 lute = -4.925478926e-08 wute = 3.438932441e-07 pute = -2.479204861e-14 ++ ua1 = 3.894048800e-10 lua1 = 2.506336673e-16 ++ ub1 = 6.062986240e-19 lub1 = -6.137820877e-25 wub1 = -7.461634063e-41 pub1 = -1.094764425e-47 ++ uc1 = -2.284941472e-11 luc1 = 1.281623960e-17 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.31 pmos +* DC IV MOS Parameters ++ lmin = 3.5e-07 lmax = 5e-07 wmin = 1e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-5.458335523e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.787631223e-08 wvth0 = 2.272095801e-07 pvth0 = -6.876015069e-14 ++ k1 = 0.64774 ++ k2 = -9.288085283e-02 lk2 = 1.889107615e-08 wk2 = 3.475633437e-08 pk2 = -8.523731978e-15 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.027510387e+05 lvsat = 1.035967218e-02 wvsat = 6.114509478e-03 pvsat = -1.234047859e-8 ++ ua = -2.321180996e-09 lua = -2.148284235e-16 wua = -5.056044559e-16 pua = 1.668895189e-22 ++ ub = 2.016844244e-18 lub = 4.253244576e-25 wub = 5.068723631e-25 pub = -1.700604198e-31 ++ uc = 1.174942768e-10 luc = -2.413935022e-17 wuc = -5.975131428e-17 puc = 2.377841866e-23 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 3.487838784e-03 lu0 = -4.963404919e-11 wu0 = -1.345330520e-09 pu0 = 2.938974495e-16 ++ a0 = 2.037631515e+00 la0 = -1.310298433e-07 wa0 = -8.618495389e-07 pa0 = 1.290706850e-13 ++ keta = -1.172621950e-02 lketa = -4.221090809e-10 wketa = -2.548575784e-09 pketa = 1.260015868e-15 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.300041246e-01 wags = -7.195196881e-8 ++ b0 = -5.423438130e-06 lb0 = 1.897594541e-12 wb0 = 5.381391191e-12 pb0 = -1.942193465e-18 ++ b1 = 5.127462474e-08 lb1 = -5.257634168e-14 wb1 = -1.530572160e-13 pb1 = 1.569429036e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {4.667430253e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = -2.098280545e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -9.666541752e-02 lpdiblc2 = 6.789664033e-08 wpdiblc2 = 4.765677346e-08 ppdiblc2 = -1.923735485e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = -1.535133613e-02 ldelta = 4.153166205e-08 wdelta = 9.840399425e-08 pdelta = -5.197273398e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.776655255e-01 lkt1 = 4.714126516e-09 wkt1 = 1.455152853e-08 pkt1 = 7.343138832e-15 ++ kt2 = -7.256047259e-02 lkt2 = 1.067235205e-08 wkt2 = 9.898600835e-08 pkt2 = -4.893868253e-14 ++ at = 1.510890536e+05 lat = -4.306961576e-02 wat = -5.007351278e-03 pat = 8.845144503e-10 ++ ute = -6.305393711e-01 lute = 4.834889671e-08 wute = 3.398919266e-07 pute = -2.281379724e-14 ++ ua1 = 7.005119767e-10 lua1 = 9.682231872e-17 wua1 = 5.845858998e-16 pua1 = -2.890192689e-22 ++ ub1 = -3.029774256e-19 lub1 = -1.642360088e-25 wub1 = -1.238000224e-24 pub1 = 6.120673106e-31 ++ uc1 = 3.352294451e-11 luc1 = -1.505425481e-17 wuc1 = -9.089335194e-17 puc1 = 4.493767320e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.44e-6 ++ sbref = 1.44e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.32 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 5.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.347807959e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 3.033336706e-8 ++ k1 = 0.64774 ++ k2 = -5.090782910e-02 wk2 = 1.206834424e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.714727941e-09 wua = -1.690067132e-16 ++ ub = 2.777107609e-18 wub = 1.604568241e-25 ++ uc = 6.996144891e-11 wuc = -5.295075195e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.894566623e-03 wu0 = -3.323400480e-10 ++ a0 = 1.177846303e+00 wa0 = 2.921649386e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 2.878571328e-01 wags = -1.243833191e-8 ++ b0 = 9.975197113e-08 wb0 = -9.826047966e-14 ++ b1 = -2.427691125e-07 wb1 = 2.391392288e-13 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.532779657e-03 wpdiblc2 = -1.123525710e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.211393996e-02 wdelta = 7.812022019e-10 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.580954364e-01 wkt1 = -6.230878132e-8 ++ kt2 = -0.055045 ++ at = 2.648074853e+05 wat = 9.311180667e-3 ++ ute = -2.986818441e-01 wute = 6.828199156e-9 ++ ua1 = 6.8217e-10 ++ ub1 = -1.5013e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.33 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 5.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.347807959e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} wvth0 = 3.033336706e-8 ++ k1 = 0.64774 ++ k2 = -5.090782910e-02 wk2 = 1.206834424e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.714727941e-09 wua = -1.690067132e-16 ++ ub = 2.777107609e-18 wub = 1.604568241e-25 ++ uc = 6.996144891e-11 wuc = -5.295075195e-18 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.894566623e-03 wu0 = -3.323400480e-10 ++ a0 = 1.177846303e+00 wa0 = 2.921649386e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 2.878571328e-01 wags = -1.243833191e-8 ++ b0 = 9.975197113e-08 wb0 = -9.826047966e-14 ++ b1 = -2.427691125e-07 wb1 = 2.391392288e-13 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 2.532779657e-03 wpdiblc2 = -1.123525710e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.211393996e-02 wdelta = 7.812022019e-10 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.580954364e-01 wkt1 = -6.230878132e-8 ++ kt2 = -0.055045 ++ at = 2.648074853e+05 wat = 9.311180667e-3 ++ ute = -2.986818441e-01 wute = 6.828199156e-9 ++ ua1 = 6.8217e-10 ++ ub1 = -1.5013e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.34 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.523512713e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 1.404654087e-07 wvth0 = 3.349754335e-08 pvth0 = -2.529569095e-14 ++ k1 = 0.64774 ++ k2 = -5.105819348e-02 lk2 = 1.202073004e-09 wk2 = 1.065104963e-08 pk2 = 1.133042001e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.653280831e-09 lua = -4.912327788e-16 wua = -1.803516197e-16 pua = 9.069572049e-23 ++ ub = 2.632245133e-18 lub = 1.158088580e-24 wub = 2.215087951e-25 pub = -4.880738773e-31 ++ uc = 6.354273773e-11 luc = 5.131374465e-17 wuc = 1.027663415e-18 puc = -5.054650154e-23 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.753211559e-03 lu0 = 1.130048925e-09 wu0 = -2.001799574e-10 pu0 = -1.056540628e-15 ++ a0 = 9.322933621e-01 la0 = 1.963048428e-06 wa0 = 4.419808616e-07 pa0 = -1.197688414e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 1.488204165e-01 lags = 1.111515125e-06 wags = 7.609053483e-08 pags = -7.077351723e-13 ++ b0 = 2.337809434e-08 lb0 = 6.105633206e-13 wb0 = -2.302854508e-14 pb0 = -6.014341778e-19 ++ b1 = -2.450250414e-07 lb1 = 1.803479742e-14 wb1 = 2.413614269e-13 pb1 = -1.776514113e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.294702722e-03 lpdiblc2 = 3.059842513e-08 wpdiblc2 = -4.884214698e-10 ppdiblc2 = -5.077277334e-15 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = -3.869901880e-03 ldelta = 1.277812252e-07 wdelta = 6.940199893e-09 pdelta = -4.923749114e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.880771831e-01 lkt1 = 2.396860752e-07 wkt1 = -6.228538976e-08 pkt1 = -1.870014855e-16 ++ kt2 = -0.055045 ++ at = 2.543498940e+05 lat = 8.360216782e-02 wat = 2.182566515e-02 pat = -1.000457948e-7 ++ ute = -4.199426246e-01 lute = 9.694071834e-07 wute = -2.990122802e-08 pute = 2.936297326e-13 ++ ua1 = 6.682694880e-10 lua1 = 1.111262531e-16 ++ ub1 = -2.875736061e-19 lub1 = 1.098779165e-24 wub1 = 5.844498170e-26 pub1 = -4.672325617e-31 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.35 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-3.941652697e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -9.195275628e-08 wvth0 = 8.036962248e-09 pvth0 = 7.640405420e-14 ++ k1 = 0.64774 ++ k2 = -4.036565549e-02 lk2 = -4.150820077e-08 wk2 = 7.978309123e-09 pk2 = 2.200641471e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.897261180e-09 lua = 4.833223284e-16 wua = -8.756960455e-17 pua = -2.799127610e-22 ++ ub = 3.077949920e-18 lub = -6.222346207e-25 wub = 5.300228552e-27 pub = 3.755496210e-31 ++ uc = 7.638915888e-11 wuc = -1.162667805e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.848898575e-03 lu0 = 7.478367087e-10 wu0 = -3.849048426e-10 pu0 = -3.186755467e-16 ++ a0 = 1.669704516e+00 la0 = -9.824666848e-07 wa0 = 1.053802933e-08 pa0 = 5.256668347e-13 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.880229570e-01 lags = 1.560444972e-07 wags = -1.233907585e-07 pags = 8.907290577e-14 ++ b0 = 2.274418517e-07 lb0 = -2.045489517e-13 wb0 = -2.240411411e-13 pb0 = 2.014905358e-19 ++ b1 = -2.396919112e-07 lb1 = -3.267857761e-15 wb1 = 2.361080377e-13 pb1 = 3.218996752e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 1.212501191e-03 lpdiblc2 = 2.058364982e-08 wpdiblc2 = -1.181741272e-09 ppdiblc2 = -2.307880715e-15 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 3.276109190e-02 ldelta = -1.853761633e-08 wdelta = -1.133707573e-08 pdelta = 2.376925862e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.280716565e-01 wkt1 = -6.233220568e-8 ++ kt2 = -0.055045 ++ at = 3.008993547e+05 lat = -1.023349977e-01 wat = 2.887468569e-03 pat = -2.439906233e-8 ++ ute = -1.307996642e-01 lute = -1.855454574e-07 wute = 1.875539293e-08 pute = 9.927572590e-14 ++ ua1 = 6.9609e-10 ++ ub1 = 9.658631218e-20 lub1 = -4.357092130e-25 wub1 = -1.168899634e-25 pub1 = 2.331253430e-31 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.36 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-06 lmax = 2e-06 wmin = 5.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.402707431e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} wvth0 = 4.634625537e-8 ++ k1 = 0.64774 ++ k2 = -6.117803052e-02 wk2 = 1.901241196e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -2.654921465e-09 wua = -2.279189633e-16 ++ ub = 2.765959035e-18 wub = 1.936022848e-25 ++ uc = 7.638915888e-11 wuc = -1.162667805e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 3.223866840e-03 wu0 = -5.446900144e-10 ++ a0 = 1.177091858e+00 wa0 = 2.741094467e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 4.662642812e-01 wags = -7.872925339e-8 ++ b0 = 1.248802032e-07 wb0 = -1.230129944e-13 ++ b1 = -2.413304279e-07 wb1 = 2.377220554e-13 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 1.153322412e-02 wpdiblc2 = -2.338921735e-9 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.346625820e-02 wdelta = 5.809239762e-10 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -5.280716565e-01 wkt1 = -6.233220568e-8 ++ kt2 = -0.055045 ++ at = 2.495881845e+05 wat = -9.346317198e-3 ++ ute = -2.238328859e-01 wute = 6.853263215e-8 ++ ua1 = 6.9609e-10 ++ ub1 = -1.2188e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.37 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 1.5e-06 wmin = 5.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-5.932775357e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 2.286533508e-07 wvth0 = 1.427012793e-07 pvth0 = -1.439929477e-13 ++ k1 = 0.64774 ++ k2 = -1.153577372e-01 lk2 = 8.096615360e-08 wk2 = 5.787412039e-08 pk2 = -5.807493707e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 5.213874296e+04 lvsat = 1.070308065e-01 wvsat = 7.055037601e-02 pvsat = -1.054304819e-7 ++ ua = -1.915487792e-09 lua = -1.105009680e-15 wua = -9.562966236e-16 pua = 1.088487576e-21 ++ ub = 1.970246112e-18 lub = 1.189113391e-24 wub = 9.774177076e-25 pub = -1.171333768e-30 ++ uc = 1.198636970e-10 luc = -6.496834977e-17 wuc = -5.445118488e-17 puc = 6.399694301e-23 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 4.937686227e-03 lu0 = -2.561131692e-09 wu0 = -2.233946186e-09 pu0 = 2.524424423e-15 ++ a0 = 6.019258173e-01 la0 = 8.595281315e-07 wa0 = 9.765268607e-07 pa0 = -1.049692584e-12 ++ keta = -1.079467086e-02 lketa = -2.667995871e-09 wketa = -1.758634901e-09 pketa = 2.628103996e-15 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 6.260080992e-01 lags = -2.387211616e-07 wags = -3.247517941e-07 pags = 3.676560849e-13 ++ b0 = 3.134275134e-07 lb0 = -2.817651003e-13 wb0 = -3.087411452e-13 pb0 = 2.775521485e-19 ++ b1 = 9.097726002e-08 lb1 = -4.966006089e-13 wb1 = -8.961696803e-14 pb1 = 4.891754365e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 4.858378491e-03 lpdiblc2 = 9.974889315e-09 wpdiblc2 = -1.262984755e-08 ppdiblc2 = 1.537875954e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = -5.925143684e-02 ldelta = 1.236133235e-07 wdelta = 3.758912439e-08 pdelta = -5.530505469e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -2.582625170e-01 lkt1 = -4.032027781e-07 wkt1 = -2.773282340e-07 pkt1 = 3.212900647e-13 ++ kt2 = -2.105544821e-01 lkt2 = 2.323933701e-07 wkt2 = 1.154409877e-07 pkt2 = -1.725150120e-13 ++ at = 2.918183708e+05 lat = -6.310879036e-02 wat = 9.733643574e-02 pat = -1.594267060e-7 ++ ute = -2.139097634e-01 lute = -1.482911439e-08 wute = 6.774998138e-08 pute = 1.169593302e-15 ++ ua1 = 9.979992208e-10 lua1 = -4.511731395e-16 wua1 = -1.903518465e-16 pua1 = 2.844617994e-22 ++ ub1 = -5.388043613e-19 lub1 = 6.230517656e-25 wub1 = 1.933520078e-25 pub1 = -2.889452404e-31 ++ uc1 = 8.453375214e-11 luc1 = -1.412129576e-16 wuc1 = -9.308186660e-17 puc1 = 1.391015414e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.38 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 5.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.019187402e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 3.836616461e-08 wvth0 = 3.011322573e-08 pvth0 = -3.203538728e-14 ++ k1 = 0.64774 ++ k2 = -2.920573114e-02 lk2 = -4.703401191e-09 wk2 = -2.832255306e-09 pk2 = 2.291482921e-15 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.863063691e+05 lvsat = -2.638548087e-02 wvsat = -4.292274108e-02 pvsat = 7.407185722e-9 ++ ua = -3.101914627e-09 lua = 7.477316359e-17 wua = 2.513513751e-16 pua = -1.123975943e-22 ++ ub = 3.331728366e-18 lub = -1.647445622e-25 wub = -4.416289008e-25 pub = 2.397661796e-31 ++ uc = 6.494258832e-11 luc = -1.035479929e-17 wuc = 7.197363225e-18 puc = 2.693626773e-24 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.463957687e-03 lu0 = -1.012560321e-10 wu0 = 2.494683082e-10 pu0 = 5.491704999e-17 ++ a0 = 1.766488568e+00 la0 = -2.985130682e-07 wa0 = -1.572685465e-07 pa0 = 7.775356940e-14 ++ keta = -1.347769164e-02 wketa = 8.842693590e-10 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 7.992175134e-01 lags = -4.109606030e-07 wags = -1.920188360e-07 pags = 2.356664313e-13 ++ b0 = 8.752903572e-08 lb0 = -5.713165414e-14 wb0 = 2.111620238e-14 pb0 = -5.045799788e-20 ++ b1 = -5.701314862e-07 lb1 = 1.608059283e-13 wb1 = 4.527022113e-13 pb1 = -5.010675540e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = 1.300374711e-02 lpdiblc2 = 1.875134762e-09 wpdiblc2 = -2.625827157e-08 ppdiblc2 = 2.893086438e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 3.609779515e-02 ldelta = 2.879804718e-08 wdelta = -4.183454679e-09 pdelta = -1.376640207e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.582333260e-01 lkt1 = -5.471805645e-09 wkt1 = 6.081490721e-08 pkt1 = -1.495947489e-14 ++ kt2 = 5.603687518e-02 lkt2 = -3.270507562e-08 wkt2 = -7.564287926e-08 pkt2 = 1.749878530e-14 ++ at = 4.406018237e+05 lat = -2.110590560e-01 wat = -1.710538781e-01 pat = 1.074606221e-7 ++ ute = -6.499298767e-02 lute = -1.629119561e-07 wute = -1.873043193e-08 pute = 8.716571630e-14 ++ ua1 = -7.440364279e-12 lua1 = 5.486359839e-16 wua1 = 3.909116142e-16 pua1 = -2.935465859e-22 ++ ub1 = 1.221374061e-18 lub1 = -1.127269657e-24 wub1 = -6.058788287e-25 pub1 = 5.058099033e-31 ++ uc1 = -8.568713394e-11 luc1 = 2.805469152e-17 wuc1 = 6.189816964e-17 puc1 = -1.501060659e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.39 pmos +* DC IV MOS Parameters ++ lmin = 3.5e-07 lmax = 5e-07 wmin = 5.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-1.782289999e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -7.222604304e-08 wvth0 = -1.348985490e-07 pvth0 = 4.954643417e-14 ++ k1 = 0.64774 ++ k2 = -4.695523411e-02 lk2 = 4.071953082e-09 wk2 = -1.048260450e-08 pk2 = 6.073815561e-15 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.729186447e+05 lvsat = -1.976658997e-02 wvsat = -6.300395056e-02 pvsat = 1.733533569e-8 ++ ua = -3.076683126e-09 lua = 6.229870963e-17 wua = 2.386014056e-16 pua = -1.060940094e-22 ++ ub = 2.612994519e-18 lub = 1.905974519e-25 wub = -8.036427329e-26 pub = 6.115694775e-32 ++ uc = 1.607392219e-11 luc = 1.380586924e-17 wuc = 4.015260322e-17 puc = -1.359944388e-23 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 9.335311068e-04 lu0 = 6.553868693e-10 wu0 = 1.170785149e-09 pu0 = -4.005819962e-16 ++ a0 = 1.1627 ++ keta = -1.727227166e-02 lketa = 1.876040360e-09 wketa = 2.914551807e-09 pketa = -1.003771642e-15 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = -7.446080985e-01 lags = 3.523067795e-07 wags = 9.865926523e-07 pags = -3.470390885e-13 ++ b0 = 7.894683512e-07 lb0 = -4.041704517e-13 wb0 = -7.386199123e-13 pb0 = 3.251555372e-19 ++ b1 = -1.841702328e-06 lb1 = 7.894705525e-13 wb1 = 1.711615945e-12 pb1 = -6.725137054e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.030097 ++ pdiblc1 = 0.0 ++ pdiblc2 = -2.703778191e-03 lpdiblc2 = 9.640935270e-09 wpdiblc2 = -4.489995143e-08 ppdiblc2 = 3.814731091e-14 ++ pdiblcb = -0.025 ++ drout = 0.43496 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.509909476e-01 ldelta = -2.800512739e-08 wdelta = -6.545113965e-08 pdelta = 1.652434138e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -7.924373247e-01 lkt1 = 6.087865133e-08 wkt1 = 1.276072598e-07 pkt1 = -4.798161401e-14 ++ kt2 = 1.626020472e-01 lkt2 = -8.539089664e-08 wkt2 = -1.326603614e-07 pkt2 = 4.568822846e-14 ++ at = -4.433949910e+04 lat = 2.869593405e-02 wat = 1.874991537e-01 pat = -6.980799686e-8 ++ ute = -8.127409949e-01 lute = 2.067746586e-07 wute = 5.193692717e-07 pute = -1.788707772e-13 ++ ua1 = 1.972651465e-09 lua1 = -4.303214166e-16 wua1 = -6.685325589e-16 pua1 = 2.302426133e-22 ++ ub1 = -2.955731024e-18 lub1 = 9.378910967e-25 wub1 = 1.375089403e-24 pub1 = -4.735807904e-31 ++ uc1 = -1.642735167e-10 luc1 = 6.690779914e-17 wuc1 = 1.039456565e-16 puc1 = -3.579888411e-23 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.44e-6 ++ sbref = 1.44e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.40 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-3.478440006e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} wvth0 = -1.618199141e-8 ++ k1 = 0.64774 ++ k2 = -2.013907286e-02 wk2 = -4.394417249e-9 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.354638400e-09 wua = 1.733760978e-16 ++ ub = 3.679898369e-18 wub = -3.225795667e-25 ++ uc = 5.987805477e-11 wuc = 1.000246718e-19 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.061043755e-03 wu0 = 1.136346955e-10 ++ a0 = 2.042018468e+00 wa0 = -1.702086499e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 6.141664240e-01 wags = -1.870294655e-7 ++ b0 = 6.018892086e-08 wb0 = -7.709234874e-14 ++ b1 = 1.270027772e-07 wb1 = 4.129351869e-14 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.524491454e-01 wpclm = 2.581853500e-7 ++ pdiblc1 = 0.0 ++ pdiblc2 = 1.367614996e-03 wpdiblc2 = -5.001066884e-10 ++ pdiblcb = -0.025 ++ drout = 4.620670585e-01 wdrout = -1.450357742e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.031492148e-02 wdelta = 1.743763446e-9 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -8.614952308e-01 wkt1 = 1.000246718e-7 ++ kt2 = -0.055045 ++ at = 2.574397569e+05 wat = 1.325326902e-2 ++ ute = -6.244466554e-01 wute = 1.811280099e-7 ++ ua1 = 6.8217e-10 ++ ub1 = -7.061596185e-20 wub1 = -4.254382709e-26 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.41 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-3.243608117e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -4.695322724e-07 wvth0 = -2.874662467e-08 pvth0 = 2.512223033e-13 ++ k1 = 0.64774 ++ k2 = -1.514593992e-02 lk2 = -9.983469732e-08 wk2 = -7.065983044e-09 pk2 = 5.341635513e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.354638400e-09 wua = 1.733760978e-16 ++ ub = 3.679898369e-18 wub = -3.225795667e-25 ++ uc = 5.987805477e-11 wuc = 1.000246718e-19 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.136018588e-03 lu0 = -1.499076810e-09 wu0 = 7.351956082e-11 pu0 = 8.020780492e-16 ++ a0 = 1.691430168e+00 la0 = 7.009802693e-06 wa0 = 1.737291849e-08 pa0 = -3.750580911e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 6.141664240e-01 wags = -1.870294655e-7 ++ b0 = 2.194793063e-07 lb0 = -3.184915682e-12 wb0 = -1.623203509e-13 pb0 = 1.704082766e-18 ++ b1 = 1.229343754e-07 lb1 = 8.134525327e-14 wb1 = 4.347030894e-14 pb1 = -4.352361507e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.524491454e-01 wpclm = 2.581853500e-7 ++ pdiblc1 = 0.0 ++ pdiblc2 = 3.630289878e-03 lpdiblc2 = -4.524082665e-08 wpdiblc2 = -1.710746358e-09 ppdiblc2 = 2.420601382e-14 ++ pdiblcb = -0.025 ++ drout = 4.620670585e-01 wdrout = -1.450357742e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.189948808e-02 ldelta = -2.316264584e-07 wdelta = -4.454535745e-09 pdelta = 1.239312733e-13 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -8.614952308e-01 wkt1 = 1.000246718e-7 ++ kt2 = -0.055045 ++ at = 2.233565131e+05 lat = 6.814740096e-01 wat = 3.148944044e-02 pat = -3.646213059e-7 ++ ute = -6.244466554e-01 wute = 1.811280099e-7 ++ ua1 = 6.8217e-10 ++ ub1 = -1.764370963e-20 lub1 = -1.059148400e-24 wub1 = -7.088652469e-26 pub1 = 5.666952330e-31 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.42 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-4.492072512e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 5.285401037e-07 wvth0 = 3.181534166e-08 pvth0 = -2.329342803e-13 ++ k1 = 0.64774 ++ k2 = -4.463150842e-02 lk2 = 1.358847315e-07 wk2 = 7.212464642e-09 pk2 = -6.073126705e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.406803156e-09 lua = 4.170259269e-16 wua = 2.228189933e-16 pua = -3.952662835e-22 ++ ub = 4.024686478e-18 lub = -2.756374060e-24 wub = -5.235141620e-25 pub = 1.606351529e-30 ++ uc = 1.008054692e-10 luc = -3.271901221e-16 wuc = -1.890968655e-17 puc = 1.519712354e-22 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.686015463e-03 lu0 = -5.895971827e-09 wu0 = -1.642268209e-10 pu0 = 2.702717723e-15 ++ a0 = 2.920394094e+00 la0 = -2.815026516e-06 wa0 = -6.217484589e-07 pa0 = 1.358811028e-12 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 7.229168068e-01 lags = -8.693940601e-07 wags = -2.310785906e-07 pags = 3.521463253e-13 ++ b0 = -1.298512293e-07 lb0 = -3.922276485e-13 wb0 = 5.895649806e-14 pb0 = -6.489287536e-20 ++ b1 = 2.069423730e-07 lb1 = -5.902482824e-13 wb1 = -4.628341615e-16 pb1 = 3.076955042e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.524491454e-01 wpclm = 2.581853500e-7 ++ pdiblc1 = 0.0 ++ pdiblc2 = 1.563549316e-03 lpdiblc2 = -2.871847591e-08 wpdiblc2 = -2.017723507e-09 ppdiblc2 = 2.666011193e-14 ++ pdiblcb = -0.025 ++ drout = 4.620670585e-01 wdrout = -1.450357742e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = -4.113715150e-03 ldelta = -2.366650655e-08 wdelta = 7.070651696e-09 pdelta = 3.179431485e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -9.847750125e-01 lkt1 = 9.855478870e-07 wkt1 = 1.499669905e-07 pkt1 = -3.992588722e-13 ++ kt2 = -0.055045 ++ at = 3.478857935e+05 lat = -3.140628697e-01 wat = -2.822053080e-02 pat = 1.127240882e-7 ++ ute = -1.152407130e+00 lute = 4.220727217e-06 wute = 3.620024406e-07 pute = -1.445982549e-12 ++ ua1 = 6.682694880e-10 lua1 = 1.111262531e-16 ++ ub1 = -1.783404500e-19 lub1 = 2.255256215e-25 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.43 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-3.566101092e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = 1.586700798e-07 wvth0 = -1.205685125e-08 pvth0 = -5.769119301e-14 ++ k1 = 0.64774 ++ k2 = -1.521082292e-02 lk2 = 1.836674536e-08 wk2 = -5.480733731e-09 pk2 = -1.002955547e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.261358213e-09 lua = -1.639393526e-16 wua = 1.072397848e-16 pua = 6.640330687e-23 ++ ub = 3.252542285e-18 lub = 3.278787051e-25 wub = -8.811506757e-26 pub = -1.328066137e-31 ++ uc = 1.889326160e-11 wuc = 1.913638680e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 1.207947312e-03 lu0 = 8.023598006e-12 wu0 = 4.930828488e-10 pu0 = 7.715997855e-17 ++ a0 = 2.005615615e+00 la0 = 8.389646433e-07 wa0 = -1.691905321e-07 pa0 = -4.488863545e-13 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 3.434268171e-01 lags = 6.464407545e-07 wags = -9.952968307e-08 pags = -1.733126309e-13 ++ b0 = -3.687375558e-07 lb0 = 5.619798943e-13 wb0 = 9.494345851e-14 pb0 = -2.086391902e-19 ++ b1 = -6.948688381e-09 lb1 = 2.641181731e-13 wb1 = 1.115792419e-13 pb1 = -1.398453643e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.524491454e-01 wpclm = 2.581853500e-7 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.691889235e-02 lpdiblc2 = 4.510778909e-08 wpdiblc2 = 8.519424580e-09 ppdiblc2 = -1.542947238e-14 ++ pdiblcb = -0.025 ++ drout = 4.620670585e-01 wdrout = -1.450357742e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = -4.848766842e-02 ldelta = 1.535808124e-07 wdelta = 3.213491098e-08 pdelta = -6.832236244e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -6.927731921e-01 lkt1 = -1.808241842e-07 wkt1 = 2.579102155e-08 pkt1 = 9.674961811e-14 ++ kt2 = -0.055045 ++ at = 3.062960080e+05 lat = -1.479366304e-1 ++ ute = -1.433581237e-01 lute = 1.901818668e-07 wute = 2.547477154e-08 pute = -1.017564275e-13 ++ ua1 = 6.9609e-10 ++ ub1 = -1.2188e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.44 pmos +* DC IV MOS Parameters ++ lmin = 1.5e-06 lmax = 2e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-2.770523074e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} wvth0 = -4.098344221e-8 ++ k1 = 0.64774 ++ k2 = -6.001664603e-03 wk2 = -1.050959227e-8 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123760.0 ++ ua = -3.343558049e-09 wua = 1.405346639e-16 ++ ub = 3.416941957e-18 wub = -1.547048258e-25 ++ uc = 1.889326160e-11 wuc = 1.913638680e-17 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 1.211970375e-03 wu0 = 5.317711653e-10 ++ a0 = 2.426275785e+00 wa0 = -3.942639148e-7 ++ keta = -0.01258 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 6.675547526e-01 wags = -1.864293175e-7 ++ b0 = -8.695862769e-08 wb0 = -9.669051610e-15 ++ b1 = 1.254812018e-07 wb1 = 4.146022647e-14 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.524491454e-01 wpclm = 2.581853500e-7 ++ pdiblc1 = 0.0 ++ pdiblc2 = 5.698330418e-03 wpdiblc2 = 7.830264727e-10 ++ pdiblcb = -0.025 ++ drout = 4.620670585e-01 wdrout = -1.450357742e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 2.851835465e-02 wdelta = -2.122190121e-9 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -7.834391489e-01 wkt1 = 7.430166039e-8 ++ kt2 = -0.055045 ++ at = 232120.0 ++ ute = -4.800018806e-02 wute = -2.554630119e-8 ++ ua1 = 6.9609e-10 ++ ub1 = -1.2188e-19 ++ uc1 = -9.961e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.45 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 1.5e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-2.069790807e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -1.047174300e-07 wvth0 = -6.398693646e-08 pvth0 = 3.437642181e-14 ++ k1 = 0.64774 ++ k2 = 1.968102556e-02 lk2 = -3.838021218e-08 wk2 = -1.437809953e-08 pk2 = 5.781097254e-15 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 3.716797359e+05 lvsat = -3.704912534e-01 wvsat = -1.004193932e-01 pvsat = 1.500667412e-7 ++ ua = -4.494026749e-09 lua = 1.719260425e-15 wua = 4.233454880e-16 pua = -4.226324955e-22 ++ ub = 5.192268265e-18 lub = -2.653047635e-24 wub = -7.465188011e-25 pub = 8.844068047e-31 ++ uc = -7.809398269e-11 luc = 1.449377379e-16 wuc = 5.146567573e-17 puc = -4.831288937e-23 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.384305083e-04 lu0 = 1.454857977e-09 wu0 = 2.803811874e-10 pu0 = 3.756771829e-16 ++ a0 = 4.443521928e+00 la0 = -3.014572636e-06 wa0 = -1.078911455e-06 pa0 = 1.023137284e-12 ++ keta = -1.875998549e-02 lketa = 9.235370321e-09 wketa = 2.503190764e-09 pketa = -3.740768278e-15 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = -9.074681559e-01 lags = 2.353714235e-06 wags = 4.957316092e-07 pags = -1.019421289e-12 ++ b0 = -1.221855074e-06 lb0 = 1.695989250e-12 wb0 = 5.127087328e-13 pb0 = -7.806413610e-19 ++ b1 = 2.928947411e-07 lb1 = -2.501827931e-13 wb1 = -1.976525125e-13 pb1 = 3.573300771e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.524491454e-01 wpclm = 2.581853500e-7 ++ pdiblc1 = 0.0 ++ pdiblc2 = -4.490180179e-02 lpdiblc2 = 7.561683757e-08 wpdiblc2 = 1.399423738e-08 ppdiblc2 = -1.974283358e-14 ++ pdiblcb = -0.025 ++ drout = 4.620670585e-01 wdrout = -1.450357742e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.936825423e-02 ldelta = 1.367391006e-08 wdelta = -4.476184084e-09 pdelta = 3.517808579e-15 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -1.172057365e+00 lkt1 = 5.807510617e-07 wkt1 = 2.115958717e-07 pkt1 = -2.051724694e-13 ++ kt2 = 5.203707200e-03 lkt2 = -9.003566804e-8 ++ at = 9.767182471e+05 lat = -1.112727620e+00 wat = -2.691178733e-01 pat = 4.021697498e-7 ++ ute = -1.800541895e-01 lute = 1.973414998e-07 wute = 4.963562433e-08 pute = -1.123518695e-13 ++ ua1 = 3.733623339e-10 lua1 = 4.822842242e-16 wua1 = 1.438588705e-16 pua1 = -2.149826961e-22 ++ ub1 = -4.568487561e-19 lub1 = 5.005773090e-25 wub1 = 1.495018251e-25 pub1 = -2.234155274e-31 ++ uc1 = -8.943543680e-11 luc1 = 1.187665984e-16 wuc1 = -6.162975822e-33 puc1 = 1.175494351e-38 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 2.74e-6 ++ sbref = 2.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.46 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 1e-06 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-1.629749793e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope2/sqrt(l*w*mult))} lvth0 = -1.484751085e-07 wvth0 = -9.773315568e-08 pvth0 = 6.793366220e-14 ++ k1 = 0.64774 ++ k2 = 1.819576475e-02 lk2 = -3.690326883e-08 wk2 = -2.819433088e-08 pk2 = 1.951995770e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.353921311e+05 lvsat = -1.355268591e-01 wvsat = -1.568117988e-02 pvsat = 6.580306187e-8 ++ ua = -2.759653720e-09 lua = -5.400115163e-18 wua = 6.822536163e-17 pua = -6.950104183e-23 ++ ub = 2.124083669e-18 lub = 3.979551280e-25 wub = 2.045189795e-25 pub = -6.130516426e-32 ++ uc = 8.818359686e-11 luc = -2.040868724e-17 wuc = -5.237691916e-18 puc = 8.072939411e-24 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 5.449906252e-04 lu0 = 1.150014597e-09 wu0 = 1.276207797e-09 pu0 = -6.145727974e-16 ++ a0 = 3.281140972e+00 la0 = -1.858701013e-06 wa0 = -9.676802856e-07 pa0 = 9.125290091e-13 ++ keta = -9.472605846e-03 wketa = -1.258643787e-9 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 1.337411384e+00 lags = 1.214060196e-07 wags = -4.799783903e-07 pags = -4.917526545e-14 ++ b0 = 1.107522025e-06 lb0 = -6.203433373e-13 wb0 = -5.246290063e-13 pb0 = 2.508872868e-19 ++ b1 = -9.415855486e-07 lb1 = 9.773844070e-13 wb1 = 6.514479645e-13 pb1 = -4.870154372e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -8.285602172e-01 lpclm = 3.740048498e-07 wpclm = 4.594228267e-07 ppclm = -2.001105469e-13 ++ pdiblc1 = 0.0 ++ pdiblc2 = -1.252641263e-01 lpdiblc2 = 1.555291331e-07 wpdiblc2 = 4.772167757e-08 ppdiblc2 = -5.328140011e-14 ++ pdiblcb = -0.025 ++ drout = 4.620670585e-01 wdrout = -1.450357742e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 9.424127375e-02 ldelta = -6.077982055e-08 wdelta = -3.529300662e-08 pdelta = 3.416205691e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -4.597726427e-01 lkt1 = -1.275448658e-07 wkt1 = -4.537108445e-08 pkt1 = 5.035547182e-14 ++ kt2 = -0.085339 ++ at = -3.012027963e+05 lat = 1.580370651e-01 wat = 2.258472002e-01 pat = -9.002351928e-8 ++ ute = 1.354712275e-01 lute = -1.164169749e-07 wute = -1.259884093e-07 pute = 6.228866957e-14 ++ ua1 = 9.029117574e-10 lua1 = -4.429972254e-17 wua1 = -9.617046783e-17 pua1 = 2.370247795e-23 ++ ub1 = 8.905531727e-19 lub1 = -8.392791689e-25 wub1 = -4.288737743e-25 pub1 = 3.517211686e-31 ++ uc1 = 3.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.74e-6 ++ sbref = 1.74e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_01v8_lvt__model.47 pmos +* DC IV MOS Parameters ++ lmin = 3.5e-07 lmax = 5e-07 wmin = 4.2e-07 wmax = 5.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 2.8e-9 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 7.476e-9 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -7.916e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25000000.0 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*(sky130_fd_pr__pfet_01v8_lvt__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__vth0_slope_spectre) ++ vth0 = {-3.120368596e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__vth0_slope1/sqrt(l*w*mult))} lvth0 = -7.477891485e-08 wvth0 = -6.330492130e-08 pvth0 = 5.091234313e-14 ++ k1 = 0.64774 ++ k2 = -3.584916732e-02 lk2 = -1.018345441e-08 wk2 = -1.642488332e-08 pk2 = 1.370114283e-14 ++ k3 = 3.39 ++ dvt0 = 2.4422 ++ dvt1 = 0.16136 ++ dvt2 = 0.026237 ++ dvt0w = 0.5 ++ dvt1w = 1928100.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-8 ++ k3b = 1.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -4.914187452e+05 lvsat = 1.743684381e-01 wvsat = 2.924484413e-01 pvsat = -8.653622282e-8 ++ ua = -2.073443004e-09 lua = -3.446626931e-16 wua = -2.981802150e-16 pua = 1.116498753e-22 ++ ub = 1.320790852e-18 lub = 7.951030964e-25 wub = 6.110267143e-25 pub = -2.622825884e-31 ++ uc = 7.979688230e-11 luc = -1.626229556e-17 wuc = 6.057760859e-18 puc = 2.488467559e-24 ++ rdsw = 484.7 ++ prwb = 0.1 ++ prwg = 0.052 ++ wr = 1.0 ++ u0 = 2.842284075e-03 lu0 = 1.423271530e-11 wu0 = 1.495106913e-10 pu0 = -5.753374844e-17 ++ a0 = -4.783675508e-01 wa0 = 8.780499109e-7 ++ keta = -9.472605846e-03 wketa = -1.258643787e-9 ++ a1 = 0.0 ++ a2 = 0.46703705 ++ ags = 4.049647402e+00 lags = -1.219523467e-06 wags = -1.578564165e-06 pags = 4.939655414e-13 ++ b0 = -4.852296558e-07 lb0 = 1.671130935e-13 wb0 = -5.659529299e-14 pb0 = 1.949141890e-20 ++ b1 = 3.412430078e-06 lb1 = -1.175240919e-12 wb1 = -1.099597091e-12 pb1 = 3.787012380e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__voff_slope_spectre) ++ voff = {-0.1819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_lvt__nfactor_slope_spectre) ++ nfactor = {2.5373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_lvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -6.393105e-11 ++ cdsc = 2.8125e-7 ++ cdscb = 1.0e-4 ++ cdscd = 1.0e-10 ++ eta0 = 0.2 ++ etab = -0.00025 ++ dsub = 1.0 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -7.207791588e-02 wpclm = 5.466848439e-8 ++ pdiblc1 = 0.0 ++ pdiblc2 = -7.566071834e-02 lpdiblc2 = 1.310052082e-07 wpdiblc2 = -5.864486519e-09 ppdiblc2 = -2.678840058e-14 ++ pdiblcb = -0.025 ++ drout = 4.620670585e-01 wdrout = -1.450357742e-8 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.6797e-9 ++ pvag = 0.0 ++ delta = 1.275507657e-01 ldelta = -7.724803336e-08 wdelta = -5.290951719e-08 pdelta = 4.287165974e-14 ++ alpha0 = 5.0449517e-13 ++ alpha1 = -4.0583656e-18 ++ beta0 = 6.2016506 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2300000000.0 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = -9.018719761e-01 lkt1 = 9.102904455e-08 wkt1 = 1.861600511e-07 pkt1 = -6.411352160e-14 ++ kt2 = -0.085339 ++ at = 3.651974523e+04 lat = -8.932959457e-03 wat = 1.442355768e-01 pat = -4.967473264e-8 ++ ute = 1.579556000e-01 lute = -1.275332486e-7 ++ ua1 = 1.020267349e-09 lua1 = -1.023203270e-16 wua1 = -1.589613423e-16 pua1 = 5.474628630e-23 ++ ub1 = -2.126180082e-18 lub1 = 6.521937523e-25 wub1 = 9.312398306e-25 pub1 = -3.207189977e-31 ++ uc1 = 3.0e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 41000000.0 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 1.4472e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ cgdo = 2.0e-11 ++ cgso = 2.0e-11 ++ cgbo = 1.0e-13 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 0.0 ++ cgdl = 0.0 ++ cf = 0.0 ++ clc = 7.0e-8 ++ cle = 0.492 ++ dlc = -1.2e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.44 ++ moin = 8.7 ++ noff = 2.6123 ++ voffcv = 0.112 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.0007653568198 ++ mjs = 0.3362 ++ pbs = 0.6587 ++ cjsws = 9.1602368e-11 ++ mjsws = 0.2659 ++ pbsws = 0.7418 ++ cjswgs = 2.39155046e-10 ++ mjswgs = 0.9274 ++ pbswgs = 1.4338 +* Stress Parameters ++ saref = 1.44e-6 ++ sbref = 1.44e-6 ++ wlod = {0+sky130_fd_pr__pfet_01v8_lvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_01v8_lvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_01v8_lvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_01v8_lvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_01v8_lvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__pfet_01v8_lvt + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_01v8_lvt__toxe_slope=3.689e-03 +.param sky130_fd_pr__pfet_01v8_lvt__toxe_slope1=1.489e-02 +.param sky130_fd_pr__pfet_01v8_lvt__toxe_slope2=1.689e-02 +.param sky130_fd_pr__pfet_01v8_lvt__toxe_slope3=2.389e-02 +.param sky130_fd_pr__pfet_01v8_lvt__vth0_slope=1.389e-02 +.param sky130_fd_pr__pfet_01v8_lvt__vth0_slope1=9.789e-03 +.param sky130_fd_pr__pfet_01v8_lvt__vth0_slope2=1.089e-02 +.param sky130_fd_pr__pfet_01v8_lvt__lint_slope=0 +.param sky130_fd_pr__pfet_01v8_lvt__wint_slope=0 +.param sky130_fd_pr__pfet_01v8_lvt__nfactor_slope=0.0 +.param sky130_fd_pr__pfet_01v8_lvt__voff_slope=0.0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +* "special" standard cell HVT pFET (w < 0.42um) is defined as a regular HVT pFET because its model bins exist there. +.subckt sky130_fd_pr__special_pfet_01v8_hvt d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +xsky130_fd_pr__pfet_01v8_hvt d g s b sky130_fd_pr__pfet_01v8_hvt l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.ends + +.subckt sky130_fd_pr__pfet_01v8_hvt d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__pfet_01v8_hvt d g s b sky130_fd_pr__pfet_01v8_hvt__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__pfet_01v8_hvt__model.0 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.1148095+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43657182 ++ k2 = 0.029941288 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.19592208+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.4926776+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.012121798 ++ ua = -2.3807897e-10 ++ ub = 8.2326173e-19 ++ uc = -7.7670696e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 200000.0 ++ a0 = 1.4973894 ++ ags = 0.3864062 ++ a1 = 0.0 ++ a2 = 1.0 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.013169082 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.075489662 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0036275994 ++ pdiblcb = -9.5744039e-5 ++ drout = 0.56 ++ pscbe1 = 746475130.0 ++ pscbe2 = 9.5049925e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.7923891 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1154444600.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.44169 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -0.30066 ++ ua1 = 2.2116e-9 ++ ub1 = -7.9359e-19 ++ uc1 = 1.1985e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.1 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.1148095+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43657182 ++ k2 = 0.029941288 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.19592208+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.4926776+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.012121798 ++ ua = -2.3807897e-10 ++ ub = 8.2326173e-19 ++ uc = -7.7670696e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 200000.0 ++ a0 = 1.4973894 ++ ags = 0.3864062 ++ a1 = 0.0 ++ a2 = 1.0 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.013169082 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.075489662 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0036275994 ++ pdiblcb = -9.5744039e-5 ++ drout = 0.56 ++ pscbe1 = 746475130.0 ++ pscbe2 = 9.5049925e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.7923891 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1154444600.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.44169 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -0.30066 ++ ua1 = 2.2116e-9 ++ ub1 = -7.9359e-19 ++ uc1 = 1.1985e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.2 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.114179296e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.056456869e-09 wvth0 = -6.300862833e-08 pvth0 = 5.055509896e-13 ++ k1 = 4.360520813e-01 lk1 = 4.170133487e-09 wk1 = 5.196413176e-08 pk1 = -4.169352504e-13 ++ k2 = 3.027896986e-02 lk2 = -2.709397187e-09 wk2 = -3.376186226e-08 pk2 = 2.708889771e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.957720726e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.203587106e-09 wvoff = -1.499792732e-08 pvoff = 1.203361698e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.490113924e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.056970604e-08 wnfactor = 2.563195923e-07 pnfactor = -2.056585375e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.213715227e-02 lu0 = -1.231952847e-10 wu0 = -1.535139349e-09 pu0 = 1.231722127e-14 ++ ua = -2.364765199e-10 lua = -1.285729009e-17 wua = -1.602149951e-16 pua = 1.285488217e-21 ++ ub = 8.233226294e-19 lub = -4.886274540e-28 wub = -6.088798231e-27 pub = 4.885359438e-32 ++ uc = -7.712301991e-11 luc = -4.394290090e-18 wuc = -5.475735247e-17 puc = 4.393467127e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.943910095e+05 lvsat = 4.500384746e-02 wvsat = 5.607940049e-01 pvsat = -4.499541914e-6 ++ a0 = 1.519551349e+00 la0 = -1.778168450e-07 wa0 = -2.215779900e-06 pa0 = 1.777835435e-11 ++ ags = 4.069166391e-01 lags = -1.645659182e-07 wags = -2.050659788e-06 pags = 1.645350982e-11 ++ a1 = 0.0 ++ a2 = 9.848889876e-01 la2 = 1.211632750e-07 wa2 = 1.509818428e-06 pa2 = -1.211405835e-11 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.635643990e-02 lketa = 2.557382988e-08 wketa = 3.186760974e-07 pketa = -2.556904041e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.175724616e-01 lpclm = -3.376521839e-07 wpclm = -4.207491829e-06 ppclm = 3.375889484e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.389781792e-03 lpdiblc2 = 1.908134338e-09 wpdiblc2 = 2.377730700e-08 ppdiblc2 = -1.907776982e-13 ++ pdiblcb = -1.467376427e-04 lpdiblcb = 4.091481994e-10 wpdiblcb = 5.098405365e-09 ppdiblcb = -4.090715741e-14 ++ drout = 0.56 ++ pscbe1 = 7.505167307e+08 lpscbe1 = -3.242786410e+01 wpscbe1 = -4.040843795e+02 ppscbe1 = 3.242179101e-3 ++ pscbe2 = 9.463130295e-09 lpscbe2 = 3.358822405e-16 wpscbe2 = 4.185436522e-15 ppscbe2 = -3.358193364e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.831958464e+00 lbeta0 = -3.174855852e-07 wbeta0 = -3.956195367e-06 pbeta0 = 3.174261265e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.034234620e-10 lagidl = -2.746821547e-17 wagidl = -3.422820810e-16 pagidl = 2.746307122e-21 ++ bgidl = 1.142782668e+09 lbgidl = 9.356974617e+01 wbgidl = 1.165974814e+03 pbgidl = -9.355222243e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.425032302e-01 lkt1 = 6.524968605e-09 wkt1 = 8.130778772e-08 pkt1 = -6.523746609e-13 ++ kt2 = -3.814108858e-02 lkt2 = 1.444944301e-09 wkt2 = 1.800548502e-08 pkt2 = -1.444673692e-13 ++ at = 1.982182491e+04 lat = -1.590408086e-01 wat = -1.981811268e+00 pat = 1.590110234e-5 ++ ute = -3.004780237e-01 lute = -1.460090468e-09 wute = -1.819422176e-08 pute = 1.459817022e-13 ++ ua1 = 2.227215228e-09 lua1 = -1.252890908e-16 wua1 = -1.561230316e-15 pua1 = 1.252656266e-20 ++ ub1 = -8.067459047e-19 lub1 = 1.055566648e-25 wub1 = 1.315344090e-24 pub1 = -1.055368962e-29 ++ uc1 = 1.211389359e-10 luc1 = -1.034180261e-17 wuc1 = -1.288694463e-16 puc1 = 1.033986580e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.3 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.123981337e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.438225431e-08 wvth0 = 7.274510819e-08 pvth0 = -4.065688442e-14 ++ k1 = 4.495243286e-01 lk1 = -5.003572289e-08 wk1 = -5.987291272e-07 pk1 = 2.201142091e-12 ++ k2 = 2.283522063e-02 lk2 = 2.724067672e-08 wk2 = 2.580908216e-07 pk2 = -9.033861336e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 5.440917032e-01 ldsub = 6.400735019e-08 wdsub = 1.590531746e-06 pdsub = -6.399536290e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.984452484e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 9.551989155e-09 wvoff = 5.130896644e-08 pvoff = -1.464509434e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.496487826e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.075814591e-09 wnfactor = 3.053533022e-06 pnfactor = -1.331122955e-11 ++ eta0 = 7.396195640e-02 leta0 = 2.429418919e-08 weta0 = 6.036912795e-07 peta0 = -2.428963937e-12 ++ etab = -6.472145874e-02 letab = -2.123831633e-08 wetab = -5.277552695e-07 petab = 2.123433882e-12 ++ u0 = 1.171667826e-02 lu0 = 1.568590303e-09 wu0 = 1.993470745e-08 pu0 = -7.406713672e-14 ++ ua = -2.981368707e-10 lua = 2.352343645e-16 wua = 3.857908333e-15 pua = -1.488151135e-20 ++ ub = 8.397850866e-19 lub = -6.672565332e-26 wub = -1.733611397e-24 pub = 6.999575319e-30 ++ uc = -8.628460866e-11 luc = 3.246754551e-17 wuc = 1.275237878e-16 puc = -2.940651008e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.811539150e+05 lvsat = -3.040884381e-01 wvsat = -5.996658712e-01 pvsat = 1.695916064e-7 ++ a0 = 1.150711871e+00 la0 = 1.306216176e-06 wa0 = 4.971524062e-06 pa0 = -1.113990689e-11 ++ ags = 8.321118015e-02 lags = 1.137869470e-06 wags = 2.836569184e-06 pags = -3.210353692e-12 ++ a1 = 0.0 ++ a2 = 1.232533907e+00 la2 = -8.752410119e-07 wa2 = -3.019636855e-06 pa2 = 6.110295569e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 3.573847254e-02 lketa = -1.840310922e-07 wketa = -6.198263687e-07 pketa = 1.219179401e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.743551892e-01 lpclm = 2.446332558e-06 wpclm = 8.595179659e-06 ppclm = -1.775290994e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 7.272018917e-03 lpdiblc2 = -1.371212438e-08 wpdiblc2 = -4.577618178e-08 ppdiblc2 = 8.907215493e-14 ++ pdiblcb = 6.311344871e-04 lpdiblcb = -2.720635872e-09 wpdiblcb = -4.359552385e-09 ppdiblcb = -2.852875248e-15 ++ drout = 0.56 ++ pscbe1 = 6.842376061e+08 lpscbe1 = 2.342475193e+02 wpscbe1 = 8.081687591e+02 ppscbe1 = -1.635345647e-3 ++ pscbe2 = 1.012851704e-08 lpscbe2 = -2.341314636e-15 wpscbe2 = -6.259165504e-15 ppscbe2 = 8.442131502e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.333091444e+00 lbeta0 = 1.689715849e-06 wbeta0 = -7.088793101e-06 pbeta0 = 4.434668229e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.423872724e-11 lagidl = 2.106627484e-16 wagidl = 9.887679185e-16 pagidl = -2.609199172e-21 ++ bgidl = 1.334029333e+09 lbgidl = -6.759150357e+02 wbgidl = -2.331949629e+03 pbgidl = 4.718746713e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.275119288e-01 lkt1 = -5.379283214e-08 wkt1 = -3.280801412e-07 pkt1 = 9.948058590e-13 ++ kt2 = -3.544205663e-02 lkt2 = -9.414664724e-09 wkt2 = -1.058759390e-08 pkt2 = -2.942254429e-14 ++ at = -3.182745871e+05 lat = 1.201296867e+00 wat = 5.266760403e+00 pat = -1.326367075e-5 ++ ute = -2.934644332e-01 lute = -2.967941193e-08 wute = -9.632104114e-07 pute = 3.948273241e-12 ++ ua1 = 1.926843400e-09 lua1 = 1.083262963e-15 wua1 = 7.551048735e-15 pua1 = -2.413687434e-20 ++ ub1 = -5.658354142e-19 lub1 = -8.637515121e-25 wub1 = -5.146600064e-24 pub1 = 1.544607193e-29 ++ uc1 = 8.410993789e-11 luc1 = 1.386451113e-16 wuc1 = 1.846585998e-15 puc1 = -6.914297909e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.4 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.105892215e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.221447251e-09 wvth0 = -5.710782445e-08 pvth0 = 2.221031218e-13 ++ k1 = 4.209362589e-01 lk1 = 7.812808045e-09 wk1 = 8.750771989e-07 pk1 = -7.811344862e-13 ++ k2 = 3.762063953e-02 lk2 = -2.677914137e-09 wk2 = -3.206666877e-07 pk2 = 2.677412617e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 6.256231254e-01 ldsub = -1.009731133e-07 wdsub = -6.561083554e-06 pdsub = 1.009542030e-11 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.943410352e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.247031615e-09 wvoff = 4.054992464e-08 pvoff = -1.246798071e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.457363595e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.409284747e-08 wnfactor = 1.361749441e-07 pnfactor = -7.407897137e-12 ++ eta0 = 1.028159145e-01 leta0 = -3.409237215e-08 weta0 = -2.281164156e-06 peta0 = 3.408598733e-12 ++ etab = -7.525560365e-02 letab = 7.773658347e-11 wetab = 5.254619384e-07 petab = -7.772202496e-15 ++ u0 = 1.248693731e-02 lu0 = 9.955708697e-12 wu0 = -1.617650089e-08 pu0 = -9.953844192e-16 ++ ua = -1.795683175e-10 lua = -4.691474330e-18 wua = -3.728164909e-15 pua = 4.690595711e-22 ++ ub = 8.021034188e-19 lub = 9.523955049e-27 wub = 2.196071759e-24 pub = -9.522171402e-31 ++ uc = -6.956153739e-11 luc = -1.371923677e-18 wuc = -8.558592946e-17 puc = 1.371666743e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.333175302e+05 lvsat = -4.938556796e-03 wvsat = -7.598676897e-01 pvsat = 4.937631903e-7 ++ a0 = 1.794738888e+00 la0 = 3.014624707e-09 wa0 = -3.847367506e-07 pa0 = -3.014060128e-13 ++ ags = 6.573659819e-01 lags = -2.394425453e-08 wags = 6.697426241e-08 pags = 2.393977025e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.399658834e-02 lketa = -2.450401856e-09 wketa = -1.383954429e-07 pketa = 2.449942945e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.432702753e-01 lpclm = -1.755692243e-08 wpclm = -1.045581678e-06 ppclm = 1.755363437e-12 ++ pdiblc1 = 3.865081863e-01 lpdiblc1 = 7.065754814e-09 wpdiblc1 = 3.491159731e-07 ppdiblc1 = -7.064431539e-13 ++ pdiblc2 = 5.099482136e-04 lpdiblc2 = -2.893907100e-11 wpdiblc2 = -3.187628269e-09 ppdiblc2 = 2.893365129e-15 ++ pdiblcb = -6.980406594e-04 lpdiblcb = -3.102338003e-11 wpdiblcb = -7.302262240e-09 ppdiblcb = 3.101756998e-15 ++ drout = 5.822361257e-01 ldrout = -4.499524515e-08 wdrout = -2.223196135e-06 pdrout = 4.498681844e-12 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.004637066e-09 lpscbe2 = -6.712103110e-17 wpscbe2 = -5.403584421e-15 ppscbe2 = 6.710846068e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.284914356e+00 lbeta0 = -2.363168505e-07 wbeta0 = 3.150504249e-06 pbeta0 = 2.362725931e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.479402760e-10 lagidl = 8.205904845e-19 wagidl = -2.601228714e-16 pagidl = -8.204368044e-23 ++ bgidl = 1.009722228e+09 lbgidl = -1.967312367e+01 wbgidl = -9.720407651e+02 pbgidl = 1.966943929e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.571850165e-01 lkt1 = 6.251254213e-09 wkt1 = 4.724131609e-07 pkt1 = -6.250083478e-13 ++ kt2 = -4.036298751e-02 lkt2 = 5.429373301e-10 wkt2 = 1.698432722e-09 pkt2 = -5.428356488e-14 ++ at = 2.796575615e+05 lat = -8.630794354e-03 wat = -1.714435011e+00 pat = 8.629177979e-7 ++ ute = -4.037131370e-01 lute = 1.934110450e-07 wute = 1.054433858e-05 pute = -1.933748230e-11 ++ ua1 = 2.271623124e-09 lua1 = 3.855942967e-16 wua1 = 1.467493875e-14 pua1 = -3.855220826e-20 ++ ub1 = -8.850619598e-19 lub1 = -2.177902124e-25 wub1 = -8.274254123e-24 pub1 = 2.177494247e-29 ++ uc1 = 1.581644995e-10 luc1 = -1.120577515e-17 wuc1 = -2.124052080e-15 puc1 = 1.120367653e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.5 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.114556521e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.646643016e-09 wvth0 = 7.475761306e-08 pvth0 = 8.713620925e-14 ++ k1 = 3.579582411e-01 lk1 = 7.227206883e-08 wk1 = 3.504394828e-07 pk1 = -2.441572911e-13 ++ k2 = 6.235036124e-02 lk2 = -2.798927890e-08 wk2 = -1.660426236e-07 pk2 = 1.094804396e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 7.627430442e-01 ldsub = -2.413180925e-07 wdsub = 1.656355342e-06 pdsub = 1.684707242e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.889349318e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.286223382e-09 wvoff = -2.061394003e-07 pvoff = 1.278116507e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.159426902e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.444829877e-07 wnfactor = -2.089422343e-05 pnfactor = 1.411713621e-11 ++ eta0 = 1.428356295e-01 leta0 = -7.505335079e-08 weta0 = 2.040022927e-06 peta0 = -1.014222670e-12 ++ etab = -1.534115370e-01 letab = 8.007189753e-08 wetab = 1.064026396e-06 petab = -5.590036962e-13 ++ u0 = 1.481983383e-02 lu0 = -2.377810533e-09 wu0 = -1.693165116e-08 pu0 = -2.224730149e-16 ++ ua = 2.998072472e-10 lua = -4.953419523e-16 wua = -2.889529493e-15 pua = -3.893005498e-22 ++ ub = 5.745995099e-19 lub = 2.423787559e-25 wub = 8.749830476e-25 pub = 3.999435780e-31 ++ uc = -6.437502238e-11 luc = -6.680425521e-18 wuc = -3.558694348e-16 puc = 4.138072477e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.073267672e+05 lvsat = -8.068849096e-02 wvsat = -9.642549396e-01 pvsat = 7.029576283e-7 ++ a0 = 1.829270429e+00 la0 = -3.232909769e-08 wa0 = 3.606632641e-06 pa0 = -4.386652412e-12 ++ ags = 4.430764130e-01 lags = 1.953854050e-07 wags = 4.056234313e-06 pags = -1.689110422e-12 ++ a1 = 0.0 ++ a2 = 8.157189367e-01 la2 = -1.608864606e-08 wa2 = -1.571599283e-06 pa2 = 1.608563298e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.291503915e-02 lketa = -3.557389080e-09 wketa = -4.921358287e-09 pketa = 1.083808994e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.659649404e-01 lpclm = -4.078536606e-08 wpclm = -8.304646574e-07 ppclm = 1.535186864e-12 ++ pdiblc1 = 3.707152219e-01 lpdiblc1 = 2.323016975e-08 wpdiblc1 = 1.928116644e-06 ppdiblc1 = -2.322581920e-12 ++ pdiblc2 = 7.725462864e-04 lpdiblc2 = -2.977134504e-10 wpdiblc2 = -4.925283529e-09 ppdiblc2 = 4.671890040e-15 ++ pdiblcb = -1.722688354e-03 lpdiblcb = 1.017724028e-09 wpdiblcb = 1.756149983e-07 ppdiblcb = -1.841177175e-13 ++ drout = 5.464353249e-01 ldrout = -8.352409460e-09 wdrout = 1.356213473e-06 pdrout = 8.350845221e-13 ++ pscbe1 = 7.967747491e+08 lpscbe1 = 3.301108767e+00 wpscbe1 = 3.224646842e+02 ppscbe1 = -3.300490535e-4 ++ pscbe2 = 9.254539871e-09 lpscbe2 = -3.229015507e-16 wpscbe2 = -1.277460621e-15 ppscbe2 = 2.487675836e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 2.850606863e+00 lbeta0 = 2.255245555e-06 wbeta0 = 3.973130393e-05 pbeta0 = -1.381392078e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.938357004e-10 lagidl = -4.615429430e-17 wagidl = -6.550925476e-16 pagidl = 3.222156825e-22 ++ bgidl = 9.938475275e+08 lbgidl = -3.425049827e+00 wbgidl = 6.151320216e+02 pbgidl = 3.424408384e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.771376627e-01 lkt1 = 2.667318659e-08 wkt1 = 1.862312216e-07 pkt1 = -3.320954092e-13 ++ kt2 = -3.492255148e-02 lkt2 = -5.025457758e-09 wkt2 = -1.917599409e-07 pkt2 = 1.437249497e-13 ++ at = 4.560320206e+05 lat = -1.891535807e-01 wat = -1.383689432e+00 pat = 5.243930829e-7 ++ ute = -2.606946710e-01 lute = 4.702878476e-08 wute = -1.753516874e-05 pute = 9.402455039e-12 ++ ua1 = 3.557243351e-09 lua1 = -9.302637183e-16 wua1 = -5.281107003e-14 pua1 = 3.052107144e-20 ++ ub1 = -1.694683912e-18 lub1 = 6.108740476e-25 wub1 = 3.798874602e-23 pub1 = -2.557616343e-29 ++ uc1 = 3.674054729e-10 luc1 = -2.253680963e-16 wuc1 = -1.140592200e-15 puc1 = 1.137767970e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.6 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101315559e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.852651455e-10 wvth0 = 1.867209328e-07 pvth0 = 2.852117211e-14 ++ k1 = 5.180017697e-01 lk1 = -1.151391931e-08 wk1 = -2.314852367e-06 pk1 = 1.151176298e-12 ++ k2 = 1.279318065e-03 lk2 = 3.982633623e-09 wk2 = 8.036800521e-07 pk2 = -3.981887756e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.757975244e-01 ldsub = 1.360762599e-08 wdsub = 7.473162720e-06 pdsub = -1.360507756e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.999377088e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.473950466e-09 wvoff = 3.194930362e-07 pvoff = -1.473674424e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.856238692e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.776210371e-08 wnfactor = 1.328334260e-05 pnfactor = -3.775503162e-12 ++ eta0 = 3.855132189e-02 leta0 = -2.045843009e-08 weta0 = -3.804419564e-06 peta0 = 2.045459863e-12 ++ etab = -4.316676099e-04 letab = -1.612371953e-11 wetab = -6.831959278e-09 petab = 1.612069988e-15 ++ u0 = 1.003274150e-02 lu0 = 1.283280388e-10 wu0 = 7.151340005e-09 pu0 = -1.283040055e-14 ++ ua = -7.047379978e-10 lua = 3.055757436e-17 wua = 2.202701183e-15 pua = -3.055185153e-21 ++ ub = 1.072875408e-18 lub = -1.847864251e-26 wub = -1.890096806e-24 pub = 1.847518183e-30 ++ uc = -7.591114001e-11 luc = -6.410372175e-19 wuc = 3.121385329e-16 puc = 6.409171641e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.116658431e+04 lvsat = 1.064487963e-03 wvsat = 5.817919905e-01 pvsat = -1.064288606e-7 ++ a0 = 1.742718021e+00 la0 = 1.298281896e-08 wa0 = -2.293072543e-06 pa0 = -1.298038754e-12 ++ ags = 9.288952422e-01 lags = -5.895046842e-08 wags = -1.042850980e-05 pags = 5.893942818e-12 ++ a1 = 0.0 ++ a2 = 7.685621267e-01 la2 = 8.598887116e-09 wa2 = 3.143198565e-06 pa2 = -8.597276716e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.437005394e-02 lketa = 2.439540263e-09 wketa = 6.680027668e-07 pketa = -2.439083386e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.743452625e-01 lpclm = 7.179367747e-09 wpclm = 3.473075195e-06 ppclm = -7.178023195e-13 ++ pdiblc1 = 4.412894919e-01 lpdiblc1 = -1.371687206e-08 wpdiblc1 = -5.127988638e-06 ppdiblc1 = 1.371430317e-12 ++ pdiblc2 = 8.357800335e-04 lpdiblc2 = -3.308175817e-10 wpdiblc2 = -5.918046495e-08 ppdiblc2 = 3.307556262e-14 ++ pdiblcb = 2.213138396e-04 wpdiblcb = -1.760768521e-7 ++ drout = 4.983615438e-01 ldrout = 1.681517643e-08 wdrout = 6.162691258e-06 pdrout = -1.681202728e-12 ++ pscbe1 = 8.064505017e+08 lpscbe1 = -1.764341234e+00 wpscbe1 = -6.449293683e+02 ppscbe1 = 1.764010808e-4 ++ pscbe2 = 7.969590247e-09 lpscbe2 = 3.497952766e-16 wpscbe2 = 7.027788115e-14 ppscbe2 = -3.497297669e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.387867150e-09 lalpha0 = -6.742242102e-16 walpha0 = -1.287625958e-13 palpha0 = 6.740979415e-20 ++ alpha1 = 9.178702143e-11 lalpha1 = 4.299658541e-18 walpha1 = 8.211440443e-16 palpha1 = -4.298853301e-22 ++ beta0 = 7.780920834e+00 lbeta0 = -3.258724150e-07 wbeta0 = -4.889006553e-05 pbeta0 = 3.258113856e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.056742275e-10 wagidl = -3.961332525e-17 ++ bgidl = 9.933155116e+08 lbgidl = -3.146528817e+00 wbgidl = 6.683236567e+02 pbgidl = 3.145939535e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.267226381e-01 lkt1 = 2.799129306e-10 wkt1 = -3.946622656e-07 pkt1 = -2.798605085e-14 ++ kt2 = -4.499059075e-02 lkt2 = 2.453621645e-10 wkt2 = 1.296347928e-07 pkt2 = -2.453162130e-14 ++ at = 9.515514865e+04 lat = -2.273207112e-04 wat = -4.254351742e-01 pat = 2.272781386e-8 ++ ute = -1.659350059e-01 lute = -2.579795124e-09 wute = -6.778671376e-08 pute = 2.579311980e-13 ++ ua1 = 1.668322807e-09 lua1 = 5.862396525e-17 wua1 = 1.668459405e-14 pua1 = -5.861298616e-21 ++ ub1 = -4.176651222e-19 lub1 = -5.767082899e-26 wub1 = -2.187938944e-23 pub1 = 5.766002839e-30 ++ uc1 = -5.312740676e-11 luc1 = -5.210723121e-18 wuc1 = -1.918399979e-15 puc1 = 5.209747257e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.7 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.107373042e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.371577633e-09 wvth0 = 7.923557988e-07 pvth0 = -1.371320764e-13 ++ k1 = 5.276136579e-01 lk1 = -1.414296297e-08 wk1 = -3.275861176e-06 pk1 = 1.414031427e-12 ++ k2 = -4.440728611e-03 lk2 = 5.547180790e-09 wk2 = 1.375577595e-06 pk2 = -5.546141914e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.996793189e-01 ldsub = 7.075477576e-09 wdsub = 5.085430533e-06 pdsub = -7.074152481e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.011397177e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.802723945e-09 wvoff = 4.396714168e-07 pvoff = -1.802386331e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.967044926e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.454382777e-09 wnfactor = 2.204794454e-06 pnfactor = -7.452986721e-13 ++ eta0 = -3.624551230e-02 weta0 = 3.673863060e-6 ++ etab = -4.906165764e-04 letab = 1.790898647e-18 wetab = -9.381666232e-10 petab = -1.790563240e-22 ++ u0 = 1.019052113e-02 lu0 = 8.517215455e-11 wu0 = -8.623668036e-09 pu0 = -8.515620351e-15 ++ ua = -6.818848042e-10 lua = 2.430676884e-17 wua = -8.219018347e-17 pua = -2.430221667e-21 ++ ub = 1.066803054e-18 lub = -1.681773217e-26 wub = -1.282975103e-24 pub = 1.681458255e-30 ++ uc = -8.064688196e-11 luc = 6.542829207e-19 wuc = 7.856240370e-16 puc = -6.541603866e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.867954299e+04 lvsat = -9.904564935e-04 wvsat = -1.693631743e-01 pvsat = 9.902710008e-8 ++ a0 = 1.751552903e+00 la0 = 1.056630198e-08 wa0 = -3.176395304e-06 pa0 = -1.056432313e-12 ++ ags = 4.828509313e-01 lags = 6.305157150e-08 wags = 3.416756777e-05 pags = -6.303976320e-12 ++ a1 = 0.0 ++ a2 = 7.835411316e-01 la2 = 4.501829672e-09 wa2 = 1.645578594e-06 pa2 = -4.500986569e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.560748526e-02 lketa = 4.280247753e-11 wketa = -2.080899958e-07 pketa = -4.279446148e-15 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.964541114e-01 lpclm = 1.132155379e-09 wpclm = 1.262604353e-06 ppclm = -1.131943349e-13 ++ pdiblc1 = 3.982918272e-01 lpdiblc1 = -1.956150829e-09 wpdiblc1 = -8.290274333e-07 ppdiblc1 = 1.955784481e-13 ++ pdiblc2 = -2.283667944e-04 lpdiblc2 = -3.975214135e-11 wpdiblc2 = 4.721428849e-08 ppdiblc2 = 3.974469657e-15 ++ pdiblcb = -3.260184720e-02 lpdiblcb = 8.977791007e-09 wpdiblcb = 3.105624539e-06 ppdiblcb = -8.976109646e-13 ++ drout = 5.648438079e-01 ldrout = -1.369052466e-09 wdrout = -4.842900782e-07 pdrout = 1.368796070e-13 ++ pscbe1 = 7.999776814e+08 lpscbe1 = 6.104576502e-03 wpscbe1 = 2.231439469e+00 ppscbe1 = -6.103433237e-7 ++ pscbe2 = 1.105607251e-08 lpscbe2 = -4.944193516e-16 wpscbe2 = -2.383125413e-13 ppscbe2 = 4.943267567e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.354089340e-09 lalpha0 = 7.575572888e-17 walpha0 = 1.453817018e-13 palpha0 = -7.574154135e-21 ++ alpha1 = 1.075067441e-10 walpha1 = -7.505338223e-16 ++ beta0 = 6.488209541e+00 lbeta0 = 2.770997771e-08 wbeta0 = 8.035685382e-05 pbeta0 = -2.770478819e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.056742275e-10 wagidl = -3.961332525e-17 ++ bgidl = 1.015633048e+09 lbgidl = -9.250821265e+00 wbgidl = -1.563011981e+03 pbgidl = 9.249088771e-4 ++ cgidl = 2.452271691e+02 lcgidl = 1.498146470e-05 wcgidl = 5.476257301e-03 pcgidl = -1.497865897e-9 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.302396045e-01 lkt1 = 1.241873590e-09 wkt1 = -4.303148770e-08 pkt1 = -1.241641012e-13 ++ kt2 = -4.730499624e-02 lkt2 = 8.783983537e-10 wkt2 = 3.610319975e-07 pkt2 = -8.782338473e-14 ++ at = 9.164850018e+04 lat = 7.318177772e-04 wat = -7.483600008e-02 pat = -7.316807224e-8 ++ ute = -2.008893713e-01 lute = 6.980922904e-09 wute = 3.426995202e-06 pute = -6.979615516e-13 ++ ua1 = 1.702500791e-09 lua1 = 4.927560306e-17 wua1 = 1.326743573e-14 pua1 = -4.926637472e-21 ++ ub1 = -4.509980178e-19 lub1 = -4.855361540e-26 wub1 = -1.854672414e-23 pub1 = 4.854452228e-30 ++ uc1 = -5.803889983e-11 luc1 = -3.867331536e-18 wuc1 = -1.427342654e-15 puc1 = 3.866607262e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.8 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 7.0e-06 wmax = 0.0001 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.107227204e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.453985025e-09 wvth0 = 7.777746755e-07 pvth0 = -1.453712722e-13 ++ k1 = 5.049174235e-01 lk1 = -1.031934927e-08 wk1 = -1.006662787e-06 pk1 = 1.031741666e-12 ++ k2 = -7.961902617e-03 lk2 = 6.787025396e-09 wk2 = 1.727629051e-06 pk2 = -6.785754322e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.125223829e-01 ldsub = 2.688633886e-08 wdsub = 1.379949186e-05 pdsub = -2.688130359e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.039804105e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.579734817e-09 wvoff = 7.236874925e-07 pvoff = -2.579251684e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.346861462e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.568009610e-08 wnfactor = -3.576974595e-05 pnfactor = 7.566592273e-12 ++ eta0 = -1.649621518e-01 leta0 = 2.838459334e-08 weta0 = 1.654311640e-05 peta0 = -2.837927747e-12 ++ etab = -1.728534057e-02 letab = 3.703572536e-09 wetab = 1.678219701e-06 petab = -3.702878931e-13 ++ u0 = 1.117330060e-02 lu0 = -1.244359546e-10 wu0 = -1.068832095e-07 pu0 = 1.244126503e-14 ++ ua = -3.785349030e-10 lua = -4.055761004e-17 wua = -3.041149917e-14 pua = 4.055001441e-21 ++ ub = 8.138861775e-19 lub = 3.755071443e-26 wub = 2.400397594e-23 pub = -3.754368193e-30 ++ uc = -7.937193976e-11 luc = 4.277848370e-19 wuc = 6.581536942e-16 puc = -4.277047215e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.944985246e+04 lvsat = 3.167342156e-03 wvsat = 1.753245745e+00 pvsat = -3.166748976e-7 ++ a0 = 1.797778524e+00 la0 = 1.255229794e-09 wa0 = -7.798091746e-06 pa0 = -1.254994715e-13 ++ ags = 7.926562158e-01 wags = 3.192841355e-6 ++ a1 = 0.0 ++ a2 = 8.339065931e-01 la2 = -6.228724624e-09 wa2 = -3.390024309e-06 pa2 = 6.227558109e-13 ++ b0 = 1.004609535e-24 lb0 = -2.215364946e-31 wb0 = -1.004421392e-28 pb0 = 2.214950053e-35 ++ b1 = 0.0 ++ keta = -2.368520820e-02 lketa = -6.993122774e-09 wketa = -3.399719861e-06 pketa = 6.991813102e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.660977454e-01 lpclm = 7.920910003e-09 wpclm = 4.297672438e-06 ppclm = -7.919426574e-13 ++ pdiblc1 = 2.795161891e-01 lpdiblc1 = 2.407285587e-08 wpdiblc1 = 1.104631195e-05 ppdiblc1 = -2.406834750e-12 ++ pdiblc2 = -2.940901594e-03 lpdiblc2 = 5.550955412e-10 wpdiblc2 = 3.184169681e-07 ppdiblc2 = -5.549915829e-14 ++ pdiblcb = 1.467633113e-02 lpdiblcb = -6.980791245e-10 wpdiblcb = -1.621307868e-06 ppdiblcb = 6.979483883e-14 ++ drout = 7.559186922e-01 ldrout = -4.361924272e-08 wdrout = -1.958820005e-05 pdrout = 4.361107370e-12 ++ pscbe1 = 8.000564266e+08 lpscbe1 = -1.075040191e-02 wpscbe1 = -5.641606431e+00 ppscbe1 = 1.074838857e-6 ++ pscbe2 = 8.737652132e-09 lpscbe2 = -2.446007639e-17 wpscbe2 = -6.513923068e-15 ppscbe2 = 2.445549551e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.214169504e-09 lalpha0 = 9.333084731e-16 walpha0 = 5.313174266e-13 palpha0 = -9.331336831e-20 ++ alpha1 = 1.075067441e-10 walpha1 = -7.505338223e-16 ++ beta0 = 5.510089875e+00 lbeta0 = 2.457195374e-07 wbeta0 = 1.781505022e-04 pbeta0 = -2.456735190e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.056742275e-10 wagidl = -3.961332525e-17 ++ bgidl = 9.162852767e+08 lbgidl = 1.188462923e+01 wbgidl = 8.369904522e+03 pbgidl = -1.188240348e-3 ++ cgidl = 4.384786632e+02 lcgidl = -2.638295492e-05 wcgidl = -1.384527290e-02 pcgidl = 2.637801392e-9 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.293101333e-01 lkt1 = 1.140640140e-09 wkt1 = -1.359612033e-07 pkt1 = -1.140426521e-13 ++ kt2 = -3.702604909e-02 lkt2 = -1.314942569e-09 wkt2 = -6.666702137e-07 pkt2 = 1.314696306e-13 ++ at = 9.131749198e+04 lat = 8.659403532e-04 wat = -4.174137884e-02 pat = -8.657781798e-8 ++ ute = -2.204873539e-01 lute = 1.188578564e-08 wute = 5.386426433e-06 pute = -1.188355967e-12 ++ ua1 = 1.961436525e-09 lua1 = -3.708920197e-18 wua1 = -1.262128838e-14 pua1 = 3.708225590e-22 ++ ub1 = -7.429927856e-19 lub1 = 1.178139341e-26 wub1 = 1.064728416e-23 pub1 = -1.177918700e-30 ++ uc1 = -9.002829368e-11 luc1 = 2.863931878e-18 wuc1 = 1.770997633e-15 puc1 = -2.863395521e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.9 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.107888299e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.922829186e-07 wvth0 = -4.831878898e-08 pvth0 = 4.833015356e-12 ++ k1 = 4.350800323e-01 lk1 = 1.492138590e-07 wk1 = 1.041457585e-08 pk1 = -1.041702536e-12 ++ k2 = 3.245888784e-02 lk2 = -2.518191977e-07 wk2 = -1.757604925e-08 pk2 = 1.758018314e-12 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.203899246e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.447359946e-06 wvoff = 1.708166785e-07 pvoff = -1.708568546e-11 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.471364250e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.021553563e-04 wnfactor = 7.130066296e-06 pnfactor = -7.131743287e-10 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.024767071e-02 lu0 = 1.874568083e-07 wu0 = 1.308379236e-08 pu0 = -1.308686967e-12 ++ ua = -6.044964943e-10 lua = 3.665037057e-14 wua = 2.558060403e-15 pua = -2.558662059e-19 ++ ub = 9.838180906e-19 lub = -1.605941235e-23 wub = -1.120887625e-24 pub = 1.121151258e-28 ++ uc = -7.884651350e-11 luc = 1.176094057e-16 wuc = 8.208701822e-18 puc = -8.210632509e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.752591599e+05 lvsat = 2.474665916e+00 wvsat = 1.727225343e-01 pvsat = -1.727631587e-5 ++ a0 = 1.620879216e+00 la0 = -1.235188611e-05 wa0 = -8.621159970e-07 pa0 = 8.623187667e-11 ++ ags = 4.821680973e-01 lags = -9.578442050e-06 wags = -6.685398523e-07 pags = 6.686970929e-11 ++ a1 = 0.0 ++ a2 = 8.753179845e-01 la2 = 1.247013383e-05 wa2 = 8.703692509e-07 pa2 = -8.705739617e-11 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.618630516e-02 lketa = 2.302263681e-06 wketa = 1.606894956e-07 pketa = -1.607272898e-11 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.378101959e-01 lpclm = -6.233519171e-06 wpclm = -4.350765985e-07 ppclm = 4.351789285e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.469910110e-03 lpdiblc2 = 2.158196778e-07 wpdiblc2 = 1.506341582e-08 ppdiblc2 = -1.506695874e-12 ++ pdiblcb = -1.946926328e-03 lpdiblcb = 1.851617687e-07 wpdiblcb = 1.292360708e-08 ppdiblcb = -1.292664672e-12 ++ drout = 0.56 ++ pscbe1 = 7.291872065e+08 lpscbe1 = 1.729198958e+03 wpscbe1 = 1.206916960e+02 ppscbe1 = -1.207200827e-2 ++ pscbe2 = 9.567285369e-09 lpscbe2 = -6.230752035e-15 wpscbe2 = -4.348834626e-16 ppscbe2 = 4.349857472e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.334896019e-10 lalpha0 = -2.335445187e-14 walpha0 = -1.630054420e-15 palpha0 = 1.630437809e-19 ++ alpha1 = 3.783247579e-11 lalpha1 = 6.218214601e-15 walpha1 = 4.340083961e-16 palpha1 = -4.341104748e-20 ++ beta0 = 3.808922830e+00 lbeta0 = 9.836975816e-05 wbeta0 = 6.865845536e-06 pbeta0 = -6.867460383e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.338946403e+09 lbgidl = -1.845451982e+04 wbgidl = -1.288057274e+03 pbgidl = 1.288360225e-1 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.354560875e-01 lkt1 = -6.235378686e-07 wkt1 = -4.352063858e-08 pkt1 = 4.353087463e-12 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -3.318731997e-01 lute = 3.122054108e-06 wute = 2.179078374e-07 pute = -2.179590893e-11 ++ ua1 = 2.2116e-9 ++ ub1 = -8.727419866e-19 lub1 = 7.917060318e-24 wub1 = 5.525815480e-25 pub1 = -5.527115152e-29 ++ uc1 = 1.1985e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.10 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.142461786e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.930481313e-7 ++ k1 = 4.425319618e-01 wk1 = -4.160937079e-8 ++ k2 = 1.988271753e-02 wk2 = 7.022161639e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-9.816566307e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} wvoff = -6.824641364e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {6.573132387e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = -2.848676475e-5 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.960950161e-02 wu0 = -5.227369558e-8 ++ ua = 1.225869524e-09 wua = -1.022022263e-14 ++ ub = 1.817906574e-19 wub = 4.478284038e-24 ++ uc = -7.297295053e-11 wuc = -3.279623891e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.988471162e+05 wvsat = -6.900786050e-1 ++ a0 = 1.004010348e+00 wa0 = 3.444413358e-6 ++ ags = 3.808545616e-03 wags = 2.671018292e-6 ++ a1 = 0.0 ++ a2 = 1.498092294e+00 wa2 = -3.477387596e-6 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 7.879166481e-02 wketa = -6.420029868e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.734996623e-01 wpclm = 1.738262198e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.224821871e-02 wpdiblc2 = -6.018288821e-8 ++ pdiblcb = 7.300287385e-03 wpdiblcb = -5.163370709e-8 ++ drout = 0.56 ++ pscbe1 = 8.155455970e+08 wpscbe1 = -4.821997172e+2 ++ pscbe2 = 9.256113705e-09 wpscbe2 = 1.737490562e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -8.328613627e-10 walpha0 = 6.512558911e-15 ++ alpha1 = 3.483780043e-10 walpha1 = -1.733994407e-15 ++ beta0 = 8.721633390e+00 wbeta0 = -2.743112314e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 4.173042635e+08 wbgidl = 5.146177192e+3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.665963600e-01 wkt1 = 1.738780737e-7 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -1.759538555e-01 wute = -8.706075150e-7 ++ ua1 = 2.2116e-9 ++ ub1 = -4.773539471e-19 wub1 = -2.207729902e-24 ++ uc1 = 1.1985e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.11 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.161878193e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.557879297e-07 wvth0 = 2.699903491e-07 pvth0 = -6.173474229e-13 ++ k1 = 6.144773880e-01 lk1 = -1.379607566e-06 wk1 = -1.193671465e-06 pk1 = 9.243593257e-12 ++ k2 = -5.621731060e-02 lk2 = 6.105900977e-07 wk2 = 5.700921986e-07 pk2 = -4.010721614e-12 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-5.937388203e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -3.112466310e-07 wvoff = -9.672307962e-07 pvoff = 2.284830991e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.767593117e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.583779558e-06 wnfactor = -3.658719813e-05 pnfactor = 6.499398922e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.241406666e-02 lu0 = -2.250248376e-08 wu0 = -7.328107405e-08 pu0 = 1.685531213e-13 ++ ua = 1.894261785e-09 lua = -5.362858678e-15 wua = -1.503547866e-14 pua = 3.863530310e-20 ++ ub = -1.720579305e-19 lub = 2.839111222e-24 wub = 6.942933634e-24 pub = -1.977516533e-29 ++ uc = -1.288959178e-10 luc = 4.486990467e-16 wuc = 3.066833303e-16 puc = -2.723821113e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.971096976e+05 lvsat = -7.884117866e-01 wvsat = -8.544402961e-01 pvsat = 1.318759316e-6 ++ a0 = 9.530649858e-02 la0 = 7.291003514e-06 wa0 = 7.727260798e-06 pa0 = -3.436351210e-11 ++ ags = -6.607427937e-01 lags = 5.332040962e-06 wags = 5.402961115e-06 pags = -2.191979788e-11 ++ a1 = 0.0 ++ a2 = 2.200289370e+00 la2 = -5.634092286e-06 wa2 = -6.975222231e-06 pa2 = 2.806494615e-11 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.232542109e-01 lketa = -1.159098128e-06 wketa = -1.354111030e-06 pketa = 5.713613127e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -9.845529400e-01 lpclm = 6.507502195e-06 wpclm = 3.486745377e-06 ppclm = -1.402898976e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.342852582e-02 lpdiblc2 = -8.970541773e-08 wpdiblc2 = -1.161186156e-07 ppdiblc2 = 4.488014276e-13 ++ pdiblcb = 9.707473309e-03 lpdiblcb = -1.931410440e-08 wpdiblcb = -6.369652163e-08 ppdiblcb = 9.678623372e-14 ++ drout = 0.56 ++ pscbe1 = 6.407730835e+08 lpscbe1 = 1.402290758e+03 wpscbe1 = 3.620658722e+02 ppscbe1 = -6.773981842e-3 ++ pscbe2 = 9.820213557e-09 lpscbe2 = -4.526066447e-15 wpscbe2 = 1.692541139e-15 ppscbe2 = 3.606525906e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.771207950e-09 lalpha0 = 7.528842612e-15 walpha0 = 1.306341167e-14 palpha0 = -5.256089812e-20 ++ alpha1 = 5.982164713e-10 lalpha1 = -2.004583936e-15 walpha1 = -3.478184701e-15 palpha1 = 1.399454571e-20 ++ beta0 = 1.378049567e+01 lbeta0 = -4.058988271e-05 wbeta0 = -6.642836763e-05 pbeta0 = 3.128951711e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 9.321422381e-09 lagidl = -7.398826690e-14 wagidl = -6.469563983e-14 pagidl = 5.190867601e-19 ++ bgidl = 2.404173694e+09 lbgidl = -1.594168661e+04 wbgidl = -7.640139039e+03 pbgidl = 1.025912640e-1 ++ cgidl = 300.0 ++ egidl = 6.255642992e-01 legidl = -4.216875666e-06 wegidl = -3.669107326e-06 pegidl = 2.943915601e-11 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.386770164e-01 lkt1 = -2.240114118e-07 wkt1 = 5.459594854e-08 pkt1 = 9.570625168e-13 ++ kt2 = -4.609668255e-02 lkt2 = 6.527681166e-08 wkt2 = 7.354565048e-08 pkt2 = -5.900949975e-13 ++ at = -4.630730766e+05 lat = 3.715476092e+00 wat = 1.389409387e+00 pat = -1.114795401e-5 ++ ute = -2.292033449e+00 lute = 1.697840694e-05 wute = 1.388539591e-05 pute = -1.183950886e-10 ++ ua1 = -4.901446257e-09 lua1 = 5.707166891e-14 wua1 = 4.820589451e-14 pua1 = -3.867809587e-19 ++ ub1 = 4.303583952e-18 lub1 = -3.835995085e-23 wub1 = -3.436125865e-23 pub1 = 2.579844810e-28 ++ uc1 = -8.842320168e-11 luc1 = 1.671084199e-15 wuc1 = 1.334140837e-15 puc1 = -1.070450569e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.12 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.132957134e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.942346963e-08 wvth0 = 1.354075849e-07 pvth0 = -7.585097980e-14 ++ k1 = 1.429845038e-01 lk1 = 5.174534834e-07 wk1 = 1.541308769e-06 pk1 = -1.760654415e-12 ++ k2 = 1.466794375e-01 lk2 = -2.057690260e-07 wk2 = -6.064993416e-07 pk2 = 7.233179800e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.299735799e+00 ldsub = -2.976341784e-06 wdsub = -3.684825225e-06 pdsub = 1.482596799e-11 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.098479640e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.081631528e-07 wvoff = -5.672127749e-07 pvoff = 6.753504815e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.137640313e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.049151852e-06 wnfactor = -2.934761488e-05 pnfactor = 3.586538125e-11 ++ eta0 = 3.607690274e-01 leta0 = -1.129679797e-06 weta0 = -1.398586895e-06 peta0 = 5.627242343e-12 ++ etab = -3.154521686e-01 letab = 9.875817095e-07 wetab = 1.222664015e-06 petab = -4.919413117e-12 ++ u0 = 1.938352762e-02 lu0 = -1.030904929e-08 wu0 = -3.358965329e-08 pu0 = 8.853896023e-15 ++ ua = 9.921942718e-10 lua = -1.733371996e-15 wua = -5.150244343e-15 pua = -1.138134892e-21 ++ ub = 3.725872679e-19 lub = 6.477203732e-25 wub = 1.528023653e-24 pub = 2.011833279e-30 ++ uc = 7.102714358e-11 luc = -3.556953894e-16 wuc = -9.707123435e-16 puc = 2.415805928e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.932006537e+05 lvsat = -3.703316704e-01 wvsat = -6.837674309e-01 pvsat = 6.320536295e-7 ++ a0 = 1.894518521e+00 la0 = 5.183795806e-08 wa0 = -2.211924792e-07 pa0 = -2.382751365e-12 ++ ags = 6.170324421e-01 lags = 1.908867454e-07 wags = -8.901822445e-07 pags = 3.400790288e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.725057452e-02 lketa = 9.022408639e-08 wketa = 2.387936218e-07 pketa = -6.954705980e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.722919430e-01 lpclm = 1.450561671e-06 wpclm = 2.684505740e-06 ppclm = -1.080116254e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.960393394e-03 lpdiblc2 = -3.327957534e-09 wpdiblc2 = -8.694279241e-09 ppdiblc2 = 1.657746168e-14 ++ pdiblcb = 1.142406264e-02 lpdiblcb = -2.622083591e-08 wpdiblcb = -7.970791951e-08 ppdiblcb = 1.612084133e-13 ++ drout = 0.56 ++ pscbe1 = 1.179482796e+09 lpscbe1 = -7.652185455e+02 wpscbe1 = -2.649272619e+03 ppscbe1 = 5.342198806e-3 ++ pscbe2 = 9.703400594e-09 lpscbe2 = -4.056067152e-15 wpscbe2 = -3.291311963e-15 ppscbe2 = 2.041328523e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.793180190e-03 lalpha0 = -1.123841596e-08 walpha0 = -1.949994995e-08 palpha0 = 7.845843863e-14 ++ alpha1 = -1.519925879e-10 lalpha1 = 1.013897217e-15 walpha1 = 1.759228798e-15 palpha1 = -7.078292255e-21 ++ beta0 = 6.804964503e+01 lbeta0 = -2.589428905e-04 wbeta0 = -4.519113846e-04 pbeta0 = 1.863893800e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.836148507e-08 lagidl = 3.739446489e-14 wagidl = 1.294841321e-13 pagidl = -2.621994359e-19 ++ bgidl = -3.303865438e+09 lbgidl = 7.024722995e+03 wbgidl = 3.004645528e+04 pbgidl = -4.904150195e-2 ++ cgidl = 300.0 ++ egidl = -9.511285983e-01 legidl = 2.126979741e-06 wegidl = 7.338214652e-06 pegidl = -1.484902411e-11 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.884023036e-01 lkt1 = 3.784112758e-07 wkt1 = 7.951393273e-07 pkt1 = -2.022528578e-12 ++ kt2 = -2.952864375e-02 lkt2 = -1.385023815e-09 wkt2 = -5.187073766e-08 pkt2 = -8.547965154e-14 ++ at = 7.388026946e+05 lat = -1.120295111e+00 wat = -2.112983625e+00 pat = 2.943994325e-6 ++ ute = 4.296448953e+00 lute = -9.530483774e-06 wute = -3.300664422e-05 pute = 7.027597271e-11 ++ ua1 = 1.782893089e-08 lua1 = -3.438445814e-14 wua1 = -1.034657494e-13 pua1 = 2.234729339e-19 ++ ub1 = -1.144795964e-17 lub1 = 2.501669983e-23 wub1 = 7.082446911e-23 pub1 = -1.652323984e-28 ++ uc1 = 1.050703613e-09 luc1 = -2.912215323e-15 wuc1 = -4.901467363e-15 puc1 = 1.438458862e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.13 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.146553362e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.693570944e-08 wvth0 = 2.267587057e-07 pvth0 = -2.607017998e-13 ++ k1 = 3.433298593e-01 lk1 = 1.120506495e-07 wk1 = 1.416868583e-06 pk1 = -1.508847210e-12 ++ k2 = 6.036842326e-02 lk2 = -3.111696260e-08 wk2 = -4.794751533e-07 pk2 = 4.662819945e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.743627175e+00 ldsub = 3.181964063e-06 wdsub = 9.979297233e-06 pdsub = -1.282365709e-11 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.224464768e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.266981023e-08 wvoff = -4.613655432e-07 pvoff = 4.611664912e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {6.174456768e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.100130685e-06 wnfactor = -2.581386354e-05 pnfactor = 2.871476473e-11 ++ eta0 = -6.240498191e-01 leta0 = 8.631208350e-07 weta0 = 2.793283238e-06 peta0 = -2.855090708e-12 ++ etab = -4.212735909e-01 letab = 1.201713474e-06 wetab = 2.941107624e-06 petab = -8.396718130e-12 ++ u0 = 1.838889314e-02 lu0 = -8.296386533e-09 wu0 = -5.737965985e-08 pu0 = 5.699345010e-14 ++ ua = 1.002142239e-09 lua = -1.753501906e-15 wua = -1.197800773e-14 pua = 1.267798087e-20 ++ ub = 2.892245920e-19 lub = 8.164064151e-25 wub = 5.776618352e-24 pub = -6.585283066e-30 ++ uc = -1.279682227e-10 luc = 4.697571425e-17 wuc = 3.221670276e-16 puc = -2.003613367e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.123788455e+04 lvsat = 3.834403225e-02 wvsat = -4.660982375e-01 pvsat = 1.915956632e-7 ++ a0 = 7.045981721e-01 la0 = 2.459665582e-06 wa0 = 7.225832106e-06 pa0 = -1.745195455e-11 ++ ags = -5.347173596e-01 lags = 2.521475504e-06 wags = 8.389232316e-06 pags = -1.537629066e-11 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.879347863e-02 lketa = -1.445933760e-07 wketa = -7.163754193e-07 pketa = 1.237333060e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.620445228e-01 lpclm = 5.483353120e-08 wpclm = -3.271031406e-06 ppclm = 1.249985990e-12 ++ pdiblc1 = 5.363964344e-01 lpdiblc1 = -2.962361130e-07 wpdiblc1 = -6.972946565e-07 ppdiblc1 = 1.410989683e-12 ++ pdiblc2 = 1.988241060e-04 lpdiblc2 = 2.366131510e-10 wpdiblc2 = -1.015586249e-09 ppdiblc2 = 1.039472837e-15 ++ pdiblcb = -6.204607727e-02 lpdiblcb = 1.224474616e-07 wpdiblcb = 4.209850680e-07 ppdiblcb = -8.519538608e-13 ++ drout = -1.104146720e-01 ldrout = 1.356597497e-06 wdrout = 2.612387485e-06 pdrout = -5.286218323e-12 ++ pscbe1 = 8.026724822e+08 lpscbe1 = -2.735338973e+00 wpscbe1 = -1.865732510e+01 ppscbe1 = 1.909614538e-5 ++ pscbe2 = 6.529375979e-09 lpscbe2 = 2.366635137e-15 wpscbe2 = 1.187688650e-14 ppscbe2 = -1.027986772e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.550299118e-03 lalpha0 = 5.644781295e-09 walpha0 = 3.874814853e-08 palpha0 = -3.940775360e-14 ++ alpha1 = 3.490636000e-10 walpha1 = -1.738780737e-15 ++ beta0 = -8.113928659e+01 lbeta0 = 4.294389638e-05 wbeta0 = 6.065013585e-04 pbeta0 = -2.778255543e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.372806174e-10 lagidl = -3.815745753e-17 wagidl = -1.857048956e-16 pagidl = 1.900726748e-22 ++ bgidl = -1.176512912e+08 lbgidl = 5.773549445e+02 wbgidl = 6.898460421e+03 pbgidl = -2.201071407e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.539925581e-01 lkt1 = -9.592153241e-08 wkt1 = -2.480014596e-07 pkt1 = 8.828766663e-14 ++ kt2 = -1.126756896e-02 lkt2 = -3.833667387e-08 wkt2 = -2.014245981e-07 pkt2 = 2.171455762e-13 ++ at = 1.295071730e+05 lat = 1.126265628e-01 wat = -6.661943077e-01 pat = 1.638720589e-8 ++ ute = 5.476944561e-01 lute = -1.944804073e-06 wute = 3.902303394e-06 pute = -4.410020968e-12 ++ ua1 = 3.005299628e-09 lua1 = -4.388543816e-15 wua1 = 9.552943513e-15 pua1 = -5.222651534e-21 ++ ub1 = -5.867946440e-20 lub1 = 1.970263605e-24 wub1 = -1.404345510e-23 pub1 = 6.499543617e-30 ++ uc1 = -6.996263011e-10 luc1 = 6.296122650e-16 wuc1 = 3.864418818e-15 puc1 = -3.353357387e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.14 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.070756495e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.064390008e-08 wvth0 = -2.310222789e-07 pvth0 = 2.078461936e-13 ++ k1 = 4.353011249e-01 lk1 = 1.791621972e-08 wk1 = -1.895122268e-07 pk1 = 1.353156763e-13 ++ k2 = 4.430925493e-02 lk2 = -1.468008263e-08 wk2 = -4.009275317e-08 pk2 = 1.656532028e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.747613553e+00 ldsub = -3.913906473e-07 wdsub = -5.219293564e-06 pdsub = 2.732404567e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.920389307e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 9.091145818e-08 wvoff = 5.136576603e-07 pvoff = -5.367892580e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-4.807464680e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 6.140085555e-06 wnfactor = 3.472481369e-05 pnfactor = -3.324778219e-11 ++ eta0 = 5.400164911e-01 leta0 = -3.283243147e-07 weta0 = -7.328047011e-07 peta0 = 7.539308192e-13 ++ etab = 1.544391921e+00 letab = -8.101844905e-07 wetab = -1.078880134e-05 petab = 5.656118298e-12 ++ u0 = 1.014742376e-02 lu0 = 1.389222069e-10 wu0 = 1.568771443e-08 pu0 = -1.779246883e-14 ++ ua = -6.837859573e-10 lua = -2.792067872e-17 wua = 3.977202205e-15 pua = -3.652495599e-21 ++ ub = 1.060784935e-18 lub = 2.669897289e-26 wub = -2.519209648e-24 pub = 1.905662808e-30 ++ uc = -1.416036312e-10 luc = 6.093182749e-17 wuc = 1.832844893e-16 puc = -5.821228112e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.800767741e+05 lvsat = -5.258434794e-02 wvsat = -7.740153258e-01 pvsat = 5.067549615e-7 ++ a0 = 5.709227321e+00 la0 = -2.662672444e-06 wa0 = -2.348040177e-05 pa0 = 1.397648994e-11 ++ ags = 3.401465835e+00 lags = -1.507286720e-06 wags = -1.659708693e-05 pags = 1.019770681e-11 ++ a1 = 0.0 ++ a2 = 1.506618902e-01 la2 = 6.646105421e-07 wa2 = 3.071344854e-06 pa2 = -3.143582885e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.019073207e-01 lketa = 9.153350609e-08 wketa = 1.035234285e-06 pketa = -5.554745045e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.746650055e-01 lpclm = 5.536762148e-07 wpclm = 5.050522222e-07 ppclm = -2.614911125e-12 ++ pdiblc1 = 1.335071147e+00 lpdiblc1 = -1.113695655e-06 wpdiblc1 = -4.804314372e-06 ppdiblc1 = 5.614606503e-12 ++ pdiblc2 = 2.229783483e-03 lpdiblc2 = -1.842114391e-09 wpdiblc2 = -1.509865277e-08 ppdiblc2 = 1.545377308e-14 ++ pdiblcb = 1.440601212e-01 lpdiblcb = -8.850635464e-08 wpdiblcb = -8.421344480e-07 ppdiblcb = 4.408742262e-13 ++ drout = 8.452348106e-01 ldrout = 3.784711386e-07 wdrout = -7.297870108e-07 pdrout = -1.865435883e-12 ++ pscbe1 = 9.499741653e+08 lpscbe1 = -1.535015577e+02 wpscbe1 = -7.470621103e+02 ppscbe1 = 7.646330112e-4 ++ pscbe2 = 8.944163672e-09 lpscbe2 = -1.049483623e-16 wpscbe2 = 8.893600510e-16 ppscbe2 = 9.660853449e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -7.212182280e-05 lalpha0 = 3.775726902e-11 walpha0 = 5.035027602e-10 palpha0 = -2.635937650e-16 ++ alpha1 = 6.098431517e-10 lalpha1 = -2.669130868e-16 walpha1 = -3.559353720e-15 palpha1 = 1.863392859e-21 ++ beta0 = -8.949517053e+01 lbeta0 = 5.149631071e-05 wbeta0 = 6.844222940e-04 pbeta0 = -3.575791902e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = -3.220042723e+08 lbgidl = 7.865143077e+02 wbgidl = 9.801451348e+03 pbgidl = -5.172340680e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.055005721e-01 lkt1 = -4.320204996e-08 wkt1 = -3.138867931e-07 pkt1 = 1.557226232e-13 ++ kt2 = -6.961287253e-02 lkt2 = 2.138091124e-08 wkt2 = 5.042262617e-08 pkt2 = -4.062509483e-14 ++ at = 4.762483034e+05 lat = -2.422699190e-01 wat = -1.524824801e+00 pat = 8.952126882e-7 ++ ute = -2.653281287e+00 lute = 1.331458619e-06 wute = -8.318707952e-07 pute = 4.355009987e-13 ++ ua1 = -5.460209246e-09 lua1 = 4.276073828e-15 wua1 = 1.014221930e-14 pua1 = -5.825787089e-21 ++ ub1 = 6.418331373e-18 lub1 = -4.659086527e-24 wub1 = -1.865042043e-23 pub1 = 1.121486477e-29 ++ uc1 = 6.646302350e-11 luc1 = -1.544954805e-16 wuc1 = 9.603688960e-16 puc1 = -3.810042108e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.15 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.112364947e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.113895695e-08 wvth0 = 2.638597187e-07 pvth0 = -5.123442972e-14 ++ k1 = 2.016500546e-01 lk1 = 1.402372280e-07 wk1 = -1.063149961e-07 pk1 = 9.176026209e-14 ++ k2 = 1.041084279e-01 lk2 = -4.598614566e-08 wk2 = 8.580206686e-08 pk2 = -4.934313591e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.450662923e+00 ldsub = -2.359310533e-07 wdsub = -7.288921885e-07 pdsub = 3.815896385e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.102326522e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.097066299e-08 wvoff = -9.295573593e-07 pvoff = 2.187626690e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.143359469e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.362433845e-06 wnfactor = -5.357878464e-05 pnfactor = 1.298091761e-11 ++ eta0 = -7.185587252e-01 leta0 = 3.305649825e-07 weta0 = 1.481171609e-06 peta0 = -4.051300584e-13 ++ etab = -5.973928727e-03 letab = 1.463038985e-09 wetab = 3.186007308e-08 petab = -8.714367188e-15 ++ u0 = 1.662423465e-02 lu0 = -3.251817830e-09 wu0 = -3.886566651e-08 pu0 = 1.076731716e-14 ++ ua = 6.662618483e-10 lua = -7.346977059e-16 wua = -7.368621654e-15 pua = 2.287270107e-21 ++ ub = 3.314638057e-19 lub = 4.085131705e-25 wub = 3.285899257e-24 pub = -1.133427806e-30 ++ uc = -8.980501514e-11 luc = 3.381421602e-17 wuc = 4.091354543e-16 puc = -1.764497783e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.410823664e+04 lvsat = -2.342899222e-03 wvsat = 3.518173555e-01 pvsat = -8.264096384e-8 ++ a0 = 3.465333115e-01 la0 = 1.448051234e-07 wa0 = 7.454072674e-06 pa0 = -2.218326117e-12 ++ ags = -2.364577166e+00 lags = 1.511352112e-06 wags = 1.256411690e-05 pags = -5.068766621e-12 ++ a1 = 0.0 ++ a2 = 2.098676220e+00 la2 = -3.552139196e-07 wa2 = -6.142689708e-06 pa2 = 1.680148489e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 3.954702331e-03 lketa = -1.623938021e-08 wketa = 1.910090590e-07 pketa = -1.135057141e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.188538802e+00 lpclm = -3.435709955e-07 wpclm = -7.796048969e-06 ppclm = 1.730881370e-12 ++ pdiblc1 = -2.214995844e+00 lpdiblc1 = 7.448354167e-07 wpdiblc1 = 1.341626181e-05 ppdiblc1 = -3.924229537e-12 ++ pdiblc2 = -1.180361173e-02 lpdiblc2 = 5.504648669e-09 wpdiblc2 = 2.905856684e-08 ppdiblc2 = -7.663414525e-15 ++ pdiblcb = -0.025 ++ drout = 2.347480820e+00 ldrout = -4.079846921e-07 wdrout = -6.746513369e-06 pdrout = 1.284440700e-12 ++ pscbe1 = 5.000516694e+08 lpscbe1 = 8.204186738e+01 wpscbe1 = 1.494124221e+03 ppscbe1 = -4.086728568e-4 ++ pscbe2 = 1.721592081e-08 lpscbe2 = -4.435378661e-15 wpscbe2 = 5.726732475e-15 ppscbe2 = -1.566375867e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -5.978582246e-08 lalpha0 = 3.135142577e-14 walpha0 = 2.983075706e-13 palpha0 = -1.561699794e-19 ++ alpha1 = 4.819035035e-10 lalpha1 = -1.999341221e-16 walpha1 = -1.902365229e-15 palpha1 = 9.959262445e-22 ++ beta0 = -2.097858390e+01 lbeta0 = 1.562650728e-05 wbeta0 = 1.518878596e-04 pbeta0 = -7.878676314e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 9.928906596e+08 lbgidl = 9.814051296e+01 wbgidl = 6.712896636e+02 pbgidl = -3.925184352e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.252510651e-01 lkt1 = -3.286227183e-08 wkt1 = -4.049357166e-07 pkt1 = 2.033885556e-13 ++ kt2 = -2.320283450e-02 lkt2 = -2.915671869e-09 wkt2 = -2.247145986e-08 pkt2 = -2.463582914e-15 ++ at = -4.305382275e+04 lat = 2.959513005e-02 wat = 5.394392480e-01 pat = -1.854708266e-7 ++ ute = -1.129708342e-01 lute = 1.555291119e-09 wute = -4.375440026e-07 pute = 2.290630362e-13 ++ ua1 = 4.427681481e-09 lua1 = -9.004347262e-16 wua1 = -2.579239406e-15 pua1 = 8.341509731e-22 ++ ub1 = -4.781699273e-18 lub1 = 1.204353517e-24 wub1 = 8.587119985e-24 pub1 = -3.044532387e-30 ++ uc1 = -3.387452462e-10 luc1 = 5.763915280e-17 wuc1 = 7.557584605e-17 puc1 = 8.220264674e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.16 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.071553779e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.371373112e-11 wvth0 = 5.422917828e-07 pvth0 = -1.273911679e-13 ++ k1 = -7.179019438e-01 lk1 = 3.917530906e-07 wk1 = 5.419422020e-06 pk1 = -1.419639327e-12 ++ k2 = 4.547178430e-01 lk2 = -1.418848329e-07 wk2 = -1.829933285e-06 pk2 = 4.746487974e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 3.805262131e+00 ldsub = -8.799610287e-07 wdsub = -1.938799660e-05 pdsub = 5.485227876e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.976965408e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.131355071e-08 wvoff = -8.265223303e-07 pvoff = 1.905805279e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {9.803997855e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.916706520e-06 wnfactor = -5.250710560e-05 pnfactor = 1.268779196e-11 ++ eta0 = 0.49 ++ etab = -6.249996955e-04 letab = -8.327678673e-17 wetab = -1.516614237e-15 petab = 4.148243259e-22 ++ u0 = 2.529469607e-02 lu0 = -5.623362439e-09 wu0 = -1.140700216e-07 pu0 = 3.133721237e-14 ++ ua = 3.876963028e-09 lua = -1.612888693e-15 wua = -3.190874691e-14 pua = 8.999485166e-21 ++ ub = -2.324599821e-18 lub = 1.134999694e-24 wub = 2.239333083e-23 pub = -6.359692490e-30 ++ uc = 6.986948201e-11 luc = -9.859952434e-18 wuc = -2.651716403e-16 puc = 7.986698224e-24 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.681183981e+05 lvsat = -2.532135859e-02 wvsat = -9.333855894e-01 pvsat = 2.688877456e-7 ++ a0 = 3.791647055e+00 la0 = -7.975023878e-07 wa0 = -1.741884749e-05 pa0 = 4.584915005e-12 ++ ags = 8.717042371e+00 lags = -1.519692463e-06 wags = -2.331756237e-05 pags = 4.745590294e-12 ++ a1 = 0.0 ++ a2 = -1.020052651e-01 la2 = 2.467164801e-07 wa2 = 7.827818858e-06 pa2 = -2.141065014e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 3.845372347e-01 lketa = -1.203363145e-07 wketa = -3.280860005e-06 pketa = 8.361199123e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.233741352e+00 lpclm = -8.241479669e-08 wpclm = -3.186471212e-06 ppclm = 4.700696623e-13 ++ pdiblc1 = 9.266435940e-01 lpdiblc1 = -1.144658025e-07 wpdiblc1 = -4.517594829e-06 ppdiblc1 = 9.810389291e-13 ++ pdiblc2 = 5.066555978e-03 lpdiblc2 = 8.903203988e-10 wpdiblc2 = 1.024899240e-08 ppdiblc2 = -2.518619725e-15 ++ pdiblcb = 9.241277873e-01 lpdiblcb = -2.596054324e-07 wpdiblcb = -3.573565269e-06 ppdiblcb = 9.774415725e-13 ++ drout = 4.483823127e-01 ldrout = 1.114567316e-07 wdrout = 3.287592976e-07 pdrout = -6.507878801e-13 ++ pscbe1 = 7.984893667e+08 lpscbe1 = 4.131884139e-01 wpscbe1 = 1.262176923e+01 ppscbe1 = -3.452306319e-6 ++ pscbe2 = -6.562293270e-08 lpscbe2 = 1.822270455e-14 wpscbe2 = 2.970044507e-13 ppscbe2 = -8.123665736e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 6.771515431e-08 lalpha0 = -3.522641393e-15 walpha0 = -3.368094750e-13 palpha0 = 1.754723494e-20 ++ alpha1 = -2.490636000e-10 walpha1 = 1.738780737e-15 ++ beta0 = 4.308361785e+01 lbeta0 = -1.895786144e-06 wbeta0 = -1.751256456e-04 pbeta0 = 1.065797080e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = -1.259459367e+09 lbgidl = 7.142032922e+02 wbgidl = 1.432002699e+04 pbgidl = -4.125721069e-3 ++ cgidl = 2.846936635e+03 lcgidl = -6.966381084e-04 wcgidl = -1.268698415e-02 pcgidl = 3.470143904e-9 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.087121029e-01 lkt1 = -3.738600878e-08 wkt1 = -1.933208321e-07 pkt1 = 1.455076524e-13 ++ kt2 = 2.202787940e-02 lkt2 = -1.528717674e-08 wkt2 = -1.229996659e-07 pkt2 = 2.503289201e-14 ++ at = 1.223245529e+05 lat = -1.563916325e-02 wat = -2.889938678e-01 pat = 4.112219920e-8 ++ ute = -1.648664105e+00 lute = 4.215981144e-07 wute = 1.353430441e-05 pute = -3.592516942e-12 ++ ua1 = 3.339621791e-09 lua1 = -6.028286398e-16 wua1 = 1.838248726e-15 pua1 = -3.741203808e-22 ++ ub1 = -1.683862502e-18 lub1 = 3.570332029e-25 wub1 = -9.939761842e-24 pub1 = 2.022940330e-30 ++ uc1 = -4.730024479e-10 luc1 = 9.436118261e-17 wuc1 = 1.469630745e-15 puc1 = -2.990992492e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.17 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-7.241310128e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.663936304e-08 wvth0 = -1.896724035e-06 pvth0 = 3.998196320e-13 ++ k1 = 2.231797383e+00 lk1 = -2.259915185e-07 wk1 = -1.306248150e-05 pk1 = 2.537407742e-12 ++ k2 = -9.539460961e-02 lk2 = -3.242565707e-08 wk2 = 2.338020560e-06 pk2 = -4.048210300e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -5.967321931e+00 ldsub = 1.201586175e-06 wdsub = 5.694266594e-05 pdsub = -1.088902943e-11 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.203765363e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.136193547e-08 wvoff = 8.381533065e-07 pvoff = -1.605945760e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.915564452e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.309371563e-06 wnfactor = 1.143450970e-04 pnfactor = -2.304664609e-11 ++ eta0 = 2.203525186e+00 leta0 = -3.778665741e-07 weta0 = 8.062068554e-09 peta0 = -1.777847358e-15 ++ etab = 3.717098228e-01 letab = -8.210727516e-08 wetab = -1.037461342e-06 petab = 2.287809752e-13 ++ u0 = -6.299326004e-02 lu0 = 1.337617888e-08 wu0 = 4.108937237e-07 pu0 = -8.181019933e-14 ++ ua = -2.252968576e-08 lua = 4.075581108e-15 wua = 1.242317101e-13 pua = -2.468088254e-20 ++ ub = 1.746716558e-17 lub = -3.134674032e-24 wub = -9.225709729e-23 pub = 1.839179561e-29 ++ uc = 3.276711830e-10 luc = -6.753398413e-17 wuc = -2.183525062e-15 puc = 4.316891226e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.131567215e+05 lvsat = 3.459034090e-02 wvsat = 2.818633747e+00 pvsat = -5.360473989e-7 ++ a0 = -8.390843776e-01 la0 = 1.570512336e-07 wa0 = 1.061056540e-05 pa0 = -1.213153751e-12 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 3.288562375e+00 la2 = -4.803632994e-07 wa2 = -2.052664399e-05 pa2 = 3.932818242e-12 ++ b0 = -4.671434337e-23 lb0 = 1.030144700e-29 wb0 = 2.326968506e-28 pb0 = -5.131430950e-35 ++ b1 = 0.0 ++ keta = -1.167657057e+00 lketa = 2.119018935e-07 wketa = 4.586658775e-06 pketa = -8.289843380e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.086595653e+00 lpclm = -2.773703249e-07 wpclm = -6.317337034e-06 ppclm = 1.199753053e-12 ++ pdiblc1 = 1.111623739e+00 lpdiblc1 = -1.648189381e-07 wpdiblc1 = 5.237142818e-06 ppdiblc1 = -1.088129758e-12 ++ pdiblc2 = 3.731465057e-02 lpdiblc2 = -6.146661070e-09 wpdiblc2 = 3.738200889e-08 ppdiblc2 = -8.712372510e-15 ++ pdiblcb = -2.846287229e+00 lpdiblcb = 5.501616779e-07 wpdiblcb = 1.835185693e-05 ppdiblcb = -3.775906959e-12 ++ drout = 9.707988652e-01 ldrout = 5.563400195e-09 wdrout = -2.108833699e-05 pdrout = 4.017749963e-12 ++ pscbe1 = 8.038192380e+08 lpscbe1 = -7.276412232e-01 wpscbe1 = -3.191081606e+01 ppscbe1 = 6.079648675e-6 ++ pscbe2 = 1.134615031e-07 lpscbe2 = -1.974685501e-14 wpscbe2 = -7.376196116e-13 ppscbe2 = 1.401329531e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.472088819e-07 lalpha0 = -4.339884400e-14 walpha0 = -1.230916555e-12 palpha0 = 2.161814465e-19 ++ alpha1 = -2.490636000e-10 walpha1 = 1.738780737e-15 ++ beta0 = 6.936154958e+01 lbeta0 = -7.848950426e-06 wbeta0 = -2.676139056e-04 pbeta0 = 3.194374086e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 5.108109374e+09 lbgidl = -6.303156553e+02 wbgidl = -2.089435968e+04 pbgidl = 3.295134517e-3 ++ cgidl = -6.139257841e+03 lcgidl = 1.226807404e-03 wcgidl = 3.207569478e-02 pcgidl = -6.111061370e-9 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -8.692683173e-01 lkt1 = 6.105299902e-08 wkt1 = 2.935506548e-06 pkt1 = -5.323071256e-13 ++ kt2 = -9.113273842e-01 lkt2 = 1.892593900e-07 wkt2 = 5.437065217e-06 pkt2 = -1.198981622e-12 ++ at = 4.886346092e+05 lat = -9.772419421e-02 wat = -2.815520245e+00 pat = 6.017067279e-7 ++ ute = 5.965435931e+00 lute = -1.222247188e-06 wute = -3.779918659e-05 pute = 7.427462005e-12 ++ ua1 = -3.402938721e-09 lua1 = 8.336866039e-16 wua1 = 2.482887432e-14 pua1 = -5.475263366e-21 ++ ub1 = 5.647008248e-18 lub1 = -1.229747476e-24 wub1 = -3.396305113e-23 pub1 = 7.489532036e-30 ++ uc1 = 5.840870338e-10 luc1 = -1.308662122e-16 wuc1 = -2.935184827e-15 puc1 = 6.472669581e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.18 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.108792995e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.017920447e-07 wvth0 = -4.381225235e-08 pvth0 = 4.382255700e-12 ++ k1 = 4.564604659e-01 lk1 = -1.989332367e-06 wk1 = -9.608717931e-08 pk1 = 9.610977902e-12 ++ k2 = 2.113654736e-02 lk2 = 8.806811518e-07 wk2 = 3.882360836e-08 pk2 = -3.883273967e-12 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.671824734e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.874636618e-06 wvoff = -9.422410853e-08 pvoff = 9.424627004e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.519822945e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.027386930e-04 wnfactor = -3.073863648e-06 pnfactor = 3.074586621e-10 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.258093331e-02 lu0 = -4.592432981e-08 wu0 = 1.461176715e-09 pu0 = -1.461520384e-13 ++ ua = 1.115990911e-10 lua = -3.497603054e-14 wua = -1.009006486e-15 pua = 1.009243805e-19 ++ ub = 4.293807568e-19 lub = 3.939736140e-23 wub = 1.640915542e-24 pub = -1.641301485e-28 ++ uc = -8.825926672e-11 luc = 1.059106115e-15 wuc = 5.509618585e-17 puc = -5.510914448e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.099335431e+05 lvsat = -9.935879494e-1 ++ a0 = 1.427910298e+00 la0 = 6.949544373e-06 wa0 = 9.911467397e-08 pa0 = -9.913798574e-12 ++ ags = 3.103454230e-01 lags = 7.607866650e-06 wags = 1.873556242e-07 pags = -1.873996902e-11 ++ a1 = 0.0 ++ a2 = 1.050046297e+00 la2 = -5.006807030e-6 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 3.107433122e-03 lketa = -1.628034336e-06 wketa = -3.504330271e-08 pketa = 3.505154490e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 7.444511586e-03 lpclm = 6.806115463e-06 wpclm = 2.143103346e-07 ppclm = -2.143607404e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.538916351e-03 lpdiblc2 = -9.115312932e-08 wpdiblc2 = -2.241390338e-10 ppdiblc2 = 2.241917513e-14 ++ pdiblcb = 1.220200939e-03 lpdiblcb = -1.316254488e-07 wpdiblcb = -2.852715292e-09 ppdiblcb = 2.853386251e-13 ++ drout = 0.56 ++ pscbe1 = 7.800989187e+08 lpscbe1 = -3.363169700e+03 wpscbe1 = -1.329133902e+02 ppscbe1 = 1.329446514e-2 ++ pscbe2 = 9.388803122e-09 lpscbe2 = 1.162167058e-14 wpscbe2 = 4.541851576e-16 ppscbe2 = -4.542919819e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.334896019e-10 lalpha0 = 2.335445187e-14 walpha0 = 6.960960125e-16 palpha0 = -6.962597342e-20 ++ alpha1 = 1.621675242e-10 lalpha1 = -6.218214601e-15 walpha1 = -1.853382992e-16 palpha1 = 1.853818908e-20 ++ beta0 = 5.107119932e+00 lbeta0 = -3.148048563e-05 wbeta0 = 3.991726618e-07 pbeta0 = -3.992665472e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.171370393e-10 lagidl = -1.714106995e-15 wagidl = -8.536425414e-17 pagidl = 8.538433181e-21 ++ bgidl = 9.123199415e+08 lbgidl = 2.421816062e+04 wbgidl = 8.370851749e+02 pbgidl = -8.372820574e-2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.530913093e-01 lkt1 = 1.140399093e-06 wkt1 = 4.432519804e-08 pkt1 = -4.433562333e-12 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -2.802778133e-01 lute = -2.038698055e-06 wute = -3.910281622e-08 pute = 3.911201320e-12 ++ ua1 = 2.318865459e-09 lua1 = -1.072906873e-14 wua1 = -5.343184250e-16 pua1 = 5.344440967e-20 ++ ub1 = -1.045453622e-18 lub1 = 2.519228602e-23 wub1 = 1.412905181e-24 pub1 = -1.413237496e-28 ++ uc1 = 2.340289505e-10 luc1 = -1.142058054e-14 wuc1 = -5.687564091e-16 puc1 = 5.688901806e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.19 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.138847253e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.750431587e-7 ++ k1 = 3.571106828e-01 wk1 = 3.838972541e-7 ++ k2 = 6.511888172e-02 wk2 = -1.551120217e-7 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.107454742e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} wvoff = 3.764537246e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.611077765e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.228101212e-5 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.028741400e-02 wu0 = -5.837841559e-9 ++ ua = -1.635148261e-09 wua = 4.031285154e-15 ++ ub = 2.396934983e-18 wub = -6.555952368e-24 ++ uc = -3.536616325e-11 wuc = -2.201258754e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160312.5 ++ a0 = 1.774979363e+00 wa0 = -3.959930081e-7 ++ ags = 6.902919384e-01 wags = -7.485422110e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -7.819866770e-02 wketa = 1.400085608e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 3.473505552e-01 wpclm = -8.562344069e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.338660609e-05 wpdiblc2 = 8.955030236e-10 ++ pdiblcb = -5.353341015e-03 wpdiblcb = 1.139745776e-8 ++ drout = 0.56 ++ pscbe1 = 6.121379558e+08 wpscbe1 = 5.310290705e+2 ++ pscbe2 = 9.969204099e-09 wpscbe2 = -1.814606653e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.032861363e-09 walpha0 = -2.781113460e-15 ++ alpha1 = -1.483780043e-10 walpha1 = 7.404823896e-16 ++ beta0 = 3.534944529e+00 wbeta0 = -1.594815144e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 3.153236066e-11 wagidl = 3.410559348e-16 ++ bgidl = 2.121805617e+09 wbgidl = -3.344407676e+3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.961383314e-01 wkt1 = -1.770925314e-7 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -3.820929814e-01 wute = 1.562275413e-7 ++ ua1 = 1.783042150e-09 wua1 = 2.134763219e-15 ++ ub1 = 2.126811126e-19 wub1 = -5.644982224e-24 ++ uc1 = -3.363293351e-10 wuc1 = 2.272353349e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.20 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.128892739e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.987024121e-08 wvth0 = 1.056808307e-07 pvth0 = 5.565300255e-13 ++ k1 = 2.598280247e-01 lk1 = 7.805493535e-07 wk1 = 5.729334777e-07 pk1 = -1.516735921e-12 ++ k2 = 1.131204395e-01 lk2 = -3.851414589e-07 wk2 = -2.734251945e-07 pk2 = 9.492881083e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.466078850e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.877427706e-07 wvoff = 4.635599004e-07 pvoff = -6.988981437e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-2.982470790e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.100339936e-05 wnfactor = 1.696179421e-05 pnfactor = -3.755634870e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.354855553e-03 lu0 = 5.562352133e-08 wu0 = 2.165804059e-08 pu0 = -2.206137604e-13 ++ ua = -3.362611847e-09 lua = 1.386033863e-14 wua = 1.115043877e-14 pua = -5.712067140e-20 ++ ub = 3.394594119e-18 lub = -8.004738034e-24 wub = -1.082353036e-23 pub = 3.424099734e-29 ++ uc = 4.490116745e-11 luc = -6.440265333e-16 wuc = -5.590472244e-16 puc = 2.719342222e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.312313388e+05 lvsat = -5.690187217e-01 wvsat = -2.815507220e-02 pvsat = 2.259027849e-7 ++ a0 = 1.605889795e+00 la0 = 1.356693535e-06 wa0 = 2.026345219e-07 pa0 = -4.803099959e-12 ++ ags = 3.873037008e-01 lags = 2.431032184e-06 wags = 1.823564576e-07 pags = -7.469084086e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.512733318e-02 lketa = -1.048781139e-07 wketa = 8.239588087e-08 pketa = 4.622564896e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.021009426e-02 lpclm = 2.303877232e-06 wpclm = -1.717503472e-06 ppclm = 6.910409570e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -2.431161254e-04 lpdiblc2 = 1.843239393e-09 wpdiblc2 = 1.796271605e-09 ppdiblc2 = -7.227334728e-15 ++ pdiblcb = -7.019781344e-03 lpdiblcb = 1.337071731e-08 wpdiblcb = 1.962648361e-08 ppdiblcb = -6.602575347e-14 ++ drout = 0.56 ++ pscbe1 = 6.135808014e+08 lpscbe1 = -1.157670052e+01 wpscbe1 = 4.975180255e+02 ppscbe1 = 2.688765398e-4 ++ pscbe2 = 1.166373232e-08 lpscbe2 = -1.359608106e-14 wpscbe2 = -7.490527251e-15 ppscbe2 = 4.554086244e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.971207950e-09 lalpha0 = -7.528842612e-15 walpha0 = -5.578579868e-15 palpha0 = 2.244552767e-20 ++ alpha1 = -3.982164713e-10 lalpha1 = 2.004583936e-15 walpha1 = 1.485318816e-15 palpha1 = -5.976209961e-21 ++ beta0 = 2.172240540e+00 lbeta0 = 1.093368271e-05 wbeta0 = -8.604491362e-06 pbeta0 = 5.624227732e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -9.417951230e-09 lagidl = 7.581812058e-14 wagidl = 2.865027724e-14 pagidl = -2.271396033e-19 ++ bgidl = 9.745385433e+08 lbgidl = 9.205120314e+03 wbgidl = -5.187374917e+02 pbgidl = -2.267182124e-2 ++ cgidl = 300.0 ++ egidl = -4.255642992e-01 legidl = 4.216875666e-06 wegidl = 1.566850129e-06 pegidl = -1.257165335e-11 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.041502702e-01 lkt1 = -7.380680485e-07 wkt1 = -6.155183653e-07 pkt1 = 3.517718447e-12 ++ kt2 = -3.133225080e-02 lkt2 = -5.318590178e-8 ++ at = -1.896342590e+05 lat = 1.521534270e+00 wat = 2.733626154e-02 pat = -2.193330412e-7 ++ ute = 9.866349256e-01 lute = -1.098201574e-05 wute = -2.446543066e-06 pute = 2.088338202e-11 ++ ua1 = 3.916344793e-09 lua1 = -1.711659642e-14 wua1 = 4.282078845e-15 pua1 = -1.722902987e-20 ++ ub1 = -1.318203050e-19 lub1 = 2.764114014e-24 wub1 = -1.226730362e-23 pub1 = 5.313432813e-29 ++ uc1 = -7.356328499e-10 luc1 = 3.203819737e-15 wuc1 = 4.558068135e-15 puc1 = -1.833947830e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.21 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.198752661e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.012125522e-07 wvth0 = 4.631530024e-07 pvth0 = -8.817664066e-13 ++ k1 = 2.337095284e-01 lk1 = 8.856376457e-07 wk1 = 1.089382744e-06 pk1 = -3.594679874e-12 ++ k2 = 8.144511033e-02 lk2 = -2.576951385e-07 wk2 = -2.815494145e-07 pk2 = 9.819760698e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.453449132e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.826611782e-07 wvoff = 6.058615845e-07 pvoff = -1.271451816e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.651034109e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.646337247e-06 wnfactor = 1.443116293e-05 pnfactor = -2.737430312e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.630200762e-02 lu0 = -3.670480397e-08 wu0 = -6.805248404e-08 pu0 = 1.403383297e-13 ++ ua = 2.071881725e-09 lua = -8.005454944e-15 wua = -1.052846122e-14 pua = 3.010481628e-20 ++ ub = 4.022273774e-19 lub = 4.035109401e-24 wub = 1.380378206e-24 pub = -1.486167284e-29 ++ uc = -2.088903783e-10 luc = 3.771088269e-16 wuc = 4.236329707e-16 puc = -1.234491196e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.714936062e+05 lvsat = -3.286627596e-01 wvsat = -7.751152270e-02 pvsat = 4.244894506e-7 ++ a0 = 1.550031110e+00 la0 = 1.581442070e-06 wa0 = 1.494793015e-06 pa0 = -1.000212550e-11 ++ ags = 3.109635266e-01 lags = 2.738188402e-06 wags = 6.344302744e-07 pags = -9.288012129e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.610420826e-02 lketa = -2.216532375e-07 wketa = -1.598034031e-08 pketa = 8.580751830e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.209306932e-01 lpclm = 4.780668739e-08 wpclm = 9.478412961e-07 ppclm = -3.813658412e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000215 ++ pdiblcb = -4.125487681e-02 lpdiblcb = 1.511163086e-07 wpdiblcb = 1.827002066e-07 ppdiblcb = -7.221561393e-13 ++ drout = 0.56 ++ pscbe1 = 4.205172038e+08 lpscbe1 = 7.652185455e+02 wpscbe1 = 1.131341435e+03 ppscbe1 = -2.281324624e-3 ++ pscbe2 = -2.585559726e-09 lpscbe2 = 4.373623047e-14 wpscbe2 = 5.792334199e-14 ppscbe2 = -2.176531487e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.793179990e-03 lalpha0 = 1.123841596e-08 walpha0 = 8.327229593e-09 palpha0 = -3.350477481e-14 ++ alpha1 = 3.519925879e-10 lalpha1 = -1.013897217e-15 walpha1 = -7.512584466e-16 palpha1 = 3.022703385e-21 ++ beta0 = -6.473634889e+01 lbeta0 = 2.801417305e-04 wbeta0 = 2.095317689e-04 pbeta0 = -8.214333287e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.886122672e-08 lagidl = -3.796371750e-14 wagidl = -5.593231992e-14 pagidl = 1.131801680e-19 ++ bgidl = 5.092119605e+09 lbgidl = -7.362049439e+03 wbgidl = -1.177622993e+04 pbgidl = 2.262292474e-2 ++ cgidl = 300.0 ++ egidl = 1.151128598e+00 legidl = -2.126979741e-06 wegidl = -3.133700259e-06 pegidl = 6.341105147e-12 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.574049919e-01 lkt1 = 2.809073892e-07 wkt1 = 6.407332863e-07 pkt1 = -1.536835198e-12 ++ kt2 = -3.970993539e-02 lkt2 = -1.947812028e-08 wkt2 = -1.154954685e-09 pkt2 = 4.646983276e-15 ++ at = 3.718000333e+05 lat = -7.374078337e-01 wat = -2.848435442e-01 pat = 1.036728651e-6 ++ ute = -3.335977105e+00 lute = 6.410100220e-06 wute = 5.012545996e-06 pute = -9.128412000e-12 ++ ua1 = -2.370081736e-09 lua1 = 8.176966447e-15 wua1 = -2.848973367e-15 pua1 = 1.146290132e-20 ++ ub1 = 2.114256973e-18 lub1 = -6.273022835e-24 wub1 = 3.267379227e-24 pub1 = -9.369778976e-30 ++ uc1 = 2.798421021e-11 luc1 = 1.313912233e-16 wuc1 = 1.929761629e-16 puc1 = -7.764434508e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.22 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.099900299e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.182820011e-09 wvth0 = -5.632892445e-09 pvth0 = 6.683122727e-14 ++ k1 = 9.195973498e-01 lk1 = -5.022700787e-07 wk1 = -1.453676532e-06 pk1 = 1.551251432e-12 ++ k2 = -1.285370450e-01 lk2 = 1.672079526e-07 wk2 = 4.615143665e-07 pk2 = -5.216283524e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 7.186915507e-02 ldsub = 9.877425273e-07 wdsub = 9.358161955e-07 pdsub = -1.893642788e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.049227550e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.485867303e-09 wvoff = -5.052876758e-08 pvoff = 5.676718944e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {8.443078793e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.969628277e-07 wnfactor = 7.370578731e-07 pnfactor = 3.359923365e-13 ++ eta0 = -6.482533088e-02 leta0 = 2.930569535e-07 weta0 = 7.633953140e-09 peta0 = -1.544745686e-14 ++ etab = -6.381137200e-01 letab = 1.149589475e-06 wetab = 4.021247288e-06 petab = -8.137074312e-12 ++ u0 = 4.196184473e-03 lu0 = 8.026771295e-09 wu0 = 1.331808242e-08 pu0 = -2.431663894e-14 ++ ua = -3.413120761e-09 lua = 3.093557285e-15 wua = 1.001561823e-14 pua = -1.146653936e-20 ++ ub = 3.828436208e-18 lub = -2.897892692e-24 wub = -1.185315737e-23 pub = 1.191665108e-29 ++ uc = 2.528989018e-11 luc = -9.675962994e-17 wuc = -4.412533190e-16 puc = 5.156235087e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.532160438e+04 lvsat = 1.505375553e-01 wvsat = 3.137671611e-01 pvsat = -3.672707916e-7 ++ a0 = 3.653392563e+00 la0 = -2.674751897e-06 wa0 = -7.462914826e-06 pa0 = 8.123975469e-12 ++ ags = 2.729288283e+00 lags = -2.155340110e-06 wags = -7.869667600e-06 pags = 7.920200002e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.828745321e-01 lketa = 2.776914481e-07 wketa = 8.361277157e-07 pketa = -8.661825104e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 7.144552896e-01 lpclm = -1.414422040e-07 wpclm = -2.037722091e-06 ppclm = 2.227688814e-12 ++ pdiblc1 = 3.769599201e-01 lpdiblc1 = 2.638686241e-08 wpdiblc1 = 9.690198789e-08 ppdiblc1 = -1.960831105e-13 ++ pdiblc2 = -5.056800000e-06 lpdiblc2 = 4.452893359e-10 ++ pdiblcb = 9.322424029e-02 lpdiblcb = -1.210048744e-07 wpdiblcb = -3.524586173e-07 ppdiblcb = 3.607484440e-13 ++ drout = 8.530688465e-01 ldrout = -5.930306722e-07 wdrout = -2.186985988e-06 pdrout = 4.425409887e-12 ++ pscbe1 = 7.973275178e+08 lpscbe1 = 2.735338973e+00 wpscbe1 = 7.967396330e+00 ppscbe1 = -8.154789491e-6 ++ pscbe2 = 2.919713530e-08 lpscbe2 = -2.057668856e-14 wpscbe2 = -1.010373883e-13 ppscbe2 = 1.040070682e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 5.550299318e-03 lalpha0 = -5.644781295e-09 walpha0 = -1.654695165e-08 palpha0 = 1.682862842e-14 ++ alpha1 = -1.490636000e-10 walpha1 = 7.425263369e-16 ++ beta0 = 9.108816238e+01 lbeta0 = -3.517228460e-05 wbeta0 = -2.514104108e-04 pbeta0 = 1.112923908e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.263660399e+09 lbgidl = 3.849143340e+02 wbgidl = 1.777117686e+01 pbgidl = -1.242472382e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.438673538e-01 lkt1 = -1.511902922e-07 wkt1 = -2.984378561e-07 pkt1 = 3.635963920e-13 ++ kt2 = -3.813431627e-02 lkt2 = -2.266641708e-08 wkt2 = -6.759402200e-08 pkt2 = 1.390877648e-13 ++ at = -1.063506058e+05 lat = 2.301395475e-01 wat = 5.086774417e-01 pat = -5.689769345e-7 ++ ute = 3.315259227e+00 lute = -7.048809522e-06 wute = -9.883689505e-06 pute = 2.101441846e-11 ++ ua1 = 8.040603263e-09 lua1 = -1.288926286e-14 wua1 = -1.552927350e-14 pua1 = 3.712174224e-20 ++ ub1 = -4.887192482e-18 lub1 = 7.894550165e-24 wub1 = 1.000868160e-23 pub1 = -2.301093915e-29 ++ uc1 = -4.461870145e-11 luc1 = 2.783046671e-16 wuc1 = 6.016478023e-16 puc1 = -1.603398687e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.23 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.127108471e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.903092866e-08 wvth0 = 4.968224274e-08 pvth0 = 1.021508010e-14 ++ k1 = 5.055959375e-01 lk1 = -7.853135321e-08 wk1 = -5.396698085e-07 pk1 = 6.157472708e-13 ++ k2 = 1.039530254e-02 lk2 = 2.500791618e-08 wk2 = 1.288418683e-07 pk2 = -1.811313969e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.075564090e+00 ldsub = -3.955931233e-08 wdsub = -1.871632391e-06 pdsub = 9.798369894e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.416533944e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -6.624332326e-08 wvoff = -2.354536005e-07 pvoff = 2.460414544e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.585070823e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.231782860e-06 wnfactor = -1.206196041e-05 pnfactor = 1.343604353e-11 ++ eta0 = 4.298444042e-01 leta0 = -2.132474137e-07 weta0 = -1.840075693e-07 peta0 = 1.807014742e-13 ++ etab = 9.924805620e-01 letab = -5.193563848e-07 wetab = -8.039580747e-06 petab = 4.207424399e-12 ++ u0 = 1.610612278e-02 lu0 = -4.163288763e-09 wu0 = -1.399418618e-08 pu0 = 3.638014218e-15 ++ ua = 6.848944077e-10 lua = -1.100843200e-15 wua = -2.840566974e-15 pua = 1.692023315e-21 ++ ub = 2.107514272e-19 lub = 8.048800346e-25 wub = 1.715038464e-24 pub = -1.970668725e-30 ++ uc = -1.636235744e-10 luc = 9.659707935e-17 wuc = 2.929718161e-16 puc = -2.358706016e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -5.659486771e+04 lvsat = 1.416055658e-01 wvsat = 4.049104965e-01 pvsat = -4.605578183e-7 ++ a0 = 6.625770743e-01 la0 = 3.864075719e-07 wa0 = 1.658335795e-06 pa0 = -1.211806966e-12 ++ ags = 3.608305704e-01 lags = 2.688237285e-07 wags = -1.450855619e-06 pags = 1.350417563e-12 ++ a1 = 0.0 ++ a2 = 1.030543051e+00 la2 = -2.359654231e-07 wa2 = -1.311582533e-06 pa2 = 1.342430954e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.288042003e-02 lketa = 1.347074567e-09 wketa = 9.363987754e-08 pketa = -1.062313584e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.419291245e-01 lpclm = -6.721022351e-08 wpclm = -3.281358507e-07 ppclm = 4.778931049e-13 ++ pdiblc1 = 1.351757863e+00 lpdiblc1 = -9.713383280e-07 wpdiblc1 = -4.887435445e-06 ppdiblc1 = 4.905485939e-12 ++ pdiblc2 = -1.719686927e-03 lpdiblc2 = 2.200247563e-09 wpdiblc2 = 4.574733600e-09 ppdiblc2 = -4.682331334e-15 ++ pdiblcb = 1.349796040e-02 lpdiblcb = -3.940343243e-08 wpdiblcb = -1.917688122e-07 ppdiblcb = 1.962792147e-13 ++ drout = 2.340769612e-01 ldrout = 4.051990225e-08 wdrout = 2.314556472e-06 pdrout = -1.820088520e-13 ++ pscbe1 = 7.863501317e+08 lpscbe1 = 1.397091322e+01 wpscbe1 = 6.799370684e+01 ppscbe1 = -6.959291882e-5 ++ pscbe2 = 8.798412926e-09 lpscbe2 = 3.018117566e-16 wpscbe2 = 1.615384159e-15 ppscbe2 = -1.060097446e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 7.212202280e-05 lalpha0 = -3.775726902e-11 walpha0 = -2.150150690e-10 palpha0 = 1.125646889e-16 ++ alpha1 = -4.098431517e-10 lalpha1 = 2.669130868e-16 walpha1 = 1.519981113e-15 palpha1 = -7.957405121e-22 ++ beta0 = 1.067416489e+02 lbeta0 = -5.119394112e-05 wbeta0 = -2.930866800e-04 pbeta0 = 1.539488859e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 2.185674947e+09 lbgidl = -5.587859968e+02 wbgidl = -2.689980934e+03 pbgidl = 1.528966058e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.920101620e-01 lkt1 = 4.368348712e-10 wkt1 = 1.170410050e-07 pkt1 = -6.165453190e-14 ++ kt2 = -1.084289843e-01 lkt2 = 4.928158154e-08 wkt2 = 2.437762368e-07 pkt2 = -1.796059226e-13 ++ at = 1.651193588e+05 lat = -4.771539069e-02 wat = 2.499309910e-02 pat = -7.391633617e-8 ++ ute = -7.055508399e+00 lute = 3.565878559e-06 wute = 2.109681986e-05 pute = -1.069475248e-11 ++ ua1 = -1.250648107e-08 lua1 = 8.141088891e-15 wua1 = 4.524161583e-14 pua1 = -2.507847841e-20 ++ ub1 = 8.192226211e-18 lub1 = -5.492496455e-24 wub1 = -2.748667311e-23 pub1 = 1.536630631e-29 ++ uc1 = 8.301520091e-10 luc1 = -6.170406505e-16 wuc1 = -2.843773665e-15 puc1 = 1.923059093e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.24 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085306390e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.146703302e-09 wvth0 = 1.290736863e-07 pvth0 = -3.134792841e-14 ++ k1 = -2.259010367e-01 lk1 = 3.044219427e-07 wk1 = 2.023433284e-06 pk1 = -7.260884600e-13 ++ k2 = 2.714927548e-01 lk2 = -1.116818220e-07 wk2 = -7.479847939e-07 pk2 = 2.779048973e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.082878138e+00 ldsub = -4.338836296e-08 wdsub = 1.103143860e-06 pdsub = -5.775178734e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.033936562e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.843093857e-08 wvoff = 4.770063628e-07 pvoff = -1.269455856e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-4.719699833e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.639450673e-06 wnfactor = 2.688516906e-05 pnfactor = -6.953557690e-12 ++ eta0 = -4.889602848e-01 leta0 = 2.677652171e-07 weta0 = 3.374793261e-07 peta0 = -9.230734528e-14 ++ etab = 1.591956038e-03 letab = -6.063818155e-10 wetab = -5.827656859e-09 petab = 1.593980704e-15 ++ u0 = 1.291552183e-02 lu0 = -2.492945352e-09 wu0 = -2.039155919e-08 pu0 = 6.987166932e-15 ++ ua = -4.734947761e-10 lua = -4.944032948e-16 wua = -1.691183894e-15 pua = 1.090298285e-21 ++ ub = 1.453488264e-18 lub = 1.542824458e-25 wub = -2.303209761e-24 pub = 1.329645852e-31 ++ uc = 4.882544602e-11 luc = -1.462423183e-17 wuc = -2.814205802e-16 puc = 6.483530573e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.470113358e+05 lvsat = -6.969035391e-02 wvsat = -9.577744912e-01 pvsat = 2.528350265e-7 ++ a0 = 2.170576841e+00 la0 = -4.030604657e-07 wa0 = -1.631984284e-06 pa0 = 5.107414016e-13 ++ ags = 1.713448526e-01 lags = 3.680232914e-07 wags = -6.800044313e-08 pags = 6.264652216e-13 ++ a1 = 0.0 ++ a2 = 3.389138989e-01 la2 = 1.261162704e-07 wa2 = 2.623165067e-06 pa2 = -7.174881090e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.422262750e-01 lketa = -7.985438240e-08 wketa = -4.977592543e-07 pketa = 2.033779151e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 3.790229365e-01 lpclm = 7.042642404e-08 wpclm = 1.217641748e-06 ppclm = -3.313523837e-13 ++ pdiblc1 = -1.314805471e+00 lpdiblc1 = 4.246609087e-07 wpdiblc1 = 8.932168704e-06 ppdiblc1 = -2.329353226e-12 ++ pdiblc2 = -4.971783760e-03 lpdiblc2 = 3.902785297e-09 wpdiblc2 = -4.972626522e-09 ppdiblc2 = 3.159026370e-16 ++ pdiblcb = -1.019959208e-01 lpdiblcb = 2.105992426e-08 wpdiblcb = 3.835376244e-07 ppdiblcb = -1.049052110e-13 ++ drout = 1.567527797e-01 ldrout = 8.100065770e-08 wdrout = 4.166098877e-06 pdrout = -1.151328332e-12 ++ pscbe1 = 8.270594435e+08 lpscbe1 = -7.341225704e+00 wpscbe1 = -1.347904482e+02 ppscbe1 = 3.656864205e-5 ++ pscbe2 = 3.181440245e-08 lpscbe2 = -1.174751908e-14 wpscbe2 = -6.699227535e-14 ppscbe2 = 3.485738446e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.936650713e+00 lbeta0 = 8.931534583e-09 wbeta0 = 2.871939058e-06 pbeta0 = -9.913703680e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 7.722061918e+08 lbgidl = 1.811931661e+02 wbgidl = 1.770579024e+03 pbgidl = -8.062262910e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.250421389e-01 lkt1 = 1.772973540e-08 wkt1 = 9.215076497e-08 pkt1 = -4.862399343e-14 ++ kt2 = -1.826391978e-03 lkt2 = -6.527007592e-09 wkt2 = -1.289533345e-07 pkt2 = 1.552546260e-14 ++ at = 1.247866472e+05 lat = -2.660040950e-02 wat = -2.966197853e-01 pat = 9.445444106e-8 ++ ute = -4.377110072e-01 lute = 1.013292682e-07 wute = 1.180075128e-06 pute = -2.679382821e-13 ++ ua1 = 5.926249790e-09 lua1 = -1.508814368e-15 wua1 = -1.004401576e-14 pua1 = 3.864655446e-21 ++ ub1 = -4.746666054e-18 lub1 = 1.281272423e-24 wub1 = 8.412609992e-24 pub1 = -3.427686385e-30 ++ uc1 = -7.780917943e-10 luc1 = 2.249071454e-16 wuc1 = 2.264080504e-15 puc1 = -7.510047214e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.25 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.191989909e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.828699263e-08 wvth0 = -2.166288596e-07 pvth0 = 6.320863192e-14 ++ k1 = 1.154733366e+00 lk1 = -7.320917920e-08 wk1 = -3.908683817e-06 pk1 = 8.964642093e-13 ++ k2 = -2.113185875e-01 lk2 = 2.037673632e-08 wk2 = 1.487775337e-06 pk2 = -3.336202139e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.192934348e+00 ldsub = 5.790918683e-07 wdsub = 5.509379574e-06 pdsub = -1.782711466e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.306307907e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.471160409e-09 wvoff = 2.238343452e-07 pvoff = -5.769797532e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-3.503006303e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.306660659e-06 wnfactor = 1.377870162e-05 pnfactor = -3.368676714e-12 ++ eta0 = 0.49 ++ etab = -0.000625 ++ u0 = -1.002990299e-02 lu0 = 3.783087245e-09 wu0 = 6.189141462e-08 pu0 = -1.551887206e-14 ++ ua = -6.595232335e-09 lua = 1.180014362e-15 wua = 2.025610664e-14 pua = -4.912724620e-21 ++ ub = 5.273967078e-18 lub = -8.906949194e-25 wub = -1.545719770e-23 pub = 3.730843367e-30 ++ uc = 1.190954363e-10 luc = -3.384447956e-17 wuc = -5.103795080e-16 puc = 1.274601517e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.847333611e+03 lvsat = 2.709706935e-02 wvsat = -6.183368892e-02 pvsat = 7.777298249e-9 ++ a0 = -4.995392958e-01 la0 = 3.272696999e-07 wa0 = 3.956718930e-06 pa0 = -1.017880702e-12 ++ ags = 2.292707650e+00 lags = -2.122118610e-07 wags = 8.683796291e-06 pags = -1.767326221e-12 ++ a1 = 0.0 ++ a2 = 1.873052142e+00 la2 = -2.935012220e-07 wa2 = -2.010479305e-06 pa2 = 5.499062995e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.074305790e-01 lketa = 1.251917603e-07 wketa = 1.660401490e-06 pketa = -3.869222117e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.441754329e-01 lpclm = -8.415408679e-08 wpclm = -1.744064610e-06 ppclm = 4.787335394e-13 ++ pdiblc1 = -1.481867710e-01 lpdiblc1 = 1.055673618e-07 wpdiblc1 = 8.364275731e-07 ppdiblc1 = -1.150061114e-13 ++ pdiblc2 = 1.386804803e-02 lpdiblc2 = -1.250285494e-09 wpdiblc2 = -3.359363353e-08 ppdiblc2 = 8.144320474e-15 ++ pdiblcb = -3.129714574e-01 lpdiblcb = 7.876595303e-08 wpdiblcb = 2.588762559e-06 ppdiblcb = -7.080783353e-13 ++ drout = 1.693827719e+00 ldrout = -3.394200796e-07 wdrout = -5.875143032e-06 pdrout = 1.595152155e-12 ++ pscbe1 = 8.034066367e+08 lpscbe1 = -8.717099974e-01 wpscbe1 = -1.187249019e+01 ppscbe1 = 2.948122160e-6 ++ pscbe2 = -2.778975791e-08 lpscbe2 = 4.555410864e-15 wpscbe2 = 1.085471165e-13 ppscbe2 = -1.315614999e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.743796531e+00 lbeta0 = 3.352010105e-07 wbeta0 = 9.116168817e-07 pbeta0 = -4.551830465e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.055845635e-09 lagidl = -2.614428980e-16 wagidl = -4.761327096e-15 pagidl = 1.302318187e-21 ++ bgidl = 2.300401376e+09 lbgidl = -2.367987808e+02 wbgidl = -3.412607655e+03 pbgidl = 6.114789294e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.025320202e-01 lkt1 = 1.157276774e-08 wkt1 = 2.740216951e-07 pkt1 = -9.836933023e-14 ++ kt2 = 7.257413394e-02 lkt2 = -2.687703944e-08 wkt2 = -3.747843083e-07 pkt2 = 8.276515058e-14 ++ at = -5.259389146e+04 lat = 2.191671543e-02 wat = 5.823224812e-01 pat = -1.459538477e-7 ++ ute = 2.574251680e+00 lute = -7.225027661e-07 wute = -7.501187748e-06 pute = 2.106560740e-12 ++ ua1 = 2.565980705e-09 lua1 = -5.897135675e-16 wua1 = 5.691965406e-15 pua1 = -4.394501231e-22 ++ ub1 = -2.786617816e-18 lub1 = 7.451600294e-25 wub1 = -4.446637674e-24 pub1 = 8.957503701e-32 ++ uc1 = 1.265632042e-10 luc1 = -2.253408975e-17 wuc1 = -1.516968850e-15 puc1 = 2.831878979e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.26 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.173465389e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.458572585e-08 wvth0 = 3.415327129e-07 pvth0 = -5.459734897e-14 ++ k1 = -1.230503357e+00 lk1 = 4.466680696e-07 wk1 = 4.184180238e-06 pk1 = -8.132926295e-13 ++ k2 = 6.565337073e-01 lk2 = -1.692999855e-07 wk2 = -1.407538911e-06 pk2 = 2.769872298e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.021009696e+01 ldsub = -1.887133126e-06 wdsub = -2.364145784e-05 pdsub = 4.496721537e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {9.766625151e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -7.399010999e-08 wvoff = -7.461043273e-07 pvoff = 1.513733961e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {8.397792825e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.208558364e-06 wnfactor = -2.290606896e-05 pnfactor = 4.439663751e-12 ++ eta0 = 2.205658168e+00 leta0 = -3.783369393e-07 weta0 = -2.562894499e-09 peta0 = 5.651694949e-16 ++ etab = 4.097131148e-01 letab = -9.048776107e-08 wetab = -1.226766076e-06 petab = 2.705264550e-13 ++ u0 = 3.906370805e-02 lu0 = -6.727035061e-09 wu0 = -9.747979388e-08 pu0 = 1.832937740e-14 ++ ua = 7.986081489e-09 lua = -1.936890511e-15 wua = -2.777562689e-14 pua = 5.268873986e-21 ++ ub = -4.994636342e-18 lub = 1.299337872e-24 wub = 1.963124772e-23 pub = -3.695223740e-30 ++ uc = -2.772734064e-10 luc = 5.073575250e-17 wuc = 8.298684831e-16 puc = -1.574446049e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 4.714889750e+05 lvsat = -7.612223863e-02 wvsat = -9.364549104e-02 pvsat = 1.544207360e-8 ++ a0 = 3.432086684e+00 la0 = -5.123956642e-07 wa0 = -1.066529941e-05 pa0 = 2.121543337e-12 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = -2.131395663e+00 la2 = 5.650434880e-07 wa2 = 6.471641229e-06 pa2 = -1.274637317e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 8.486824401e-02 lketa = -1.701672416e-08 wketa = -1.652510436e-06 pketa = 3.113215625e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.081563001e-02 lpclm = 1.304371621e-07 wpclm = 4.379502665e-06 ppclm = -8.316469638e-13 ++ pdiblc1 = 1.847189028e+00 lpdiblc1 = -3.256348810e-07 wpdiblc1 = 1.573092037e-06 ppdiblc1 = -2.870618050e-13 ++ pdiblc2 = 3.653656943e-02 lpdiblc2 = -6.353584024e-09 wpdiblc2 = 4.125784267e-08 ppdiblc2 = -7.681632994e-15 ++ pdiblcb = -6.234950264e+00 lpdiblcb = 1.391260030e-06 wpdiblcb = 3.523170922e-05 ppdiblcb = -7.965646627e-12 ++ drout = -6.158963588e+00 ldrout = 1.363925743e-06 wdrout = 1.442694909e-05 pdrout = -2.748622341e-12 ++ pscbe1 = 7.935569236e+08 lpscbe1 = 1.227534912e+00 wpscbe1 = 1.920856321e+01 ppscbe1 = -3.659615462e-6 ++ pscbe2 = -1.002993965e-07 lpscbe2 = 2.092574925e-14 wpscbe2 = 3.271815721e-13 ppscbe2 = -6.246835170e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.702609762e+01 lbeta0 = -1.683732728e-06 wbeta0 = -6.916784160e-06 pbeta0 = 1.233114569e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.023021635e-09 lagidl = 3.956706199e-16 wagidl = 1.057534823e-14 pagidl = -1.970942980e-21 ++ bgidl = 7.846252603e+08 lbgidl = 7.768039600e+01 wbgidl = 6.420906811e+02 pbgidl = -2.315863895e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.412853691e-01 lkt1 = -6.712267192e-08 wkt1 = -6.907745283e-07 pkt1 = 1.061707552e-13 ++ kt2 = 4.803089799e-01 lkt2 = -1.190357634e-07 wkt2 = -1.495054038e-06 pkt2 = 3.367203940e-13 ++ at = -9.938417231e+04 lat = 3.406560864e-02 wat = 1.135612473e-01 pat = -5.477412691e-8 ++ ute = -6.463882970e+00 lute = 1.210236122e-06 wute = 2.411463163e-05 pute = -4.689398996e-12 ++ ua1 = -1.454946324e-09 lua1 = 2.477225610e-16 wua1 = 1.512539435e-14 pua1 = -2.556417086e-21 ++ ub1 = 8.817910418e-19 lub1 = -1.554368342e-27 wub1 = -1.022620809e-23 pub1 = 1.371568096e-30 ++ uc1 = 2.696402911e-11 luc1 = -2.452749352e-18 wuc1 = -1.600036035e-16 puc1 = 7.604571328e-24 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.27 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.150991628e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.418839145e-06 wvth0 = 8.199335026e-08 pvth0 = -1.641795489e-12 ++ k1 = 4.301581266e-01 lk1 = 1.115754053e-06 wk1 = -1.767275182e-08 pk1 = 3.538706995e-13 ++ k2 = 2.415484789e-02 lk2 = -2.215556813e-07 wk2 = 2.982523348e-08 pk2 = -5.972061590e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.015598312e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.660205885e-06 wvoff = -2.898630543e-07 pvoff = 5.804078665e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {6.547162456e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.087206496e-05 wnfactor = -1.209918617e-05 pnfactor = 2.422682962e-10 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.051827957e-02 lu0 = -2.440674256e-07 wu0 = -2.220221145e-08 pu0 = 4.445664250e-13 ++ ua = 1.229185677e-09 lua = -3.027818265e-14 wua = -4.340836081e-15 pua = 8.691881809e-20 ++ ub = 3.417851123e-19 lub = -2.881294268e-24 wub = 1.902061984e-24 pub = -3.808597618e-29 ++ uc = -6.510615108e-11 luc = -8.829619220e-16 wuc = -1.392954952e-17 puc = 2.789186133e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.082463265e+05 lvsat = -2.962155933e+00 wvsat = -2.930971483e-01 pvsat = 5.868836610e-6 ++ a0 = 9.704440475e-01 la0 = 1.344996763e-05 wa0 = 1.462945997e-06 pa0 = -2.929332843e-11 ++ ags = -7.339852394e-03 lags = 8.941508911e-06 wags = 1.134461841e-06 pags = -2.271591935e-11 ++ a1 = 0.0 ++ a2 = 1.545456024e+00 la2 = -1.492665361e-05 wa2 = -1.476951148e-06 pa2 = 2.957376085e-11 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 8.281659213e-02 lketa = -2.283733859e-06 wketa = -2.726779866e-07 pketa = 5.459973119e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.683133279e-01 lpclm = 4.574563226e-06 wpclm = 7.382922603e-07 ppclm = -1.478320984e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.303775326e-02 lpdiblc2 = -2.553151722e-07 wpdiblc2 = -2.556148355e-08 ppdiblc2 = 5.118308771e-13 ++ pdiblcb = 7.619373436e-03 lpdiblcb = -1.832091216e-07 wpdiblcb = -2.193038908e-08 ppdiblcb = 4.391235844e-13 ++ drout = 0.56 ++ pscbe1 = 8.042132361e+08 lpscbe1 = -2.794009115e+02 wpscbe1 = -2.048047295e+02 ppscbe1 = 4.100911597e-3 ++ pscbe2 = 9.293615777e-09 lpscbe2 = 1.339968409e-15 wpscbe2 = 7.379645233e-16 ppscbe2 = -1.477664739e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -8.278186408e-10 lalpha0 = 1.857819511e-14 walpha0 = 2.766079735e-15 palpha0 = -5.538665289e-20 ++ alpha1 = 3.470353598e-10 lalpha1 = -4.946517467e-15 walpha1 = -7.364796011e-16 palpha1 = 1.474691402e-20 ++ beta0 = 9.149017509e+00 lbeta0 = -1.231249751e-04 wbeta0 = -1.165082341e-05 pbeta0 = 2.332904956e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 8.850353854e-11 lagidl = 1.149916543e-15 ++ bgidl = 4.599455427e+08 lbgidl = 1.081379123e+04 wbgidl = 2.185736304e+03 pbgidl = -4.376613459e-2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.629951530e-01 lkt1 = 1.492784060e-07 wkt1 = 7.385124995e-08 pkt1 = -1.478761980e-12 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -1.693619384e-01 lute = -3.210332148e-06 wute = -3.697732085e-07 pute = 7.404161236e-12 ++ ua1 = 2.139640475e-09 lua1 = 7.197644988e-15 ++ ub1 = -2.570000687e-19 lub1 = -2.850948640e-23 wub1 = -9.376893207e-25 pub1 = 1.877584087e-29 ++ uc1 = 4.325252718e-11 luc1 = 7.661548855e-15 puc1 = -3.308722450e-36 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.28 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.080133+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.4858803 ++ k2 = 0.013090076 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.18447262+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.5083089+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0083292426 ++ ua = -2.8294519e-10 ++ ub = 1.9788962e-19 ++ uc = -1.0920239e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160312.5 ++ a0 = 1.6421525 ++ ags = 0.43921045 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.031235975 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.060146165 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00028698955 ++ pdiblcb = -0.0015303226 ++ drout = 0.56 ++ pscbe1 = 790259600.0 ++ pscbe2 = 9.3605355e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.4593183e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.45554 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -0.32969 ++ ua1 = 2.4991e-9 ++ ub1 = -1.6808e-18 ++ uc1 = 4.2588e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.29 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093444504e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.068051194e-7 ++ k1 = 4.520055508e-01 lk1 = 2.717947275e-7 ++ k2 = 2.140616637e-02 lk2 = -6.672431739e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.911172420e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.331325740e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.706977945e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.594025058e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.061955350e-02 lu0 = -1.837635535e-08 wu0 = 1.387778781e-23 ++ ua = 3.775496570e-10 lua = -5.299493615e-15 pua = -3.308722450e-36 ++ ub = -2.359133808e-19 lub = 3.480627053e-24 pub = 1.540743956e-45 ++ uc = -1.426185303e-10 luc = 2.681150701e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.217873591e+05 lvsat = -4.932447618e-1 ++ a0 = 1.673858944e+00 la0 = -2.543972881e-7 ++ ags = 4.484710339e-01 lags = -7.430248034e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.748950581e-02 lketa = 5.017532955e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.158874480e-01 lpclm = 4.621817215e-06 wpclm = 1.110223025e-22 ppclm = 1.332267630e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.594023986e-04 lpdiblc2 = -5.810059386e-10 ++ pdiblcb = -4.365230551e-04 lpdiblcb = -8.776122525e-9 ++ drout = 0.56 ++ pscbe1 = 7.804619264e+08 lpscbe1 = 7.861182970e+1 ++ pscbe2 = 9.151205032e-09 lpscbe2 = 1.679567196e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -7.139407148e-01 lbeta0 = 2.979887760e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.921337392e-10 lagidl = -3.707019422e-16 wagidl = 2.067951531e-31 ++ bgidl = 8.005398301e+08 lbgidl = 1.600372662e+3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.106119300e-01 lkt1 = 4.418707318e-7 ++ kt2 = -3.133225080e-02 lkt2 = -5.318590178e-8 ++ at = -1.804649308e+05 lat = 1.447963982e+0 ++ ute = 1.659976052e-01 lute = -3.977159414e-6 ++ ua1 = 5.352670913e-09 lua1 = -2.289568329e-14 ++ ub1 = -4.246608763e-18 lub1 = 2.058681792e-23 pub1 = -1.232595164e-44 ++ uc1 = 7.932676112e-10 luc1 = -2.947741846e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.30 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.043398503e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.455596764e-8 ++ k1 = 5.991182345e-01 lk1 = -3.201160977e-7 ++ k2 = -1.299424793e-02 lk2 = 7.168643753e-08 wk2 = -6.938893904e-24 pk2 = 1.387778781e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.421224013e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.438184639e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.189571823e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.535751178e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.475347045e-03 lu0 = 1.036850222e-8 ++ ua = -1.459651534e-09 lua = 2.092522121e-15 ++ ub = 8.652439039e-19 lub = -9.499013051e-25 ++ uc = -6.679231726e-11 luc = -3.697321461e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.454941258e+05 lvsat = -1.862774118e-1 ++ a0 = 2.051425486e+00 la0 = -1.773543822e-6 ++ ags = 5.237687568e-01 lags = -3.772643744e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -4.146445057e-02 lketa = 6.616859927e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.388625344e-01 lpclm = -1.231398434e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000215 ++ pdiblcb = 2.002775912e-02 lpdiblcb = -9.111457113e-08 ppdiblcb = 2.775557562e-29 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.684351014e-08 lpscbe2 = -2.927057626e-14 wpscbe2 = 1.323488980e-29 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.546325391e+00 lbeta0 = 4.610571722e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.142050664e+09 lbgidl = 2.262969922e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.424855594e-01 lkt1 = -2.345890828e-7 ++ kt2 = -4.009733871e-02 lkt2 = -1.791939525e-8 ++ at = 2.762557340e+05 lat = -3.896607476e-1 ++ ute = -1.654632365e+00 lute = 3.348181683e-6 ++ ua1 = -3.325705164e-09 lua1 = 1.202193642e-14 wua1 = -1.654361225e-30 pua1 = 1.654361225e-36 ++ ub1 = 3.210225146e-18 lub1 = -9.415902443e-24 wub1 = 1.540743956e-39 pub1 = -6.162975822e-45 ++ uc1 = 9.271368235e-11 luc1 = -1.290491024e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.31 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101789725e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.359983774e-8 ++ k1 = 4.319945642e-01 lk1 = 1.806199173e-8 ++ k2 = 2.626747013e-02 lk2 = -7.760434200e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 3.857674493e-01 ldsub = 3.525630509e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.218714828e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.755539745e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.091537207e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.096638280e-7 ++ eta0 = -6.226469463e-02 leta0 = 2.878754549e-07 peta0 = 1.110223025e-28 ++ etab = 7.107223768e-01 letab = -1.579807344e-06 wetab = 3.330669074e-22 petab = 1.110223025e-28 ++ u0 = 8.663432822e-03 lu0 = -1.296931080e-10 ++ ua = -5.360904043e-11 lua = -7.526329856e-16 ++ ub = -1.474362962e-19 lub = 1.099277333e-24 ++ uc = -1.227185167e-10 luc = 7.619456839e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.992446544e+04 lvsat = 2.734490745e-2 ++ a0 = 1.150127237e+00 la0 = 5.025121223e-8 ++ ags = 8.958697446e-02 lags = 5.013111459e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.414474864e-03 lketa = -1.284980757e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 3.094768240e-02 lpclm = 6.057854269e-7 ++ pdiblc1 = 4.094634917e-01 lpdiblc1 = -3.938476478e-8 ++ pdiblc2 = -5.056800000e-06 lpdiblc2 = 4.452893359e-10 ++ pdiblcb = -0.025 ++ drout = 1.194940541e-01 ldrout = 8.913725917e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = -4.693562463e-09 lpscbe2 = 1.431012090e-14 ppscbe2 = 3.308722450e-36 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.758248586e+00 lbeta0 = 2.158220897e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.269621336e+09 lbgidl = -3.184481508e+1 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.439715564e-01 lkt1 = -2.923013823e-8 ++ kt2 = -6.080719617e-02 lkt2 = 2.398741550e-8 ++ at = 6.427369205e+04 lat = 3.928915392e-2 ++ ute = 0.0 ++ ua1 = 2.831661075e-09 lua1 = -4.376173113e-16 ++ ub1 = -1.530007496e-18 lub1 = 1.760531127e-25 ++ uc1 = 1.571903929e-10 luc1 = -2.595190157e-16 puc1 = 1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.32 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.110443691e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.245734534e-8 ++ k1 = 3.245759539e-01 lk1 = 1.280070877e-7 ++ k2 = 5.361238178e-02 lk2 = -3.574849817e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 4.477675013e-01 ldsub = 2.891047577e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.206309585e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.628569604e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {5.391600791e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.275032866e-6 ++ eta0 = 3.681232431e-01 leta0 = -1.526352071e-7 ++ etab = -1.704214254e+00 letab = 8.919285964e-7 ++ u0 = 1.141209078e-02 lu0 = -2.942999498e-9 ++ ua = -2.679092862e-10 lua = -5.332923980e-16 ++ ub = 7.860221385e-19 lub = 1.438639564e-25 ++ uc = -6.535283089e-11 luc = 1.747964170e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.922316451e+04 lvsat = -1.287809701e-2 ++ a0 = 1.218828163e+00 la0 = -2.006555981e-8 ++ ags = -1.258260041e-01 lags = 7.217906376e-07 pags = 4.440892099e-28 ++ a1 = 0.0 ++ a2 = 5.906024704e-01 la2 = 2.143225595e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.852895072e-02 lketa = -3.428582252e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.318634040e-01 lpclm = 9.308816755e-8 ++ pdiblc1 = -2.876213835e-01 lpdiblc1 = 6.740955467e-7 ++ pdiblc2 = -1.851964142e-04 lpdiblc2 = 6.296658339e-10 ++ pdiblcb = -5.082653338e-02 lpdiblcb = 2.643397344e-8 ++ drout = 1.010442376e+00 ldrout = -2.053083449e-8 ++ pscbe1 = 8.091570768e+08 lpscbe1 = -9.372451233e+0 ++ pscbe2 = 9.340256864e-09 lpscbe2 = -5.377386132e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.432376873e+00 lbeta0 = 4.447171140e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.283381922e+09 lbgidl = -4.592904908e+1 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.527514144e-01 lkt1 = -2.024377793e-8 ++ kt2 = -2.665978080e-02 lkt2 = -1.096314708e-8 ++ at = 1.735027264e+05 lat = -7.250894732e-2 ++ ute = 2.094080000e-02 lute = -2.143332762e-8 ++ ua1 = 2.668791712e-09 lua1 = -2.709172611e-16 ++ ub1 = -1.027554176e-18 lub1 = -3.382179098e-25 ++ uc1 = -1.237272963e-10 luc1 = 2.800585753e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.33 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.042011553e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.368247502e-9 ++ k1 = 4.528137145e-01 lk1 = 6.087205529e-8 ++ k2 = 2.059823932e-02 lk2 = -1.846493431e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.452902698e+00 ldsub = -2.371036206e-07 wdsub = -1.776356839e-21 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.433927026e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.415007570e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.298319678e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.929623669e-7 ++ eta0 = -3.757605076e-01 leta0 = 2.368028140e-07 weta0 = 1.110223025e-22 peta0 = -5.551115123e-29 ++ etab = -3.627991333e-04 letab = -7.171718105e-11 ++ u0 = 6.075636307e-03 lu0 = -1.492588539e-10 ++ ua = -1.040764014e-09 lua = -1.286874911e-16 ++ ub = 6.809288462e-19 lub = 1.988823968e-25 ++ uc = -4.557069771e-11 luc = 7.123299332e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.574762987e+04 lvsat = 1.511741488e-2 ++ a0 = 1.623164768e+00 la0 = -2.317438593e-7 ++ ags = 1.485356480e-01 lags = 5.781568256e-7 ++ a1 = 0.0 ++ a2 = 1.218795059e+00 la2 = -1.145488246e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.473576480e-02 lketa = -1.163587865e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 7.874532133e-01 lpclm = -4.071820942e-8 ++ pdiblc1 = 1.681287708e+00 lpdiblc1 = -3.566677408e-7 ++ pdiblc2 = -6.639738419e-03 lpdiblc2 = 4.008747664e-09 wpdiblc2 = 1.734723476e-24 ppdiblc2 = 1.734723476e-30 ++ pdiblcb = 2.665306675e-02 lpdiblcb = -1.412814682e-08 wpdiblcb = 5.204170428e-24 ppdiblcb = -8.673617380e-31 ++ drout = 1.554176053e+00 ldrout = -3.051862892e-7 ++ pscbe1 = 7.818470482e+08 lpscbe1 = 4.924894947e+0 ++ pscbe2 = 9.343364803e-09 lpscbe2 = -5.540092987e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.899977460e+00 lbeta0 = -3.236011454e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.366106723e+09 lbgidl = -8.923713710e+1 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.941322571e-01 lkt1 = 1.419920859e-9 ++ kt2 = -4.508086002e-02 lkt2 = -1.319343681e-9 ++ at = 2.529227521e+04 lat = 5.082188084e-3 ++ ute = -4.188160000e-02 lute = 1.145545523e-8 ++ ua1 = 2.557212761e-09 lua1 = -2.125034486e-16 ++ ub1 = -1.924847048e-18 lub1 = 1.315328544e-25 ++ uc1 = -1.865739702e-11 luc1 = -2.700033615e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.34 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.918621979e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.708509915e-08 wvth0 = -7.506586996e-14 pvth0 = 2.053201698e-20 ++ k1 = -1.563457235e-01 lk1 = 2.274893448e-07 wk1 = -4.360840187e-13 pk1 = 1.192777006e-19 ++ k2 = 2.877218865e-01 lk2 = -9.152859427e-08 wk2 = -5.440924422e-14 pk2 = 1.488201651e-20 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 6.550619064e-01 ldsub = -1.887820719e-08 wdsub = 8.519164929e-14 pdsub = -2.330161930e-20 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.555506269e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.082464026e-08 wvoff = -4.482349780e-14 pvoff = 1.226012314e-20 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.118746195e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.767145722e-07 wnfactor = 3.051238835e-13 pnfactor = -8.345748270e-20 ++ eta0 = 0.49 ++ etab = -0.000625 ++ u0 = 1.073016875e-02 lu0 = -1.422366569e-09 wu0 = -5.994467506e-15 pu0 = 1.639606756e-21 ++ ua = 1.992187916e-10 lua = -4.678475880e-16 wua = -2.646207226e-22 pua = 7.237906130e-29 ++ ub = 8.920119077e-20 lub = 3.607317451e-25 wub = -3.377424088e-31 pub = 9.237930208e-38 ++ uc = -5.209978104e-11 luc = 8.909134205e-18 wuc = -8.100667627e-26 puc = 2.215693744e-32 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -2.758805598e+04 lvsat = 2.970579167e-02 wvsat = 4.593057744e-08 pvsat = -1.256293163e-14 ++ a0 = 8.276522243e-01 la0 = -1.415526839e-08 wa0 = 1.269099315e-14 pa0 = -3.471240628e-21 ++ ags = 5.205489786e+00 lags = -8.050212702e-07 wags = 4.684864692e-13 pags = -1.281404192e-19 ++ a1 = 0.0 ++ a2 = 1.198682166e+00 la2 = -1.090475461e-07 wa2 = 1.022839776e-12 pa2 = -2.797671352e-19 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.048659977e-02 lketa = -4.592510270e-09 wketa = -6.750531245e-16 pketa = 1.846405806e-22 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 3.591685616e-01 lpclm = 7.642620852e-08 wpclm = -4.455349867e-15 ppclm = 1.218626977e-21 ++ pdiblc1 = 1.323738849e-01 lpdiblc1 = 6.699116803e-08 wpdiblc1 = -5.467926023e-14 ppdiblc1 = 1.495587121e-20 ++ pdiblc2 = 2.599826658e-03 lpdiblc2 = 1.481541824e-09 wpdiblc2 = -6.561512167e-16 ppdiblc2 = 1.794704871e-22 ++ pdiblcb = 5.553700604e-01 lpdiblcb = -1.587428189e-07 wpdiblcb = 3.059338559e-13 ppdiblcb = -8.367902840e-20 ++ drout = -2.768556477e-01 ldrout = 1.956375016e-07 wdrout = 1.098985702e-13 pdrout = -3.005945715e-20 ++ pscbe1 = 7.994242825e+08 lpscbe1 = 1.171698239e-01 wpscbe1 = -8.910694122e-06 ppscbe1 = 2.437253475e-12 ++ pscbe2 = 8.619907344e-09 lpscbe2 = 1.424791544e-16 wpscbe2 = 9.140212890e-22 ppscbe2 = -2.500030979e-28 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.049577549e+00 lbeta0 = 1.825202381e-07 wbeta0 = 4.927884731e-13 pbeta0 = -1.347874985e-19 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -5.412333657e-10 lagidl = 1.753901502e-16 wagidl = -1.903648555e-22 pagidl = 5.206859509e-29 ++ bgidl = 1.155719483e+09 lbgidl = -3.169201929e+01 wbgidl = 4.225829544e-04 pbgidl = -1.155848913e-10 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.106176238e-01 lkt1 = -2.142300165e-08 wkt1 = -1.212394487e-13 pkt1 = 3.316141450e-20 ++ kt2 = -5.313874957e-02 lkt2 = 8.846502693e-10 wkt2 = -8.687927044e-15 pkt2 = 2.376321862e-21 ++ at = 1.427329404e+05 lat = -2.704018266e-02 wat = 6.656019215e-08 pat = -1.820554378e-14 ++ ute = 5.814857624e-02 lute = -1.590479857e-08 wute = -1.433811908e-14 pute = 3.921762332e-21 ++ ua1 = 4.475221582e-09 lua1 = -7.371172213e-16 wua1 = -9.599248698e-22 pua1 = 2.625586491e-28 ++ ub1 = -4.278141744e-18 lub1 = 7.752060197e-25 wub1 = 8.505663633e-31 pub1 = -2.326469116e-37 ++ uc1 = -3.822695268e-10 luc1 = 7.245485357e-17 wuc1 = -7.628095000e-23 puc1 = 2.086436547e-29 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.35 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 2.0e-06 wmax = 3.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.058906269e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.727656859e-09 wvth0 = 8.143204440e-13 pvth0 = -1.738804158e-19 ++ k1 = 1.729847659e-01 lk1 = 1.738675416e-07 wk1 = 3.937183379e-13 pk1 = -5.374706369e-20 ++ k2 = 1.844069818e-01 lk2 = -7.639096347e-08 wk2 = -7.240327706e-13 pk2 = 1.637904892e-19 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.280106903e+00 ldsub = -3.788100242e-07 wdsub = -5.130828171e-13 pdsub = 1.066834843e-19 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.525975146e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.321534016e-08 wvoff = 3.118175407e-14 pvoff = -3.476468446e-21 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.144728029e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.806258866e-07 wnfactor = -2.106342109e-12 pnfactor = 4.413478010e-19 ++ eta0 = 2.204798408e+00 leta0 = -3.781473450e-07 weta0 = 2.836796433e-13 peta0 = -6.255703422e-20 ++ etab = -1.777710849e-03 letab = 2.541957964e-10 wetab = 9.724808840e-16 petab = -2.144514843e-22 ++ u0 = 6.366318673e-03 lu0 = -5.788604494e-10 wu0 = 1.755198042e-14 pu0 = -3.415899799e-21 ++ ua = -1.330622280e-09 lua = -1.695662852e-16 wua = 1.191177007e-21 pua = -2.426076433e-28 ++ ub = 1.590219695e-18 lub = 5.985902195e-26 wub = 7.908878833e-31 pub = -1.487898163e-37 ++ uc = 1.087131029e-12 luc = -2.075464785e-18 wuc = 6.964443600e-24 puc = -1.529654985e-30 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 4.400780813e+05 lvsat = -7.094262387e-02 wvsat = -1.073089251e-06 pvsat = 2.331539412e-13 ++ a0 = -1.453461285e-01 la0 = 1.992279406e-07 wa0 = 8.602212773e-13 pa0 = -1.906585707e-19 ++ ags = 1.250000397e+00 lags = -7.569264771e-14 wags = -1.184444535e-12 pags = 2.256603722e-19 ++ a1 = 0.0 ++ a2 = 3.937021919e-02 la2 = 1.374951966e-07 wa2 = -2.315453383e-12 pa2 = 4.330243577e-19 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -4.694290232e-01 lketa = 8.740906114e-08 wketa = 4.866082697e-13 pketa = -1.072556547e-19 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.408188788e+00 lpclm = -1.485198601e-07 wpclm = 9.272768580e-13 ppclm = -2.041451674e-19 ++ pdiblc1 = 2.374847504e+00 lpdiblc1 = -4.219233505e-07 wpdiblc1 = -1.401834279e-12 ppdiblc1 = 3.132797581e-19 ++ pdiblc2 = 5.037555360e-02 lpdiblc2 = -8.930208479e-09 wpdiblc2 = 6.666580060e-14 ppdiblc2 = -1.465137520e-20 ++ pdiblcb = 5.582731366e+00 lpdiblcb = -1.280636302e-06 wpdiblcb = -1.412657732e-11 ppdiblcb = 3.091988638e-18 ++ drout = -1.319771726e+00 ldrout = 4.419629311e-07 wdrout = 1.889519506e-12 pdrout = -4.250123284e-19 ++ pscbe1 = 7.999999924e+08 lpscbe1 = 1.439687252e-06 wpscbe1 = 2.252834320e-05 ppscbe1 = -4.292099953e-12 ++ pscbe2 = 9.446233704e-09 lpscbe2 = -2.784106864e-17 wpscbe2 = -2.237618583e-21 ppscbe2 = 4.241138171e-28 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.470601929e+01 lbeta0 = -1.270112403e-06 wbeta0 = 3.946046263e-13 pbeta0 = -1.243947878e-19 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.524239119e-09 lagidl = -2.654375250e-16 wagidl = -9.371895542e-22 pagidl = 2.211076612e-28 ++ bgidl = 1.000000358e+09 lbgidl = -6.827608728e-05 wbgidl = -1.068389603e-03 pbgidl = 2.035495872e-10 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.729901193e-01 lkt1 = -3.151007957e-08 wkt1 = 3.557445680e-13 pkt1 = -6.925313212e-20 ++ kt2 = -2.117299069e-02 lkt2 = -6.090544155e-09 wkt2 = 1.193077246e-13 pkt2 = -2.565078544e-20 ++ at = -6.129262404e+04 lat = 1.569287175e-02 wat = -1.895824913e-08 pat = -8.677238802e-16 ++ ute = 1.624822846e+00 lute = -3.627163344e-07 wute = -5.347319205e-13 pute = 1.190065875e-19 ++ ua1 = 3.618522564e-09 lua1 = -6.097692625e-16 wua1 = 3.606683984e-21 pua1 = -7.225384435e-28 ++ ub1 = -2.548357211e-18 lub1 = 4.585067958e-25 wub1 = -3.146698016e-30 pub1 = 6.293968617e-37 ++ uc1 = -2.670561116e-11 luc1 = 9.804371184e-20 wuc1 = 1.922656008e-22 puc1 = -3.661272209e-29 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.36 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.109607431e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.901818537e-7 ++ k1 = 4.212382248e-01 lk1 = 1.294361886e-6 ++ k2 = 3.920842634e-02 lk2 = -5.229813104e-7 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.478613255e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.269265013e-6 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.403855138e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.140710528e-05 pnfactor = 2.842170943e-26 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 9.312240497e-03 lu0 = -1.968307805e-8 ++ ua = -9.617482694e-10 lua = 1.359202704e-14 ++ ub = 1.301805738e-18 lub = -2.210428646e-23 ++ uc = -7.213676046e-11 luc = -7.421843743e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160312.5 ++ a0 = 1.708831304e+00 la0 = -1.335144369e-6 ++ ags = 5.652528257e-01 lags = -2.523812031e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.481114733e-02 lketa = 4.720579346e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.043221610e-01 lpclm = -2.886910939e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.362013555e-04 lpdiblc2 = 3.019310429e-9 ++ pdiblcb = -3.449469752e-03 lpdiblcb = 3.842808139e-8 ++ drout = 0.56 ++ pscbe1 = 7.008429116e+08 lpscbe1 = 1.790436848e+3 ++ pscbe2 = 9.666085849e-09 lpscbe2 = -6.118193515e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 5.682944295e-10 lalpha0 = -9.376902874e-15 ++ alpha1 = -2.468523241e-11 lalpha1 = 2.496637245e-15 walpha1 = -1.252080029e-32 palpha1 = 4.523643975e-37 ++ beta0 = 3.268541021e+00 lbeta0 = -5.377136505e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 8.850353854e-11 lagidl = 1.149916543e-15 ++ bgidl = 1.563144045e+09 lbgidl = -1.127612605e+4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.257204881e-01 lkt1 = -5.970915929e-7 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -3.559961858e-01 lute = 5.267424367e-7 ++ ua1 = 2.139640475e-09 lua1 = 7.197644988e-15 ++ ub1 = -7.302764894e-19 lub1 = -1.903282652e-23 wub1 = 1.540743956e-39 ++ uc1 = 4.325252718e-11 luc1 = 7.661548855e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.37 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.080133+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.4858803 ++ k2 = 0.013090076 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.18447262+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.5083089+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0083292426 ++ ua = -2.8294519e-10 ++ ub = 1.9788962e-19 ++ uc = -1.0920239e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160312.5 ++ a0 = 1.6421525 ++ ags = 0.43921045 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.031235975 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.060146165 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00028698955 ++ pdiblcb = -0.0015303226 ++ drout = 0.56 ++ pscbe1 = 790259600.0 ++ pscbe2 = 9.3605355e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.4593183e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.45554 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -0.32969 ++ ua1 = 2.4991e-9 ++ ub1 = -1.6808e-18 ++ uc1 = 4.2588e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.38 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093444504e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.068051194e-7 ++ k1 = 4.520055508e-01 lk1 = 2.717947275e-7 ++ k2 = 2.140616637e-02 lk2 = -6.672431739e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.911172420e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.331325740e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.706977945e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.594025058e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.061955350e-02 lu0 = -1.837635535e-8 ++ ua = 3.775496570e-10 lua = -5.299493615e-15 pua = -3.308722450e-36 ++ ub = -2.359133808e-19 lub = 3.480627053e-24 ++ uc = -1.426185303e-10 luc = 2.681150701e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.217873591e+05 lvsat = -4.932447618e-1 ++ a0 = 1.673858944e+00 la0 = -2.543972881e-7 ++ ags = 4.484710339e-01 lags = -7.430248034e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.748950581e-02 lketa = 5.017532955e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.158874480e-01 lpclm = 4.621817215e-06 ppclm = -1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.594023986e-04 lpdiblc2 = -5.810059386e-10 ++ pdiblcb = -4.365230551e-04 lpdiblcb = -8.776122525e-9 ++ drout = 0.56 ++ pscbe1 = 7.804619264e+08 lpscbe1 = 7.861182970e+1 ++ pscbe2 = 9.151205032e-09 lpscbe2 = 1.679567196e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -7.139407148e-01 lbeta0 = 2.979887760e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.921337392e-10 lagidl = -3.707019422e-16 wagidl = 4.135903063e-31 ++ bgidl = 8.005398301e+08 lbgidl = 1.600372662e+3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.106119300e-01 lkt1 = 4.418707318e-7 ++ kt2 = -3.133225080e-02 lkt2 = -5.318590178e-8 ++ at = -1.804649308e+05 lat = 1.447963982e+0 ++ ute = 1.659976052e-01 lute = -3.977159414e-06 pute = 3.552713679e-27 ++ ua1 = 5.352670913e-09 lua1 = -2.289568329e-14 ++ ub1 = -4.246608763e-18 lub1 = 2.058681792e-23 wub1 = 6.162975822e-39 pub1 = -2.465190329e-44 ++ uc1 = 7.932676112e-10 luc1 = -2.947741846e-15 puc1 = -6.617444900e-36 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.39 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.043398503e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.455596764e-8 ++ k1 = 5.991182345e-01 lk1 = -3.201160977e-7 ++ k2 = -1.299424793e-02 lk2 = 7.168643753e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.421224013e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.438184639e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.189571823e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.535751178e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.475347045e-03 lu0 = 1.036850222e-8 ++ ua = -1.459651534e-09 lua = 2.092522121e-15 wua = -3.308722450e-30 ++ ub = 8.652439039e-19 lub = -9.499013051e-25 wub = 1.540743956e-39 ++ uc = -6.679231726e-11 luc = -3.697321461e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.454941258e+05 lvsat = -1.862774118e-1 ++ a0 = 2.051425486e+00 la0 = -1.773543822e-6 ++ ags = 5.237687568e-01 lags = -3.772643744e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -4.146445057e-02 lketa = 6.616859927e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.388625344e-01 lpclm = -1.231398434e-06 wpclm = 1.776356839e-21 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000215 ++ pdiblcb = 2.002775912e-02 lpdiblcb = -9.111457113e-08 wpdiblcb = 6.938893904e-24 ppdiblcb = 2.775557562e-29 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.684351014e-08 lpscbe2 = -2.927057626e-14 ppscbe2 = 5.293955920e-35 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.546325391e+00 lbeta0 = 4.610571722e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.142050664e+09 lbgidl = 2.262969922e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.424855594e-01 lkt1 = -2.345890828e-7 ++ kt2 = -4.009733871e-02 lkt2 = -1.791939525e-8 ++ at = 2.762557340e+05 lat = -3.896607476e-1 ++ ute = -1.654632365e+00 lute = 3.348181683e-6 ++ ua1 = -3.325705164e-09 lua1 = 1.202193642e-14 wua1 = 3.308722450e-30 pua1 = -1.158052858e-35 ++ ub1 = 3.210225146e-18 lub1 = -9.415902443e-24 wub1 = 3.081487911e-39 ++ uc1 = 9.271368235e-11 luc1 = -1.290491024e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.40 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101789725e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.359983774e-8 ++ k1 = 4.319945642e-01 lk1 = 1.806199173e-8 ++ k2 = 2.626747013e-02 lk2 = -7.760434200e-09 wk2 = -5.551115123e-23 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 3.857674493e-01 ldsub = 3.525630509e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.218714828e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.755539745e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.091537207e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.096638280e-7 ++ eta0 = -6.226469463e-02 leta0 = 2.878754549e-07 peta0 = 2.220446049e-28 ++ etab = 7.107223768e-01 letab = -1.579807344e-06 wetab = 4.440892099e-22 petab = 1.332267630e-27 ++ u0 = 8.663432822e-03 lu0 = -1.296931080e-10 ++ ua = -5.360904043e-11 lua = -7.526329856e-16 ++ ub = -1.474362962e-19 lub = 1.099277333e-24 ++ uc = -1.227185167e-10 luc = 7.619456839e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.992446544e+04 lvsat = 2.734490745e-2 ++ a0 = 1.150127237e+00 la0 = 5.025121223e-8 ++ ags = 8.958697446e-02 lags = 5.013111459e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.414474864e-03 lketa = -1.284980757e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 3.094768240e-02 lpclm = 6.057854269e-7 ++ pdiblc1 = 4.094634917e-01 lpdiblc1 = -3.938476478e-8 ++ pdiblc2 = -5.056800000e-06 lpdiblc2 = 4.452893359e-10 ++ pdiblcb = -0.025 ++ drout = 1.194940541e-01 ldrout = 8.913725917e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = -4.693562463e-09 lpscbe2 = 1.431012090e-14 ppscbe2 = -1.323488980e-35 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.758248586e+00 lbeta0 = 2.158220897e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.269621336e+09 lbgidl = -3.184481508e+1 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.439715564e-01 lkt1 = -2.923013823e-8 ++ kt2 = -6.080719617e-02 lkt2 = 2.398741550e-8 ++ at = 6.427369205e+04 lat = 3.928915392e-2 ++ ute = 0.0 ++ ua1 = 2.831661075e-09 lua1 = -4.376173113e-16 ++ ub1 = -1.530007496e-18 lub1 = 1.760531127e-25 ++ uc1 = 1.571903929e-10 luc1 = -2.595190157e-16 wuc1 = 1.033975766e-31 puc1 = 1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.41 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.110443691e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.245734534e-8 ++ k1 = 3.245759539e-01 lk1 = 1.280070877e-7 ++ k2 = 5.361238178e-02 lk2 = -3.574849817e-08 wk2 = 5.551115123e-23 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 4.477675013e-01 ldsub = 2.891047577e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.206309585e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.628569604e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {5.391600791e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.275032866e-6 ++ eta0 = 3.681232431e-01 leta0 = -1.526352071e-7 ++ etab = -1.704214254e+00 letab = 8.919285964e-7 ++ u0 = 1.141209078e-02 lu0 = -2.942999498e-9 ++ ua = -2.679092862e-10 lua = -5.332923980e-16 ++ ub = 7.860221385e-19 lub = 1.438639564e-25 ++ uc = -6.535283089e-11 luc = 1.747964170e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.922316451e+04 lvsat = -1.287809701e-2 ++ a0 = 1.218828163e+00 la0 = -2.006555981e-8 ++ ags = -1.258260041e-01 lags = 7.217906376e-7 ++ a1 = 0.0 ++ a2 = 5.906024704e-01 la2 = 2.143225595e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.852895072e-02 lketa = -3.428582252e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.318634040e-01 lpclm = 9.308816755e-8 ++ pdiblc1 = -2.876213835e-01 lpdiblc1 = 6.740955467e-7 ++ pdiblc2 = -1.851964142e-04 lpdiblc2 = 6.296658339e-10 ++ pdiblcb = -5.082653338e-02 lpdiblcb = 2.643397344e-8 ++ drout = 1.010442376e+00 ldrout = -2.053083449e-8 ++ pscbe1 = 8.091570768e+08 lpscbe1 = -9.372451233e+0 ++ pscbe2 = 9.340256864e-09 lpscbe2 = -5.377386132e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.432376873e+00 lbeta0 = 4.447171140e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.283381922e+09 lbgidl = -4.592904908e+1 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.527514144e-01 lkt1 = -2.024377793e-8 ++ kt2 = -2.665978080e-02 lkt2 = -1.096314708e-8 ++ at = 1.735027264e+05 lat = -7.250894732e-2 ++ ute = 2.094080000e-02 lute = -2.143332762e-8 ++ ua1 = 2.668791712e-09 lua1 = -2.709172611e-16 ++ ub1 = -1.027554176e-18 lub1 = -3.382179098e-25 ++ uc1 = -1.237272963e-10 luc1 = 2.800585753e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.42 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.164039399e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.051577030e-08 wvth0 = 2.417703540e-07 pvth0 = -1.265716157e-13 ++ k1 = 2.077624821e+00 lk1 = -7.897490553e-07 wk1 = -3.219192751e-06 pk1 = 1.685311789e-12 ++ k2 = -6.331318294e-01 lk2 = 3.237758313e-07 wk2 = 1.295217081e-06 pk2 = -6.780720461e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.318067672e+00 ldsub = -1.665147878e-07 wdsub = 2.671448616e-07 pdsub = -1.398556779e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.921297250e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.371673028e-08 wvoff = 2.946884979e-07 pvoff = -1.542753224e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {5.560478376e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.353727689e-06 wnfactor = -2.500679688e-06 pnfactor = 1.309155830e-12 ++ eta0 = -3.757605076e-01 leta0 = 2.368028140e-7 ++ etab = -3.627991333e-04 letab = -7.171718105e-11 ++ u0 = -4.083411118e-03 lu0 = 5.169205654e-09 wu0 = 2.012783621e-08 pu0 = -1.053732481e-14 ++ ua = -4.382299405e-09 lua = 1.620673117e-15 wua = 6.620490508e-15 pua = -3.465959191e-21 ++ ub = 3.257404976e-18 lub = -1.149954387e-24 wub = -5.104700015e-24 pub = 2.672412552e-30 ++ uc = 1.806154146e-11 luc = -2.618945052e-17 wuc = -1.260727738e-16 puc = 6.600161852e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.379170271e+05 lvsat = -9.595750798e-02 wvsat = -4.203652861e-01 pvsat = 2.200696346e-7 ++ a0 = 1.522062740e+00 la0 = -1.788149257e-07 wa0 = 2.003106169e-07 pa0 = -1.048666141e-13 ++ ags = -5.601216109e+00 lags = 3.588266866e-06 wags = 1.139182216e-05 pags = -5.963846739e-12 ++ a1 = 0.0 ++ a2 = 4.399372766e-01 la2 = 2.931988017e-07 wa2 = 1.543129117e-06 pa2 = -8.078589551e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.753712337e-02 lketa = 5.536288585e-09 wketa = 6.498841329e-08 pketa = -3.402273413e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.333316672e+00 lpclm = -3.264886474e-07 wpclm = -1.081503987e-06 ppclm = 5.661889671e-13 ++ pdiblc1 = 2.159762765e+00 lpdiblc1 = -6.071590029e-07 wpdiblc1 = -9.479892342e-07 ppdiblc1 = 4.962913239e-13 ++ pdiblc2 = 3.941966512e-03 lpdiblc2 = -1.530986501e-09 wpdiblc2 = -2.096523569e-08 ppdiblc2 = 1.097572019e-14 ++ pdiblcb = -1.107145511e+00 lpdiblcb = 5.794380848e-07 wpdiblcb = 2.246363377e-06 ppdiblcb = -1.176016155e-12 ++ drout = 2.951489307e+00 ldrout = -1.036707724e-06 wdrout = -2.768457626e-06 pdrout = 1.449342936e-12 ++ pscbe1 = 7.826839260e+08 lpscbe1 = 4.486772688e+00 wpscbe1 = -1.658082528e+00 ppscbe1 = 8.680393651e-7 ++ pscbe2 = 1.036100124e-08 lpscbe2 = -5.881539572e-16 wpscbe2 = -2.016214578e-15 ppscbe2 = 1.055528656e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.120360213e+01 lbeta0 = -1.006074730e-06 wbeta0 = -2.582835048e-06 pbeta0 = 1.352165804e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.352699688e-09 lagidl = -6.558133409e-16 wagidl = -2.481938817e-15 pagidl = 1.299344610e-21 ++ bgidl = 1.139750512e+09 lbgidl = 2.926486643e+01 wbgidl = 4.484732227e+02 pbgidl = -2.347847015e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -6.471429163e-01 lkt1 = 8.152406117e-08 wkt1 = 3.031557348e-07 pkt1 = -1.587080903e-13 ++ kt2 = -3.876236123e-02 lkt2 = -4.627204171e-09 wkt2 = -1.251866475e-08 pkt2 = 6.553771370e-15 ++ at = -1.678384952e+05 lat = 1.061900090e-01 wat = 3.826445877e-01 pat = -2.003220946e-7 ++ ute = -1.554793746e-01 lute = 7.092616220e-08 wute = 2.250680901e-07 pute = -1.178276465e-13 ++ ua1 = -2.707542461e-09 lua1 = 2.543701205e-15 wua1 = 1.043091211e-14 pua1 = -5.460791107e-21 ++ ub1 = 3.611952172e-18 lub1 = -2.767092273e-24 wub1 = -1.096990526e-23 pub1 = 5.742964804e-30 ++ uc1 = 4.988412880e-10 luc1 = -2.979212477e-16 wuc1 = -1.025305655e-15 puc1 = 5.367680163e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.43 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-5.560485010e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.057819001e-07 wvth0 = -8.634655499e-07 pvth0 = 1.757325087e-13 ++ k1 = -5.959242754e+00 lk1 = 1.408494964e-06 wk1 = 1.149711697e-05 pk1 = -2.339893245e-12 ++ k2 = 2.622472104e+00 lk2 = -5.666969567e-07 wk2 = -4.625775288e-06 pk2 = 9.414377867e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.136615614e+00 ldsub = -1.168840207e-07 wdsub = -9.540887914e-07 pdsub = 1.941761508e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {3.756530020e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.289352012e-07 wvoff = -1.052458921e-06 pvoff = 2.141964396e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-3.388963287e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.094123595e-06 wnfactor = 8.930998886e-06 pnfactor = -1.817636893e-12 ++ eta0 = 0.49 ++ etab = -0.000625 ++ u0 = 4.701247796e-02 lu0 = -8.806541927e-09 wu0 = -7.188512932e-08 pu0 = 1.463006152e-14 ++ ua = 1.213327363e-08 lua = -2.896666419e-15 wua = -2.364460896e-14 pua = 4.812150815e-21 ++ ub = -9.112499444e-18 lub = 2.233461870e-24 wub = 1.823107148e-23 pub = -3.710387668e-30 ++ uc = -2.793577781e-10 luc = 5.516068177e-17 wuc = 4.502599063e-16 puc = -9.163689613e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -7.853358802e+05 lvsat = 1.839226272e-01 wvsat = 1.501304593e+00 pvsat = -3.055455108e-7 ++ a0 = 1.188730902e+00 la0 = -8.764200123e-08 wa0 = -7.153950603e-07 pa0 = 1.455972027e-13 ++ ags = 2.574031773e+01 lags = -4.984269469e-06 wags = -4.068507916e-05 pags = 8.280227310e-12 ++ a1 = 0.0 ++ a2 = 3.980317620e+00 la2 = -6.751660299e-07 wa2 = -5.511175416e-06 pa2 = 1.121634421e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.666110905e-02 lketa = -2.843441195e-08 wketa = -2.321014760e-07 pketa = 4.723729240e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.590343793e+00 lpclm = 4.731909632e-07 wpclm = 3.862514238e-06 ppclm = -7.860988978e-13 ++ pdiblc1 = -1.576465634e+00 lpdiblc1 = 4.147741888e-07 wpdiblc1 = 3.385675836e-06 ppdiblc1 = -6.890527462e-13 ++ pdiblc2 = -3.519197700e-02 lpdiblc2 = 9.172929728e-09 wpdiblc2 = 7.487584176e-08 ppdiblc2 = -1.523873131e-14 ++ pdiblcb = 4.604650851e+00 lpdiblcb = -9.828524563e-07 wpdiblcb = -8.022726345e-06 ppdiblcb = 1.632785266e-12 ++ drout = -5.267260071e+00 ldrout = 1.211284606e-06 wdrout = 9.887348663e-06 pdrout = -2.012273200e-12 ++ pscbe1 = 7.964354287e+08 lpscbe1 = 7.254616517e-01 wpscbe1 = 5.921723315e+00 ppscbe1 = -1.205189129e-6 ++ pscbe2 = 4.985491960e-09 lpscbe2 = 8.821553410e-16 wpscbe2 = 7.200766350e-15 ppscbe2 = -1.465499968e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.393775422e+00 lbeta0 = 1.130069070e-06 wbeta0 = 9.224410886e-06 pbeta0 = -1.877352104e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -5.015160921e-09 lagidl = 1.085923893e-15 wagidl = 8.864067204e-15 pagidl = -1.804014957e-21 ++ bgidl = 1.964134735e+09 lbgidl = -1.962207064e+02 wbgidl = -1.601690081e+03 pbgidl = 3.259759653e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 1.358489550e-01 lkt1 = -1.326398755e-07 wkt1 = -1.082699053e-06 pkt1 = 2.203509112e-13 ++ kt2 = -7.570482109e-02 lkt2 = 5.477297452e-09 wkt2 = 4.470951696e-08 pkt2 = -9.099280893e-15 ++ at = 8.324857254e+05 lat = -1.674186718e-01 wat = -1.366587813e+00 pat = 2.781279518e-7 ++ ute = 4.638549069e-01 lute = -9.847415049e-08 wute = -8.038146075e-07 pute = 1.635923489e-13 ++ ua1 = 2.327791832e-08 lua1 = -4.563842027e-15 wua1 = -3.725325753e-14 pua1 = 7.581782972e-21 ++ ub1 = -2.405242424e-17 lub1 = 4.799667964e-24 wub1 = 3.917823309e-23 pub1 = -7.973553998e-30 ++ uc1 = -2.230479155e-09 luc1 = 4.486024797e-16 wuc1 = 3.661805910e-15 puc1 = -7.452507387e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.44 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.68e-06 wmax = 2.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.227279663e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.340204692e-08 wvth0 = 3.335943059e-07 pvth0 = -7.356421634e-14 ++ k1 = 2.797653987e-01 lk1 = 1.503202932e-07 wk1 = -2.115610842e-07 pk1 = 4.665345028e-14 ++ k2 = 1.283850377e-01 lk2 = -6.403700226e-08 wk2 = 1.109939853e-07 pk2 = -2.447639364e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.414027790e+00 ldsub = -4.083422614e-07 wdsub = -2.653342159e-07 pdsub = 5.851150130e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.116158895e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.185139360e-08 wvoff = 3.150586850e-07 pvoff = -6.947674121e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.130257001e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.054261321e-06 wnfactor = -2.097790263e-05 pnfactor = 4.626047088e-12 ++ eta0 = -2.894334393e-02 leta0 = 1.144373862e-07 weta0 = 4.425650273e-06 peta0 = -9.759443981e-13 ++ etab = -1.484481651e+00 letab = 3.272200687e-07 wetab = 2.937639802e-06 petab = -6.478083292e-13 ++ u0 = 3.519470937e-02 lu0 = -6.936096937e-09 wu0 = -5.711686574e-08 pu0 = 1.259541123e-14 ++ ua = 1.128551715e-08 lua = -2.951677342e-15 wua = -2.499600261e-14 pua = 5.512118496e-21 ++ ub = -9.137699022e-18 lub = 2.425579670e-24 wub = 2.125492576e-23 pub = -4.687136229e-30 ++ uc = -6.568264105e-11 luc = 1.264860536e-17 wuc = 1.322890868e-16 puc = -2.917238943e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.495953060e+05 lvsat = -1.612493640e-01 wvsat = -8.113660840e-01 pvsat = 1.789224488e-7 ++ a0 = -8.285801497e+00 la0 = 1.994361158e-06 wa0 = 1.612845715e-05 pa0 = -3.556647371e-12 ++ ags = 1.249999799e+00 lags = 3.820406569e-14 ++ a1 = 0.0 ++ a2 = -2.017380650e+00 la2 = 5.910498591e-07 wa2 = 4.074980592e-06 pa2 = -8.986147202e-13 ++ b0 = 6.947626328e-23 lb0 = -1.532090558e-29 wb0 = -1.376513751e-28 pb0 = 3.035488124e-35 ++ b1 = 0.0 ++ keta = -7.038732374e-01 lketa = 1.391086993e-07 wketa = 4.644982437e-07 pketa = -1.024311527e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.659451529e+00 lpclm = -4.244483197e-07 wpclm = -2.479090907e-06 ppclm = 5.466891268e-13 ++ pdiblc1 = 2.566595050e+00 lpdiblc1 = -4.642075173e-07 wpdiblc1 = -3.799054459e-07 ppdiblc1 = 8.377674893e-14 ++ pdiblc2 = 6.707207329e-02 lpdiblc2 = -1.261212498e-08 wpdiblc2 = -3.308028028e-08 ppdiblc2 = 7.294863408e-15 ++ pdiblcb = 4.066413726e+01 lpdiblcb = -9.016787941e-06 wpdiblcb = -6.950582134e-05 ppdiblcb = 1.532742372e-11 ++ drout = -1.319768868e+00 ldrout = 4.419622965e-07 wdrout = -3.774175028e-12 pdrout = 8.322810761e-19 ++ pscbe1 = 7.876846413e+08 lpscbe1 = 2.715783011e+00 wpscbe1 = 2.440008288e+01 ppscbe1 = -5.380706277e-6 ++ pscbe2 = -4.116811368e-08 lpscbe2 = 1.113363478e-14 wpscbe2 = 1.002807870e-13 ppscbe2 = -2.211391916e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 2.181320232e+01 lbeta0 = -2.837388423e-06 wbeta0 = -1.408126234e-05 pbeta0 = 3.105199971e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.931126131e-08 lagidl = -4.187831652e-15 wagidl = -3.524092998e-14 pagidl = 7.771329879e-21 ++ bgidl = 9.999998191e+08 lbgidl = 3.446073341e-5 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.708058599e-01 lkt1 = 1.211225218e-08 wkt1 = 3.919271437e-07 pkt1 = -8.642777373e-14 ++ kt2 = -2.117284491e-02 lkt2 = -6.090575968e-09 wkt2 = -1.695087293e-13 pkt2 = 3.738006504e-20 ++ at = 2.087262877e+05 lat = -4.385170120e-02 wat = -5.349809282e-01 pat = 1.179739943e-7 ++ ute = 1.624822074e+00 lute = -3.627161636e-07 wute = 9.942867174e-13 pute = -2.192601080e-19 ++ ua1 = 5.596685055e-09 lua1 = -1.045993618e-15 wua1 = -3.919274349e-15 pua1 = 8.642783794e-22 ++ ub1 = -2.548359675e-18 lub1 = 4.585073066e-25 wub1 = 1.734858761e-30 pub1 = -3.825710544e-37 ++ uc1 = -8.905018881e-10 luc1 = 1.905824016e-16 wuc1 = 1.711415570e-15 puc1 = -3.774013614e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.45 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.109607431e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.901818537e-7 ++ k1 = 4.212382248e-01 lk1 = 1.294361886e-6 ++ k2 = 3.920842634e-02 lk2 = -5.229813104e-7 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.478613255e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.269265013e-06 wvoff = 3.552713679e-21 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.403855138e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.140710528e-5 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 9.312240497e-03 lu0 = -1.968307805e-8 ++ ua = -9.617482694e-10 lua = 1.359202704e-14 ++ ub = 1.301805738e-18 lub = -2.210428646e-23 ++ uc = -7.213676046e-11 luc = -7.421843743e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160312.5 ++ a0 = 1.708831304e+00 la0 = -1.335144369e-6 ++ ags = 5.652528257e-01 lags = -2.523812031e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.481114733e-02 lketa = 4.720579346e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.043221610e-01 lpclm = -2.886910939e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.362013555e-04 lpdiblc2 = 3.019310429e-9 ++ pdiblcb = -3.449469752e-03 lpdiblcb = 3.842808139e-8 ++ drout = 0.56 ++ pscbe1 = 7.008429116e+08 lpscbe1 = 1.790436848e+3 ++ pscbe2 = 9.666085849e-09 lpscbe2 = -6.118193515e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 5.682944295e-10 lalpha0 = -9.376902874e-15 ++ alpha1 = -2.468523241e-11 lalpha1 = 2.496637245e-15 walpha1 = -3.231174268e-32 palpha1 = 1.096014312e-35 ++ beta0 = 3.268541021e+00 lbeta0 = -5.377136505e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 8.850353854e-11 lagidl = 1.149916543e-15 ++ bgidl = 1.563144045e+09 lbgidl = -1.127612605e+4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.257204881e-01 lkt1 = -5.970915929e-7 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -3.559961858e-01 lute = 5.267424367e-7 ++ ua1 = 2.139640475e-09 lua1 = 7.197644988e-15 ++ ub1 = -7.302764894e-19 lub1 = -1.903282652e-23 wub1 = 1.232595164e-38 ++ uc1 = 4.325252718e-11 luc1 = 7.661548855e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.46 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.080133+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.4858803 ++ k2 = 0.013090076 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.18447262+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.5083089+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0083292426 ++ ua = -2.8294519e-10 ++ ub = 1.9788962e-19 ++ uc = -1.0920239e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160312.5 ++ a0 = 1.6421525 ++ ags = 0.43921045 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.031235975 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.060146165 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00028698955 ++ pdiblcb = -0.0015303226 ++ drout = 0.56 ++ pscbe1 = 790259600.0 ++ pscbe2 = 9.3605355e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.4593183e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.45554 ++ kt2 = -0.037961 ++ at = 0.0 ++ ute = -0.32969 ++ ua1 = 2.4991e-9 ++ ub1 = -1.6808e-18 ++ uc1 = 4.2588e-10 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.47 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093444504e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.068051194e-7 ++ k1 = 4.520055508e-01 lk1 = 2.717947275e-7 ++ k2 = 2.140616637e-02 lk2 = -6.672431739e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.911172420e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.331325740e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.706977945e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.594025058e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.061955350e-02 lu0 = -1.837635535e-8 ++ ua = 3.775496570e-10 lua = -5.299493615e-15 pua = 2.646977960e-35 ++ ub = -2.359133808e-19 lub = 3.480627053e-24 ++ uc = -1.426185303e-10 luc = 2.681150701e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.217873591e+05 lvsat = -4.932447618e-01 wvsat = 3.725290298e-15 ++ a0 = 1.673858944e+00 la0 = -2.543972881e-7 ++ ags = 4.484710339e-01 lags = -7.430248034e-8 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.748950581e-02 lketa = 5.017532955e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.158874480e-01 lpclm = 4.621817215e-06 ppclm = 2.842170943e-26 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.594023986e-04 lpdiblc2 = -5.810059386e-10 ++ pdiblcb = -4.365230551e-04 lpdiblcb = -8.776122525e-9 ++ drout = 0.56 ++ pscbe1 = 7.804619264e+08 lpscbe1 = 7.861182970e+1 ++ pscbe2 = 9.151205032e-09 lpscbe2 = 1.679567196e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -7.139407148e-01 lbeta0 = 2.979887760e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.921337392e-10 lagidl = -3.707019422e-16 wagidl = 3.308722450e-30 ++ bgidl = 8.005398301e+08 lbgidl = 1.600372662e+3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.106119300e-01 lkt1 = 4.418707318e-7 ++ kt2 = -3.133225080e-02 lkt2 = -5.318590178e-8 ++ at = -1.804649308e+05 lat = 1.447963982e+0 ++ ute = 1.659976052e-01 lute = -3.977159414e-6 ++ ua1 = 5.352670913e-09 lua1 = -2.289568329e-14 wua1 = 5.293955920e-29 ++ ub1 = -4.246608763e-18 lub1 = 2.058681792e-23 pub1 = -1.972152263e-43 ++ uc1 = 7.932676112e-10 luc1 = -2.947741846e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.48 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.043398503e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.455596764e-8 ++ k1 = 5.991182345e-01 lk1 = -3.201160977e-7 ++ k2 = -1.299424793e-02 lk2 = 7.168643753e-08 pk2 = -2.220446049e-28 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.421224013e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.438184639e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.189571823e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.535751178e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 3.475347045e-03 lu0 = 1.036850222e-8 ++ ua = -1.459651534e-09 lua = 2.092522121e-15 ++ ub = 8.652439039e-19 lub = -9.499013051e-25 ++ uc = -6.679231726e-11 luc = -3.697321461e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.454941258e+05 lvsat = -1.862774118e-1 ++ a0 = 2.051425486e+00 la0 = -1.773543822e-6 ++ ags = 5.237687568e-01 lags = -3.772643744e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -4.146445057e-02 lketa = 6.616859927e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.388625344e-01 lpclm = -1.231398434e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.000215 ++ pdiblcb = 2.002775912e-02 lpdiblcb = -9.111457113e-08 wpdiblcb = -5.551115123e-23 ppdiblcb = 3.330669074e-28 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.684351014e-08 lpscbe2 = -2.927057626e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.546325391e+00 lbeta0 = 4.610571722e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.142050664e+09 lbgidl = 2.262969922e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.424855594e-01 lkt1 = -2.345890828e-7 ++ kt2 = -4.009733871e-02 lkt2 = -1.791939525e-8 ++ at = 2.762557340e+05 lat = -3.896607476e-1 ++ ute = -1.654632365e+00 lute = 3.348181683e-6 ++ ua1 = -3.325705164e-09 lua1 = 1.202193642e-14 wua1 = 1.323488980e-29 ++ ub1 = 3.210225146e-18 lub1 = -9.415902443e-24 wub1 = -2.465190329e-38 pub1 = -4.930380658e-44 ++ uc1 = 9.271368235e-11 luc1 = -1.290491024e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.49 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101789725e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.359983774e-8 ++ k1 = 4.319945642e-01 lk1 = 1.806199173e-8 ++ k2 = 2.626747013e-02 lk2 = -7.760434200e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 3.857674493e-01 ldsub = 3.525630509e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.218714828e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.755539745e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.091537207e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.096638280e-7 ++ eta0 = -6.226469463e-02 leta0 = 2.878754549e-7 ++ etab = 7.107223768e-01 letab = -1.579807344e-06 wetab = -1.776356839e-21 petab = -7.105427358e-27 ++ u0 = 8.663432822e-03 lu0 = -1.296931080e-10 ++ ua = -5.360904043e-11 lua = -7.526329856e-16 ++ ub = -1.474362962e-19 lub = 1.099277333e-24 ++ uc = -1.227185167e-10 luc = 7.619456839e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.992446544e+04 lvsat = 2.734490745e-2 ++ a0 = 1.150127237e+00 la0 = 5.025121223e-8 ++ ags = 8.958697446e-02 lags = 5.013111459e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.414474864e-03 lketa = -1.284980757e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 3.094768240e-02 lpclm = 6.057854269e-7 ++ pdiblc1 = 4.094634917e-01 lpdiblc1 = -3.938476478e-8 ++ pdiblc2 = -5.056800000e-06 lpdiblc2 = 4.452893359e-10 ++ pdiblcb = -0.025 ++ drout = 1.194940541e-01 ldrout = 8.913725917e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = -4.693562463e-09 lpscbe2 = 1.431012090e-14 ppscbe2 = 5.293955920e-35 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.758248586e+00 lbeta0 = 2.158220897e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.269621336e+09 lbgidl = -3.184481508e+1 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.439715564e-01 lkt1 = -2.923013823e-8 ++ kt2 = -6.080719617e-02 lkt2 = 2.398741550e-8 ++ at = 6.427369205e+04 lat = 3.928915392e-2 ++ ute = 0.0 ++ ua1 = 2.831661075e-09 lua1 = -4.376173113e-16 ++ ub1 = -1.530007496e-18 lub1 = 1.760531127e-25 ++ uc1 = 1.571903929e-10 luc1 = -2.595190157e-16 wuc1 = 8.271806126e-31 puc1 = 8.271806126e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.50 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.110443691e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.245734534e-8 ++ k1 = 3.245759539e-01 lk1 = 1.280070877e-7 ++ k2 = 5.361238178e-02 lk2 = -3.574849817e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 4.477675013e-01 ldsub = 2.891047577e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.206309585e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.628569604e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {5.391600791e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.275032866e-6 ++ eta0 = 3.681232431e-01 leta0 = -1.526352071e-7 ++ etab = -1.704214254e+00 letab = 8.919285964e-7 ++ u0 = 1.141209078e-02 lu0 = -2.942999498e-9 ++ ua = -2.679092862e-10 lua = -5.332923980e-16 ++ ub = 7.860221385e-19 lub = 1.438639564e-25 ++ uc = -6.535283089e-11 luc = 1.747964170e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.922316451e+04 lvsat = -1.287809701e-2 ++ a0 = 1.218828163e+00 la0 = -2.006555981e-8 ++ ags = -1.258260041e-01 lags = 7.217906376e-07 pags = 7.105427358e-27 ++ a1 = 0.0 ++ a2 = 5.906024704e-01 la2 = 2.143225595e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.852895072e-02 lketa = -3.428582252e-08 pketa = 2.220446049e-28 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.318634040e-01 lpclm = 9.308816755e-8 ++ pdiblc1 = -2.876213835e-01 lpdiblc1 = 6.740955467e-7 ++ pdiblc2 = -1.851964142e-04 lpdiblc2 = 6.296658339e-10 ++ pdiblcb = -5.082653338e-02 lpdiblcb = 2.643397344e-8 ++ drout = 1.010442376e+00 ldrout = -2.053083449e-8 ++ pscbe1 = 8.091570768e+08 lpscbe1 = -9.372451233e+0 ++ pscbe2 = 9.340256864e-09 lpscbe2 = -5.377386132e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.432376873e+00 lbeta0 = 4.447171140e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.0e-10 ++ bgidl = 1.283381922e+09 lbgidl = -4.592904908e+1 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.527514144e-01 lkt1 = -2.024377793e-8 ++ kt2 = -2.665978080e-02 lkt2 = -1.096314708e-8 ++ at = 1.735027264e+05 lat = -7.250894732e-2 ++ ute = 2.094080000e-02 lute = -2.143332762e-8 ++ ua1 = 2.668791712e-09 lua1 = -2.709172611e-16 ++ ub1 = -1.027554176e-18 lub1 = -3.382179098e-25 ++ uc1 = -1.237272963e-10 luc1 = 2.800585753e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.51 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.018506124e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.567380956e-8 ++ k1 = 1.398369388e-01 lk1 = 2.247216569e-7 ++ k2 = 1.465220025e-01 lk2 = -8.438854283e-08 pk2 = 3.330669074e-28 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.478875091e+00 ldsub = -2.507006874e-07 wdsub = 2.842170943e-20 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.147424592e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -3.914905113e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.055198272e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.656834488e-7 ++ eta0 = -3.757605076e-01 leta0 = 2.368028140e-07 weta0 = 1.776356839e-21 peta0 = 1.332267630e-27 ++ etab = -3.627991333e-04 letab = -7.171718105e-11 ++ u0 = 8.032507413e-03 lu0 = -1.173720015e-9 ++ ua = -3.971058257e-10 lua = -4.656554257e-16 ++ ub = 1.846390384e-19 lub = 4.587000370e-25 ++ uc = -5.782776129e-11 luc = 1.354011726e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.512117857e+04 lvsat = 3.651305347e-2 ++ a0 = 1.642639393e+00 la0 = -2.419392149e-7 ++ ags = 1.256072862e+00 lags = -1.661056634e-9 ++ a1 = 0.0 ++ a2 = 1.368821359e+00 la2 = -1.930905928e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.841745284e-02 lketa = -1.494364133e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.823070923e-01 lpclm = 1.432788787e-8 ++ pdiblc1 = 1.589122175e+00 lpdiblc1 = -3.084172413e-7 ++ pdiblc2 = -8.678023286e-03 lpdiblc2 = 5.075830558e-09 ppdiblc2 = 6.938893904e-30 ++ pdiblcb = 2.450492987e-01 lpdiblcb = -1.284629422e-07 wpdiblcb = 1.249000903e-22 ppdiblcb = 7.077671782e-28 ++ drout = 1.285020706e+00 ldrout = -1.642780821e-7 ++ pscbe1 = 7.816858459e+08 lpscbe1 = 5.009287583e+0 ++ pscbe2 = 9.147344128e-09 lpscbe2 = 4.721981420e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.648868735e+00 lbeta0 = -1.921407060e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.412993780e-10 lagidl = 1.263250504e-16 pagidl = 8.271806126e-37 ++ bgidl = 1.409708245e+09 lbgidl = -1.120634058e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.646588109e-01 lkt1 = -1.401001771e-8 ++ kt2 = -4.629795127e-02 lkt2 = -6.821720693e-10 ++ at = 6.249379701e+04 lat = -1.439355261e-2 ++ ute = -2.000000091e-02 lute = 4.782112484e-16 ++ ua1 = 3.571328252e-09 lua1 = -7.434131907e-16 ++ ub1 = -2.991364602e-18 lub1 = 6.898761243e-25 ++ uc1 = -1.183397965e-10 luc1 = 2.518539364e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.52 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.07581019562893+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.961428255393082 ++ k2 = -0.162005866831761 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.562303405039308 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.257872947389937+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.9870370822327+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.49 ++ etab = -0.000625 ++ u0 = 0.0037413403490566 ++ ua = -2.09956058459119e-9 ++ ub = 1.86166461966667e-18 ++ uc = -8.324553995283e-12 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 118371.997325472 ++ a0 = 0.758099999261006 ++ ags = 1.24999997272013 ++ a1 = 0.0 ++ a2 = 0.662874470440252 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.0730519999606918 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.734690420259434 ++ pdiblc1 = 0.461536473183962 ++ pdiblc2 = 0.00987941513820755 ++ pdiblcb = -0.224616327814465 ++ drout = 0.684413503600629 ++ pscbe1 = 800000000.518868 ++ pscbe2 = 9.31998164677673e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.94639467130503 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 3.20550031084906e-10 ++ bgidl = 999999975.393082 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.515879992940252 ++ kt2 = -0.0487919994941038 ++ at = 9870.39612421382 ++ ute = -0.0199999991650943 ++ ua1 = 8.53380055896226e-10 ++ ub1 = -4.69150049528303e-19 ++ uc1 = -2.62609955581761e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.53 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.65e-06 wmax = 1.68e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.026478300e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.087866968e-08 wvth0 = 8.623777717e-12 pvth0 = -1.901715450e-18 ++ k1 = 1.524197065e-01 lk1 = 1.784025652e-07 wk1 = -5.251349080e-12 pk1 = 1.158027487e-18 ++ k2 = 1.952029349e-01 lk2 = -7.877168496e-08 wk2 = -8.716520838e-12 pk2 = 1.922167172e-18 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.254289871e+00 ldsub = -3.731168554e-07 wdsub = 3.391698669e-11 pdsub = -7.479373906e-18 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.219662845e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.997013730e-08 wvoff = -8.936934819e-13 pvoff = 1.970772843e-19 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.325042002e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.303796797e-07 wnfactor = -4.372113153e-12 pnfactor = 9.641383940e-19 ++ eta0 = 2.635060538e+00 leta0 = -4.730287498e-07 weta0 = 1.521567049e-11 peta0 = -3.355359652e-18 ++ etab = 2.838279318e-01 letab = -6.272756051e-08 wetab = -3.395191542e-12 petab = 7.487076384e-19 ++ u0 = 8.132809704e-04 lu0 = 6.456956542e-10 wu0 = 3.857816155e-14 pu0 = -8.507256233e-21 ++ ua = -3.760773494e-09 lua = 3.663306708e-16 wua = -2.125834015e-20 pua = 4.687889182e-27 ++ ub = 3.656686821e-18 lub = -3.958382958e-25 wub = -2.919559084e-29 pub = 6.438211660e-36 ++ uc = 1.394852754e-11 luc = -4.911659940e-18 wuc = 5.612683334e-23 puc = -1.237708924e-29 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.611934690e+05 lvsat = -5.354699094e-02 wvsat = 2.212553397e-06 pvsat = -4.879122768e-13 ++ a0 = 1.422694474e+00 la0 = -1.465563736e-07 wa0 = 4.629861508e-12 pa0 = -1.020977066e-18 ++ ags = 1.249999799e+00 lags = 3.820404970e-14 ++ a1 = 0.0 ++ a2 = 4.355362553e-01 la2 = 5.013262320e-08 wa2 = 1.841947699e-11 pa2 = -4.061863066e-18 ++ b0 = -1.338267830e-23 lb0 = 2.951148219e-30 wb0 = -1.354993220e-34 pb0 = 2.988031046e-41 ++ b1 = 0.0 ++ keta = -4.242675334e-01 lketa = 7.745004943e-08 wketa = -2.883425651e-12 pketa = 6.358530253e-19 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.167168806e+00 lpclm = -9.537013359e-08 wpclm = -3.402900120e-12 ppclm = 7.504075370e-19 ++ pdiblc1 = 2.337921697e+00 lpdiblc1 = -4.137804696e-07 wpdiblc1 = -1.680793832e-11 ppdiblc1 = 3.706486559e-18 ++ pdiblc2 = 4.715932866e-02 lpdiblc2 = -8.220966529e-09 wpdiblc2 = 2.048147554e-13 ppdiblc2 = -4.516574958e-20 ++ pdiblcb = -1.174780210e+00 lpdiblcb = 2.095301394e-07 wpdiblcb = 7.587962898e-13 ppdiblcb = -1.673297589e-19 ++ drout = -1.319791092e+00 ldrout = 4.419671974e-07 wdrout = 3.314614605e-11 pdrout = -7.309388124e-18 ++ pscbe1 = 8.023713568e+08 lpscbe1 = -5.229314770e-01 wpscbe1 = 1.453757080e-03 ppscbe1 = -3.205825195e-10 ++ pscbe2 = 1.919566468e-08 lpscbe2 = -2.177785623e-15 wpscbe2 = 1.322232474e-19 ppscbe2 = -2.915787064e-26 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.333702501e+01 lbeta0 = -9.682218024e-07 wbeta0 = -2.630252402e-11 pbeta0 = 5.800232657e-18 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.901953435e-09 lagidl = 4.901064644e-16 wagidl = -1.028229129e-20 pagidl = 2.267450872e-27 ++ bgidl = 9.999998191e+08 lbgidl = 3.446073914e-5 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.348853137e-01 lkt1 = -3.991294666e-08 wkt1 = -1.053883921e-12 pkt1 = 2.324024848e-19 ++ kt2 = -2.117384306e-02 lkt2 = -6.090355857e-09 wkt2 = 1.488685889e-12 pkt2 = -3.282850116e-19 ++ at = -1.133031594e+05 lat = 2.716223247e-02 wat = -2.424534562e-06 pat = 5.346583610e-13 ++ ute = 1.624827929e+00 lute = -3.627174547e-07 wute = -8.732179245e-12 pute = 1.925620172e-18 ++ ua1 = 3.237480574e-09 lua1 = -5.257418462e-16 wua1 = 5.998103409e-21 pua1 = -1.322701742e-27 ++ ub1 = -2.548349459e-18 lub1 = 4.585050539e-25 wub1 = -1.523614605e-29 pub1 = 3.359874940e-36 ++ uc1 = 1.396802315e-10 luc1 = -3.659335939e-17 wuc1 = 2.859336849e-21 puc1 = -6.305409626e-28 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.54 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.209829713e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.109990758e-06 wvth0 = 1.634898026e-07 pvth0 = -4.110493710e-12 ++ k1 = 1.849382087e-01 lk1 = 8.470762697e-06 wk1 = 3.854695997e-07 pk1 = -1.170666170e-11 ++ k2 = 1.286259373e-01 lk2 = -2.816583826e-06 wk2 = -1.458642820e-07 pk2 = 3.741489562e-12 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-4.715644232e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 7.504810579e-06 wvoff = 3.649205995e-07 pvoff = -1.017187089e-11 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-6.693837421e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.284627552e-04 wnfactor = 1.163785812e-05 pnfactor = -3.051386441e-10 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.299011335e-02 lu0 = -1.372660434e-07 wu0 = -5.999611007e-09 pu0 = 1.918097990e-13 ++ ua = -3.475725128e-09 lua = 9.221207121e-14 wua = 4.100980059e-15 pua = -1.282506767e-19 ++ ub = 5.442539477e-18 lub = -1.551960419e-22 wub = -6.754663009e-24 pub = 2.171088540e-28 ++ uc = 7.564781992e-11 luc = -6.086471515e-15 wuc = -2.410768480e-16 puc = 8.717985972e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.603105084e+05 lvsat = 1.992025683e-04 wvsat = 3.248771609e-06 pvsat = -3.249535720e-10 ++ a0 = 1.612923823e+00 la0 = 2.523560973e-05 wa0 = 1.564511880e-07 pa0 = -4.334412718e-11 ++ ags = 8.101600767e-01 lags = 5.072599427e-06 wags = -3.995103411e-07 pags = -1.239181331e-11 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.064515478e-01 lketa = -3.653953997e-07 wketa = 8.423953930e-08 pketa = 1.366114176e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 7.275754670e-01 lpclm = -1.851447115e-05 wpclm = -8.535684670e-07 ppclm = 2.549280140e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = -3.179819101e-04 lpdiblc2 = 1.005464475e-08 wpdiblc2 = 7.408964440e-10 ppdiblc2 = -1.147654388e-14 ++ pdiblcb = -1.319103139e-01 lpdiblcb = 1.239888084e-05 wpdiblcb = 2.095545781e-07 ppdiblcb = -2.016326049e-11 ++ drout = 0.56 ++ pscbe1 = 4.239726518e+08 lpscbe1 = 6.716706801e+03 wpscbe1 = 4.516507025e+02 ppscbe1 = -8.036086239e-3 ++ pscbe2 = 7.503806773e-09 lpscbe2 = 2.879597693e-13 wpscbe2 = 3.527265313e-15 ppscbe2 = -4.797211465e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.058757924e-09 lalpha0 = -3.922122847e-14 walpha0 = -2.431351366e-15 palpha0 = 4.868421271e-20 ++ alpha1 = -4.215269960e-10 lalpha1 = 1.044280624e-14 walpha1 = 6.473568574e-16 palpha1 = -1.296236298e-20 ++ beta0 = 6.735038952e+00 lbeta0 = -2.837325744e-04 wbeta0 = -5.654801013e-06 pbeta0 = 4.540734320e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.440461266e-10 lagidl = -1.902803431e-14 wagidl = -9.060506878e-17 pagidl = 3.291572624e-20 ++ bgidl = 3.355490034e+09 lbgidl = -4.716520180e+04 wbgidl = -2.923803825e+03 pbgidl = 5.854484437e-2 ++ cgidl = 300.0 ++ egidl = 7.257071840e-01 legidl = -6.258543503e-05 wegidl = -1.020698609e-06 pegidl = 1.020938678e-10 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.190475494e-01 lkt1 = -3.674253347e-06 wkt1 = -1.740125780e-07 pkt1 = 5.019687809e-12 ++ kt2 = -4.943035601e-02 lkt2 = 1.147205360e-06 wkt2 = 1.870963931e-08 pkt2 = -1.871403982e-12 ++ at = 0.0 ++ ute = -2.824497794e-01 lute = -1.352770633e-05 wute = -1.199741933e-07 pute = 2.292662874e-11 ++ ua1 = 4.567547559e-10 lua1 = 8.400025098e-14 wua1 = 2.745244353e-15 pua1 = -1.252859407e-19 ++ ub1 = 3.063671297e-18 lub1 = -1.564946401e-22 wub1 = -6.188960794e-24 pub1 = 2.242376075e-28 ++ uc1 = -1.520799765e-09 luc1 = 6.667899670e-14 wuc1 = 2.551394711e-15 puc1 = -9.627351018e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.55 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.054512828e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} wvth0 = -4.179346980e-8 ++ k1 = 6.079788478e-01 wk1 = -1.991759423e-7 ++ k2 = -1.203783334e-02 wk2 = 4.099045493e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-9.676465875e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} wvoff = -1.430755414e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.715882507e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} wnfactor = -3.601153013e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 6.134872944e-03 wu0 = 3.579613777e-9 ++ ua = 1.129462731e-09 wua = -2.304021494e-15 ++ ub = -2.308147808e-18 wub = 4.088028687e-24 ++ uc = -2.283182917e-10 wuc = 1.943104352e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.603204569e+05 wvsat = -1.297982217e-5 ++ a0 = 2.873222199e+00 wa0 = -2.008209530e-6 ++ ags = 1.063492130e+00 wags = -1.018373224e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.246998577e-01 wketa = 1.524650149e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.970607183e-01 wpclm = 4.195743870e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.841598085e-04 wpdiblc2 = 1.677432780e-10 ++ pdiblcb = 4.873055303e-01 wpdiblcb = -7.974242395e-7 ++ drout = 0.56 ++ pscbe1 = 7.594135134e+08 wpscbe1 = 5.031835740e+1 ++ pscbe2 = 2.188488309e-08 wpscbe2 = -2.043061754e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -7.434925891e+00 wbeta0 = 1.702220243e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -8.062380547e-10 wagidl = 1.553248072e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -2.399888867e+00 wegidl = 4.077998711e-6 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.025444244e-01 wkt1 = 7.667700147e-8 ++ kt2 = 7.862535550e-03 wkt2 = -7.475065048e-8 ++ at = 0.0 ++ ute = -9.580406010e-01 wute = 1.025010742e-6 ++ ua1 = 4.651833892e-09 wua1 = -3.511694522e-15 ++ ub1 = -4.751869630e-18 wub1 = 5.009749898e-24 ++ uc1 = 1.809233950e-09 wuc1 = -2.256626565e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.56 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.102850133e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.878353351e-07 wvth0 = 1.534313883e-08 pvth0 = -4.584367220e-13 ++ k1 = 4.403333565e-01 lk1 = 1.345106952e-06 wk1 = 1.904052371e-08 pk1 = -1.750864179e-12 ++ k2 = 2.952911439e-02 lk2 = -3.335132364e-07 wk2 = -1.325073766e-08 pk2 = 4.352052936e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.446182280e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.839540695e-07 wvoff = -7.585253962e-08 pvoff = -5.393650989e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.478956937e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.900977052e-06 wnfactor = -2.890579714e-06 pnfactor = -5.701299081e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.725008973e-02 lu0 = -8.918316422e-08 wu0 = -1.081620810e-08 pu0 = 1.155051647e-13 ++ ua = 4.201103791e-09 lua = -2.464537348e-14 wua = -6.237256800e-15 pua = 3.155839214e-20 ++ ub = -4.323937849e-18 lub = 1.617373171e-23 wub = 6.668679851e-24 pub = -2.070590623e-29 ++ uc = -3.710778556e-10 luc = 1.145434216e-15 wuc = 3.726793004e-16 puc = -1.431146158e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.530756142e+04 lvsat = 6.821026669e-01 wvsat = 2.389483926e-01 pvsat = -1.917311351e-6 ++ a0 = 2.725899935e+00 la0 = 1.182043131e-06 wa0 = -1.716165012e-06 pa0 = -2.343225035e-12 ++ ags = 1.223069258e+00 lags = -1.280370282e-06 wags = -1.263580394e-06 pags = 1.967424635e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.541469495e-01 lketa = 2.362693300e-07 wketa = 1.903000215e-07 pketa = -3.035699324e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -2.923957431e+00 lpclm = 2.187931031e-05 wpclm = 3.928217137e-06 ppclm = -2.815166528e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.951723013e-03 lpdiblc2 = -4.627615873e-08 wpdiblc2 = -9.122596034e-09 ppdiblc2 = 7.454122328e-14 ++ pdiblcb = 7.919560869e-01 lpdiblcb = -2.444369834e-06 wpdiblcb = -1.292607878e-06 ppdiblcb = 3.973115824e-12 ++ drout = 0.56 ++ pscbe1 = -6.414330815e+08 lpscbe1 = 1.123972067e+04 wpscbe1 = 2.319497513e+03 ppscbe1 = -1.820680434e-2 ++ pscbe2 = 9.275311625e-08 lpscbe2 = -5.686126861e-13 wpscbe2 = -1.363774569e-13 ppscbe2 = 9.303017846e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -1.390171428e+01 lbeta0 = 5.188640597e-05 wbeta0 = 2.151284576e-05 pbeta0 = -3.603076657e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.398630521e-09 lagidl = 4.753072804e-15 wagidl = 2.594969197e-15 pagidl = -8.358270278e-21 ++ bgidl = 1.657082301e+08 lbgidl = 6.693956702e+03 wbgidl = 1.035583014e+03 pbgidl = -8.309021023e-3 ++ cgidl = 300.0 ++ egidl = -4.914477080e+00 legidl = 2.017584882e-05 wegidl = 8.179976055e-06 pegidl = -3.291229726e-11 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -8.432140915e-01 lkt1 = 2.733369887e-06 wkt1 = 5.425645932e-07 pkt1 = -3.738058410e-12 ++ kt2 = 2.296706914e-02 lkt2 = -1.211915274e-07 wkt2 = -8.857696024e-08 pkt2 = 1.109356729e-13 ++ at = -4.645435735e+05 lat = 3.727274653e+00 wat = 4.634095357e-01 pat = -3.718175678e-6 ++ ute = 1.218594893e+00 lute = -1.746427842e-05 wute = -1.717072482e-06 pute = 2.200115959e-11 ++ ua1 = 2.057366811e-08 lua1 = -1.277491552e-13 wua1 = -2.482958653e-14 pua1 = 1.710445329e-19 ++ ub1 = -2.038483853e-17 lub1 = 1.254314387e-22 wub1 = 2.632584235e-23 pub1 = -1.710300941e-28 ++ uc1 = 3.355113400e-09 luc1 = -1.240339468e-14 wuc1 = -4.179067303e-15 puc1 = 1.542474171e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.57 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.099161729e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.884383116e-07 wvth0 = -2.177459874e-07 pvth0 = 4.794020389e-13 ++ k1 = 1.096616803e+00 lk1 = -1.295462621e-06 wk1 = -8.115554849e-07 pk1 = 1.591055473e-12 ++ k2 = -1.241603561e-01 lk2 = 2.848594217e-07 wk2 = 1.813421596e-07 pk2 = -3.477431202e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -4.018703740e-01 ldsub = 3.870104687e-06 wdsub = 1.569072209e-06 pdsub = -6.313193414e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {1.496189449e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -7.999150802e-07 wvoff = -4.759094893e-07 pvoff = 1.070272039e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.083263857e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.366318805e-05 wnfactor = -1.246792077e-05 pnfactor = 3.283332421e-11 ++ eta0 = -1.760041385e-01 leta0 = 1.030037771e-06 weta0 = 4.176123830e-07 peta0 = -1.680271775e-12 ++ etab = 1.538063815e-01 letab = -9.004894520e-07 wetab = -3.650890835e-07 petab = 1.468943229e-12 ++ u0 = -1.294352284e-02 lu0 = 3.230143984e-08 wu0 = 2.678364271e-08 pu0 = -3.577858700e-14 ++ ua = -3.490524632e-09 lua = 6.302047316e-15 wua = 3.312906421e-15 pua = -6.866880585e-21 ++ ub = 3.997342179e-19 lub = -2.832057322e-24 wub = 7.593729165e-25 pub = 3.070308410e-30 ++ uc = -3.874751442e-11 luc = -1.917035581e-16 wuc = -4.574870161e-17 puc = 2.524072769e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 4.384856423e+05 lvsat = -7.791516052e-01 wvsat = -4.779488571e-01 pvsat = 9.671390713e-7 ++ a0 = 4.839213568e+00 la0 = -7.320916539e-06 wa0 = -4.547640640e-06 pa0 = 9.049273787e-12 ++ ags = 1.674170154e+00 lags = -3.095383758e-06 wags = -1.876617588e-06 pags = 4.433992043e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.943725976e-01 lketa = 3.981180297e-07 wketa = 2.494347789e-07 pketa = -5.414998112e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.696546538e+00 lpclm = -1.280545982e-05 wpclm = -7.761076700e-06 ppclm = 1.888044226e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.068983124e-02 lpdiblc2 = 2.068146763e-08 wpdiblc2 = 1.778874586e-08 ppdiblc2 = -3.373709907e-14 ++ pdiblcb = 3.963346606e-01 lpdiblcb = -8.525791124e-07 wpdiblcb = -6.138589118e-07 ppdiblcb = 1.242155785e-12 ++ drout = 0.56 ++ pscbe1 = 3.495055853e+09 lpscbe1 = -5.403525286e+03 wpscbe1 = -4.396369151e+03 ppscbe1 = 8.814619501e-3 ++ pscbe2 = -7.766053239e-08 lpscbe2 = 1.170500375e-13 wpscbe2 = 1.541617985e-13 ppscbe2 = -2.386887202e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -1.331867141e+01 lbeta0 = 4.954052131e-05 wbeta0 = 3.077394106e-05 pbeta0 = -7.329296873e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -4.407796264e-10 lagidl = 8.991405714e-16 wagidl = 8.821586627e-16 pagidl = -1.466742838e-21 ++ bgidl = 1.594162233e+09 lbgidl = 9.465434538e+02 wbgidl = -7.375169427e+02 pbgidl = -1.174917886e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 1.003259608e-01 lkt1 = -1.062982384e-06 wkt1 = -7.223460343e-07 pkt1 = 1.351334798e-12 ++ kt2 = 2.415912399e-02 lkt2 = -1.259877839e-07 wkt2 = -1.048197684e-07 pkt2 = 1.762889365e-13 ++ at = 8.299288720e+05 lat = -1.481061121e+00 wat = -9.031914872e-01 pat = 1.780370870e-6 ++ ute = -6.498441236e+00 lute = 1.358537079e-05 wute = 7.901569785e-06 pute = -1.669963995e-11 ++ ua1 = -2.448900850e-08 lua1 = 5.356142534e-14 wua1 = 3.452310417e-14 pua1 = -6.776220517e-20 ++ ub1 = 2.247396535e-17 lub1 = -4.701181596e-23 wub1 = -3.142440002e-23 pub1 = 6.132916104e-29 ++ uc1 = 3.299196164e-10 luc1 = -2.314669889e-16 wuc1 = -3.869473985e-16 puc1 = 1.670714305e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.58 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.102408925e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.074622027e-09 wvth0 = 1.010083880e-09 pvth0 = 3.674475368e-14 ++ k1 = 6.801276767e-01 lk1 = -4.526885438e-07 wk1 = -4.047725987e-07 pk1 = 7.679221676e-13 ++ k2 = -6.765553831e-02 lk2 = 1.705207929e-07 wk2 = 1.532139738e-07 pk2 = -2.908251739e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.461148824e+00 ldsub = -1.923271921e-06 wdsub = -3.385511527e-06 pdsub = 3.712505867e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.845563200e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 7.864725187e-08 wvoff = 1.022560197e-07 pvoff = -9.965743154e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-3.169956695e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.671343506e-06 wnfactor = 6.951655680e-06 pnfactor = -6.462577132e-12 ++ eta0 = 4.530157834e-01 leta0 = -2.427966211e-07 weta0 = -8.405626160e-07 peta0 = 8.656704987e-13 ++ etab = 2.855797071e-01 letab = -1.167135412e-06 wetab = 6.935233330e-07 petab = -6.731801677e-13 ++ u0 = -3.558304614e-03 lu0 = 1.331026306e-08 wu0 = 1.993697807e-08 pu0 = -2.192422417e-14 ++ ua = -3.080356731e-10 lua = -1.377827427e-16 wua = 4.150390420e-16 pua = -1.002987985e-21 ++ ub = -2.518996187e-18 lub = 3.074052027e-24 wub = 3.868659247e-24 pub = -3.221394664e-30 ++ uc = -2.743025600e-10 luc = 2.849467878e-16 wuc = 2.472748056e-16 puc = -3.405316504e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -2.282030237e+04 lvsat = 1.543102000e-01 wvsat = 1.023537829e-01 pvsat = -2.071149267e-7 ++ a0 = 4.593351170e-01 la0 = 1.541855105e-06 wa0 = 1.126869843e-06 pa0 = -2.433211665e-12 ++ ags = -1.839072597e-01 lags = 6.644730495e-07 wags = 4.461434864e-07 pags = -2.661614449e-13 ++ a1 = 0.0 ++ a2 = 1.451488817e+00 la2 = -1.318300652e-06 wa2 = -1.062755466e-06 pa2 = 2.150506941e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.427245306e-02 lketa = -4.431460332e-08 wketa = -4.353363830e-08 pketa = 5.132764029e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.318774538e+00 lpclm = 1.390182686e-06 wpclm = 2.201764067e-06 ppclm = -1.279565286e-12 ++ pdiblc1 = -6.720091506e-01 lpdiblc1 = 2.148996756e-06 wpdiblc1 = 1.764176040e-06 ppdiblc1 = -3.569845501e-12 ++ pdiblc2 = -1.389733272e-03 lpdiblc2 = 1.862533399e-09 wpdiblc2 = 2.258783959e-09 ppdiblc2 = -2.311910557e-15 ++ pdiblcb = -0.025 ++ drout = 1.508213853e-01 ldrout = 8.279811105e-07 wdrout = -5.110339822e-08 pdrout = 1.034087484e-13 ++ pscbe1 = 8.053522799e+08 lpscbe1 = 3.914368776e+01 wpscbe1 = -8.731024316e+00 ppscbe1 = -6.385400181e-5 ++ pscbe2 = -4.996524305e-08 lpscbe2 = 6.100806558e-14 wpscbe2 = 7.385042494e-14 ppscbe2 = -7.617704962e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.609784573e+00 lbeta0 = 3.144332065e-06 wbeta0 = -4.651630812e-06 pbeta0 = -1.608615537e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.234151313e-09 lagidl = -2.490115683e-15 wagidl = -1.850109280e-15 pagidl = 4.062055990e-21 ++ bgidl = 2.127758299e+09 lbgidl = -1.331988595e+02 wbgidl = -1.399854800e+03 pbgidl = 1.653360147e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.976145051e-01 lkt1 = -5.538989282e-08 wkt1 = -7.562095979e-08 pkt1 = 4.267367519e-14 ++ kt2 = -7.529804378e-02 lkt2 = 7.526578424e-08 wkt2 = 2.363851397e-08 pkt2 = -8.364896712e-14 ++ at = -3.998846012e+04 lat = 2.792339989e-01 wat = 1.700799295e-01 pat = -3.914153071e-7 ++ ute = -2.978847688e+00 lute = 6.463402854e-06 wute = 4.859310826e-06 pute = -1.054356810e-11 ++ ua1 = -7.686917526e-09 lua1 = 1.956205821e-14 wua1 = 1.715866275e-14 pua1 = -3.262491068e-20 ++ ub1 = 7.193658236e-18 lub1 = -1.609180890e-23 wub1 = -1.423067165e-23 pub1 = 2.653730781e-29 ++ uc1 = 1.066677844e-09 luc1 = -1.722311998e-15 wuc1 = -1.483621414e-15 puc1 = 2.386213233e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.59 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.165885940e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.604461625e-08 wvth0 = 9.044138788e-08 pvth0 = -5.478997459e-14 ++ k1 = -2.857882199e-01 lk1 = 5.359456947e-07 wk1 = 9.956699866e-07 pk1 = -6.654588273e-13 ++ k2 = 2.621139570e-01 lk2 = -1.670048810e-07 wk2 = -3.401227816e-07 pk2 = 2.141148621e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.313045093e+00 ldsub = 2.963211037e-06 wdsub = 4.503636282e-06 pdsub = -4.362194699e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.890451615e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 8.324167092e-08 wvoff = 1.116021737e-07 pvoff = -1.092234071e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-3.468393155e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.976799192e-06 wnfactor = 6.537409379e-06 pnfactor = -6.038587758e-12 ++ eta0 = 1.739327914e+00 leta0 = -1.559362813e-06 weta0 = -2.236807786e-06 peta0 = 2.294755355e-12 ++ etab = -1.749571700e+00 letab = 9.158827566e-07 wetab = 7.399033223e-08 petab = -3.907575079e-14 ++ u0 = 1.623441308e-02 lu0 = -6.947979353e-09 wu0 = -7.866519339e-09 pu0 = 6.533211498e-15 ++ ua = 6.136633849e-10 lua = -1.081160163e-15 wua = -1.438084814e-15 pua = 8.937213440e-22 ++ ub = 5.452654961e-19 lub = -6.228109086e-26 wub = 3.927395695e-25 pub = 3.362786436e-31 ++ uc = 4.930131410e-11 luc = -4.626824947e-17 wuc = -1.870320964e-16 puc = 1.039901499e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.506243231e+05 lvsat = -2.279178431e-01 wvsat = -4.427291108e-01 pvsat = 3.507883166e-7 ++ a0 = 1.241659662e+00 la0 = 7.411302865e-07 wa0 = -3.724438546e-08 pa0 = -1.241717471e-12 ++ ags = -3.690199830e-01 lags = 8.539396241e-07 wags = 3.967155284e-07 pags = -2.155709414e-13 ++ a1 = 0.0 ++ a2 = -7.453673034e-01 la2 = 9.302255249e-07 wa2 = 2.179330085e-06 pa2 = -1.167832462e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 4.103387664e-02 lketa = -6.147025557e-08 wketa = -3.671165551e-08 pketa = 4.434520447e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -2.934450675e+00 lpclm = 3.043859526e-06 wpclm = 5.654501100e-06 ppclm = -4.813510695e-12 ++ pdiblc1 = -1.420483243e+00 lpdiblc1 = 2.915074959e-06 wpdiblc1 = 1.848005831e-06 ppdiblc1 = -3.655646968e-12 ++ pdiblc2 = -3.442422075e-03 lpdiblc2 = 3.963501442e-09 wpdiblc2 = 5.313421019e-09 ppdiblc2 = -5.438392681e-15 ++ pdiblcb = -1.147944754e-01 lpdiblcb = 9.190644142e-08 wpdiblcb = 1.043491127e-07 ppdiblcb = -1.068034038e-13 ++ drout = 1.043677834e+00 ldrout = -8.587532164e-08 wdrout = -5.421607201e-08 pdrout = 1.065946322e-13 ++ pscbe1 = 9.275454577e+08 lpscbe1 = -8.592347362e+01 wpscbe1 = -1.931236510e+02 ppscbe1 = 1.248755394e-4 ++ pscbe2 = 1.036515687e-08 lpscbe2 = -7.413053514e-16 wpscbe2 = -1.671890688e-15 ppscbe2 = 1.121550869e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.447467444e+01 lbeta0 = -1.834980015e-06 wbeta0 = -9.856630844e-06 pbeta0 = 3.718806096e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.250092357e-09 lagidl = 1.076077398e-15 wagidl = 3.833639859e-15 pagidl = -1.755374929e-21 ++ bgidl = 2.185315369e+09 lbgidl = -1.921096712e+02 wbgidl = -1.471298779e+03 pbgidl = 2.384603557e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.656356877e-01 lkt1 = 1.165831480e-07 wkt1 = 1.841449543e-07 pkt1 = -2.232019332e-13 ++ kt2 = 9.600731246e-02 lkt2 = -1.000686740e-07 wkt2 = -2.001033945e-07 pkt2 = 1.453553511e-13 ++ at = 4.402668747e+05 lat = -2.123169414e-01 wat = -4.351648857e-01 pat = 2.280648661e-7 ++ ute = 6.254914471e+00 lute = -2.987537392e-06 wute = -1.016930670e-05 pute = 4.838522509e-12 ++ ua1 = 2.251983117e-08 lua1 = -1.135515322e-14 wua1 = -3.238244483e-14 pua1 = 1.808140375e-20 ++ ub1 = -1.825492140e-17 lub1 = 9.955321324e-24 wub1 = 2.810252178e-23 pub1 = -1.679156233e-29 ++ uc1 = -1.604440802e-09 luc1 = 1.011631359e-15 wuc1 = 2.415446482e-15 puc1 = -1.604560739e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.60 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.069765280e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.949963897e-08 wvth0 = -1.819351077e-07 pvth0 = 8.780456839e-14 ++ k1 = -9.867398537e-01 lk1 = 9.029078940e-07 wk1 = 1.837753177e-06 pk1 = -1.106306219e-12 ++ k2 = 6.162966578e-01 lk2 = -3.524266085e-07 wk2 = -7.663302415e-07 pk2 = 4.372429915e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 7.270601689e+00 ldsub = -2.054019726e-06 wdsub = -9.447881432e-06 pdsub = 2.941703855e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {1.527420692e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.480427801e-07 wvoff = -4.363400217e-07 pvoff = 1.776352911e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.202378551e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.133666181e-06 wnfactor = -1.299893324e-05 pnfactor = 4.189078328e-12 ++ eta0 = -3.131258653e+00 leta0 = 9.904866669e-07 weta0 = 4.494966971e-06 peta0 = -1.229463366e-12 ++ etab = 2.626320276e-03 letab = -1.427951106e-09 wetab = -4.876066798e-09 petab = 2.212386428e-15 ++ u0 = 9.973577306e-03 lu0 = -3.670306612e-09 wu0 = -3.166412966e-09 pu0 = 4.072611810e-15 ++ ua = 2.257937692e-09 lua = -1.941970648e-15 wua = -4.331098149e-15 pua = 2.408271685e-21 ++ ub = -3.256487204e-18 lub = 1.928012482e-24 wub = 5.613412887e-24 pub = -2.396848252e-30 ++ uc = -4.357090571e-11 luc = 2.352215043e-18 wuc = -2.325680932e-17 puc = 1.825051162e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -3.786038846e+05 lvsat = 1.538477082e-01 wvsat = 5.929391608e-01 pvsat = -1.914047369e-7 ++ a0 = 4.160673783e+00 la0 = -7.870319862e-07 wa0 = -4.107598996e-06 pa0 = 8.891945752e-13 ++ ags = 2.242054900e+00 lags = -5.130102986e-07 wags = -1.608404891e-06 pags = 8.341497006e-13 ++ a1 = 0.0 ++ a2 = 1.912301648e+00 la2 = -4.611173247e-07 wa2 = -8.865641790e-07 pa2 = 4.372245029e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 5.835383152e-02 lketa = -7.053759835e-08 wketa = -1.252348466e-07 pketa = 9.068886547e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.891986972e+00 lpclm = -1.053437111e-06 wpclm = -6.867132917e-06 ppclm = 1.741815146e-12 ++ pdiblc1 = 7.997081835e+00 lpdiblc1 = -2.015208711e-06 wpdiblc1 = -1.045312517e-05 ppdiblc1 = 2.784241134e-12 ++ pdiblc2 = -4.552373478e-03 lpdiblc2 = 4.544583201e-09 wpdiblc2 = -6.730057013e-09 ppdiblc2 = 8.666089381e-16 ++ pdiblcb = 4.012918651e-01 lpdiblcb = -1.782750795e-07 wpdiblcb = -2.548741237e-07 ppdiblcb = 8.125714492e-14 ++ drout = 4.130032884e-01 ldrout = 2.442954163e-07 wdrout = 1.422497597e-06 pdrout = -6.664945078e-13 ++ pscbe1 = 7.232956861e+08 lpscbe1 = 2.100536683e+01 wpscbe1 = 9.525023272e+01 ppscbe1 = -2.609395618e-5 ++ pscbe2 = 7.968550522e-09 lpscbe2 = 5.133660054e-16 wpscbe2 = 1.922933002e-15 ppscbe2 = -7.604112296e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.321894906e+01 lbeta0 = -1.177582660e-06 wbeta0 = -5.823772064e-06 pbeta0 = 1.607523867e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.526266475e-09 lagidl = 6.971400721e-16 wagidl = 2.259258046e-15 pagidl = -9.311545622e-22 ++ bgidl = 3.620354977e+09 lbgidl = -9.433816067e+02 wbgidl = -3.606166116e+03 pbgidl = 1.356106104e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.590525257e-01 lkt1 = -9.627126894e-08 wkt1 = -4.985269761e-07 pkt1 = 1.341904758e-13 ++ kt2 = -1.604411764e-01 lkt2 = 3.418723889e-08 wkt2 = 1.861986471e-07 pkt2 = -5.688149375e-14 ++ at = 2.784336040e+05 lat = -1.275939875e-01 wat = -3.522565608e-01 pat = 1.846606999e-7 ++ ute = -1.769354095e+00 lute = 1.213327688e-06 wute = 2.853672352e-06 pute = -1.979267484e-12 ++ ua1 = -1.156287008e-09 lua1 = 1.039768171e-15 wua1 = 7.712026401e-15 pua1 = -2.908853827e-21 ++ ub1 = 3.135134957e-18 lub1 = -1.242800979e-24 wub1 = -9.993987188e-24 pub1 = 3.152722044e-30 ++ uc1 = 4.459443786e-10 luc1 = -6.178629081e-17 wuc1 = -9.205009749e-16 puc1 = 1.418744736e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.61 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.388922724e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.619578122e-08 wvth0 = 5.107716998e-07 pvth0 = -1.079833244e-13 ++ k1 = 7.758209005e+00 lk1 = -1.581556506e-06 wk1 = -1.108739813e-05 pk1 = 2.579948845e-12 ++ k2 = -2.749211087e+00 lk2 = 6.034164447e-07 wk2 = 4.220435434e-06 pk2 = -9.843363506e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -4.809873132e+00 ldsub = 1.327936996e-06 wdsub = 8.763481164e-06 pdsub = -2.166226439e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.129186330e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.151818033e-07 wvoff = 1.421349124e-06 pvoff = -3.510200507e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-3.866595525e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.288041577e-06 wnfactor = 9.548866971e-06 pnfactor = -2.101146159e-12 ++ eta0 = -2.788042768e+00 leta0 = 9.523369849e-07 weta0 = 5.347379382e-06 peta0 = -1.553520658e-12 ++ etab = -2.698658865e-01 letab = 7.764773381e-08 wetab = 4.392051193e-07 petab = -1.266645740e-13 ++ u0 = -3.034157810e-02 lu0 = 7.813932959e-09 wu0 = 5.559851055e-08 pu0 = -1.274665005e-14 ++ ua = -1.398218141e-08 lua = 2.655410080e-15 wua = 1.938378664e-14 pua = -4.331696113e-21 ++ ub = 9.897371716e-18 lub = -1.773615475e-24 wub = -1.310842399e-23 pub = 2.893249264e-30 ++ uc = -2.000594219e-10 luc = 4.796145522e-17 wuc = 3.127717214e-16 puc = -7.823817898e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -3.442797548e+04 lvsat = 6.341976991e-02 wvsat = 2.492583172e-01 pvsat = -1.034548949e-7 ++ a0 = 1.942801166e+00 la0 = -1.916117778e-07 wa0 = -1.932569842e-06 pa0 = 3.125709280e-13 ++ ags = -2.416906385e+00 lags = 8.086261708e-07 wags = 5.981721667e-06 pags = -1.319089231e-12 ++ a1 = 0.0 ++ a2 = -1.267091402e+00 la2 = 4.339002684e-07 wa2 = 3.148299288e-06 pa2 = -7.078093586e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.420721220e-01 lketa = 4.141004915e-08 wketa = 4.388449925e-07 pketa = -6.755105369e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.877288253e+00 lpclm = -2.430807753e-07 wpclm = -1.863887852e-06 ppclm = 3.965308625e-13 ++ pdiblc1 = 3.849592278e-01 lpdiblc1 = 7.101453488e-08 wpdiblc1 = 1.249183163e-07 ppdiblc1 = -1.158440223e-13 ++ pdiblc2 = -1.332163730e-03 lpdiblc2 = 3.891505873e-09 wpdiblc2 = 1.828913468e-08 ppdiblc2 = -6.348104569e-15 ++ pdiblcb = -3.358418803e-01 lpdiblcb = 2.479674291e-08 wpdiblcb = 1.814391295e-07 ppdiblcb = -4.045023240e-14 ++ drout = 2.732866059e+00 ldrout = -4.144875018e-07 wdrout = -3.341583297e-06 pdrout = 6.761418561e-13 ++ pscbe1 = 8.003824119e+08 lpscbe1 = -8.432899007e-02 wpscbe1 = -6.238169524e-01 ppscbe1 = 1.375635203e-7 ++ pscbe2 = 1.061108282e-08 lpscbe2 = -2.224354073e-16 wpscbe2 = -2.106137197e-15 ppscbe2 = 3.628526517e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.676687383e+00 lbeta0 = 1.521445287e-06 wbeta0 = 8.596325948e-06 pbeta0 = -2.481891097e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.654187368e-08 lagidl = -4.508686885e-15 wagidl = -2.646139108e-14 pagidl = 7.354894672e-21 ++ bgidl = -2.439282110e+09 lbgidl = 7.584304682e+02 wbgidl = 5.610404566e+03 pbgidl = -1.237206387e-3 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -7.814839632e-01 lkt1 = 7.857399528e-08 wkt1 = 4.332723198e-07 pkt1 = -1.281755584e-13 ++ kt2 = -3.702971357e-02 lkt2 = 4.585691050e-10 wkt2 = -1.918748768e-08 pkt2 = -7.480509411e-16 ++ at = -6.171055829e+05 lat = 1.246477493e-01 wat = 1.022768359e+00 pat = -2.033343832e-7 ++ ute = 8.533360344e+00 lute = -1.704405348e-06 wute = -1.395285723e-05 pute = 2.780348721e-12 ++ ua1 = 4.050390218e-09 lua1 = -4.082513220e-16 wua1 = -5.215193162e-15 pua1 = 6.659689506e-22 ++ ub1 = -1.085415616e-18 lub1 = -9.389003328e-26 wub1 = 1.005296763e-24 pub1 = 1.531601824e-31 ++ uc1 = 7.340109022e-10 luc1 = -1.493155606e-16 wuc1 = -1.240210259e-15 puc1 = 2.435742931e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.62 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.26e-06 wmax = 1.65e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-8.823772898e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.550761786e-08 wvth0 = -2.350593190e-07 pvth0 = 5.648733183e-14 ++ k1 = -2.797625280e+00 lk1 = 7.462160702e-07 wk1 = 4.812320534e-06 pk1 = -9.262571139e-13 ++ k2 = 1.481215542e+00 lk2 = -3.294772357e-07 wk2 = -2.097845075e-06 pk2 = 4.089708673e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.289211859e+00 ldsub = -1.560673226e-06 wdsub = -9.844565345e-06 pdsub = 1.937219977e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {4.150674858e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.253570480e-07 wvoff = -8.760490463e-07 pvoff = 1.556021937e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.187927267e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.054997141e-06 wnfactor = 1.721681660e-05 pnfactor = -3.792082411e-12 ++ eta0 = 1.050284235e+01 leta0 = -1.978569000e-06 weta0 = -1.283447695e-05 peta0 = 2.455942300e-12 ++ etab = 1.272032523e+00 letab = -2.623717035e-07 wetab = -1.612033876e-06 petab = 3.256746492e-13 ++ u0 = -7.154692093e-03 lu0 = 2.700760856e-09 wu0 = 1.299796993e-08 pu0 = -3.352378830e-15 ++ ua = -8.889088190e-09 lua = 1.532281164e-15 wua = 8.365654912e-15 pua = -1.901977705e-21 ++ ub = 9.362545582e-18 lub = -1.655675616e-24 wub = -9.307836828e-24 pub = 2.055143783e-30 ++ uc = 1.105959666e-10 luc = -2.054427105e-17 wuc = -1.576582051e-16 puc = 2.550102842e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.268830816e+06 lvsat = -2.239748588e-01 wvsat = -1.480601178e+00 pvsat = 2.780137209e-7 ++ a0 = 3.853735885e+00 la0 = -6.130111018e-07 wa0 = -3.965685154e-06 pa0 = 7.609135164e-13 ++ ags = 1.249999161e+00 lags = 1.597980122e-13 wags = 1.041112732e-12 pags = -1.983527973e-19 ++ a1 = 0.0 ++ a2 = -2.503195986e-01 la2 = 2.096817504e-07 wa2 = 1.118835870e-06 pa2 = -2.602720857e-13 ++ b0 = -5.597672795e-23 lb0 = 1.234398805e-29 wb0 = 6.948234505e-29 pb0 = -1.532224673e-35 ++ b1 = 0.0 ++ keta = -1.623342194e+00 lketa = 3.239557253e-07 wketa = 1.956014036e-06 pketa = -4.021171711e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.583920779e+00 lpclm = -3.989073799e-07 wpclm = -2.311111228e-06 ppclm = 4.951525612e-13 ++ pdiblc1 = 8.555396193e+00 lpdiblc1 = -1.730730225e-06 wpdiblc1 = -1.014240886e-05 ppdiblc1 = 2.148306968e-12 ++ pdiblc2 = 1.722478240e-01 lpdiblc2 = -3.438635302e-08 wpdiblc2 = -2.040531551e-07 ppdiblc2 = 4.268281719e-14 ++ pdiblcb = -4.197690012e+00 lpdiblcb = 8.764114929e-07 wpdiblcb = 4.931188876e-06 ppdiblcb = -1.087865047e-12 ++ drout = -7.529724582e+00 ldrout = 1.848618986e-06 wdrout = 1.013012377e-05 pdrout = -2.294638986e-12 ++ pscbe1 = 8.099225170e+08 lpscbe1 = -2.188112971e+00 wpscbe1 = -1.231654253e+01 ppscbe1 = 2.716043364e-6 ++ pscbe2 = 5.091023655e-08 lpscbe2 = -9.109204788e-15 wpscbe2 = -5.173496086e-14 ppscbe2 = 1.130700085e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 2.894087620e+01 lbeta0 = -4.049813630e-06 wbeta0 = -2.545415184e-05 pbeta0 = 5.026920264e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.320003129e-08 lagidl = 2.049998000e-15 wagidl = 1.843022778e-14 pagidl = -2.544605117e-21 ++ bgidl = 9.999992434e+08 lbgidl = 1.441405916e-04 wbgidl = 9.391018295e-04 pbgidl = -1.789176798e-10 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 3.318809282e-01 lkt1 = -1.669452306e-07 wkt1 = -1.087678155e-06 pkt1 = 2.072244402e-13 ++ kt2 = 8.057340185e-02 lkt2 = -2.547526991e-08 wkt2 = -1.659759430e-07 pkt2 = 3.162173923e-14 ++ at = -5.670711856e+05 lat = 1.136141640e-01 wat = 7.402166511e-01 pat = -1.410260805e-7 ++ ute = 7.684211440e+00 lute = -1.517151031e-06 wute = -9.884511390e-06 pute = 1.883197095e-12 ++ ua1 = 1.217118698e-08 lua1 = -2.199049425e-15 wua1 = -1.457329912e-14 pua1 = 2.729618477e-21 ++ ub1 = -1.020798987e-17 lub1 = 1.917820041e-24 wub1 = 1.249494170e-23 pub1 = -2.380536318e-30 ++ uc1 = 7.510020288e-10 luc1 = -1.530624438e-16 wuc1 = -9.972292717e-16 puc1 = 1.899921258e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.63 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-6.239432000e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.295708912e-06 wvth0 = -5.637547210e-07 pvth0 = 1.128835393e-11 ++ k1 = 1.028787392e+00 lk1 = -1.163906551e-05 wk1 = -6.619767639e-07 pk1 = 1.325510497e-11 ++ k2 = -1.254950285e-01 lk2 = 2.933047982e-06 wk2 = 1.695689575e-07 pk2 = -3.395367411e-12 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.051579485e-04 lcit = -1.905397086e-09 wcit = -1.181168971e-10 pcit = 2.365116052e-15 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {2.752828955e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -9.757717908e-06 wvoff = -5.621200654e-07 pvoff = 1.125562237e-11 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {8.891969522e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.417117898e-04 wnfactor = -7.708367640e-06 pnfactor = 1.543486536e-10 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = -4.207591166e-03 lu0 = 2.648369057e-07 wu0 = 1.534741807e-08 pu0 = -3.073093328e-13 ++ ua = 4.397848549e-09 lua = -1.026118015e-13 wua = -5.672266487e-15 pua = 1.135787414e-19 ++ ub = -7.400829821e-18 lub = 1.679192597e-22 wub = 9.187451686e-24 pub = -1.839651226e-28 ++ uc = -1.533801106e-10 luc = 1.633983339e-15 wuc = 4.320910932e-17 puc = -8.651984647e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.486601895e+05 lvsat = -1.578554579e+01 wvsat = -9.785531365e-01 pvsat = 1.959407830e-5 ++ a0 = 3.491112208e+00 la0 = -4.476766909e-05 wa0 = -2.174891464e-06 pa0 = 4.354898273e-11 ++ ags = 3.693764171e+00 lags = -6.909514386e-05 wags = -3.978847362e-06 pags = 7.967052974e-11 ++ a1 = 0.0 ++ a2 = -8.719667255e-01 la2 = 3.347865917e-05 wa2 = 2.075365481e-06 pa2 = -4.155612222e-11 ++ b0 = 8.617513205e-07 lb0 = -1.725529480e-11 wb0 = -1.069667785e-12 pb0 = 2.141851429e-17 ++ b1 = 6.652067144e-08 lb1 = -1.331977995e-12 wb1 = -8.257024688e-14 pb1 = 1.653346990e-18 ++ keta = -5.755552203e-01 lketa = 1.148719348e-05 wketa = 6.665247931e-07 pketa = -1.334617252e-11 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.839361768e-01 lpclm = -6.867610759e-06 wpclm = -5.511458380e-07 ppclm = 1.103587971e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = -9.459509058e-03 lpdiblc2 = 1.958061391e-07 wpdiblc2 = 1.208801813e-08 ppdiblc2 = -2.420446728e-13 ++ pdiblcb = 2.234758398e+00 lpdiblcb = -4.785376849e-05 wpdiblcb = -2.728125027e-06 ppdiblcb = 5.462666604e-11 ++ drout = 0.56 ++ pscbe1 = 6.920360458e+08 lpscbe1 = 2.160842249e+03 wpscbe1 = 1.189111173e+02 ppscbe1 = -2.381019135e-3 ++ pscbe2 = 3.460011475e-09 lpscbe2 = 3.935525391e-14 wpscbe2 = 8.546715189e-15 ppscbe2 = -1.711353225e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.083509839e-09 lalpha0 = -1.969332892e-14 walpha0 = -1.220803224e-15 palpha0 = 2.444477778e-20 ++ alpha1 = 1.083509839e-09 lalpha1 = -1.969332892e-14 walpha1 = -1.220803224e-15 palpha1 = 2.444477778e-20 ++ beta0 = -2.633682676e+02 lbeta0 = 5.399279233e-03 wbeta0 = 3.296168706e-04 pbeta0 = -6.600090000e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.718291218e-08 lagidl = 3.529748090e-13 wagidl = 2.141686312e-14 pagidl = -4.288409871e-19 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -9.659333470e-02 legidl = 1.966395734e-5 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -6.292853180e-01 lkt1 = 3.774710205e-06 wkt1 = 2.110768775e-07 pkt1 = -4.226502078e-12 ++ kt2 = 1.680981011e-01 lkt2 = -4.414316601e-06 wkt2 = -2.513023437e-07 pkt2 = 5.031957506e-12 ++ at = -6.981936344e+05 lat = 1.398029420e+01 wat = 8.666482089e-01 pat = -1.735334774e-5 ++ ute = -2.805521135e+00 lute = 5.352797526e-05 wute = 3.011843635e-06 pute = -6.030771126e-11 ++ ua1 = 6.689964509e-09 lua1 = -9.745928168e-14 wua1 = -4.991864384e-15 pua1 = 9.995469633e-20 ++ ub1 = -6.366792424e-18 lub1 = 1.131509801e-22 wub1 = 5.516809771e-24 pub1 = -1.104659508e-28 ++ uc1 = 5.016217549e-09 luc1 = -1.006177639e-13 wuc1 = -5.562821844e-15 puc1 = 1.113872745e-19 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.64 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.0881827+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.44751769 ++ k2 = 0.02098511 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.21202992+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8147029+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0090187 ++ ua = -7.2671504e-10 ++ ub = 9.8527111e-19 ++ uc = -7.1776909e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160310.0 ++ a0 = 1.255358 ++ ags = 0.243065 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.0018702 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.14095898 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00031929802 ++ pdiblcb = -0.15511953 ++ drout = 0.56 ++ pscbe1 = 799951250.0 ++ pscbe2 = 5.4254628e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.2785893 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.4509773e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.88544965 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.4407715 ++ kt2 = -0.052358472 ++ at = 0.0 ++ ute = -0.13226612 ++ ua1 = 1.8227243e-9 ++ ub1 = -7.1588888e-19 ++ uc1 = -8.7612717e-12 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.65 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090489314e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.850716039e-8 ++ k1 = 4.556728822e-01 lk1 = -6.543334786e-8 ++ k2 = 1.885398624e-02 lk2 = 1.709911408e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.057269452e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.057204472e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.150233085e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.692133146e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 8.536279952e-03 lu0 = 3.870706904e-9 ++ ua = -8.237874492e-10 lua = 7.788624164e-16 wua = 1.654361225e-30 ++ ub = 1.048518672e-18 lub = -5.074680762e-25 ++ uc = -7.083802061e-11 luc = -7.533189791e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.678104074e+05 lvsat = -8.625316685e-1 ++ a0 = 1.343314159e+00 la0 = -7.057180005e-7 ++ ags = 2.050970535e-01 lags = 3.046365779e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.364571240e-04 lketa = -8.294256640e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.407132755e-01 lpclm = -8.003805848e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.397670217e-03 lpdiblc2 = 1.377612899e-08 wpdiblc2 = 8.673617380e-25 ppdiblc2 = -1.387778781e-29 ++ pdiblcb = -2.494013897e-01 lpdiblcb = 7.564723865e-7 ++ drout = 0.56 ++ pscbe1 = 1.227212561e+09 lpscbe1 = -3.428139670e+3 ++ pscbe2 = -1.711599940e-08 lpscbe2 = 1.808618728e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.429576331e+00 lbeta0 = 2.285911254e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.919420500e-10 lagidl = -1.980560338e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.675517744e+00 legidl = -6.339127153e-6 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.061103840e-01 lkt1 = -2.781041573e-7 ++ kt2 = -4.839276194e-02 lkt2 = -3.181895402e-8 ++ at = -9.120917488e+04 lat = 7.318186388e-1 ++ ute = -1.647219648e-01 lute = 2.604101198e-7 ++ ua1 = 5.703275549e-10 lua1 = 1.004863033e-14 ++ ub1 = 8.239234094e-19 lub1 = -1.235471470e-23 pub1 = 1.232595164e-44 ++ uc1 = -1.164852119e-11 luc1 = 2.316590399e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.66 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085337827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.219949320e-9 ++ k1 = 4.428072554e-01 lk1 = -1.366824095e-8 ++ k2 = 2.193345702e-02 lk2 = 4.708801823e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.622137339e-01 ldsub = -1.215963003e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.337857314e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.232304277e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.881674337e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.788165241e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403185835e-01 letab = 2.829282271e-7 ++ u0 = 8.634054608e-03 lu0 = 3.477308620e-9 ++ ua = -8.215637429e-10 lua = 7.699152898e-16 wua = -1.654361225e-30 ++ ub = 1.011504174e-18 lub = -3.585395030e-25 ++ uc = -7.560382119e-11 luc = 1.164210416e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 53438.0 ++ a0 = 1.175519680e+00 la0 = -3.059355826e-8 ++ ags = 1.623197394e-01 lags = 4.767519570e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.578345336e-03 lketa = -3.812786263e-08 wketa = 6.938893904e-24 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.559724103e-01 lpclm = 2.405100206e-06 wpclm = -1.110223025e-22 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.641230659e-03 lpdiblc2 = -6.497989463e-9 ++ pdiblcb = -9.820554637e-02 lpdiblcb = 1.481328872e-7 ++ drout = 0.56 ++ pscbe1 = -4.676991234e+07 lpscbe1 = 1.697754289e+3 ++ pscbe2 = 4.653609693e-08 lpscbe2 = -7.524360986e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.147359093e+01 lbeta0 = -9.506141085e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.699096204e-10 lagidl = -2.825044171e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.816142056e-01 lkt1 = 2.568697892e-8 ++ kt2 = -6.028632264e-02 lkt2 = 1.603502535e-8 ++ at = 1.022950518e+05 lat = -4.674948746e-2 ++ ute = -1.327375183e-01 lute = 1.317200597e-7 ++ ua1 = 3.323674104e-09 lua1 = -1.029514575e-15 ++ ub1 = -2.842323112e-18 lub1 = 2.396501504e-24 ++ uc1 = 1.818504214e-11 luc1 = -9.687003470e-17 puc1 = 5.169878828e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.67 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101595176e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.067712146e-8 ++ k1 = 3.540326720e-01 lk1 = 1.659689040e-7 ++ k2 = 5.577749960e-02 lk2 = -6.377529522e-08 pk2 = 5.551115123e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.663045674e-01 ldsub = 1.067616350e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.021762939e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.639286090e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.430472285e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.350714714e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = 8.500145032e-23 peta0 = -2.116362641e-28 ++ etab = 8.442995791e-01 letab = -1.709466317e-06 wetab = 3.608224830e-22 petab = 7.546047120e-28 ++ u0 = 1.250342728e-02 lu0 = -4.352444370e-9 ++ ua = 2.633023699e-11 lua = -9.458151364e-16 ++ ub = 5.976931819e-19 lub = 4.788153150e-25 ++ uc = -7.509174596e-11 luc = 1.060590969e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.963848416e+04 lvsat = -1.254680371e-2 ++ a0 = 1.367169856e+00 la0 = -4.184015232e-7 ++ ags = 1.755171746e-01 lags = 4.500466829e-7 ++ a1 = 0.0 ++ a2 = 5.953062352e-01 la2 = 4.142019269e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.079934288e-02 lketa = -2.963762975e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.550220723e-01 lpclm = 3.593326501e-7 ++ pdiblc1 = 7.492555200e-01 lpdiblc1 = -7.269607298e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.025 ++ drout = 1.096512000e-01 ldrout = 9.112898038e-7 ++ pscbe1 = 7.983183467e+08 lpscbe1 = -1.229870506e+1 ++ pscbe2 = 9.530520115e-09 lpscbe2 = -3.620850560e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.862313582e+00 lbeta0 = 1.848390856e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.563433413e-10 lagidl = 7.823789760e-16 pagidl = 8.271806126e-37 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.585366557e-01 lkt1 = -2.101090483e-8 ++ kt2 = -5.625426130e-02 lkt2 = 7.876068592e-9 ++ at = 9.703221664e+04 lat = -3.610003518e-2 ++ ute = 9.359355551e-01 lute = -2.030761278e-06 wute = 4.440892099e-22 pute = 1.110223025e-27 ++ ua1 = 6.136533540e-09 lua1 = -6.721391901e-15 ++ ub1 = -4.270929418e-18 lub1 = 5.287314936e-24 ++ uc1 = -1.285649500e-10 luc1 = 2.000815094e-16 wuc1 = 1.033975766e-31 puc1 = -1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.68 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093024079e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.190443216e-8 ++ k1 = 5.163486096e-01 lk1 = -1.647044061e-10 ++ k2 = -1.189752607e-02 lk2 = 5.491447057e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.315197776e+00 ldsub = -5.510829280e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.991356383e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.751457949e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.798308567e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.119607376e-7 ++ eta0 = -6.270080000e-02 leta0 = 2.893499228e-7 ++ etab = -1.689963224e+00 letab = 8.844023472e-7 ++ u0 = 9.896946880e-03 lu0 = -1.684659551e-9 ++ ua = -5.448939775e-10 lua = -3.611557284e-16 ++ ub = 8.616663893e-19 lub = 2.086334578e-25 ++ uc = -1.013764555e-10 luc = 3.750883558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.049404160e+03 lvsat = 5.468606375e-2 ++ a0 = 1.211654647e+00 la0 = -2.592285959e-7 ++ ags = -4.941595712e-02 lags = 6.802702419e-7 ++ a1 = 0.0 ++ a2 = 1.010355926e+00 la2 = -1.060973294e-8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.145804192e-02 lketa = -2.574464147e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.620957890e+00 lpclm = -8.340259780e-7 ++ pdiblc1 = 6.831681950e-02 lpdiblc1 = -3.000635109e-8 ++ pdiblc2 = 8.382037816e-04 lpdiblc2 = -4.178047345e-10 ++ pdiblcb = -3.072820088e-02 lpdiblcb = 5.862928163e-09 wpdiblcb = 5.551115123e-23 ++ drout = 1.0 ++ pscbe1 = 7.719601783e+08 lpscbe1 = 1.467940745e+1 ++ pscbe2 = 9.018239607e-09 lpscbe2 = 1.622442887e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.533924275e+00 lbeta0 = 1.160983879e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 8.383845113e-10 lagidl = -3.380968758e-16 wagidl = 1.654361225e-30 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.172838726e-01 lkt1 = -6.323395343e-8 ++ kt2 = -6.520102427e-02 lkt2 = 1.703325943e-8 ++ at = 8.968707775e+04 lat = -2.858213862e-2 ++ ute = -1.937735245e+00 lute = 9.104982595e-7 ++ ua1 = -3.568282344e-09 lua1 = 3.211681253e-15 pua1 = 1.654361225e-36 ++ ub1 = 4.385178260e-18 lub1 = -3.572384395e-24 pub1 = 1.540743956e-45 ++ uc1 = 3.415037467e-10 luc1 = -2.810432031e-16 wuc1 = 2.067951531e-31 puc1 = -2.067951531e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.69 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.053548035e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.237933770e-9 ++ k1 = 4.938004126e-01 lk1 = 1.163972768e-8 ++ k2 = -1.078294214e-03 lk2 = -1.726372043e-10 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -3.408496543e-01 ldsub = 3.158910227e-07 pdsub = -2.220446049e-28 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.987844469e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.935313684e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.551517353e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.411608736e-7 ++ eta0 = 0.49 ++ etab = -1.301962000e-03 letab = 3.544031462e-10 ++ u0 = 7.422635235e-03 lu0 = -3.893079183e-10 ++ ua = -1.231304109e-09 lua = -1.806296181e-18 ++ ub = 1.265819661e-18 lub = -2.948863286e-27 ++ uc = -6.230717731e-11 luc = 1.705528707e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.908284387e+04 lvsat = -3.527707439e-4 ++ a0 = 8.514885312e-01 la0 = -7.067443105e-8 ++ ags = 9.462833920e-01 lags = 1.590017186e-7 ++ a1 = 0.0 ++ a2 = 1.198063207e+00 la2 = -1.088782482e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -4.253851648e-02 lketa = 2.523636788e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.403483396e-01 lpclm = 3.498130593e-07 wpclm = -1.110223025e-22 ppclm = 1.387778781e-28 ++ pdiblc1 = -4.242191933e-01 lpdiblc1 = 2.278461023e-07 wpdiblc1 = -3.053113318e-22 ppdiblc1 = -9.020562075e-29 ++ pdiblc2 = -9.974276988e-03 lpdiblc2 = 5.242745198e-09 wpdiblc2 = -4.336808690e-24 ppdiblc2 = 1.355252716e-30 ++ pdiblcb = 1.959588488e-01 lpdiblcb = -1.128122761e-07 wpdiblcb = -1.110223025e-22 ppdiblcb = 6.938893904e-29 ++ drout = 1.559003196e+00 ldrout = -2.926493531e-7 ++ pscbe1 = 8.000316736e+08 lpscbe1 = -1.658177145e-2 ++ pscbe2 = 9.517713802e-09 lpscbe2 = -9.924044155e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.527171537e+00 lbeta0 = 1.174790728e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.938487343e-10 lagidl = -5.302150581e-17 ++ bgidl = 7.151366880e+08 lbgidl = 1.491316411e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.606784192e-01 lkt1 = 1.183595963e-8 ++ kt2 = -1.043485456e-02 lkt2 = -1.163792574e-8 ++ at = -5.353157337e+03 lat = 2.117332525e-2 ++ ute = 5.296362567e-01 lute = -3.812200691e-7 ++ ua1 = 5.056715784e-09 lua1 = -1.303677767e-15 ++ ub1 = -4.916272944e-18 lub1 = 1.297111340e-24 ++ uc1 = -2.956344010e-10 luc1 = 5.251136002e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.70 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-6.984878261e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.587813460e-08 wvth0 = -3.530962677e-07 pvth0 = 9.657889114e-14 ++ k1 = -4.134836916e+00 lk1 = 1.277664610e-06 wk1 = 3.838778706e-06 pk1 = -1.049982752e-12 ++ k2 = 2.031590043e+00 lk2 = -5.561480807e-07 wk2 = -1.776285437e-06 pk2 = 4.858495928e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.681819276e+01 ldsub = -4.377450258e-06 wdsub = -1.822025683e-05 pdsub = 4.983604649e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {4.674839969e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.871730584e-07 wvoff = -5.828217555e-07 pvoff = 1.594134066e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.384008293e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.044085848e-06 wnfactor = 6.334004254e-06 pnfactor = -1.732476844e-12 ++ eta0 = 7.035815116e+00 leta0 = -1.790411351e-06 weta0 = -6.945255682e-06 peta0 = 1.899666334e-12 ++ etab = 6.871388140e-01 letab = -1.879479179e-07 wetab = -7.567336189e-07 petab = 2.069817795e-13 ++ u0 = -6.009393134e-03 lu0 = 3.284620481e-09 wu0 = 2.458700326e-08 pu0 = -6.725037131e-15 ++ ua = -5.894820924e-09 lua = 1.273758823e-15 wua = 9.070369742e-15 pua = -2.480927532e-21 ++ ub = 6.179859204e-18 lub = -1.347036959e-24 wub = -8.310432082e-24 pub = 2.273069383e-30 ++ uc = 1.985696149e-10 luc = -5.429973314e-17 wuc = -1.869987699e-16 puc = 5.114790355e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.049528635e+06 lvsat = -5.338387037e-01 wvsat = -2.344061851e+00 pvsat = 6.411477975e-7 ++ a0 = 6.272934813e+00 la0 = -1.553548418e-06 wa0 = -7.287613996e-06 pa0 = 1.993308180e-12 ++ ags = 2.334702171e+00 lags = -2.207585859e-7 ++ a1 = 0.0 ++ a2 = -6.312122885e-01 la2 = 3.914651851e-07 wa2 = 2.314096929e-06 pa2 = -6.329517919e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.907644317e-02 lketa = 9.782290495e-09 wketa = 9.569766188e-08 pketa = -2.617522448e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -4.822239554e-01 lpclm = 3.065628778e-07 wpclm = 1.090064501e-06 ppclm = -2.981544424e-13 ++ pdiblc1 = 7.971008132e-01 lpdiblc1 = -1.062093458e-07 wpdiblc1 = -3.940106377e-07 ppdiblc1 = 1.077697896e-13 ++ pdiblc2 = 6.066557585e-02 lpdiblc2 = -1.407866735e-08 wpdiblc2 = -5.906964723e-08 ppdiblc2 = 1.615672991e-14 ++ pdiblcb = 1.897581226e+00 lpdiblcb = -5.782400286e-07 wpdiblcb = -2.593412599e-06 ppdiblcb = 7.093502139e-13 ++ drout = -3.167474748e+00 ldrout = 1.000136894e-06 wdrout = 4.025238972e-06 pdrout = -1.100983364e-12 ++ pscbe1 = 7.998868799e+08 lpscbe1 = 2.302219403e-2 ++ pscbe2 = -4.994530916e-08 lpscbe2 = 1.616508560e-14 wpscbe2 = 7.308383597e-14 ppscbe2 = -1.998989081e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 2.927294729e+01 lbeta0 = -5.556905511e-06 wbeta0 = -2.333304593e-05 pbeta0 = 6.382054724e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -3.694845193e-08 lagidl = 1.013349257e-14 wagidl = 4.040124685e-14 pagidl = -1.105054904e-20 ++ bgidl = 2.017368972e+09 lbgidl = -2.070549331e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.017567851e-01 lkt1 = -5.898428572e-08 wkt1 = -1.703310505e-07 pkt1 = 4.658894894e-14 ++ kt2 = 1.792894955e-01 lkt2 = -6.353132997e-08 wkt2 = -2.877459213e-07 pkt2 = 7.870426440e-14 ++ at = 6.977915000e+05 lat = -1.711508014e-01 wat = -6.222761045e-01 pat = 1.702049601e-7 ++ ute = -1.849465996e+00 lute = 2.695119790e-07 wute = -8.885603135e-07 pute = 2.430390169e-13 ++ ua1 = -5.513586527e-09 lua1 = 1.587511321e-15 wua1 = 6.698552446e-15 pua1 = -1.832188065e-21 ++ ub1 = 1.139923162e-17 lub1 = -3.165505469e-24 wub1 = -1.448182980e-23 pub1 = 3.961070087e-30 ++ uc1 = 1.415567104e-10 luc1 = -6.706915277e-17 wuc1 = -4.893611081e-16 puc1 = 1.338500503e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.71 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.12e-06 wmax = 1.26e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-2.108424518e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.070324162e-07 wvth0 = 1.286798776e-06 pvth0 = -2.569835413e-13 ++ k1 = 8.834020042e+00 lk1 = -1.475504562e-06 wk1 = -9.625715118e-06 pk1 = 1.831502499e-12 ++ k2 = -4.002296001e+00 lk2 = 7.279894905e-07 wk2 = 4.708684266e-06 pk2 = -9.036329708e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -3.953171858e+01 ldsub = 7.683184320e-06 wdsub = 4.951421662e-05 pdsub = -9.536921568e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.855802018e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.095234315e-07 wvoff = 1.942717684e-06 pvoff = -3.842027689e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.433896727e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.541132363e-06 wnfactor = -2.773987053e-05 pnfactor = 5.636780451e-12 ++ eta0 = -1.204350685e+01 leta0 = 2.267407894e-06 weta0 = 1.515167501e-05 peta0 = -2.814469932e-12 ++ etab = -8.140433274e-01 letab = 1.273935020e-07 wetab = 9.773536676e-07 petab = -1.581299870e-13 ++ u0 = 6.798798043e-02 lu0 = -1.275891640e-08 wu0 = -8.027452548e-08 pu0 = 1.583728568e-14 ++ ua = 2.271061593e-08 lua = -4.927915198e-15 wua = -3.085817302e-14 pua = 6.116883154e-21 ++ ub = -2.153527282e-17 lub = 4.652186127e-24 wub = 2.904476001e-23 pub = -5.774628378e-30 ++ uc = 4.236636355e-10 luc = -1.084731164e-16 wuc = -5.462603366e-16 puc = 1.346446422e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -4.571462846e+06 lvsat = 8.816308580e-01 wvsat = 5.768791817e+00 pvsat = -1.094343698e-6 ++ a0 = -1.299502796e+00 la0 = -1.344218042e-08 wa0 = 2.430885730e-06 pa0 = 1.668540217e-14 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 1.313083672e+01 la2 = -2.610642825e-06 wa2 = -1.549081880e-05 pa2 = 3.240517840e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 2.495586571e+00 lketa = -5.549600838e-07 wketa = -3.156696909e-06 pketa = 6.888564132e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -2.043510685e+00 lpclm = 6.764649860e-07 wpclm = 3.432789881e-06 ppclm = -8.396770461e-13 ++ pdiblc1 = -1.197285950e+01 lpdiblc1 = 2.700950650e-06 wpdiblc1 = 1.533874014e-05 ppdiblc1 = -3.352614415e-12 ++ pdiblc2 = -3.633859218e-01 lpdiblc2 = 7.825717961e-08 wpdiblc2 = 4.608140158e-07 ppdiblc2 = -9.713844585e-14 ++ pdiblcb = -1.112727668e+01 lpdiblcb = 2.245701320e-06 wpdiblcb = 1.353269078e-05 ppdiblcb = -2.787526168e-12 ++ drout = 2.724583443e+01 ldrout = -5.623064737e-06 wdrout = -3.303580392e-05 pdrout = 6.979752813e-12 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.134508762e-07 lpscbe2 = -1.851677362e-14 wpscbe2 = -1.293649057e-13 ppscbe2 = 2.298435263e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -4.932709054e+01 lbeta0 = 1.131180721e-05 wbeta0 = 7.169768377e-05 pbeta0 = -1.404102956e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.841433515e-08 lagidl = -3.433859876e-15 wagidl = -3.322452009e-14 pagidl = 4.262354116e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.984843548e+00 lkt1 = 3.072430572e-07 wkt1 = 1.788007069e-06 pkt1 = -3.813722040e-13 ++ kt2 = -2.793217387e+00 lkt2 = 5.866591238e-07 wkt2 = 3.401180098e-06 pkt2 = -7.282035440e-13 ++ at = -1.588233631e+06 lat = 3.186672556e-01 wat = 2.007757002e+00 pat = -3.955527417e-7 ++ ute = 1.159905489e+01 lute = -2.673643544e-06 wute = -1.474389694e-05 pute = 3.318718869e-12 ++ ua1 = 2.971089011e-08 lua1 = -6.047585647e-15 wua1 = -3.634484151e-14 pua1 = 7.506698731e-21 ++ ub1 = -4.616634170e-17 lub1 = 9.264440486e-24 wub1 = 5.712903699e-23 pub1 = -1.149969057e-29 ++ uc1 = 4.721291063e-10 luc1 = -1.455692554e-16 wuc1 = -6.510721214e-16 puc1 = 1.806910408e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.72 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.135855535e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.545779654e-7 ++ k1 = 4.276854265e-01 lk1 = 3.971117239e-7 ++ k2 = 2.848051751e-02 lk2 = -1.500844421e-7 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -2.097023076e-06 lcit = 2.422249835e-10 wcit = 8.470329473e-28 pcit = -1.490777987e-31 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.351451054e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.628473774e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.892456558e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.556901933e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 9.728491904e-03 lu0 = -1.421253238e-8 ++ ua = -7.528013241e-10 lua = 5.223392304e-16 ++ ub = 9.417519267e-19 lub = 8.714072373e-25 ++ uc = -1.141444728e-10 luc = 8.483477603e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.009393472e+04 lvsat = 2.006678387e+0 ++ a0 = 1.516221841e+00 la0 = -5.223412332e-6 ++ ags = 8.080809615e-02 lags = 3.248954359e-6 ++ a1 = 0.0 ++ a2 = 1.012549980e+00 la2 = -4.255998776e-6 ++ b0 = -1.095507603e-07 lb0 = 2.193591839e-12 ++ b1 = -8.456488498e-09 lb1 = 1.693286666e-13 ++ keta = 2.967654180e-02 lketa = -6.316768153e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.652677694e-02 lpclm = 3.153419204e-06 ppclm = 4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.516905606e-03 lpdiblc2 = -2.398031945e-8 ++ pdiblcb = -2.424906624e-01 lpdiblcb = 1.749477616e-6 ++ drout = 0.56 ++ pscbe1 = 8.000122018e+08 lpscbe1 = -1.220470237e+0 ++ pscbe2 = 1.122077829e-08 lpscbe2 = -1.160426156e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.502940000e-11 lalpha0 = 2.503528691e-15 ++ alpha1 = -2.502940000e-11 lalpha1 = 2.503528691e-15 ++ beta0 = 3.593732677e+01 lbeta0 = -5.938723229e-04 pbeta0 = 9.094947018e-25 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.264475134e-09 lagidl = -3.643033985e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -9.659333470e-02 legidl = 1.966395734e-05 pegidl = -3.552713679e-27 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.376188837e-01 lkt1 = -6.312647596e-8 ++ kt2 = -6.009470113e-02 lkt2 = 1.549065388e-7 ++ at = 8.875837106e+04 lat = -1.777255018e+0 ++ ute = -7.064397988e-02 lute = -1.233892155e-6 ++ ua1 = 2.157147563e-09 lua1 = -6.696330892e-15 ++ ub1 = -1.357303605e-18 lub1 = 1.284338058e-23 ++ uc1 = -3.505211423e-11 luc1 = 5.264352112e-16 puc1 = 8.271806126e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.73 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.0881827+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.44751769 ++ k2 = 0.02098511 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.21202992+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8147029+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0090187 ++ ua = -7.2671504e-10 ++ ub = 9.8527111e-19 ++ uc = -7.1776909e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160310.0 ++ a0 = 1.255358 ++ ags = 0.243065 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.0018702 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.14095898 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00031929802 ++ pdiblcb = -0.15511953 ++ drout = 0.56 ++ pscbe1 = 799951250.0 ++ pscbe2 = 5.4254628e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.2785893 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.4509773e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.88544965 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.4407715 ++ kt2 = -0.052358472 ++ at = 0.0 ++ ute = -0.13226612 ++ ua1 = 1.8227243e-9 ++ ub1 = -7.1588888e-19 ++ uc1 = -8.7612717e-12 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.74 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090489314e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.850716039e-8 ++ k1 = 4.556728822e-01 lk1 = -6.543334786e-8 ++ k2 = 1.885398624e-02 lk2 = 1.709911408e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.057269452e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.057204472e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.150233085e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.692133146e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 8.536279952e-03 lu0 = 3.870706904e-9 ++ ua = -8.237874492e-10 lua = 7.788624164e-16 ++ ub = 1.048518672e-18 lub = -5.074680762e-25 ++ uc = -7.083802061e-11 luc = -7.533189791e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.678104074e+05 lvsat = -8.625316685e-01 wvsat = -4.656612873e-16 ++ a0 = 1.343314159e+00 la0 = -7.057180005e-7 ++ ags = 2.050970535e-01 lags = 3.046365779e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.364571240e-04 lketa = -8.294256640e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.407132755e-01 lpclm = -8.003805848e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.397670217e-03 lpdiblc2 = 1.377612899e-08 wpdiblc2 = -8.673617380e-25 ppdiblc2 = -3.469446952e-30 ++ pdiblcb = -2.494013897e-01 lpdiblcb = 7.564723865e-7 ++ drout = 0.56 ++ pscbe1 = 1.227212561e+09 lpscbe1 = -3.428139670e+3 ++ pscbe2 = -1.711599940e-08 lpscbe2 = 1.808618728e-13 wpscbe2 = -1.323488980e-29 ppscbe2 = -1.058791184e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.429576331e+00 lbeta0 = 2.285911254e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.919420500e-10 lagidl = -1.980560338e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.675517744e+00 legidl = -6.339127153e-6 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.061103840e-01 lkt1 = -2.781041573e-7 ++ kt2 = -4.839276194e-02 lkt2 = -3.181895402e-8 ++ at = -9.120917488e+04 lat = 7.318186388e-1 ++ ute = -1.647219648e-01 lute = 2.604101198e-7 ++ ua1 = 5.703275549e-10 lua1 = 1.004863033e-14 ++ ub1 = 8.239234094e-19 lub1 = -1.235471470e-23 pub1 = 6.162975822e-45 ++ uc1 = -1.164852119e-11 luc1 = 2.316590399e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.75 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085337827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.219949320e-9 ++ k1 = 4.428072554e-01 lk1 = -1.366824095e-8 ++ k2 = 2.193345702e-02 lk2 = 4.708801823e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.622137339e-01 ldsub = -1.215963003e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.337857314e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.232304277e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.881674337e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.788165241e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403185835e-01 letab = 2.829282271e-7 ++ u0 = 8.634054608e-03 lu0 = 3.477308620e-9 ++ ua = -8.215637429e-10 lua = 7.699152898e-16 ++ ub = 1.011504174e-18 lub = -3.585395030e-25 ++ uc = -7.560382119e-11 luc = 1.164210416e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 53438.0 ++ a0 = 1.175519680e+00 la0 = -3.059355826e-8 ++ ags = 1.623197394e-01 lags = 4.767519570e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.578345336e-03 lketa = -3.812786263e-08 pketa = -1.387778781e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.559724103e-01 lpclm = 2.405100206e-06 ppclm = -1.110223025e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.641230659e-03 lpdiblc2 = -6.497989463e-9 ++ pdiblcb = -9.820554637e-02 lpdiblcb = 1.481328872e-7 ++ drout = 0.56 ++ pscbe1 = -4.676991234e+07 lpscbe1 = 1.697754289e+3 ++ pscbe2 = 4.653609693e-08 lpscbe2 = -7.524360986e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.147359093e+01 lbeta0 = -9.506141085e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.699096204e-10 lagidl = -2.825044171e-16 wagidl = 4.135903063e-31 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.816142056e-01 lkt1 = 2.568697892e-8 ++ kt2 = -6.028632264e-02 lkt2 = 1.603502535e-8 ++ at = 1.022950518e+05 lat = -4.674948746e-2 ++ ute = -1.327375183e-01 lute = 1.317200597e-7 ++ ua1 = 3.323674104e-09 lua1 = -1.029514575e-15 ++ ub1 = -2.842323112e-18 lub1 = 2.396501504e-24 ++ uc1 = 1.818504214e-11 luc1 = -9.687003470e-17 puc1 = -5.169878828e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.76 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101595176e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.067712146e-8 ++ k1 = 3.540326720e-01 lk1 = 1.659689040e-7 ++ k2 = 5.577749960e-02 lk2 = -6.377529522e-08 pk2 = -5.551115123e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.663045674e-01 ldsub = 1.067616350e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.021762939e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.639286090e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.430472285e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.350714714e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = -2.046973702e-22 peta0 = 2.237793284e-28 ++ etab = 8.442995791e-01 letab = -1.709466317e-06 wetab = 1.908195824e-23 petab = -7.459310947e-28 ++ u0 = 1.250342728e-02 lu0 = -4.352444370e-9 ++ ua = 2.633023699e-11 lua = -9.458151364e-16 ++ ub = 5.976931819e-19 lub = 4.788153150e-25 ++ uc = -7.509174596e-11 luc = 1.060590969e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.963848416e+04 lvsat = -1.254680371e-02 wvsat = 1.164153218e-16 ++ a0 = 1.367169856e+00 la0 = -4.184015232e-7 ++ ags = 1.755171746e-01 lags = 4.500466829e-7 ++ a1 = 0.0 ++ a2 = 5.953062352e-01 la2 = 4.142019269e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.079934288e-02 lketa = -2.963762975e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.550220723e-01 lpclm = 3.593326501e-7 ++ pdiblc1 = 7.492555200e-01 lpdiblc1 = -7.269607298e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.025 ++ drout = 1.096512000e-01 ldrout = 9.112898038e-7 ++ pscbe1 = 7.983183467e+08 lpscbe1 = -1.229870506e+1 ++ pscbe2 = 9.530520115e-09 lpscbe2 = -3.620850560e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.862313582e+00 lbeta0 = 1.848390856e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.563433413e-10 lagidl = 7.823789760e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.585366557e-01 lkt1 = -2.101090483e-8 ++ kt2 = -5.625426130e-02 lkt2 = 7.876068592e-9 ++ at = 9.703221664e+04 lat = -3.610003518e-2 ++ ute = 9.359355551e-01 lute = -2.030761278e-06 wute = -4.440892099e-22 pute = 1.110223025e-27 ++ ua1 = 6.136533540e-09 lua1 = -6.721391901e-15 ++ ub1 = -4.270929418e-18 lub1 = 5.287314936e-24 ++ uc1 = -1.285649500e-10 luc1 = 2.000815094e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.77 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093024079e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.190443216e-8 ++ k1 = 5.163486096e-01 lk1 = -1.647044061e-10 ++ k2 = -1.189752607e-02 lk2 = 5.491447057e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.315197776e+00 ldsub = -5.510829280e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.991356383e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.751457949e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.798308567e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.119607376e-7 ++ eta0 = -6.270080000e-02 leta0 = 2.893499228e-7 ++ etab = -1.689963224e+00 letab = 8.844023472e-7 ++ u0 = 9.896946880e-03 lu0 = -1.684659551e-9 ++ ua = -5.448939775e-10 lua = -3.611557284e-16 ++ ub = 8.616663893e-19 lub = 2.086334578e-25 ++ uc = -1.013764555e-10 luc = 3.750883558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.049404160e+03 lvsat = 5.468606375e-2 ++ a0 = 1.211654647e+00 la0 = -2.592285959e-7 ++ ags = -4.941595712e-02 lags = 6.802702419e-7 ++ a1 = 0.0 ++ a2 = 1.010355926e+00 la2 = -1.060973294e-8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.145804192e-02 lketa = -2.574464147e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.620957890e+00 lpclm = -8.340259780e-7 ++ pdiblc1 = 6.831681950e-02 lpdiblc1 = -3.000635109e-08 ppdiblc1 = -5.551115123e-29 ++ pdiblc2 = 8.382037816e-04 lpdiblc2 = -4.178047345e-10 ++ pdiblcb = -3.072820088e-02 lpdiblcb = 5.862928163e-9 ++ drout = 1.0 ++ pscbe1 = 7.719601783e+08 lpscbe1 = 1.467940745e+1 ++ pscbe2 = 9.018239607e-09 lpscbe2 = 1.622442887e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.533924275e+00 lbeta0 = 1.160983879e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 8.383845113e-10 lagidl = -3.380968758e-16 wagidl = 1.654361225e-30 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.172838726e-01 lkt1 = -6.323395343e-8 ++ kt2 = -6.520102427e-02 lkt2 = 1.703325943e-8 ++ at = 8.968707775e+04 lat = -2.858213862e-2 ++ ute = -1.937735245e+00 lute = 9.104982595e-7 ++ ua1 = -3.568282344e-09 lua1 = 3.211681253e-15 pua1 = -1.654361225e-36 ++ ub1 = 4.385178260e-18 lub1 = -3.572384395e-24 wub1 = 3.081487911e-39 pub1 = 3.081487911e-45 ++ uc1 = 3.415037467e-10 luc1 = -2.810432031e-16 wuc1 = 2.067951531e-31 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.78 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.053548035e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.237933770e-9 ++ k1 = 4.938004126e-01 lk1 = 1.163972768e-8 ++ k2 = -1.078294214e-03 lk2 = -1.726372043e-10 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -3.408496543e-01 ldsub = 3.158910227e-07 pdsub = 2.220446049e-28 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.987844469e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.935313684e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.551517353e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.411608736e-7 ++ eta0 = 0.49 ++ etab = -1.301962000e-03 letab = 3.544031462e-10 ++ u0 = 7.422635235e-03 lu0 = -3.893079183e-10 ++ ua = -1.231304109e-09 lua = -1.806296181e-18 ++ ub = 1.265819661e-18 lub = -2.948863286e-27 ++ uc = -6.230717731e-11 luc = 1.705528707e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.908284387e+04 lvsat = -3.527707439e-4 ++ a0 = 8.514885312e-01 la0 = -7.067443105e-8 ++ ags = 9.462833920e-01 lags = 1.590017186e-7 ++ a1 = 0.0 ++ a2 = 1.198063207e+00 la2 = -1.088782482e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -4.253851648e-02 lketa = 2.523636788e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.403483396e-01 lpclm = 3.498130593e-07 wpclm = -2.220446049e-22 ppclm = 1.942890293e-28 ++ pdiblc1 = -4.242191933e-01 lpdiblc1 = 2.278461023e-07 wpdiblc1 = 2.220446049e-22 ppdiblc1 = -3.469446952e-29 ++ pdiblc2 = -9.974276988e-03 lpdiblc2 = 5.242745198e-09 wpdiblc2 = -5.312590645e-24 ppdiblc2 = 2.168404345e-30 ++ pdiblcb = 1.959588488e-01 lpdiblcb = -1.128122761e-07 ppdiblcb = -1.110223025e-28 ++ drout = 1.559003196e+00 ldrout = -2.926493531e-7 ++ pscbe1 = 8.000316736e+08 lpscbe1 = -1.658177145e-2 ++ pscbe2 = 9.517713802e-09 lpscbe2 = -9.924044155e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.527171537e+00 lbeta0 = 1.174790728e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.938487343e-10 lagidl = -5.302150581e-17 ++ bgidl = 7.151366880e+08 lbgidl = 1.491316411e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.606784192e-01 lkt1 = 1.183595963e-8 ++ kt2 = -1.043485456e-02 lkt2 = -1.163792574e-8 ++ at = -5.353157337e+03 lat = 2.117332525e-2 ++ ute = 5.296362567e-01 lute = -3.812200691e-07 wute = 4.440892099e-22 ++ ua1 = 5.056715784e-09 lua1 = -1.303677767e-15 ++ ub1 = -4.916272944e-18 lub1 = 1.297111340e-24 ++ uc1 = -2.956344010e-10 luc1 = 5.251136002e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.79 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.019113673e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -8.180552945e-9 ++ k1 = -6.490689072e-01 lk1 = 3.242373440e-7 ++ k2 = 4.186502447e-01 lk2 = -1.149767872e-07 wk2 = -9.020562075e-23 pk2 = 6.765421556e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.734546461e-01 ldsub = 1.478665104e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-6.174198497e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.241916786e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.367526527e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.290739555e-7 ++ eta0 = 7.292390102e-01 leta0 = -6.543665407e-8 ++ etab = -6.25e-6 ++ u0 = 1.631661103e-02 lu0 = -2.821988177e-9 ++ ua = 2.341445631e-09 lua = -9.790248052e-16 ++ ub = -1.366352887e-18 lub = 7.170029723e-25 ++ uc = 2.876708666e-11 luc = -7.855345608e-18 wuc = 1.494418099e-32 puc = 3.029225876e-40 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -7.897535897e+04 lvsat = 4.834970890e-2 ++ a0 = -3.445166400e-01 la0 = 2.564569034e-7 ++ ags = 2.334702171e+00 lags = -2.207585859e-7 ++ a1 = 0.0 ++ a2 = 1.470082331e+00 la2 = -1.832809193e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.782094629e-02 lketa = -1.398588347e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.075991775e-01 lpclm = 3.582645444e-8 ++ pdiblc1 = 4.393230456e-01 lpdiblc1 = -8.349970846e-9 ++ pdiblc2 = 7.027921183e-03 lpdiblc2 = 5.923039542e-10 ++ pdiblcb = -4.573434420e-01 lpdiblcb = 6.587896648e-08 wpdiblcb = 8.881784197e-22 ++ drout = 4.876068049e-01 ldrout = 3.989877440e-10 ++ pscbe1 = 7.998868799e+08 lpscbe1 = 2.302219403e-2 ++ pscbe2 = 1.641780183e-08 lpscbe2 = -1.986552518e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.085587642e+00 lbeta0 = 2.382610996e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.624680443e-10 lagidl = 9.914225946e-17 ++ bgidl = 2.017368972e+09 lbgidl = -2.070549331e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.564243428e-01 lkt1 = -1.667961536e-8 ++ kt2 = -8.199556515e-02 lkt2 = 7.935359819e-9 ++ at = 1.327393562e+05 lat = -1.659773905e-2 ++ ute = -2.656315088e+00 lute = 4.902013427e-7 ++ ua1 = 5.689729556e-10 lua1 = -7.619034873e-17 ++ ub1 = -1.750861908e-18 lub1 = 4.313081131e-25 pub1 = 1.925929944e-46 ++ uc1 = -3.028031827e-10 luc1 = 5.447216517e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.80 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 1.0e-06 wmax = 1.12e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.399595377e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.631894343e-08 wvth0 = 1.010315145e-12 pvth0 = -2.227946965e-19 ++ k1 = 9.347669910e-02 lk1 = 1.875746915e-07 wk1 = 5.301943204e-13 pk1 = -1.169184518e-19 ++ k2 = 2.733816934e-01 lk2 = -9.254616282e-08 wk2 = 1.398770824e-13 pk2 = -3.084569400e-20 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 5.429215060e+00 ldsub = -9.767305050e-07 wdsub = -6.886389059e-13 pdsub = 1.518586519e-19 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-9.173588780e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -3.934818016e-08 wvoff = 1.049108551e-12 pvoff = -2.313494178e-19 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-8.499991489e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.773013255e-07 wnfactor = 3.289731001e-11 pnfactor = -7.254514806e-18 ++ eta0 = 1.714843079e+00 leta0 = -2.882479788e-07 weta0 = -1.053348785e-11 peta0 = 2.322844741e-18 ++ etab = 7.343266554e-02 letab = -1.619474965e-08 wetab = 1.205938537e-12 petab = -2.659335662e-19 ++ u0 = -4.904586878e-03 lu0 = 1.621990068e-09 wu0 = 1.790939205e-14 pu0 = -3.949379143e-21 ++ ua = -5.309870781e-09 lua = 6.264656711e-16 wua = 4.420573260e-21 pua = -9.748248146e-28 ++ ub = 4.838586734e-18 lub = -5.914191449e-25 wub = -3.304376911e-29 pub = 7.286811969e-36 ++ uc = -7.236340326e-11 luc = 1.378979400e-17 wuc = 3.524264629e-22 puc = -7.771708358e-29 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.668369493e+05 lvsat = -1.120781762e-01 wvsat = -1.075401749e-06 pvsat = 2.371475939e-13 ++ a0 = 9.078297385e-01 la0 = 1.711292876e-09 wa0 = 1.221508191e-11 pa0 = -2.693669861e-18 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = -9.354572783e-01 la2 = 3.318792433e-07 wa2 = -3.071957693e-12 pa2 = 6.774281101e-19 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.708236724e-01 lketa = 7.054978877e-08 wketa = 4.318858799e-13 pketa = -9.523947420e-20 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.073601416e+00 lpclm = -8.599577991e-08 wpclm = 1.603066288e-12 ppclm = -3.535081756e-19 ++ pdiblc1 = 1.955357505e+00 lpdiblc1 = -3.433633618e-07 wpdiblc1 = -1.526007091e-11 ppdiblc1 = 3.365150837e-18 ++ pdiblc2 = 5.505184156e-02 lpdiblc2 = -9.948455892e-09 wpdiblc2 = 2.232371950e-13 ppdiblc2 = -4.922826624e-20 ++ pdiblcb = 1.160916731e+00 lpdiblcb = -2.854769051e-07 wpdiblcb = 4.744476804e-11 ppdiblcb = -1.046252025e-17 ++ drout = -2.752067647e+00 ldrout = 7.148453254e-07 wdrout = 4.570065610e-11 pdrout = -1.007790868e-17 ++ pscbe1 = 800000000.0 ++ pscbe2 = -4.017537105e-09 lpscbe2 = 2.353911941e-15 wpscbe2 = -2.312525422e-19 ppscbe2 = 5.099581060e-26 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.577731231e+01 lbeta0 = -1.438016104e-06 wbeta0 = 2.784057813e-11 pbeta0 = -6.139404277e-18 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.755241244e-09 lagidl = 4.366099460e-16 wagidl = 3.896509378e-19 pagidl = -8.592582480e-26 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.612610298e-01 lkt1 = -3.905827531e-08 wkt1 = 1.102079203e-12 pkt1 = -2.430305059e-19 ++ kt2 = 2.951914855e-01 lkt2 = -7.457908898e-08 wkt2 = 1.881265177e-12 pkt2 = -4.148565971e-19 ++ at = 2.348924372e+05 lat = -4.051094353e-02 wat = -6.893663919e-07 pat = 1.520190769e-13 ++ ute = -1.789002428e+00 lute = 3.398880113e-07 wute = -4.290497671e-12 pute = 9.461405459e-19 ++ ua1 = -3.291682485e-09 lua1 = 7.687972189e-16 wua1 = -3.237671200e-20 pua1 = 7.139712529e-27 ++ ub1 = 5.709125795e-18 lub1 = -1.177741263e-24 wub1 = 3.714888582e-29 pub1 = -8.192072300e-36 ++ uc1 = -1.190733596e-10 luc1 = 1.850611769e-17 wuc1 = 2.600610619e-21 puc1 = -5.734866538e-28 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.81 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.135855535e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.545779654e-7 ++ k1 = 4.276854265e-01 lk1 = 3.971117239e-7 ++ k2 = 2.848051751e-02 lk2 = -1.500844421e-7 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -2.097023076e-06 lcit = 2.422249835e-10 wcit = -2.541098842e-27 pcit = -8.131516294e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.351451054e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.628473774e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.892456558e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.556901933e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 9.728491904e-03 lu0 = -1.421253238e-8 ++ ua = -7.528013241e-10 lua = 5.223392304e-16 ++ ub = 9.417519267e-19 lub = 8.714072373e-25 ++ uc = -1.141444728e-10 luc = 8.483477603e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.009393472e+04 lvsat = 2.006678387e+0 ++ a0 = 1.516221841e+00 la0 = -5.223412332e-6 ++ ags = 8.080809615e-02 lags = 3.248954359e-6 ++ a1 = 0.0 ++ a2 = 1.012549980e+00 la2 = -4.255998776e-6 ++ b0 = -1.095507603e-07 lb0 = 2.193591839e-12 ++ b1 = -8.456488498e-09 lb1 = 1.693286666e-13 ++ keta = 2.967654180e-02 lketa = -6.316768153e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.652677694e-02 lpclm = 3.153419204e-06 ppclm = 1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.516905606e-03 lpdiblc2 = -2.398031945e-8 ++ pdiblcb = -2.424906624e-01 lpdiblcb = 1.749477616e-6 ++ drout = 0.56 ++ pscbe1 = 8.000122018e+08 lpscbe1 = -1.220470237e+0 ++ pscbe2 = 1.122077829e-08 lpscbe2 = -1.160426156e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.502940000e-11 lalpha0 = 2.503528691e-15 ++ alpha1 = -2.502940000e-11 lalpha1 = 2.503528691e-15 ++ beta0 = 3.593732677e+01 lbeta0 = -5.938723229e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.264475134e-09 lagidl = -3.643033985e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -9.659333470e-02 legidl = 1.966395734e-05 pegidl = 1.421085472e-26 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.376188837e-01 lkt1 = -6.312647596e-8 ++ kt2 = -6.009470113e-02 lkt2 = 1.549065388e-7 ++ at = 8.875837106e+04 lat = -1.777255018e+0 ++ ute = -7.064397988e-02 lute = -1.233892155e-06 wute = -2.220446049e-22 ++ ua1 = 2.157147563e-09 lua1 = -6.696330892e-15 ++ ub1 = -1.357303605e-18 lub1 = 1.284338058e-23 ++ uc1 = -3.505211423e-11 luc1 = 5.264352112e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.82 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.0881827+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.44751769 ++ k2 = 0.02098511 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.21202992+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8147029+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0090187 ++ ua = -7.2671504e-10 ++ ub = 9.8527111e-19 ++ uc = -7.1776909e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 160310.0 ++ a0 = 1.255358 ++ ags = 0.243065 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.0018702 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.14095898 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00031929802 ++ pdiblcb = -0.15511953 ++ drout = 0.56 ++ pscbe1 = 799951250.0 ++ pscbe2 = 5.4254628e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.2785893 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.4509773e-10 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.88544965 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.4407715 ++ kt2 = -0.052358472 ++ at = 0.0 ++ ute = -0.13226612 ++ ua1 = 1.8227243e-9 ++ ub1 = -7.1588888e-19 ++ uc1 = -8.7612717e-12 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.83 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090489314e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.850716039e-8 ++ k1 = 4.556728822e-01 lk1 = -6.543334786e-8 ++ k2 = 1.885398624e-02 lk2 = 1.709911408e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.057269452e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.057204472e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.150233085e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.692133146e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 8.536279952e-03 lu0 = 3.870706904e-9 ++ ua = -8.237874492e-10 lua = 7.788624164e-16 ++ ub = 1.048518672e-18 lub = -5.074680762e-25 ++ uc = -7.083802061e-11 luc = -7.533189791e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.678104074e+05 lvsat = -8.625316685e-1 ++ a0 = 1.343314159e+00 la0 = -7.057180005e-7 ++ ags = 2.050970535e-01 lags = 3.046365779e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.364571240e-04 lketa = -8.294256640e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.407132755e-01 lpclm = -8.003805848e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.397670217e-03 lpdiblc2 = 1.377612899e-8 ++ pdiblcb = -2.494013897e-01 lpdiblcb = 7.564723865e-7 ++ drout = 0.56 ++ pscbe1 = 1.227212561e+09 lpscbe1 = -3.428139670e+03 wpscbe1 = -3.814697266e-12 ++ pscbe2 = -1.711599940e-08 lpscbe2 = 1.808618728e-13 wpscbe2 = 2.646977960e-29 ppscbe2 = -1.058791184e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.429576331e+00 lbeta0 = 2.285911254e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.919420500e-10 lagidl = -1.980560338e-15 wagidl = 1.654361225e-30 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.675517744e+00 legidl = -6.339127153e-06 pegidl = -1.421085472e-26 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.061103840e-01 lkt1 = -2.781041573e-7 ++ kt2 = -4.839276194e-02 lkt2 = -3.181895402e-8 ++ at = -9.120917488e+04 lat = 7.318186388e-1 ++ ute = -1.647219648e-01 lute = 2.604101198e-7 ++ ua1 = 5.703275549e-10 lua1 = 1.004863033e-14 ++ ub1 = 8.239234094e-19 lub1 = -1.235471470e-23 pub1 = 1.232595164e-44 ++ uc1 = -1.164852119e-11 luc1 = 2.316590399e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.84 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085337827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.219949320e-9 ++ k1 = 4.428072554e-01 lk1 = -1.366824095e-8 ++ k2 = 2.193345702e-02 lk2 = 4.708801823e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.622137339e-01 ldsub = -1.215963003e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.337857314e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.232304277e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.881674337e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.788165241e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403185835e-01 letab = 2.829282271e-7 ++ u0 = 8.634054608e-03 lu0 = 3.477308620e-9 ++ ua = -8.215637429e-10 lua = 7.699152898e-16 ++ ub = 1.011504174e-18 lub = -3.585395030e-25 ++ uc = -7.560382119e-11 luc = 1.164210416e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 53438.0 ++ a0 = 1.175519680e+00 la0 = -3.059355826e-8 ++ ags = 1.623197394e-01 lags = 4.767519570e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.578345336e-03 lketa = -3.812786263e-08 pketa = -2.775557562e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.559724103e-01 lpclm = 2.405100206e-06 wpclm = -4.440892099e-22 ppclm = 2.220446049e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.641230659e-03 lpdiblc2 = -6.497989463e-9 ++ pdiblcb = -9.820554637e-02 lpdiblcb = 1.481328872e-7 ++ drout = 0.56 ++ pscbe1 = -4.676991234e+07 lpscbe1 = 1.697754289e+3 ++ pscbe2 = 4.653609693e-08 lpscbe2 = -7.524360986e-14 ppscbe2 = -2.117582368e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.147359093e+01 lbeta0 = -9.506141085e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.699096204e-10 lagidl = -2.825044171e-16 wagidl = 8.271806126e-31 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.816142056e-01 lkt1 = 2.568697892e-8 ++ kt2 = -6.028632264e-02 lkt2 = 1.603502535e-8 ++ at = 1.022950518e+05 lat = -4.674948746e-2 ++ ute = -1.327375183e-01 lute = 1.317200597e-7 ++ ua1 = 3.323674104e-09 lua1 = -1.029514575e-15 ++ ub1 = -2.842323112e-18 lub1 = 2.396501504e-24 ++ uc1 = 1.818504214e-11 luc1 = -9.687003470e-17 wuc1 = 2.584939414e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.85 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101595176e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.067712146e-8 ++ k1 = 3.540326720e-01 lk1 = 1.659689040e-7 ++ k2 = 5.577749960e-02 lk2 = -6.377529522e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.663045674e-01 ldsub = 1.067616350e-06 pdsub = -1.776356839e-27 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.021762939e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.639286090e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.430472285e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.350714714e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = -1.249000903e-22 peta0 = -8.673617380e-30 ++ etab = 8.442995791e-01 letab = -1.709466317e-06 wetab = -8.569533971e-22 petab = -9.540979118e-28 ++ u0 = 1.250342728e-02 lu0 = -4.352444370e-9 ++ ua = 2.633023699e-11 lua = -9.458151364e-16 ++ ub = 5.976931819e-19 lub = 4.788153150e-25 ++ uc = -7.509174596e-11 luc = 1.060590969e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.963848416e+04 lvsat = -1.254680371e-2 ++ a0 = 1.367169856e+00 la0 = -4.184015232e-7 ++ ags = 1.755171746e-01 lags = 4.500466829e-7 ++ a1 = 0.0 ++ a2 = 5.953062352e-01 la2 = 4.142019269e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.079934288e-02 lketa = -2.963762975e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.550220723e-01 lpclm = 3.593326501e-7 ++ pdiblc1 = 7.492555200e-01 lpdiblc1 = -7.269607298e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.025 ++ drout = 1.096512000e-01 ldrout = 9.112898038e-7 ++ pscbe1 = 7.983183467e+08 lpscbe1 = -1.229870506e+1 ++ pscbe2 = 9.530520115e-09 lpscbe2 = -3.620850560e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.862313582e+00 lbeta0 = 1.848390856e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.563433413e-10 lagidl = 7.823789760e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.585366557e-01 lkt1 = -2.101090483e-8 ++ kt2 = -5.625426130e-02 lkt2 = 7.876068592e-9 ++ at = 9.703221664e+04 lat = -3.610003518e-2 ++ ute = 9.359355551e-01 lute = -2.030761278e-06 wute = -8.881784197e-22 ++ ua1 = 6.136533540e-09 lua1 = -6.721391901e-15 ++ ub1 = -4.270929418e-18 lub1 = 5.287314936e-24 wub1 = -6.162975822e-39 pub1 = -6.162975822e-45 ++ uc1 = -1.285649500e-10 luc1 = 2.000815094e-16 puc1 = 1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.86 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093024079e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.190443216e-8 ++ k1 = 5.163486096e-01 lk1 = -1.647044061e-10 ++ k2 = -1.189752607e-02 lk2 = 5.491447057e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.315197776e+00 ldsub = -5.510829280e-07 pdsub = -1.776356839e-27 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.991356383e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.751457949e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.798308567e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.119607376e-7 ++ eta0 = -6.270080000e-02 leta0 = 2.893499228e-07 peta0 = 4.440892099e-28 ++ etab = -1.689963224e+00 letab = 8.844023472e-7 ++ u0 = 9.896946880e-03 lu0 = -1.684659551e-9 ++ ua = -5.448939775e-10 lua = -3.611557284e-16 ++ ub = 8.616663893e-19 lub = 2.086334578e-25 ++ uc = -1.013764555e-10 luc = 3.750883558e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.049404160e+03 lvsat = 5.468606375e-2 ++ a0 = 1.211654647e+00 la0 = -2.592285959e-7 ++ ags = -4.941595712e-02 lags = 6.802702419e-7 ++ a1 = 0.0 ++ a2 = 1.010355926e+00 la2 = -1.060973294e-8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.145804192e-02 lketa = -2.574464147e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.620957890e+00 lpclm = -8.340259780e-7 ++ pdiblc1 = 6.831681950e-02 lpdiblc1 = -3.000635109e-8 ++ pdiblc2 = 8.382037816e-04 lpdiblc2 = -4.178047345e-10 ++ pdiblcb = -3.072820088e-02 lpdiblcb = 5.862928163e-9 ++ drout = 1.0 ++ pscbe1 = 7.719601783e+08 lpscbe1 = 1.467940745e+1 ++ pscbe2 = 9.018239607e-09 lpscbe2 = 1.622442887e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.533924275e+00 lbeta0 = 1.160983879e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 8.383845113e-10 lagidl = -3.380968758e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.172838726e-01 lkt1 = -6.323395343e-8 ++ kt2 = -6.520102427e-02 lkt2 = 1.703325943e-8 ++ at = 8.968707775e+04 lat = -2.858213862e-02 wat = -2.328306437e-16 ++ ute = -1.937735245e+00 lute = 9.104982595e-7 ++ ua1 = -3.568282344e-09 lua1 = 3.211681253e-15 wua1 = -1.654361225e-30 ++ ub1 = 4.385178260e-18 lub1 = -3.572384395e-24 wub1 = -3.081487911e-39 pub1 = -3.081487911e-45 ++ uc1 = 3.415037467e-10 luc1 = -2.810432031e-16 puc1 = 2.067951531e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.87 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.053548035e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.237933770e-9 ++ k1 = 4.938004126e-01 lk1 = 1.163972768e-8 ++ k2 = -1.078294214e-03 lk2 = -1.726372043e-10 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -3.408496543e-01 ldsub = 3.158910227e-07 pdsub = 4.440892099e-28 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.987844469e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.935313684e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.551517353e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.411608736e-7 ++ eta0 = 0.49 ++ etab = -1.301962000e-03 letab = 3.544031462e-10 ++ u0 = 7.422635235e-03 lu0 = -3.893079183e-10 ++ ua = -1.231304109e-09 lua = -1.806296181e-18 ++ ub = 1.265819661e-18 lub = -2.948863286e-27 ++ uc = -6.230717731e-11 luc = 1.705528707e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.908284387e+04 lvsat = -3.527707439e-4 ++ a0 = 8.514885312e-01 la0 = -7.067443105e-8 ++ ags = 9.462833920e-01 lags = 1.590017186e-7 ++ a1 = 0.0 ++ a2 = 1.198063207e+00 la2 = -1.088782482e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -4.253851648e-02 lketa = 2.523636788e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.403483396e-01 lpclm = 3.498130593e-07 wpclm = 2.220446049e-22 ppclm = -1.387778781e-28 ++ pdiblc1 = -4.242191933e-01 lpdiblc1 = 2.278461023e-07 wpdiblc1 = -5.551115123e-23 ppdiblc1 = 1.387778781e-29 ++ pdiblc2 = -9.974276988e-03 lpdiblc2 = 5.242745198e-09 wpdiblc2 = -9.974659987e-24 ppdiblc2 = -6.884683795e-30 ++ pdiblcb = 1.959588488e-01 lpdiblcb = -1.128122761e-07 wpdiblcb = -1.110223025e-22 ppdiblcb = -5.551115123e-29 ++ drout = 1.559003196e+00 ldrout = -2.926493531e-7 ++ pscbe1 = 8.000316736e+08 lpscbe1 = -1.658177145e-2 ++ pscbe2 = 9.517713802e-09 lpscbe2 = -9.924044155e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.527171537e+00 lbeta0 = 1.174790728e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.938487343e-10 lagidl = -5.302150581e-17 wagidl = -8.271806126e-31 ++ bgidl = 7.151366880e+08 lbgidl = 1.491316411e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.606784192e-01 lkt1 = 1.183595963e-8 ++ kt2 = -1.043485456e-02 lkt2 = -1.163792574e-8 ++ at = -5.353157337e+03 lat = 2.117332525e-2 ++ ute = 5.296362567e-01 lute = -3.812200691e-07 pute = 2.220446049e-28 ++ ua1 = 5.056715784e-09 lua1 = -1.303677767e-15 wua1 = 1.323488980e-29 ++ ub1 = -4.916272944e-18 lub1 = 1.297111340e-24 ++ uc1 = -2.956344010e-10 luc1 = 5.251136002e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.25e-6 ++ sbref = 1.24e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.88 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.019113673e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -8.180552945e-9 ++ k1 = -6.490689072e-01 lk1 = 3.242373440e-7 ++ k2 = 4.186502447e-01 lk2 = -1.149767872e-07 wk2 = -2.359223927e-22 pk2 = -3.122502257e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.734546461e-01 ldsub = 1.478665104e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-6.174198497e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.241916786e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.367526527e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.290739555e-7 ++ eta0 = 7.292390102e-01 leta0 = -6.543665407e-8 ++ etab = -6.25e-6 ++ u0 = 1.631661103e-02 lu0 = -2.821988177e-9 ++ ua = 2.341445631e-09 lua = -9.790248052e-16 ++ ub = -1.366352887e-18 lub = 7.170029723e-25 ++ uc = 2.876708666e-11 luc = -7.855345608e-18 wuc = -1.332859385e-32 puc = 4.038967835e-40 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -7.897535897e+04 lvsat = 4.834970890e-2 ++ a0 = -3.445166400e-01 la0 = 2.564569034e-7 ++ ags = 2.334702171e+00 lags = -2.207585859e-7 ++ a1 = 0.0 ++ a2 = 1.470082331e+00 la2 = -1.832809193e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.782094629e-02 lketa = -1.398588347e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.075991775e-01 lpclm = 3.582645444e-8 ++ pdiblc1 = 4.393230456e-01 lpdiblc1 = -8.349970846e-9 ++ pdiblc2 = 7.027921183e-03 lpdiblc2 = 5.923039542e-10 ++ pdiblcb = -4.573434420e-01 lpdiblcb = 6.587896648e-8 ++ drout = 4.876068049e-01 ldrout = 3.989877440e-10 ++ pscbe1 = 7.998868799e+08 lpscbe1 = 2.302219403e-2 ++ pscbe2 = 1.641780183e-08 lpscbe2 = -1.986552518e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.085587642e+00 lbeta0 = 2.382610996e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.624680443e-10 lagidl = 9.914225946e-17 ++ bgidl = 2.017368972e+09 lbgidl = -2.070549331e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.564243428e-01 lkt1 = -1.667961536e-8 ++ kt2 = -8.199556515e-02 lkt2 = 7.935359819e-9 ++ at = 1.327393562e+05 lat = -1.659773905e-2 ++ ute = -2.656315088e+00 lute = 4.902013427e-7 ++ ua1 = 5.689729556e-10 lua1 = -7.619034873e-17 ++ ub1 = -1.750861908e-18 lub1 = 4.313081131e-25 wub1 = -1.540743956e-39 ++ uc1 = -3.028031827e-10 luc1 = 5.447216517e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.89 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 9.4e-07 wmax = 1.0e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {3.160829478e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.405690818e-07 wvth0 = -9.533712998e-07 pvth0 = 2.102374390e-13 ++ k1 = 1.159348757e+00 lk1 = -4.747141476e-08 wk1 = -1.045909876e-06 pk1 = 2.306440459e-13 ++ k2 = -1.263501225e+00 lk2 = 2.463672584e-07 wk2 = 1.508100315e-06 pk2 = -3.325662815e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 5.663696152e+00 ldsub = -1.028438276e-06 wdsub = -2.300904192e-07 pdsub = 5.073953924e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {1.458998850e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -3.813162046e-07 wvoff = -1.521691529e-06 pvoff = 3.355634159e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.400685235e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.109331567e-06 wnfactor = -3.420401948e-05 pnfactor = 7.542670377e-12 ++ eta0 = 2.288232837e+00 leta0 = -4.146918883e-07 weta0 = -5.626618484e-07 peta0 = 1.240781908e-13 ++ etab = -4.415447927e-01 letab = 9.736807943e-08 wetab = 5.053341663e-07 petab = -1.114362904e-13 ++ u0 = -5.942332517e-02 lu0 = 1.364446224e-08 wu0 = 5.349772927e-08 pu0 = -1.179731926e-14 ++ ua = -1.563364139e-08 lua = 2.903063565e-15 wua = 1.013043145e-14 pua = -2.233962743e-21 ++ ub = 1.489481188e-17 lub = -2.809017914e-24 wub = -9.867925205e-24 pub = 2.176074866e-30 ++ uc = -7.009672971e-11 luc = 1.328994715e-17 wuc = -2.223870863e-18 puc = 4.904080028e-25 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 7.068977175e+06 lvsat = -1.523878139e+00 wvsat = -6.282242019e+00 pvsat = 1.385360010e-6 ++ a0 = 7.964908362e+00 la0 = -1.554515685e-06 wa0 = -6.924901440e-06 pa0 = 1.527079266e-12 ++ ags = 1.250000031e+00 lags = -6.888562609e-15 wags = -3.065278520e-14 pags = 6.759552917e-21 ++ a1 = 0.0 ++ a2 = -6.193528785e+00 la2 = 1.491389172e-06 wa2 = 5.159595272e-06 pa2 = -1.137793949e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.285561737e-01 lketa = 6.122895995e-08 wketa = -4.147548110e-08 pketa = 9.146173093e-15 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.068705626e+00 lpclm = -8.491616029e-08 wpclm = 4.805704761e-09 ppclm = -1.059754014e-15 ++ pdiblc1 = 2.431710580e+00 lpdiblc1 = -4.484087421e-07 wpdiblc1 = -4.674471955e-07 ppdiblc1 = 1.030814556e-13 ++ pdiblc2 = 8.845274624e-02 lpdiblc2 = -1.731402339e-08 wpdiblc2 = -3.277514930e-08 ppdiblc2 = 7.227575924e-15 ++ pdiblcb = -2.142488265e-01 lpdiblcb = 1.777460366e-08 wpdiblcb = 1.349458902e-06 ppdiblcb = -2.975826770e-13 ++ drout = -2.752021545e+00 ldrout = 7.148351589e-07 wdrout = 4.616423723e-13 pdrout = -1.018013762e-19 ++ pscbe1 = 7.999999952e+08 lpscbe1 = 1.063938141e-06 wpscbe1 = 4.734329224e-06 ppscbe1 = -1.044012070e-12 ++ pscbe2 = -3.529479812e-08 lpscbe2 = 9.251173540e-15 wpscbe2 = 3.069126922e-14 ppscbe2 = -6.768038688e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.975419248e+01 lbeta0 = -2.314997720e-06 wbeta0 = -3.902373325e-06 pbeta0 = 8.605513655e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -5.405723238e-08 lagidl = 1.197024503e-14 wagidl = 5.132286909e-14 pagidl = -1.131771909e-20 ++ bgidl = 9.999999966e+08 lbgidl = 7.578430176e-07 wbgidl = 3.372238159e-06 pbgidl = -7.436447144e-13 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 6.166697175e-01 lkt1 = -2.547115637e-07 wkt1 = -9.596149581e-07 pkt1 = 2.116142906e-13 ++ kt2 = 2.951933965e-01 lkt2 = -7.457951039e-08 wkt2 = 6.077740977e-15 pkt2 = -1.340263456e-21 ++ at = 8.542471780e+05 lat = -1.770910510e-01 wat = -6.077561546e-01 pat = 1.340223872e-7 ++ ute = -3.255901161e+00 lute = 6.633685200e-07 wute = 1.439422363e-06 pute = -3.174214196e-13 ++ ua1 = -3.291715626e-09 lua1 = 7.688045271e-16 wua1 = 1.437063525e-22 pua1 = -3.169012555e-29 ++ ub1 = 5.709164458e-18 lub1 = -1.177749789e-24 wub1 = -7.894836554e-31 pub1 = 1.740969349e-37 ++ uc1 = -1.190706991e-10 luc1 = 1.850553099e-17 wuc1 = -1.008935768e-23 puc1 = 2.224905020e-30 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.90 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.236214395e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.099282439e-05 wvth0 = 9.245780754e-08 pvth0 = -9.247955361e-12 ++ k1 = 3.859353744e-01 lk1 = 4.573098901e-06 wk1 = 3.846315405e-08 pk1 = -3.847220059e-12 ++ k2 = 4.425953613e-02 lk2 = -1.728357427e-06 wk2 = -1.453676804e-08 pk2 = 1.454018709e-12 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -2.756317048e-05 lcit = 2.789438687e-09 wcit = 2.346124855e-11 pcit = -2.346676663e-15 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.838062277e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.330104114e-06 wvoff = 4.483012943e-08 pvoff = -4.484067348e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.056140310e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.792912697e-05 wnfactor = -1.507972576e-07 pnfactor = 1.508327251e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.122271615e-02 lu0 = -1.636701017e-07 wu0 = -1.376586964e-09 pu0 = 1.376910738e-13 ++ ua = -8.077170790e-10 lua = 6.015206344e-15 wua = 5.059234739e-17 pua = -5.060424671e-21 ++ ub = 8.501371608e-19 lub = 1.003503860e-23 wub = 8.440211859e-26 pub = -8.442196996e-30 ++ uc = -2.033348973e-10 luc = 9.769487972e-15 wuc = 8.216864079e-17 puc = -8.218796685e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.508767375e+05 lvsat = 2.310870764e+01 wvsat = 1.943613732e-01 pvsat = -1.944070869e-5 ++ a0 = 2.065381496e+00 la0 = -6.015229408e-05 wa0 = -5.059254138e-07 pa0 = 5.060444075e-11 ++ ags = -2.607683565e-01 lags = 3.741463351e-05 wags = 3.146848217e-07 pags = -3.147588356e-11 ++ a1 = 0.0 ++ a2 = 1.460001315e+00 la2 = -4.901165630e-05 wa2 = -4.122243860e-07 pa2 = 4.123213412e-11 ++ b0 = -3.401724423e-07 lb0 = 2.526118426e-11 wb0 = 2.124652982e-13 pb0 = -2.125152701e-17 ++ b1 = -2.625873466e-08 lb1 = 1.949971991e-12 wb1 = 1.640071092e-14 pb1 = -1.640456837e-18 ++ keta = 9.608742418e-02 lketa = -7.274327038e-06 wketa = -6.118248644e-08 pketa = 6.119687656e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -3.480592106e-01 lpclm = 3.631446021e-05 wpclm = 3.054315482e-07 ppclm = -3.055033857e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.038059044e-03 lpdiblc2 = -2.761549608e-07 wpdiblc2 = -2.322668070e-09 ppdiblc2 = 2.323214362e-13 ++ pdiblcb = -4.264207187e-01 lpdiblcb = 2.014680928e-05 wpdiblcb = 1.694496108e-07 ppdiblcb = -1.694894654e-11 ++ drout = 0.56 ++ pscbe1 = 8.001405151e+08 lpscbe1 = -1.405481320e+01 wpscbe1 = -1.182114048e-01 ppscbe1 = 1.182392081e-5 ++ pscbe2 = 2.342083425e-08 lpscbe2 = -1.336335157e-12 wpscbe2 = -1.123956995e-14 ppscbe2 = 1.124221350e-18 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.882360675e-10 lalpha0 = 2.883038606e-14 walpha0 = 2.424849329e-16 palpha0 = -2.425419654e-20 ++ alpha1 = -2.882360675e-10 lalpha1 = 2.883038606e-14 walpha1 = 2.424849329e-16 palpha1 = -2.425419654e-20 ++ beta0 = 9.837366135e+01 lbeta0 = -6.838974283e-03 wbeta0 = -5.752084683e-05 pbeta0 = 5.753437573e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.094552408e-09 lagidl = -4.195281506e-13 wagidl = -3.528542950e-15 pagidl = 3.529372863e-19 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -2.163949183e+00 legidl = 2.264481664e-04 wegidl = 1.904597057e-06 pegidl = -1.905045018e-10 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.309821276e-01 lkt1 = -7.269581845e-07 wkt1 = -6.114257584e-09 pkt1 = 6.115695658e-13 ++ kt2 = -7.638068738e-02 lkt2 = 1.783888210e-06 wkt2 = 1.500382312e-08 pkt2 = -1.500735202e-12 ++ at = 2.756087843e+05 lat = -2.046669106e+01 wat = -1.721400539e-01 pat = 1.721805412e-5 ++ ute = 5.908037409e-02 lute = -1.420937867e-05 wute = -1.195114150e-07 pute = 1.195395241e-11 ++ ua1 = 2.861161439e-09 lua1 = -7.711427692e-14 wua1 = -6.485882718e-16 pua1 = 6.487408197e-20 ++ ub1 = -2.707583078e-18 lub1 = 1.479030864e-22 wub1 = 1.243974670e-24 pub1 = -1.244267253e-28 ++ uc1 = -9.039849713e-11 luc1 = 6.062375248e-15 wuc1 = 5.098907287e-17 puc1 = -5.100106550e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.91 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-4.191236343e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.368208795e-06 wvth0 = -6.163853836e-07 pvth0 = 4.945580453e-12 ++ k1 = 7.258513711e-01 lk1 = -2.233215857e-06 wk1 = -2.564210270e-07 pk1 = 2.057399239e-12 ++ k2 = -8.420834747e-02 lk2 = 8.440218099e-07 wk2 = 9.691178695e-08 pk2 = -7.775736608e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.797743160e-04 lcit = -1.362187620e-09 wcit = -1.564083237e-10 pcit = 1.254945313e-15 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {1.123775618e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.602889918e-06 wvoff = -2.988675296e-07 pvoff = 2.397969601e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.234778868e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.755465718e-06 wnfactor = 1.005315050e-06 pnfactor = -8.066165413e-12 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = -9.427950078e-04 lu0 = 7.992625443e-08 wu0 = 9.177246429e-09 pu0 = -7.363382027e-14 ++ ua = -3.606100070e-10 lua = -2.937451054e-15 wua = -3.372823159e-16 pua = 2.706191408e-21 ++ ub = 1.596036216e-18 lub = -4.900486042e-24 wub = -5.626807906e-25 pub = 4.514680577e-30 ++ uc = 5.228259212e-10 luc = -4.770807700e-15 wuc = -5.477909386e-16 puc = 4.395211552e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.566781148e+06 lvsat = -1.128484939e+01 wvsat = -1.295742488e+00 pvsat = 1.039641576e-5 ++ a0 = -2.405706367e+00 la0 = 2.937462317e-05 wa0 = 3.372836092e-06 pa0 = -2.706201784e-11 ++ ags = 2.520241351e+00 lags = -1.827097000e-05 wags = -2.097898812e-06 pags = 1.683253307e-11 ++ a1 = 0.0 ++ a2 = -2.183008898e+00 la2 = 2.393423155e-05 wa2 = 2.748162573e-06 pa2 = -2.204993737e-11 ++ b0 = 1.537477880e-06 lb0 = -1.233598452e-11 wb0 = -1.416435322e-12 pb0 = 1.136479713e-17 ++ b1 = 1.186816411e-07 lb1 = -9.522445207e-13 wb1 = -1.093380728e-13 pb1 = 8.772762141e-19 ++ keta = -4.446094159e-01 lketa = 3.552326954e-06 wketa = 4.078832429e-07 pketa = -3.272659357e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.351175204e+00 lpclm = -1.773371408e-05 wpclm = -2.036210321e-06 ppclm = 1.633757424e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.648839157e-02 lpdiblc2 = 1.348568335e-07 wpdiblc2 = 1.548445380e-08 ppdiblc2 = -1.242398248e-13 ++ pdiblcb = 1.071080845e+00 lpdiblcb = -9.838443235e-06 wpdiblcb = -1.129664072e-06 ppdiblcb = 9.063882276e-12 ++ drout = 0.56 ++ pscbe1 = 7.990958283e+08 lpscbe1 = 6.863492872e+00 wpscbe1 = 7.880760321e-01 ppscbe1 = -6.323143805e-6 ++ pscbe2 = -7.590824359e-08 lpscbe2 = 6.525826199e-13 wpscbe2 = 7.493046635e-14 ppscbe2 = -6.012060954e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.854711116e-09 lalpha0 = -1.407895974e-14 walpha0 = -1.616566220e-15 palpha0 = 1.297055139e-20 ++ alpha1 = 1.854711116e-09 lalpha1 = -1.407895974e-14 walpha1 = -1.616566220e-15 palpha1 = 1.297055139e-20 ++ beta0 = -4.099636412e+02 lbeta0 = 3.339727861e-03 wbeta0 = 3.834723122e-04 pbeta0 = -3.076797766e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.508875076e-08 lagidl = 2.048713440e-13 wagidl = 2.352361967e-14 pagidl = -1.887422329e-19 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.466782197e+01 legidl = -1.105831400e-04 wegidl = -1.269731371e-05 pegidl = 1.018771505e-10 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.850165408e-01 lkt1 = 3.550009698e-07 wkt1 = 4.076171723e-08 pkt1 = -3.270524534e-13 ++ kt2 = 5.621476965e-02 lkt2 = -8.711395758e-07 wkt2 = -1.000254875e-07 pkt2 = 8.025564993e-13 ++ at = -1.245669422e+06 lat = 9.994653517e+00 wat = 1.147600359e+00 pat = -9.207794435e-6 ++ ute = -9.970951465e-01 lute = 6.938972991e-06 wute = 7.967427669e-07 pute = -6.392681525e-12 ++ ua1 = -2.870701542e-09 lua1 = 3.765779611e-14 wua1 = 4.323921812e-15 pua1 = -3.469307314e-20 ++ ub1 = 8.285974271e-18 lub1 = -7.222662903e-23 wub1 = -8.293164469e-24 pub1 = 6.654037098e-29 ++ uc1 = 3.602146143e-10 luc1 = -2.960485401e-15 wuc1 = -3.399271525e-16 puc1 = 2.727412306e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.92 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090489314e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.850716039e-8 ++ k1 = 4.556728822e-01 lk1 = -6.543334786e-8 ++ k2 = 1.885398624e-02 lk2 = 1.709911408e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.057269452e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.057204472e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.150233085e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.692133146e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 8.536279952e-03 lu0 = 3.870706904e-9 ++ ua = -8.237874492e-10 lua = 7.788624164e-16 ++ ub = 1.048518672e-18 lub = -5.074680762e-25 ++ uc = -7.083802061e-11 luc = -7.533189791e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.678104074e+05 lvsat = -8.625316685e-1 ++ a0 = 1.343314159e+00 la0 = -7.057180005e-7 ++ ags = 2.050970535e-01 lags = 3.046365779e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.364571240e-04 lketa = -8.294256640e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.407132755e-01 lpclm = -8.003805848e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.397670217e-03 lpdiblc2 = 1.377612899e-08 ppdiblc2 = 1.387778781e-29 ++ pdiblcb = -2.494013897e-01 lpdiblcb = 7.564723865e-7 ++ drout = 0.56 ++ pscbe1 = 1.227212561e+09 lpscbe1 = -3.428139670e+3 ++ pscbe2 = -1.711599940e-08 lpscbe2 = 1.808618728e-13 ppscbe2 = 5.293955920e-35 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.429576331e+00 lbeta0 = 2.285911254e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.919420500e-10 lagidl = -1.980560338e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.675517744e+00 legidl = -6.339127153e-6 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.061103840e-01 lkt1 = -2.781041573e-7 ++ kt2 = -4.839276194e-02 lkt2 = -3.181895402e-8 ++ at = -9.120917488e+04 lat = 7.318186388e-1 ++ ute = -1.647219648e-01 lute = 2.604101198e-7 ++ ua1 = 5.703275549e-10 lua1 = 1.004863033e-14 ++ ub1 = 8.239234094e-19 lub1 = -1.235471470e-23 ++ uc1 = -1.164852119e-11 luc1 = 2.316590399e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.93 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085337827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.219949320e-9 ++ k1 = 4.428072554e-01 lk1 = -1.366824095e-8 ++ k2 = 2.193345702e-02 lk2 = 4.708801823e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.622137339e-01 ldsub = -1.215963003e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.337857314e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.232304277e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.881674337e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.788165241e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403185835e-01 letab = 2.829282271e-7 ++ u0 = 8.634054608e-03 lu0 = 3.477308620e-9 ++ ua = -8.215637429e-10 lua = 7.699152898e-16 ++ ub = 1.011504174e-18 lub = -3.585395030e-25 ++ uc = -7.560382119e-11 luc = 1.164210416e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 53438.0 ++ a0 = 1.175519680e+00 la0 = -3.059355826e-8 ++ ags = 1.623197394e-01 lags = 4.767519570e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.578345336e-03 lketa = -3.812786263e-08 wketa = -6.938893904e-24 pketa = 1.387778781e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.559724103e-01 lpclm = 2.405100206e-06 wpclm = -4.440892099e-22 ppclm = -4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.641230659e-03 lpdiblc2 = -6.497989463e-9 ++ pdiblcb = -9.820554637e-02 lpdiblcb = 1.481328872e-7 ++ drout = 0.56 ++ pscbe1 = -4.676991234e+07 lpscbe1 = 1.697754289e+3 ++ pscbe2 = 4.653609693e-08 lpscbe2 = -7.524360986e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.147359093e+01 lbeta0 = -9.506141085e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.699096204e-10 lagidl = -2.825044171e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.816142056e-01 lkt1 = 2.568697892e-8 ++ kt2 = -6.028632264e-02 lkt2 = 1.603502535e-8 ++ at = 1.022950518e+05 lat = -4.674948746e-2 ++ ute = -1.327375183e-01 lute = 1.317200597e-7 ++ ua1 = 3.323674104e-09 lua1 = -1.029514575e-15 ++ ub1 = -2.842323112e-18 lub1 = 2.396501504e-24 ++ uc1 = 1.818504214e-11 luc1 = -9.687003470e-17 puc1 = -2.584939414e-38 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.94 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101595176e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.067712146e-8 ++ k1 = 3.540326720e-01 lk1 = 1.659689040e-7 ++ k2 = 5.577749960e-02 lk2 = -6.377529522e-08 wk2 = -5.551115123e-23 pk2 = -5.551115123e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.663045674e-01 ldsub = 1.067616350e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.021762939e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.639286090e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.430472285e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.350714714e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = -4.857225733e-23 peta0 = -9.020562075e-29 ++ etab = 8.442995791e-01 letab = -1.709466317e-06 wetab = 1.994931997e-22 petab = -5.204170428e-29 ++ u0 = 1.250342728e-02 lu0 = -4.352444370e-9 ++ ua = 2.633023699e-11 lua = -9.458151364e-16 ++ ub = 5.976931819e-19 lub = 4.788153150e-25 ++ uc = -7.509174596e-11 luc = 1.060590969e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.963848416e+04 lvsat = -1.254680371e-2 ++ a0 = 1.367169856e+00 la0 = -4.184015232e-7 ++ ags = 1.755171746e-01 lags = 4.500466829e-7 ++ a1 = 0.0 ++ a2 = 5.953062352e-01 la2 = 4.142019269e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.079934288e-02 lketa = -2.963762975e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.550220723e-01 lpclm = 3.593326501e-7 ++ pdiblc1 = 7.492555200e-01 lpdiblc1 = -7.269607298e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.025 ++ drout = 1.096512000e-01 ldrout = 9.112898038e-7 ++ pscbe1 = 7.983183467e+08 lpscbe1 = -1.229870506e+1 ++ pscbe2 = 9.530520115e-09 lpscbe2 = -3.620850560e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.862313582e+00 lbeta0 = 1.848390856e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.563433413e-10 lagidl = 7.823789760e-16 pagidl = 4.135903063e-37 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.585366557e-01 lkt1 = -2.101090483e-8 ++ kt2 = -5.625426130e-02 lkt2 = 7.876068592e-9 ++ at = 9.703221664e+04 lat = -3.610003518e-2 ++ ute = 9.359355551e-01 lute = -2.030761278e-06 wute = -4.440892099e-22 pute = -1.110223025e-27 ++ ua1 = 6.136533540e-09 lua1 = -6.721391901e-15 pua1 = -6.617444900e-36 ++ ub1 = -4.270929418e-18 lub1 = 5.287314936e-24 ++ uc1 = -1.285649500e-10 luc1 = 2.000815094e-16 puc1 = 2.067951531e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.95 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085116870e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.381124579e-08 wvth0 = -7.284690076e-09 pvth0 = 7.456025987e-15 ++ k1 = 4.471235566e-01 lk1 = 7.068852186e-08 wk1 = 6.377510305e-08 pk1 = -6.527509347e-14 ++ k2 = -6.518873286e-02 lk2 = 6.003606303e-08 wk2 = 4.909569666e-08 pk2 = -5.025042745e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.944769162e+00 ldsub = -1.195461833e-06 wdsub = -5.800064896e-07 pdsub = 5.936482422e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.951726294e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.807676771e-09 wvoff = -3.651009093e-09 pvoff = 3.736880827e-15 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.536604250e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.436996599e-07 wnfactor = -6.801711405e-07 pnfactor = 6.961687658e-13 ++ eta0 = -4.740445288e-01 leta0 = 7.103684561e-07 weta0 = 3.789594597e-07 peta0 = -3.878725862e-13 ++ etab = -1.690283377e+00 letab = 8.847300294e-07 wetab = 2.949472572e-10 petab = -3.018844167e-16 ++ u0 = 8.059969722e-03 lu0 = 1.955233105e-10 wu0 = 1.692355621e-09 pu0 = -1.732159825e-15 ++ ua = -1.689296881e-10 lua = -7.459626979e-16 wua = -3.463653728e-16 pua = 3.545118864e-22 ++ ub = 5.972194891e-19 lub = 4.793001491e-25 wub = 2.436275246e-25 pub = -2.493576440e-31 ++ uc = -1.172584348e-10 luc = 5.376435909e-17 wuc = 1.463162288e-17 puc = -1.497575865e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.537006826e+05 lvsat = -5.182293451e-01 wvsat = -5.156820819e-01 pvsat = 5.278109245e-7 ++ a0 = 1.551269935e+00 la0 = -6.068316360e-07 wa0 = -3.128780561e-07 pa0 = 3.202369480e-13 ++ ags = -4.941595777e-02 lags = 6.802702425e-07 wags = 5.991918073e-16 pags = -6.132836461e-22 ++ a1 = 0.0 ++ a2 = 5.852637642e-01 la2 = 4.244805969e-07 wa2 = 3.916255064e-07 pa2 = -4.008365384e-13 ++ b0 = 9.657083187e-16 lb0 = -9.884217783e-22 wb0 = -8.896800342e-22 pb0 = 9.106053086e-28 ++ b1 = 4.014891572e-19 lb1 = -4.109321821e-25 wb1 = -3.698807188e-25 pb1 = 3.785803133e-31 ++ keta = 6.495412389e-03 lketa = -2.066529089e-08 wketa = 4.571931633e-09 pketa = -4.679463465e-15 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.966421532e+00 lpclm = -1.187614925e-06 wpclm = -3.182659801e-07 ppclm = 3.257515960e-13 ++ pdiblc1 = 1.185765774e-01 lpdiblc1 = -8.144821854e-08 wpdiblc1 = -4.630290772e-08 ppdiblc1 = 4.739195211e-14 ++ pdiblc2 = 1.490008763e-03 lpdiblc2 = -1.084940169e-09 wpdiblc2 = -6.004896790e-10 ppdiblc2 = 6.146131962e-16 ++ pdiblcb = -2.061411941e-01 lpdiblcb = 1.854016350e-07 wpdiblcb = 1.616030791e-07 ppdiblcb = -1.654039835e-13 ++ drout = 5.833670991e-01 ldrout = 4.264321067e-07 wdrout = 3.838322259e-07 pdrout = -3.928599598e-13 ++ pscbe1 = 2.070457412e+08 lpscbe1 = 5.928806321e+02 wpscbe1 = 5.204398533e+02 ppscbe1 = -5.326805987e-4 ++ pscbe2 = 6.779351986e-08 lpscbe2 = -5.999543056e-14 wpscbe2 = -5.414801999e-14 ppscbe2 = 5.542158142e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.269740331e+00 lbeta0 = 1.431381430e-06 wbeta0 = 2.433852705e-07 pbeta0 = -2.491096921e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.281919235e-09 lagidl = 1.832076414e-15 wagidl = 1.953376473e-15 pagidl = -1.999319887e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.710212029e-01 lkt1 = -1.105847211e-07 wkt1 = -4.262050217e-08 pkt1 = 4.362293639e-14 ++ kt2 = -8.814795627e-02 lkt2 = 4.051990327e-08 wkt2 = 2.114036593e-08 pkt2 = -2.163758734e-14 ++ at = 1.280264439e+05 lat = -6.782324670e-02 wat = -3.532098456e-02 pat = 3.615173412e-8 ++ ute = -1.971926414e+00 lute = 9.454936047e-07 wute = 3.149936657e-08 pute = -3.224023167e-14 ++ ua1 = -4.337391766e-09 lua1 = 3.998880129e-15 wua1 = 7.085589762e-16 pua1 = -7.252242833e-22 ++ ub1 = 5.727350586e-18 lub1 = -4.946124613e-24 wub1 = -1.236505782e-24 pub1 = 1.265588398e-30 ++ uc1 = 4.507626996e-10 luc1 = -3.928719265e-16 wuc1 = -1.006572140e-16 puc1 = 1.030246717e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.96 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.139486411e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.227478785e-08 wvth0 = 7.917261933e-08 pvth0 = -3.780610463e-14 ++ k1 = 1.109811951e+00 lk1 = -2.762421066e-07 wk1 = -5.675141823e-07 pk1 = 2.652174732e-13 ++ k2 = -1.605798384e-01 lk2 = 1.099752146e-07 wk2 = 1.469443066e-07 pk2 = -1.014761317e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.939047575e+00 ldsub = 8.377939055e-07 wdsub = 1.472374995e-06 pdsub = -4.808145127e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.762893872e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.365856824e-08 wvoff = 7.140313136e-08 pvoff = -3.555546279e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.159779464e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.449801714e-07 wnfactor = 1.536216730e-06 pnfactor = -4.641546121e-13 ++ eta0 = 1.312687464e+00 leta0 = -2.250214766e-07 weta0 = -7.579189251e-07 peta0 = 2.073059858e-13 ++ etab = -1.415877886e-03 letab = 5.741164906e-10 wetab = 1.049475160e-10 petab = -2.024157522e-16 ++ u0 = 6.764649266e-03 lu0 = 8.736494753e-10 wu0 = 6.061840496e-10 pu0 = -1.163527284e-15 ++ ua = -2.996744630e-09 lua = 7.344549806e-16 wua = 1.626450919e-15 pua = -6.782968990e-22 ++ ub = 2.503952912e-18 lub = -5.189129324e-25 wub = -1.140657496e-24 pub = 4.753432499e-31 ++ uc = -4.247910009e-11 luc = 1.461588176e-17 wuc = -1.826705235e-17 puc = 2.247355810e-24 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -3.581278235e+05 lvsat = -4.086888556e-02 wvsat = 4.212153859e-01 pvsat = 3.732636213e-8 ++ a0 = 4.256318575e-01 la0 = -1.753758954e-08 wa0 = 3.923298295e-07 pa0 = -4.895348425e-14 ++ ags = 6.986456739e-01 lags = 2.886450171e-07 wags = 2.281416958e-07 pags = -1.194367409e-13 ++ a1 = 0.0 ++ a2 = 2.002479027e+00 la2 = -3.174599373e-07 wa2 = -7.410857714e-07 pa2 = 1.921604698e-13 ++ b0 = -1.931416637e-15 lb0 = 5.282810787e-22 wb0 = 1.779360068e-21 pb0 = -4.866905659e-28 ++ b1 = -8.029783143e-19 lb1 = 2.196306285e-25 wb1 = 7.397614376e-25 pb1 = -2.023395484e-31 ++ keta = -1.409751721e-02 lketa = -9.884480386e-09 wketa = -2.620189628e-08 pketa = 1.143125093e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.347163432e+00 lpclm = 5.471130757e-07 wpclm = 6.511689539e-07 ppclm = -1.817669807e-13 ++ pdiblc1 = -5.726628509e-01 lpdiblc1 = 2.804294470e-07 wpdiblc1 = 1.367569853e-07 ppdiblc1 = -4.844356308e-14 ++ pdiblc2 = -1.837542311e-02 lpdiblc2 = 9.315010725e-09 wpdiblc2 = 7.739740690e-09 ppdiblc2 = -3.751664206e-15 ++ pdiblcb = -2.762138169e-01 lpdiblcb = 2.220860544e-07 wpdiblcb = 4.349994561e-07 ppdiblcb = -3.085324548e-13 ++ drout = 2.848056641e+00 ldrout = -7.591781623e-07 wdrout = -1.187568846e-06 pdrout = 4.297999291e-13 ++ pscbe1 = 1.929886384e+09 lpscbe1 = -3.090609010e+02 wpscbe1 = -1.040903508e+03 ppscbe1 = 2.847138781e-4 ++ pscbe2 = -1.116014043e-07 lpscbe2 = 3.392140013e-14 wpscbe2 = 1.115836522e-13 ppscbe2 = -3.134226358e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.517860617e+00 lbeta0 = 2.544454975e-07 wbeta0 = 8.577889655e-09 pbeta0 = -1.261833320e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 3.383932639e-09 lagidl = -6.105903587e-16 wagidl = -2.846807779e-15 pagidl = 5.136725723e-22 ++ bgidl = 4.828711902e+08 lbgidl = 2.707272745e+02 wbgidl = 2.139796996e+02 pbgidl = -1.120226523e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -7.150100359e-01 lkt1 = 6.950031279e-08 wkt1 = 1.421813972e-07 pkt1 = -5.312455396e-14 ++ kt2 = 3.741936112e-02 lkt2 = -2.521709874e-08 wkt2 = -4.408674899e-08 pkt2 = 1.251011186e-14 ++ at = -1.300048782e+05 lat = 6.726131105e-02 wat = 1.148381402e-01 pat = -4.245957086e-8 ++ ute = 9.377795349e-01 lute = -5.777956537e-07 wute = -3.760109742e-07 pute = 1.810995819e-13 ++ ua1 = 6.648068028e-09 lua1 = -1.752227783e-15 wua1 = -1.466068265e-15 pua1 = 4.132365700e-22 ++ ub1 = -7.546993544e-18 lub1 = 2.003260026e-24 wub1 = 2.423609229e-24 pub1 = -6.505550122e-31 ++ uc1 = -4.478273428e-10 luc1 = 7.755793247e-17 wuc1 = 1.402110959e-16 puc1 = -2.307470589e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.97 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090618145e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.890833981e-08 wvth0 = 6.587506806e-08 pvth0 = -3.416895841e-14 ++ k1 = 2.740141511e+00 lk1 = -7.221698477e-07 wk1 = -3.122384660e-06 pk1 = 9.640256464e-13 ++ k2 = -9.232216845e-01 lk2 = 3.185730123e-07 wk2 = 1.236229036e-06 pk2 = -3.994172909e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.961943366e+01 ldsub = 5.673733106e-06 wdsub = 1.832676099e-05 pdsub = -5.090826170e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {7.821854479e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.558554686e-07 wvoff = -7.774867139e-07 pvoff = 1.966328877e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {5.034580935e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.305732789e-05 wnfactor = -4.235850457e-05 pnfactor = 1.154192956e-11 ++ eta0 = -5.025852912e+00 leta0 = 1.508696087e-06 weta0 = 5.302005046e-06 peta0 = -1.450204419e-12 ++ etab = -8.338919171e-01 letab = 2.282729627e-07 wetab = 7.682355163e-07 petab = -2.103014889e-13 ++ u0 = 4.313118707e-02 lu0 = -9.073325946e-09 wu0 = -2.470351810e-08 pu0 = 5.759182449e-15 ++ ua = 9.954991835e-09 lua = -2.808103977e-15 wua = -7.014146939e-15 pua = 1.685079427e-21 ++ ub = -7.378858977e-18 lub = 2.184233775e-24 wub = 5.539153510e-24 pub = -1.351718657e-30 ++ uc = 3.745742757e-10 luc = -9.945655758e-17 wuc = -3.185824807e-16 puc = 8.438963176e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -4.794916806e+06 lvsat = 1.172681637e+00 wvsat = 4.344664809e+00 pvsat = -1.035815524e-6 ++ a0 = 5.406891204e+00 la0 = -1.380011646e-06 wa0 = -5.298611007e-06 pa0 = 1.507632653e-12 ++ ags = 3.219122860e+00 lags = -4.007559028e-07 wags = -8.147920164e-07 pags = 1.658264881e-13 ++ a1 = 0.0 ++ a2 = 3.947369826e+00 la2 = -8.494264687e-07 wa2 = -2.282255605e-06 pa2 = 6.137012426e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.531559476e+00 lketa = -4.326525812e-07 wketa = -1.394564923e-06 pketa = 3.857059059e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.317697242e+00 lpclm = 5.390534834e-07 wpclm = 1.681594483e-06 ppclm = -4.636089714e-13 ++ pdiblc1 = -6.438863031e+00 lpdiblc1 = 1.884952520e-06 wpdiblc1 = 6.336680243e-06 ppdiblc1 = -1.744246572e-12 ++ pdiblc2 = -1.534895234e-01 lpdiblc2 = 4.627141944e-08 wpdiblc2 = 1.478802272e-07 ppdiblc2 = -4.208289008e-14 ++ pdiblcb = -5.040604436e+00 lpdiblcb = 1.525242177e-06 wpdiblcb = 4.222430023e-06 ppdiblcb = -1.344470463e-12 ++ drout = 1.446588620e+01 ldrout = -3.936886904e-06 wdrout = -1.287779742e-05 pdrout = 3.627311248e-12 ++ pscbe1 = 7.997946110e+08 lpscbe1 = 4.180064201e-02 wpscbe1 = 8.500476963e-02 ppscbe1 = -1.730005833e-8 ++ pscbe2 = 5.121817788e-08 lpscbe2 = -1.061301198e-14 wpscbe2 = -3.206061204e-14 ppscbe2 = 7.947315563e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -1.765866458e+01 lbeta0 = 7.414248670e-06 wbeta0 = 2.371745873e-05 pbeta0 = -6.611036421e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 3.988024347e-09 lagidl = -7.758215226e-16 wagidl = -3.915859626e-15 pagidl = 8.060796334e-22 ++ bgidl = 2.846889940e+09 lbgidl = -3.758791340e+02 wbgidl = -7.642144419e+02 pbgidl = 1.555330093e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.711761038e-01 lkt1 = -7.924914434e-08 wkt1 = -2.627912157e-07 pkt1 = 5.764355510e-14 ++ kt2 = -1.717196849e+00 lkt2 = 4.547055269e-07 wkt2 = 1.506465157e-06 pkt2 = -4.115968454e-13 ++ at = 5.611303158e+02 lat = 3.154889641e-02 wat = 1.217720985e-01 pat = -4.435614715e-8 ++ ute = 2.174776622e+00 lute = -9.161390968e-07 wute = -4.450749522e-06 pute = 1.295622069e-12 ++ ua1 = 1.716354095e-08 lua1 = -4.628419937e-15 wua1 = -1.528811085e-14 pua1 = 4.193841657e-21 ++ ub1 = -2.765469405e-17 lub1 = 7.503118269e-24 wub1 = 2.386447525e-23 pub1 = -6.515060686e-30 ++ uc1 = -1.356702599e-10 luc1 = -7.823272837e-18 wuc1 = -1.539748820e-16 puc1 = 5.739104277e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.98 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 8.6e-07 wmax = 9.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.471719074e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.767257145e-07 wvth0 = -7.886661053e-07 pvth0 = 1.514203324e-13 ++ k1 = -1.172147688e+01 lk1 = 2.406583484e-06 wk1 = 1.082083412e-05 pk1 = -2.030208019e-12 ++ k2 = 5.875207988e+00 lk2 = -1.154006335e-06 wk2 = -5.068592599e-06 pk2 = 9.575586999e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 5.901908945e+01 ldsub = -1.119370780e-05 wdsub = -4.938492031e-05 pdsub = 9.415717721e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-4.639217883e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -9.450910552e-08 wvoff = -1.348169246e-07 pvoff = 7.133606614e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-8.051336304e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.470905985e-05 wnfactor = 7.130024839e-05 pnfactor = -1.255800272e-11 ++ eta0 = 1.683846524e+01 leta0 = -3.186802158e-06 weta0 = -1.396738356e-05 peta0 = 2.677945764e-12 ++ etab = 1.673525447e+00 letab = -3.055951025e-07 wetab = -1.443220823e-06 petab = 2.598024062e-13 ++ u0 = -5.370763977e-02 lu0 = 1.152367838e-08 wu0 = 4.823202836e-08 pu0 = -9.843500471e-15 ++ ua = -2.573106509e-08 lua = 4.826824724e-15 wua = 1.943290518e-14 pua = -4.006270034e-21 ++ ub = 2.369183097e-17 lub = -4.485025999e-24 wub = -1.797237258e-23 pub = 3.720134187e-30 ++ uc = -8.366050051e-10 luc = 1.593251037e-16 wuc = 7.039387410e-16 puc = -1.340476927e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.515058233e+06 lvsat = -1.002920109e+00 wvsat = -4.850660008e+00 pvsat = 9.054159641e-7 ++ a0 = -8.863828386e+00 la0 = 1.651695239e-06 wa0 = 8.578942522e-06 pa0 = -1.426713085e-12 ++ ags = 1.249999336e+00 lags = 1.266467891e-13 wags = 6.102395105e-13 pags = -1.162628287e-19 ++ a1 = 0.0 ++ a2 = -1.204343407e+01 la2 = 2.605913121e-06 wa2 = 1.054894922e-05 pa2 = -2.164573657e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -4.322831259e+00 lketa = 8.222182479e-07 wketa = 3.638338315e-06 pketa = -6.919319502e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.826944007e+00 lpclm = -9.914557353e-07 wpclm = -4.378826086e-06 ppclm = 8.341097733e-13 ++ pdiblc1 = 2.025411758e+01 lpdiblc1 = -3.843933717e-06 wpdiblc1 = -1.688673174e-05 ppdiblc1 = 3.231283541e-12 ++ pdiblc2 = 5.583653931e-01 lpdiblc2 = -1.068417809e-07 wpdiblc2 = -4.656925133e-07 ppdiblc2 = 8.970699215e-14 ++ pdiblcb = 1.880451558e+01 lpdiblcb = -3.605680402e-06 wpdiblcb = -1.617199622e-05 ppdiblcb = 3.040604963e-12 ++ drout = -4.220791155e+01 ldrout = 8.231971310e-06 wdrout = 3.634960716e-05 pdrout = -6.925327158e-12 ++ pscbe1 = 7.999999959e+08 lpscbe1 = 7.700996399e-07 wpscbe1 = 4.058937073e-06 ppscbe1 = -7.733097076e-13 ++ pscbe2 = -9.105638215e-08 lpscbe2 = 1.987487044e-14 wpscbe2 = 8.206285526e-14 ppscbe2 = -1.655535318e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.969667289e+01 lbeta0 = -1.564043909e-05 wbeta0 = -6.833842214e-05 pbeta0 = 1.313690739e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.293777741e-09 lagidl = -2.464905351e-16 wagidl = 3.295333007e-16 pagidl = -6.278268445e-23 ++ bgidl = 9.999966275e+08 lbgidl = 6.425194740e-04 wbgidl = 3.107183899e-03 pbgidl = -5.919806728e-10 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 4.535693217e-01 lkt1 = -2.236376766e-07 wkt1 = -8.093551303e-07 pkt1 = 1.829867885e-13 ++ kt2 = 4.411667933e+00 lkt2 = -8.588502391e-07 wkt2 = -3.792392723e-06 pkt2 = 7.225266615e-13 ++ at = 1.621593020e+06 lat = -3.232857805e-01 wat = -1.314690393e+00 pat = 2.687074981e-7 ++ ute = -1.853788579e+01 lute = 3.574892236e-06 wute = 1.551828691e-05 pute = -2.999726696e-12 ++ ua1 = -4.572646945e-08 lua1 = 8.853473822e-15 wua1 = 3.909395067e-14 pua1 = -7.448179483e-21 ++ ub1 = 7.071598043e-17 lub1 = -1.356284834e-23 wub1 = -5.988896015e-23 pub1 = 1.141004469e-29 ++ uc1 = -1.140497910e-09 luc1 = 2.131078435e-16 wuc1 = 9.410122790e-16 puc1 = -1.792816594e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.99 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.100 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.151806267e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.104849604e-7 ++ k1 = 4.210498003e-01 lk1 = 2.123656422e-7 ++ k2 = 3.098838670e-02 lk2 = -8.026149068e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = 3.388131789e-27 pcit = -5.421010862e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.428791561e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.475194627e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.918471956e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.325930941e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 9.965979359e-03 lu0 = -7.600514880e-9 ++ ua = -7.615294670e-10 lua = 2.793342514e-16 ++ ub = 9.271909546e-19 lub = 4.660072882e-25 wub = 6.162975822e-39 ++ uc = -1.283201274e-10 luc = 4.536756436e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.656289816e+04 lvsat = 1.073122547e+0 ++ a0 = 1.603503605e+00 la0 = -2.793353225e-6 ++ ags = 2.651897433e-02 lags = 1.737461368e-6 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = -1.462050685e-07 lb0 = 1.173079291e-12 ++ b1 = -1.128592332e-08 lb1 = 9.055283150e-14 ++ keta = 4.023168533e-02 lketa = -3.378053190e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-06 ppclm = 1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.917610060e-03 lpdiblc2 = -1.282408862e-8 ++ pdiblcb = -2.717239457e-01 lpdiblcb = 9.355778616e-07 wpdiblcb = -1.776356839e-21 ++ drout = 0.56 ++ pscbe1 = 8.000325956e+08 lpscbe1 = -6.526776473e-1 ++ pscbe2 = 1.315981805e-08 lpscbe2 = -6.205675405e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 4.586076776e+01 lbeta0 = -3.175884006e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.873215960e-09 lagidl = -1.948205518e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -4.251725813e-01 legidl = 1.051580369e-05 pegidl = -1.421085472e-26 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.365640579e-01 lkt1 = -3.375849616e-8 ++ kt2 = -6.268314622e-02 lkt2 = 8.284023011e-8 ++ at = 1.184558071e+05 lat = -9.504325371e-1 ++ ute = -5.002598587e-02 lute = -6.598553610e-7 ++ ua1 = 2.269041386e-09 lua1 = -3.581034063e-15 ++ ub1 = -1.571912915e-18 lub1 = 6.868325966e-24 ++ uc1 = -4.384869988e-11 luc1 = 2.815246818e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.101 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090489314e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.850716039e-8 ++ k1 = 4.556728822e-01 lk1 = -6.543334786e-8 ++ k2 = 1.885398624e-02 lk2 = 1.709911408e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.057269452e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.057204472e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.150233085e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.692133146e-06 wnfactor = 1.421085472e-20 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 8.536279952e-03 lu0 = 3.870706904e-9 ++ ua = -8.237874492e-10 lua = 7.788624164e-16 ++ ub = 1.048518672e-18 lub = -5.074680762e-25 ++ uc = -7.083802061e-11 luc = -7.533189791e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.678104074e+05 lvsat = -8.625316685e-1 ++ a0 = 1.343314159e+00 la0 = -7.057180005e-7 ++ ags = 2.050970535e-01 lags = 3.046365779e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.364571240e-04 lketa = -8.294256640e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.407132755e-01 lpclm = -8.003805848e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.397670217e-03 lpdiblc2 = 1.377612899e-08 wpdiblc2 = -3.469446952e-24 ppdiblc2 = 2.775557562e-29 ++ pdiblcb = -2.494013897e-01 lpdiblcb = 7.564723865e-07 wpdiblcb = 1.776356839e-21 ++ drout = 0.56 ++ pscbe1 = 1.227212561e+09 lpscbe1 = -3.428139670e+3 ++ pscbe2 = -1.711599940e-08 lpscbe2 = 1.808618728e-13 wpscbe2 = 5.293955920e-29 ppscbe2 = -4.235164736e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.429576331e+00 lbeta0 = 2.285911254e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.919420500e-10 lagidl = -1.980560338e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.675517744e+00 legidl = -6.339127153e-6 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.061103840e-01 lkt1 = -2.781041573e-7 ++ kt2 = -4.839276194e-02 lkt2 = -3.181895402e-8 ++ at = -9.120917488e+04 lat = 7.318186388e-1 ++ ute = -1.647219648e-01 lute = 2.604101198e-7 ++ ua1 = 5.703275549e-10 lua1 = 1.004863033e-14 ++ ub1 = 8.239234094e-19 lub1 = -1.235471470e-23 pub1 = 2.465190329e-44 ++ uc1 = -1.164852119e-11 luc1 = 2.316590399e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.102 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085337827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.219949321e-9 ++ k1 = 4.428072554e-01 lk1 = -1.366824095e-8 ++ k2 = 2.193345702e-02 lk2 = 4.708801823e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.622137339e-01 ldsub = -1.215963003e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.337857314e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.232304277e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.881674337e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.788165241e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403185835e-01 letab = 2.829282271e-7 ++ u0 = 8.634054608e-03 lu0 = 3.477308620e-9 ++ ua = -8.215637429e-10 lua = 7.699152898e-16 ++ ub = 1.011504174e-18 lub = -3.585395030e-25 ++ uc = -7.560382119e-11 luc = 1.164210416e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 53438.0 ++ a0 = 1.175519680e+00 la0 = -3.059355826e-8 ++ ags = 1.623197394e-01 lags = 4.767519570e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.578345336e-03 lketa = -3.812786263e-08 pketa = -5.551115123e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.559724103e-01 lpclm = 2.405100206e-06 wpclm = 4.440892099e-22 ppclm = -8.881784197e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.641230659e-03 lpdiblc2 = -6.497989463e-9 ++ pdiblcb = -9.820554637e-02 lpdiblcb = 1.481328872e-7 ++ drout = 0.56 ++ pscbe1 = -4.676991234e+07 lpscbe1 = 1.697754289e+3 ++ pscbe2 = 4.653609693e-08 lpscbe2 = -7.524360986e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.147359093e+01 lbeta0 = -9.506141085e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.699096204e-10 lagidl = -2.825044171e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.816142056e-01 lkt1 = 2.568697892e-8 ++ kt2 = -6.028632264e-02 lkt2 = 1.603502535e-8 ++ at = 1.022950518e+05 lat = -4.674948746e-2 ++ ute = -1.327375183e-01 lute = 1.317200597e-07 wute = -8.881784197e-22 ++ ua1 = 3.323674104e-09 lua1 = -1.029514575e-15 ++ ub1 = -2.842323112e-18 lub1 = 2.396501504e-24 ++ uc1 = 1.818504214e-11 luc1 = -9.687003470e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.103 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101595176e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.067712146e-8 ++ k1 = 3.540326720e-01 lk1 = 1.659689040e-7 ++ k2 = 5.577749960e-02 lk2 = -6.377529522e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.663045674e-01 ldsub = 1.067616350e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.021762939e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.639286090e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.430472285e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.350714714e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = -1.387778781e-22 peta0 = 2.220446049e-28 ++ etab = 8.442995791e-01 letab = -1.709466317e-06 wetab = 1.498801083e-21 petab = 9.298117831e-28 ++ u0 = 1.250342728e-02 lu0 = -4.352444370e-9 ++ ua = 2.633023699e-11 lua = -9.458151364e-16 ++ ub = 5.976931819e-19 lub = 4.788153150e-25 ++ uc = -7.509174596e-11 luc = 1.060590969e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.963848416e+04 lvsat = -1.254680371e-2 ++ a0 = 1.367169856e+00 la0 = -4.184015232e-7 ++ ags = 1.755171746e-01 lags = 4.500466829e-7 ++ a1 = 0.0 ++ a2 = 5.953062352e-01 la2 = 4.142019269e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.079934288e-02 lketa = -2.963762975e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.550220723e-01 lpclm = 3.593326501e-7 ++ pdiblc1 = 7.492555200e-01 lpdiblc1 = -7.269607298e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.025 ++ drout = 1.096512000e-01 ldrout = 9.112898038e-7 ++ pscbe1 = 7.983183467e+08 lpscbe1 = -1.229870506e+1 ++ pscbe2 = 9.530520115e-09 lpscbe2 = -3.620850560e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.862313582e+00 lbeta0 = 1.848390856e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.563433413e-10 lagidl = 7.823789760e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.585366557e-01 lkt1 = -2.101090483e-8 ++ kt2 = -5.625426130e-02 lkt2 = 7.876068592e-9 ++ at = 9.703221664e+04 lat = -3.610003518e-2 ++ ute = 9.359355551e-01 lute = -2.030761278e-06 wute = -3.552713679e-21 pute = -8.881784197e-28 ++ ua1 = 6.136533540e-09 lua1 = -6.721391901e-15 ++ ub1 = -4.270929418e-18 lub1 = 5.287314936e-24 ++ uc1 = -1.285649500e-10 luc1 = 2.000815094e-16 wuc1 = 4.135903063e-31 puc1 = -4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.104 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093776008e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.267404639e-8 ++ k1 = 5.229315034e-01 lk1 = -6.902427879e-9 ++ k2 = -6.829846957e-03 lk2 = 3.045761312e-10 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.255329254e+00 ldsub = -4.898062988e-07 wdsub = -7.105427358e-21 pdsub = -3.552713679e-27 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.995124970e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.365735489e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.728101006e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.838195797e-7 ++ eta0 = -2.358444013e-02 leta0 = 2.493135462e-7 ++ etab = -1.689932780e+00 letab = 8.843711865e-7 ++ u0 = 1.007163256e-02 lu0 = -1.863453839e-9 ++ ua = -5.806459616e-10 lua = -3.245628577e-16 ++ ub = 8.868137281e-19 lub = 1.828946535e-25 ++ uc = -9.986617302e-11 luc = 3.596303128e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -5.927833243e+04 lvsat = 1.091669364e-1 ++ a0 = 1.179359238e+00 la0 = -2.261735991e-7 ++ ags = -4.941595706e-02 lags = 6.802702418e-7 ++ a1 = 0.0 ++ a2 = 1.050779681e+00 la2 = -5.198425436e-8 ++ b0 = -9.183315919e-17 lb0 = 9.399307509e-23 ++ b1 = -3.817924830e-20 lb1 = 3.907722422e-26 ++ keta = 1.192995869e-02 lketa = -2.622765772e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.588106337e+00 lpclm = -8.004017569e-7 ++ pdiblc1 = 6.353741327e-02 lpdiblc1 = -2.511453323e-8 ++ pdiblc2 = 7.762209763e-04 lpdiblc2 = -3.543640937e-10 ++ pdiblcb = -1.404746093e-02 lpdiblcb = -1.121014279e-8 ++ drout = 1.039619329e+00 ldrout = -4.055117553e-8 ++ pscbe1 = 8.256802058e+08 lpscbe1 = -4.030411509e+1 ++ pscbe2 = 3.429057487e-09 lpscbe2 = 5.882883972e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.559046608e+00 lbeta0 = 1.135270669e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.040012878e-09 lagidl = -5.444675421e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.216831793e-01 lkt1 = -5.873117501e-8 ++ kt2 = -6.301890653e-02 lkt2 = 1.479981827e-8 ++ at = 8.604123040e+04 lat = -2.485054094e-2 ++ ute = -1.934483867e+00 lute = 9.071704088e-7 ++ ua1 = -3.495144579e-09 lua1 = 3.136823287e-15 pua1 = 6.617444900e-36 ++ ub1 = 4.257545597e-18 lub1 = -3.441749811e-24 wub1 = 1.232595164e-38 ++ uc1 = 3.311138654e-10 luc1 = -2.704089518e-16 wuc1 = -8.271806126e-31 puc1 = 4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.105 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.045375803e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.664428756e-9 ++ k1 = 4.352213524e-01 lk1 = 3.901559036e-8 ++ k2 = 1.408936088e-02 lk2 = -1.064704755e-08 pk2 = -1.387778781e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.888704683e-01 ldsub = 2.662611400e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.914141847e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.605363981e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.710086311e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.932506331e-7 ++ eta0 = 4.117672797e-01 leta0 = 2.139821381e-8 ++ etab = -1.291129272e-03 letab = 3.335097045e-10 ++ u0 = 7.485205816e-03 lu0 = -5.094077095e-10 wu0 = -5.551115123e-23 ++ ua = -1.063421140e-09 lua = -7.182039643e-17 ++ ub = 1.148080500e-18 lub = 4.611627323e-26 ++ uc = -6.419271038e-11 luc = 1.728726011e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.425608788e+05 lvsat = 3.500072552e-3 ++ a0 = 8.919849864e-01 la0 = -7.572743094e-8 ++ ags = 9.698322768e-01 lags = 1.466734064e-7 ++ a1 = 0.0 ++ a2 = 1.121568012e+00 la2 = -8.904336116e-8 ++ b0 = 1.836663184e-16 lb0 = -5.023641140e-23 ++ b1 = 7.635849659e-20 lb1 = -2.088557599e-26 ++ keta = -4.524308758e-02 lketa = 3.703575468e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.731343976e-01 lpclm = 3.310509927e-07 wpclm = 8.881784197e-22 ppclm = -6.661338148e-28 ++ pdiblc1 = -4.101030779e-01 lpdiblc1 = 2.228457367e-07 wpdiblc1 = -6.661338148e-22 ppdiblc1 = -3.885780586e-28 ++ pdiblc2 = -9.175377595e-03 lpdiblc2 = 4.855496791e-09 wpdiblc2 = -1.734723476e-24 ppdiblc2 = -5.637851297e-30 ++ pdiblcb = 2.408596814e-01 lpdiblcb = -1.446591300e-07 wpdiblcb = 4.440892099e-22 ppdiblcb = 2.220446049e-28 ++ drout = 1.436421824e+00 ldrout = -2.482852179e-7 ++ pscbe1 = 6.925891618e+08 lpscbe1 = 2.937170827e+1 ++ pscbe2 = 2.103542680e-08 lpscbe2 = -3.334402489e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.528056950e+00 lbeta0 = 1.044543745e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.460023937e-01 lkt1 = 6.352420121e-9 ++ kt2 = -1.498550792e-02 lkt2 = -1.034662657e-8 ++ at = 6.500485322e+03 lat = 1.679062992e-2 ++ ute = 4.908242408e-01 lute = -3.625268917e-07 pute = 8.881784197e-28 ++ ua1 = 4.905387581e-09 lua1 = -1.261023309e-15 ++ ub1 = -4.666106948e-18 lub1 = 1.229960769e-24 ++ uc1 = -2.811617509e-10 luc1 = 5.012957887e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.106 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.012314020e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.170748766e-8 ++ k1 = -9.713628067e-01 lk1 = 4.237444896e-7 ++ k2 = 5.462543423e-01 lk2 = -1.562048133e-07 wk2 = 4.440892099e-22 pk2 = 3.330669074e-28 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.165150868e+00 ldsub = -3.776107759e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.419945010e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.212263587e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-4.736695776e-03+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 6.622890219e-7 ++ eta0 = 1.276514272e+00 leta0 = -2.151273835e-7 ++ etab = 7.929135335e-02 letab = -2.170741094e-08 wetab = -6.245004514e-23 petab = 9.107298249e-30 ++ u0 = 1.376670317e-02 lu0 = -2.227522866e-9 ++ ua = 1.617442340e-09 lua = -8.050901755e-16 ++ ub = -7.945990585e-19 lub = 5.774779860e-25 ++ uc = -4.117135234e-12 luc = 8.553888012e-19 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.694828279e+05 lvsat = -5.856761896e-2 ++ a0 = -8.914415674e-01 la0 = 4.120754001e-7 ++ ags = 2.250598986e+00 lags = -2.036419039e-7 ++ a1 = 0.0 ++ a2 = 1.234506918e+00 la2 = -1.199344107e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.261266502e-01 lketa = 2.582684751e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.811740897e-01 lpclm = -1.202746476e-8 ++ pdiblc1 = 1.093397930e+00 lpdiblc1 = -1.883918589e-7 ++ pdiblc2 = 2.229218241e-02 lpdiblc2 = -3.751510221e-09 ppdiblc2 = 2.775557562e-29 ++ pdiblcb = -2.150238278e-02 lpdiblcb = -7.289785815e-8 ++ drout = -8.416450324e-01 ldrout = 3.748116287e-7 ++ pscbe1 = 7.998956542e+08 lpscbe1 = 2.123647450e-2 ++ pscbe2 = 1.310849154e-08 lpscbe2 = -1.166227157e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.053371402e+01 lbeta0 = -4.441329485e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -6.666647741e-10 lagidl = 1.823461490e-16 ++ bgidl = 1.938486425e+09 lbgidl = -1.910007484e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.835497661e-01 lkt1 = -1.072962259e-8 ++ kt2 = 7.350242203e-02 lkt2 = -3.454984517e-8 ++ at = 1.453087251e+05 lat = -2.117619981e-2 ++ ute = -3.115723385e+00 lute = 6.239360150e-7 ++ ua1 = -1.009072480e-09 lua1 = 3.566998069e-16 ++ ub1 = 7.124395827e-19 lub1 = -2.411792780e-25 pub1 = -7.703719778e-46 ++ uc1 = -3.186965368e-10 luc1 = 6.039609351e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.107 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 8.4e-07 wmax = 8.6e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.692439245e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.372958019e-07 wvth0 = 5.113240379e-07 pvth0 = -1.127571768e-13 ++ k1 = 2.384239477e+00 lk1 = -2.808376021e-07 wk1 = -1.045910090e-06 pk1 = 2.306440931e-13 ++ k2 = 2.788564512e+00 lk2 = -6.637268202e-07 wk2 = -2.471885868e-06 pk2 = 5.451002717e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 5.899118457e-01 ldsub = -6.178084732e-08 wdsub = -2.300892115e-07 pdsub = 5.073927293e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {1.602152626e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.085898613e-07 wvoff = -1.521691510e-06 pvoff = 3.355634117e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.489705120e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.184132327e-06 wnfactor = -3.420402163e-05 pnfactor = 7.542670849e-12 ++ eta0 = 9.045906615e-01 leta0 = -1.510803522e-07 weta0 = -5.626610206e-07 peta0 = 1.240780083e-13 ++ etab = -6.426754980e-01 letab = 1.356875018e-07 wetab = 5.053341779e-07 petab = -1.114362929e-13 ++ u0 = 3.659459838e-02 lu0 = -7.447595021e-09 wu0 = -2.773671614e-08 pu0 = 6.116500643e-15 ++ ua = -1.467343553e-08 lua = 2.720125131e-15 wua = 1.013043104e-14 pua = -2.233962654e-21 ++ ub = 1.405826812e-17 lub = -2.649639621e-24 wub = -9.867925890e-24 pub = 2.176075017e-30 ++ uc = 2.793693865e-12 luc = -5.971367126e-19 wuc = -2.223881254e-18 puc = 4.904102942e-25 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.390506997e+07 lvsat = 3.084364619e+00 wvsat = 1.148695009e+01 pvsat = -2.533102233e-6 ++ a0 = 9.565222028e+00 la0 = -1.859407450e-06 wa0 = -6.924901578e-06 pa0 = 1.527079296e-12 ++ ags = 1.249999977e+00 lags = 6.870465086e-15 wags = 7.028091886e-14 pags = -1.549834394e-20 ++ a1 = 0.0 ++ a2 = -5.637236484e+00 la2 = 1.385404338e-06 wa2 = 5.159594558e-06 pa2 = -1.137793792e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 5.127595502e-02 lketa = -1.113666167e-08 wketa = -4.147560895e-08 pketa = 9.146201285e-15 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.162250608e-01 lpclm = 1.290442483e-09 wpclm = 4.805864056e-09 ppclm = -1.059789142e-15 ++ pdiblc1 = 7.369041684e-01 lpdiblc1 = -1.255142029e-07 wpdiblc1 = -4.674465757e-07 ppdiblc1 = 1.030813189e-13 ++ pdiblc2 = 4.376683139e-02 lpdiblc2 = -8.800462992e-09 wpdiblc2 = -3.277515210e-08 ppdiblc2 = 7.227576541e-15 ++ pdiblcb = -2.022819590e+00 lpdiblcb = 3.623434635e-07 wpdiblcb = 1.349457693e-06 ppdiblcb = -2.975824105e-13 ++ drout = 1.000002593e+00 ldrout = -5.373826184e-13 wdrout = -1.188062981e-12 pdrout = 2.619916444e-19 ++ pscbe1 = 8.000000136e+08 lpscbe1 = -2.994468689e-06 wpscbe1 = -1.085488892e-05 ppscbe1 = 2.393722534e-12 ++ pscbe2 = -2.999218905e-08 lpscbe2 = 8.240920118e-15 wpscbe2 = 3.069125940e-14 ppscbe2 = -6.768036523e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.310307595e+01 lbeta0 = -1.047827009e-06 wbeta0 = -3.902373647e-06 pbeta0 = 8.605514367e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.148185157e-08 lagidl = -1.350741332e-14 wagidl = -5.030500795e-14 pagidl = 1.109326035e-20 ++ bgidl = 1.000000330e+09 lbgidl = -6.318053436e-05 wbgidl = -7.731658936e-06 pbgidl = 1.704986572e-12 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 6.321796395e-01 lkt1 = -2.576665151e-07 wkt1 = -9.596149896e-07 pkt1 = 2.116142975e-13 ++ kt2 = -9.625899341e-02 lkt2 = -2.053970327e-15 wkt2 = -2.186541082e-14 pkt2 = 4.821759880e-21 ++ at = 7.812770834e+05 lat = -1.631887875e-01 wat = -6.077561245e-01 pat = 1.340223806e-7 ++ ute = -1.802674948e+00 lute = 3.864998705e-07 wute = 1.439422612e-06 pute = -3.174214743e-13 ++ ua1 = 7.435796112e-10 lua1 = 5.781011115e-23 wua1 = -4.454044932e-22 pua1 = 9.822059722e-29 ++ ub1 = -4.726031082e-19 lub1 = 6.614588398e-31 wub1 = 1.896854528e-30 pub1 = -4.182943640e-37 ++ uc1 = -2.193904273e-11 luc1 = 9.015758513e-24 wuc1 = 2.397447348e-23 puc1 = -5.286851059e-30 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.108 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.109 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.151806267e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.104849604e-7 ++ k1 = 4.210498003e-01 lk1 = 2.123656422e-7 ++ k2 = 3.098838670e-02 lk2 = -8.026149068e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = -6.776263578e-27 pcit = 2.981555974e-31 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.428791561e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.475194627e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.918471956e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.325930941e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 9.965979359e-03 lu0 = -7.600514880e-9 ++ ua = -7.615294670e-10 lua = 2.793342514e-16 ++ ub = 9.271909546e-19 lub = 4.660072882e-25 ++ uc = -1.283201274e-10 luc = 4.536756436e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.656289816e+04 lvsat = 1.073122547e+0 ++ a0 = 1.603503605e+00 la0 = -2.793353225e-6 ++ ags = 2.651897433e-02 lags = 1.737461368e-6 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = -1.462050685e-07 lb0 = 1.173079291e-12 ++ b1 = -1.128592332e-08 lb1 = 9.055283150e-14 ++ keta = 4.023168533e-02 lketa = -3.378053190e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-06 ppclm = 2.664535259e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.917610060e-03 lpdiblc2 = -1.282408862e-8 ++ pdiblcb = -2.717239457e-01 lpdiblcb = 9.355778616e-07 wpdiblcb = -1.776356839e-21 ++ drout = 0.56 ++ pscbe1 = 8.000325956e+08 lpscbe1 = -6.526776473e-1 ++ pscbe2 = 1.315981805e-08 lpscbe2 = -6.205675405e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 4.586076776e+01 lbeta0 = -3.175884006e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.873215960e-09 lagidl = -1.948205518e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -4.251725813e-01 legidl = 1.051580369e-05 pegidl = -1.421085472e-26 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.365640579e-01 lkt1 = -3.375849616e-8 ++ kt2 = -6.268314622e-02 lkt2 = 8.284023011e-8 ++ at = 1.184558071e+05 lat = -9.504325371e-1 ++ ute = -5.002598587e-02 lute = -6.598553610e-7 ++ ua1 = 2.269041386e-09 lua1 = -3.581034063e-15 ++ ub1 = -1.571912915e-18 lub1 = 6.868325966e-24 ++ uc1 = -4.384869988e-11 luc1 = 2.815246818e-16 wuc1 = -2.067951531e-31 puc1 = -1.654361225e-36 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.110 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090489314e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.850716039e-8 ++ k1 = 4.556728822e-01 lk1 = -6.543334786e-8 ++ k2 = 1.885398624e-02 lk2 = 1.709911408e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.057269452e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.057204472e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.150233085e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.692133146e-06 wnfactor = -1.421085472e-20 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 8.536279952e-03 lu0 = 3.870706904e-9 ++ ua = -8.237874492e-10 lua = 7.788624164e-16 ++ ub = 1.048518672e-18 lub = -5.074680762e-25 ++ uc = -7.083802061e-11 luc = -7.533189791e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.678104074e+05 lvsat = -8.625316685e-1 ++ a0 = 1.343314159e+00 la0 = -7.057180005e-7 ++ ags = 2.050970535e-01 lags = 3.046365779e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.364571240e-04 lketa = -8.294256640e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.407132755e-01 lpclm = -8.003805848e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.397670217e-03 lpdiblc2 = 1.377612899e-08 ppdiblc2 = 1.387778781e-29 ++ pdiblcb = -2.494013897e-01 lpdiblcb = 7.564723865e-7 ++ drout = 0.56 ++ pscbe1 = 1.227212561e+09 lpscbe1 = -3.428139670e+3 ++ pscbe2 = -1.711599940e-08 lpscbe2 = 1.808618728e-13 wpscbe2 = -5.293955920e-29 ppscbe2 = 2.117582368e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.429576331e+00 lbeta0 = 2.285911254e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.919420500e-10 lagidl = -1.980560338e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.675517744e+00 legidl = -6.339127153e-6 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.061103840e-01 lkt1 = -2.781041573e-7 ++ kt2 = -4.839276194e-02 lkt2 = -3.181895402e-8 ++ at = -9.120917488e+04 lat = 7.318186388e-1 ++ ute = -1.647219648e-01 lute = 2.604101198e-7 ++ ua1 = 5.703275549e-10 lua1 = 1.004863033e-14 ++ ub1 = 8.239234094e-19 lub1 = -1.235471470e-23 pub1 = 2.465190329e-44 ++ uc1 = -1.164852119e-11 luc1 = 2.316590399e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.111 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085337827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.219949320e-9 ++ k1 = 4.428072554e-01 lk1 = -1.366824095e-8 ++ k2 = 2.193345702e-02 lk2 = 4.708801823e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.622137339e-01 ldsub = -1.215963003e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.337857314e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.232304277e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.881674337e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.788165241e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403185835e-01 letab = 2.829282271e-7 ++ u0 = 8.634054608e-03 lu0 = 3.477308620e-9 ++ ua = -8.215637429e-10 lua = 7.699152898e-16 ++ ub = 1.011504174e-18 lub = -3.585395030e-25 ++ uc = -7.560382119e-11 luc = 1.164210416e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 53438.0 ++ a0 = 1.175519680e+00 la0 = -3.059355826e-8 ++ ags = 1.623197394e-01 lags = 4.767519570e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.578345336e-03 lketa = -3.812786263e-08 pketa = -1.110223025e-28 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.559724103e-01 lpclm = 2.405100206e-06 ppclm = -5.329070518e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.641230659e-03 lpdiblc2 = -6.497989463e-9 ++ pdiblcb = -9.820554637e-02 lpdiblcb = 1.481328872e-7 ++ drout = 0.56 ++ pscbe1 = -4.676991234e+07 lpscbe1 = 1.697754289e+3 ++ pscbe2 = 4.653609693e-08 lpscbe2 = -7.524360986e-14 ppscbe2 = -4.235164736e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.147359093e+01 lbeta0 = -9.506141085e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.699096204e-10 lagidl = -2.825044171e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.816142056e-01 lkt1 = 2.568697892e-8 ++ kt2 = -6.028632264e-02 lkt2 = 1.603502535e-8 ++ at = 1.022950518e+05 lat = -4.674948746e-2 ++ ute = -1.327375183e-01 lute = 1.317200597e-7 ++ ua1 = 3.323674104e-09 lua1 = -1.029514575e-15 ++ ub1 = -2.842323112e-18 lub1 = 2.396501504e-24 ++ uc1 = 1.818504214e-11 luc1 = -9.687003470e-17 wuc1 = -5.169878828e-32 puc1 = -1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.112 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101595176e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.067712146e-8 ++ k1 = 3.540326720e-01 lk1 = 1.659689040e-7 ++ k2 = 5.577749960e-02 lk2 = -6.377529522e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.663045674e-01 ldsub = 1.067616350e-06 pdsub = 3.552713679e-27 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.021762939e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.639286090e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.430472285e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.350714714e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = 2.636779683e-22 peta0 = -6.383782392e-28 ++ etab = 8.442995791e-01 letab = -1.709466317e-06 wetab = 1.228184221e-21 petab = 1.214306433e-27 ++ u0 = 1.250342728e-02 lu0 = -4.352444370e-9 ++ ua = 2.633023699e-11 lua = -9.458151364e-16 ++ ub = 5.976931819e-19 lub = 4.788153150e-25 ++ uc = -7.509174596e-11 luc = 1.060590969e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.963848416e+04 lvsat = -1.254680371e-2 ++ a0 = 1.367169856e+00 la0 = -4.184015232e-7 ++ ags = 1.755171746e-01 lags = 4.500466829e-7 ++ a1 = 0.0 ++ a2 = 5.953062352e-01 la2 = 4.142019269e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.079934288e-02 lketa = -2.963762975e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.550220723e-01 lpclm = 3.593326501e-7 ++ pdiblc1 = 7.492555200e-01 lpdiblc1 = -7.269607298e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.025 ++ drout = 1.096512000e-01 ldrout = 9.112898038e-7 ++ pscbe1 = 7.983183467e+08 lpscbe1 = -1.229870506e+1 ++ pscbe2 = 9.530520115e-09 lpscbe2 = -3.620850560e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.862313582e+00 lbeta0 = 1.848390856e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.563433413e-10 lagidl = 7.823789760e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.585366557e-01 lkt1 = -2.101090483e-8 ++ kt2 = -5.625426130e-02 lkt2 = 7.876068592e-9 ++ at = 9.703221664e+04 lat = -3.610003518e-2 ++ ute = 9.359355551e-01 lute = -2.030761278e-06 wute = 8.881784197e-22 pute = 6.217248938e-27 ++ ua1 = 6.136533540e-09 lua1 = -6.721391901e-15 ++ ub1 = -4.270929418e-18 lub1 = 5.287314936e-24 pub1 = -2.465190329e-44 ++ uc1 = -1.285649500e-10 luc1 = 2.000815094e-16 wuc1 = 4.135903063e-31 puc1 = 4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.113 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093776008e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.267404639e-8 ++ k1 = 5.229315034e-01 lk1 = -6.902427879e-9 ++ k2 = -6.829846957e-03 lk2 = 3.045761312e-10 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.255329254e+00 ldsub = -4.898062988e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.995124970e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.365735489e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.728101006e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.838195797e-7 ++ eta0 = -2.358444013e-02 leta0 = 2.493135462e-07 peta0 = 8.881784197e-28 ++ etab = -1.689932780e+00 letab = 8.843711865e-7 ++ u0 = 1.007163256e-02 lu0 = -1.863453839e-9 ++ ua = -5.806459616e-10 lua = -3.245628577e-16 ++ ub = 8.868137281e-19 lub = 1.828946535e-25 ++ uc = -9.986617302e-11 luc = 3.596303128e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -5.927833243e+04 lvsat = 1.091669364e-01 pvsat = -2.328306437e-22 ++ a0 = 1.179359238e+00 la0 = -2.261735991e-7 ++ ags = -4.941595706e-02 lags = 6.802702418e-7 ++ a1 = 0.0 ++ a2 = 1.050779681e+00 la2 = -5.198425436e-8 ++ b0 = -9.183315919e-17 lb0 = 9.399307509e-23 ++ b1 = -3.817924830e-20 lb1 = 3.907722422e-26 ++ keta = 1.192995869e-02 lketa = -2.622765772e-08 pketa = -5.551115123e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.588106337e+00 lpclm = -8.004017569e-7 ++ pdiblc1 = 6.353741327e-02 lpdiblc1 = -2.511453323e-8 ++ pdiblc2 = 7.762209763e-04 lpdiblc2 = -3.543640937e-10 ++ pdiblcb = -1.404746093e-02 lpdiblcb = -1.121014279e-8 ++ drout = 1.039619329e+00 ldrout = -4.055117553e-8 ++ pscbe1 = 8.256802058e+08 lpscbe1 = -4.030411509e+1 ++ pscbe2 = 3.429057487e-09 lpscbe2 = 5.882883972e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.559046608e+00 lbeta0 = 1.135270669e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.040012878e-09 lagidl = -5.444675421e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.216831793e-01 lkt1 = -5.873117501e-8 ++ kt2 = -6.301890653e-02 lkt2 = 1.479981827e-8 ++ at = 8.604123040e+04 lat = -2.485054094e-2 ++ ute = -1.934483867e+00 lute = 9.071704088e-7 ++ ua1 = -3.495144579e-09 lua1 = 3.136823287e-15 wua1 = 3.308722450e-30 pua1 = -3.308722450e-36 ++ ub1 = 4.257545597e-18 lub1 = -3.441749811e-24 pub1 = 6.162975822e-45 ++ uc1 = 3.311138654e-10 luc1 = -2.704089518e-16 puc1 = 8.271806126e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.114 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.045375803e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.664428756e-9 ++ k1 = 4.352213524e-01 lk1 = 3.901559036e-8 ++ k2 = 1.408936088e-02 lk2 = -1.064704755e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.888704683e-01 ldsub = 2.662611400e-07 pdsub = 8.881784197e-28 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.914141847e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.605363981e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.710086311e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.932506331e-7 ++ eta0 = 4.117672797e-01 leta0 = 2.139821381e-8 ++ etab = -1.291129272e-03 letab = 3.335097045e-10 ++ u0 = 7.485205816e-03 lu0 = -5.094077095e-10 ++ ua = -1.063421140e-09 lua = -7.182039643e-17 ++ ub = 1.148080500e-18 lub = 4.611627323e-26 ++ uc = -6.419271038e-11 luc = 1.728726011e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.425608788e+05 lvsat = 3.500072552e-3 ++ a0 = 8.919849864e-01 la0 = -7.572743094e-8 ++ ags = 9.698322768e-01 lags = 1.466734064e-7 ++ a1 = 0.0 ++ a2 = 1.121568012e+00 la2 = -8.904336116e-8 ++ b0 = 1.836663184e-16 lb0 = -5.023641140e-23 ++ b1 = 7.635849659e-20 lb1 = -2.088557599e-26 ++ keta = -4.524308758e-02 lketa = 3.703575468e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.731343976e-01 lpclm = 3.310509927e-07 wpclm = -8.881784197e-22 ppclm = 6.661338148e-28 ++ pdiblc1 = -4.101030779e-01 lpdiblc1 = 2.228457367e-07 wpdiblc1 = 6.661338148e-22 ppdiblc1 = -1.110223025e-28 ++ pdiblc2 = -9.175377595e-03 lpdiblc2 = 4.855496791e-09 wpdiblc2 = -1.561251128e-23 ppdiblc2 = -5.854691731e-30 ++ pdiblcb = 2.408596814e-01 lpdiblcb = -1.446591300e-07 wpdiblcb = 4.440892099e-22 ppdiblcb = -3.330669074e-28 ++ drout = 1.436421824e+00 ldrout = -2.482852179e-7 ++ pscbe1 = 6.925891618e+08 lpscbe1 = 2.937170827e+1 ++ pscbe2 = 2.103542680e-08 lpscbe2 = -3.334402489e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.528056950e+00 lbeta0 = 1.044543745e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.460023937e-01 lkt1 = 6.352420121e-9 ++ kt2 = -1.498550792e-02 lkt2 = -1.034662657e-8 ++ at = 6.500485322e+03 lat = 1.679062992e-2 ++ ute = 4.908242408e-01 lute = -3.625268917e-07 wute = -1.776356839e-21 pute = 4.440892099e-28 ++ ua1 = 4.905387581e-09 lua1 = -1.261023309e-15 ++ ub1 = -4.666106948e-18 lub1 = 1.229960769e-24 ++ uc1 = -2.811617509e-10 luc1 = 5.012957887e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.115 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-2.483322151e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.206717909e-07 wvth0 = -6.274368648e-07 pvth0 = 1.716165313e-13 ++ k1 = -1.323742875e+00 lk1 = 5.201274859e-07 wk1 = 2.893998836e-07 pk1 = -7.915665615e-14 ++ k2 = -5.144293646e-01 lk2 = 1.339133943e-07 wk2 = 8.711098293e-07 pk2 = -2.382659605e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 3.287138683e+00 ldsub = -6.844968832e-07 wdsub = -9.214571771e-07 pdsub = 2.520369671e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-5.405648109e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 8.689431532e-08 wvoff = 3.273346356e-07 pvoff = -8.953256953e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-9.309241210e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.207257097e-06 wnfactor = 7.641529031e-06 pnfactor = -2.090111021e-12 ++ eta0 = 3.719710767e+00 leta0 = -8.833904888e-07 weta0 = -2.006528872e-06 peta0 = 5.488257770e-13 ++ etab = 3.187780279e-01 letab = -8.721180617e-08 wetab = -1.966837002e-07 petab = 5.379692568e-14 ++ u0 = -5.913216582e-03 lu0 = 3.155328785e-09 wu0 = 1.616256705e-08 pu0 = -4.420785341e-15 ++ ua = 1.295367533e-09 lua = -7.169962742e-16 wua = 2.645110211e-16 pua = -7.234905449e-23 ++ ub = -7.292222104e-19 lub = 5.595961105e-25 wub = -5.369217482e-26 pub = 1.468588366e-32 ++ uc = -1.253042192e-10 luc = 3.400248001e-17 wuc = 9.952755882e-17 puc = -2.722277789e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.616214341e+06 lvsat = -9.466136224e-01 wvsat = -2.666449683e+00 pvsat = 7.293273173e-7 ++ a0 = -3.205044165e+00 la0 = 1.044891983e-06 wa0 = 1.900097032e-06 pa0 = -5.197145403e-13 ++ ags = 2.250599016e+00 lags = -2.036419122e-07 wags = -2.503131213e-14 pags = 6.846562428e-21 ++ a1 = 0.0 ++ a2 = 2.169154490e+00 la2 = -3.755792148e-07 wa2 = -7.675998812e-07 pa2 = 2.099539195e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.465179654e-01 lketa = 5.875628005e-08 wketa = 9.887401625e-08 pketa = -2.704402092e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.693889164e-01 lpclm = -8.803984157e-09 wpclm = 9.678832864e-09 ppclm = -2.647354365e-15 ++ pdiblc1 = 2.785383071e+00 lpdiblc1 = -6.511836349e-07 wpdiblc1 = -1.389580021e-06 ppdiblc1 = 3.800779274e-13 ++ pdiblc2 = -1.387835366e-03 lpdiblc2 = 2.725448240e-09 wpdiblc2 = 1.944773556e-08 ppdiblc2 = -5.319344629e-15 ++ pdiblcb = -6.879811727e+00 lpdiblcb = 1.802986914e-06 wpdiblcb = 5.632537432e-06 ppdiblcb = -1.540611638e-12 ++ drout = -8.416464080e-01 ldrout = 3.748120050e-07 wdrout = 1.129703094e-12 pdrout = -3.089963911e-19 ++ pscbe1 = 7.998956583e+08 lpscbe1 = 2.123534760e-02 wpscbe1 = -3.383621216e-06 ppscbe1 = 9.254913330e-13 ++ pscbe2 = -6.974408175e-09 lpscbe2 = 4.326847573e-15 wpscbe2 = 1.649352321e-14 ppscbe2 = -4.511308469e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.055619196e+01 lbeta0 = -4.502811130e-07 wbeta0 = -1.846049774e-08 pbeta0 = 5.049315343e-15 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.737565562e-08 lagidl = 7.487789324e-15 wagidl = 2.193534633e-14 pagidl = -5.999755928e-21 ++ bgidl = 1.938486441e+09 lbgidl = -1.910007526e+02 wbgidl = -1.255871582e-05 pbgidl = 3.435058594e-12 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -9.389193611e-01 lkt1 = 1.138230690e-07 wkt1 = 3.739822980e-07 pkt1 = -1.022916382e-13 ++ kt2 = 4.841924118e-01 lkt2 = -1.468817712e-07 wkt2 = -3.372881892e-07 pkt2 = 9.225506552e-14 ++ at = -6.222650337e+04 lat = 3.558883587e-02 wat = 1.704428721e-01 pat = -4.661953438e-8 ++ ute = -7.028629373e+00 lute = 1.694194061e-06 wute = 3.213560127e-06 pute = -8.789729658e-13 ++ ua1 = -4.563875964e-09 lua1 = 1.329009656e-15 wua1 = 2.919460567e-15 pua1 = -7.985308542e-22 ++ ub1 = 3.952316636e-18 lub1 = -1.127350450e-24 wub1 = -2.660820307e-24 pub1 = 7.277875704e-31 ++ uc1 = -3.851865440e-10 luc1 = 7.858244028e-17 wuc1 = 5.460638120e-17 puc1 = -1.493593739e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.116 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 8.2e-07 wmax = 8.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-2.100937778e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.694321008e-07 wvth0 = 8.468124453e-07 pvth0 = -1.391498193e-13 ++ k1 = 1.231916698e+00 lk1 = -3.797529189e-13 wk1 = -9.953965721e-08 pk1 = 3.458469280e-19 ++ k2 = -3.799091694e-01 lk2 = 1.154347698e-07 wk2 = 1.302928486e-07 pk2 = -9.480332564e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -7.615957108e-02 ldsub = 1.709356880e-12 wdsub = 3.169365931e-07 pdsub = -1.010960535e-18 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.136077675e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.932645866e-14 wvoff = -1.125855398e-07 pvoff = -1.672515815e-20 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {6.449693825e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.475572162e-12 wnfactor = -2.628283537e-06 pnfactor = 1.336190223e-18 ++ eta0 = -6.208466612e-01 leta0 = -2.611834482e-13 weta0 = 6.901379403e-07 peta0 = 1.597411927e-19 ++ etab = -1.097401186e-01 letab = 2.242988286e-13 wetab = 6.764927297e-08 petab = -1.311298694e-19 ++ u0 = -7.054273728e-03 lu0 = 3.670518923e-09 wu0 = 8.110880352e-09 pu0 = -3.014495033e-15 ++ ua = -2.227607364e-09 lua = -4.584191544e-22 wua = -9.097914396e-17 pua = 3.292879493e-28 ++ ub = 2.020361843e-18 lub = 8.278891576e-31 wub = 1.846947385e-26 pub = -4.930923246e-37 ++ uc = 4.176772084e-11 luc = -1.332166199e-24 wuc = -3.423215834e-17 puc = -2.739104635e-31 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.585640873e+06 lvsat = -5.779020780e-01 wvsat = -1.235136989e+00 pvsat = 4.746148611e-7 ++ a0 = 1.929051414e+00 la0 = 9.213504484e-13 wa0 = -6.535284660e-07 pa0 = -7.355759166e-19 ++ ags = 1.249999989e+00 lags = 2.073733185e-15 wags = 6.102618499e-14 pags = -1.155895291e-20 ++ a1 = 0.0 ++ a2 = 3.237378198e-01 la2 = -1.520076864e-14 wa2 = 2.640132694e-07 pa2 = 1.201360789e-20 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 4.218281402e-02 lketa = -1.111449523e-13 wketa = -3.400766685e-08 pketa = 6.416587225e-20 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.261299533e-01 lpclm = 8.669641005e-14 wpclm = -3.328746847e-09 ppclm = -5.606420572e-20 ++ pdiblc1 = -4.142237009e-01 lpdiblc1 = 3.634762766e-13 wpdiblc1 = 4.779425119e-07 ppdiblc1 = -2.801234755e-19 ++ pdiblc2 = 1.200367986e-02 lpdiblc2 = 7.651560968e-15 wpdiblc2 = -6.688965112e-09 ppdiblc2 = -3.585787722e-21 ++ pdiblcb = 1.979201262e+00 lpdiblcb = 6.395183334e-13 wpdiblcb = -1.937289976e-06 ppdiblcb = -3.946861966e-19 ++ drout = 1.000004514e+00 ldrout = -8.567691552e-13 wdrout = -2.766096316e-12 pdrout = 5.242948689e-19 ++ pscbe1 = 7.999999852e+08 lpscbe1 = 2.968872070e-06 wpscbe1 = 1.251791382e-05 ppscbe1 = -2.503814697e-12 ++ pscbe2 = 1.428565304e-08 lpscbe2 = -9.259324781e-23 wpscbe2 = -5.672892524e-15 ppscbe2 = 5.001148277e-28 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.343718675e+00 lbeta0 = 1.570862707e-12 wbeta0 = 6.353218810e-09 pbeta0 = -8.367560440e-19 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 9.415762829e-09 lagidl = -1.709634062e-22 wagidl = -7.544587114e-15 pagidl = 1.369881918e-28 ++ bgidl = 1.000000352e+09 lbgidl = -6.917549896e-05 wbgidl = -2.573889160e-05 pbgidl = 6.628479004e-12 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.796464236e-01 lkt1 = -1.725111254e-13 wkt1 = -1.286305751e-07 pkt1 = 1.449680873e-19 ++ kt2 = -2.375137447e-01 lkt2 = -1.454123630e-13 wkt2 = 1.160085502e-07 pkt2 = 1.225579940e-19 ++ at = 1.126398909e+05 lat = 2.957911044e-08 wat = -5.862312017e-02 pat = -2.560526552e-14 ++ ute = 1.295830162e+00 lute = 6.090733606e-14 wute = -1.105292877e-06 pute = -2.684970912e-21 ++ ua1 = 1.966235506e-09 lua1 = 1.483836552e-21 wua1 = -1.004133498e-15 pua1 = -1.072934993e-27 ++ ub1 = -1.586945149e-18 lub1 = 1.181096969e-31 wub1 = 9.151798138e-25 pub1 = 2.794307721e-38 ++ uc1 = 9.299026423e-13 luc1 = 2.282398126e-23 wuc1 = -1.878160053e-17 puc1 = -1.662715749e-29 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.117 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.118 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.151806267e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.104849604e-7 ++ k1 = 4.210498003e-01 lk1 = 2.123656422e-7 ++ k2 = 3.098838670e-02 lk2 = -8.026149068e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = -3.388131789e-27 pcit = -1.355252716e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.428791561e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.475194627e-07 wvoff = 8.881784197e-22 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.918471956e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.325930941e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 9.965979359e-03 lu0 = -7.600514880e-9 ++ ua = -7.615294670e-10 lua = 2.793342514e-16 ++ ub = 9.271909546e-19 lub = 4.660072882e-25 ++ uc = -1.283201274e-10 luc = 4.536756436e-16 wuc = 4.135903063e-31 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.656289816e+04 lvsat = 1.073122547e+0 ++ a0 = 1.603503605e+00 la0 = -2.793353225e-6 ++ ags = 2.651897433e-02 lags = 1.737461368e-06 pags = 3.552713679e-27 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = -1.462050685e-07 lb0 = 1.173079291e-12 ++ b1 = -1.128592332e-08 lb1 = 9.055283150e-14 ++ keta = 4.023168533e-02 lketa = -3.378053190e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-06 wpclm = 1.110223025e-22 ppclm = 1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.917610060e-03 lpdiblc2 = -1.282408862e-8 ++ pdiblcb = -2.717239457e-01 lpdiblcb = 9.355778616e-7 ++ drout = 0.56 ++ pscbe1 = 8.000325956e+08 lpscbe1 = -6.526776473e-1 ++ pscbe2 = 1.315981805e-08 lpscbe2 = -6.205675405e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 4.586076776e+01 lbeta0 = -3.175884006e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.873215960e-09 lagidl = -1.948205518e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -4.251725813e-01 legidl = 1.051580369e-05 pegidl = -3.552713679e-27 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.365640579e-01 lkt1 = -3.375849616e-08 wkt1 = -1.776356839e-21 ++ kt2 = -6.268314622e-02 lkt2 = 8.284023011e-8 ++ at = 1.184558071e+05 lat = -9.504325371e-1 ++ ute = -5.002598587e-02 lute = -6.598553610e-7 ++ ua1 = 2.269041386e-09 lua1 = -3.581034063e-15 ++ ub1 = -1.571912915e-18 lub1 = 6.868325966e-24 wub1 = 6.162975822e-39 ++ uc1 = -4.384869988e-11 luc1 = 2.815246818e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.119 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090489314e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.850716039e-8 ++ k1 = 4.556728822e-01 lk1 = -6.543334786e-8 ++ k2 = 1.885398624e-02 lk2 = 1.709911408e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.057269452e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.057204472e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.150233085e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.692133146e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 8.536279952e-03 lu0 = 3.870706904e-9 ++ ua = -8.237874492e-10 lua = 7.788624164e-16 ++ ub = 1.048518672e-18 lub = -5.074680762e-25 ++ uc = -7.083802061e-11 luc = -7.533189791e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.678104074e+05 lvsat = -8.625316685e-1 ++ a0 = 1.343314159e+00 la0 = -7.057180005e-7 ++ ags = 2.050970535e-01 lags = 3.046365779e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.364571240e-04 lketa = -8.294256640e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.407132755e-01 lpclm = -8.003805848e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.397670217e-03 lpdiblc2 = 1.377612899e-08 wpdiblc2 = 1.734723476e-24 ppdiblc2 = 6.938893904e-30 ++ pdiblcb = -2.494013897e-01 lpdiblcb = 7.564723865e-07 wpdiblcb = 8.881784197e-22 ++ drout = 0.56 ++ pscbe1 = 1.227212561e+09 lpscbe1 = -3.428139670e+3 ++ pscbe2 = -1.711599940e-08 lpscbe2 = 1.808618728e-13 ppscbe2 = -1.058791184e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.429576331e+00 lbeta0 = 2.285911254e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.919420500e-10 lagidl = -1.980560338e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.675517744e+00 legidl = -6.339127153e-6 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.061103840e-01 lkt1 = -2.781041573e-7 ++ kt2 = -4.839276194e-02 lkt2 = -3.181895402e-8 ++ at = -9.120917488e+04 lat = 7.318186388e-1 ++ ute = -1.647219648e-01 lute = 2.604101198e-7 ++ ua1 = 5.703275549e-10 lua1 = 1.004863033e-14 ++ ub1 = 8.239234094e-19 lub1 = -1.235471470e-23 pub1 = -2.465190329e-44 ++ uc1 = -1.164852119e-11 luc1 = 2.316590399e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.120 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085337827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.219949320e-9 ++ k1 = 4.428072554e-01 lk1 = -1.366824095e-8 ++ k2 = 2.193345702e-02 lk2 = 4.708801823e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.622137339e-01 ldsub = -1.215963003e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.337857314e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.232304277e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.881674337e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.788165241e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403185835e-01 letab = 2.829282271e-7 ++ u0 = 8.634054608e-03 lu0 = 3.477308620e-9 ++ ua = -8.215637429e-10 lua = 7.699152898e-16 ++ ub = 1.011504174e-18 lub = -3.585395030e-25 ++ uc = -7.560382119e-11 luc = 1.164210416e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 53438.0 ++ a0 = 1.175519680e+00 la0 = -3.059355826e-8 ++ ags = 1.623197394e-01 lags = 4.767519570e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.578345336e-03 lketa = -3.812786263e-08 wketa = 1.387778781e-23 pketa = 2.775557562e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.559724103e-01 lpclm = 2.405100206e-06 wpclm = -4.440892099e-22 ppclm = -8.881784197e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.641230659e-03 lpdiblc2 = -6.497989463e-9 ++ pdiblcb = -9.820554637e-02 lpdiblcb = 1.481328872e-7 ++ drout = 0.56 ++ pscbe1 = -4.676991234e+07 lpscbe1 = 1.697754289e+03 ppscbe1 = -3.814697266e-18 ++ pscbe2 = 4.653609693e-08 lpscbe2 = -7.524360986e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.147359093e+01 lbeta0 = -9.506141085e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.699096204e-10 lagidl = -2.825044171e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.816142056e-01 lkt1 = 2.568697892e-8 ++ kt2 = -6.028632264e-02 lkt2 = 1.603502535e-8 ++ at = 1.022950518e+05 lat = -4.674948746e-2 ++ ute = -1.327375183e-01 lute = 1.317200597e-7 ++ ua1 = 3.323674104e-09 lua1 = -1.029514575e-15 ++ ub1 = -2.842323112e-18 lub1 = 2.396501504e-24 ++ uc1 = 1.818504214e-11 luc1 = -9.687003470e-17 puc1 = -2.067951531e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.121 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101595176e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.067712146e-8 ++ k1 = 3.540326720e-01 lk1 = 1.659689040e-7 ++ k2 = 5.577749960e-02 lk2 = -6.377529522e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.663045674e-01 ldsub = 1.067616350e-06 pdsub = -1.776356839e-27 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.021762939e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.639286090e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.430472285e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.350714714e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = -2.775557562e-22 peta0 = -2.567390744e-28 ++ etab = 8.442995791e-01 letab = -1.709466317e-06 wetab = -5.481726184e-22 petab = 2.914335440e-28 ++ u0 = 1.250342728e-02 lu0 = -4.352444370e-09 wu0 = 5.551115123e-23 ++ ua = 2.633023699e-11 lua = -9.458151364e-16 ++ ub = 5.976931819e-19 lub = 4.788153150e-25 ++ uc = -7.509174596e-11 luc = 1.060590969e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.963848416e+04 lvsat = -1.254680371e-2 ++ a0 = 1.367169856e+00 la0 = -4.184015232e-7 ++ ags = 1.755171746e-01 lags = 4.500466829e-7 ++ a1 = 0.0 ++ a2 = 5.953062352e-01 la2 = 4.142019269e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.079934288e-02 lketa = -2.963762975e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.550220723e-01 lpclm = 3.593326501e-7 ++ pdiblc1 = 7.492555200e-01 lpdiblc1 = -7.269607298e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.025 ++ drout = 1.096512000e-01 ldrout = 9.112898038e-7 ++ pscbe1 = 7.983183467e+08 lpscbe1 = -1.229870506e+1 ++ pscbe2 = 9.530520115e-09 lpscbe2 = -3.620850560e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.862313582e+00 lbeta0 = 1.848390856e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.563433413e-10 lagidl = 7.823789760e-16 pagidl = 1.654361225e-36 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.585366557e-01 lkt1 = -2.101090483e-8 ++ kt2 = -5.625426130e-02 lkt2 = 7.876068592e-9 ++ at = 9.703221664e+04 lat = -3.610003518e-2 ++ ute = 9.359355551e-01 lute = -2.030761278e-06 wute = -4.440892099e-22 pute = -8.881784197e-28 ++ ua1 = 6.136533540e-09 lua1 = -6.721391901e-15 ++ ub1 = -4.270929418e-18 lub1 = 5.287314936e-24 pub1 = -1.232595164e-44 ++ uc1 = -1.285649500e-10 luc1 = 2.000815094e-16 puc1 = 4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.122 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093776008e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.267404639e-8 ++ k1 = 5.229315034e-01 lk1 = -6.902427879e-9 ++ k2 = -6.829846957e-03 lk2 = 3.045761312e-10 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.255329254e+00 ldsub = -4.898062988e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.995124970e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.365735489e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.728101006e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.838195797e-7 ++ eta0 = -2.358444013e-02 leta0 = 2.493135462e-7 ++ etab = -1.689932780e+00 letab = 8.843711865e-7 ++ u0 = 1.007163256e-02 lu0 = -1.863453839e-9 ++ ua = -5.806459616e-10 lua = -3.245628577e-16 ++ ub = 8.868137281e-19 lub = 1.828946535e-25 ++ uc = -9.986617302e-11 luc = 3.596303128e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -5.927833243e+04 lvsat = 1.091669364e-1 ++ a0 = 1.179359238e+00 la0 = -2.261735991e-7 ++ ags = -4.941595706e-02 lags = 6.802702418e-7 ++ a1 = 0.0 ++ a2 = 1.050779681e+00 la2 = -5.198425436e-8 ++ b0 = -9.183315919e-17 lb0 = 9.399307509e-23 ++ b1 = -3.817924830e-20 lb1 = 3.907722422e-26 ++ keta = 1.192995869e-02 lketa = -2.622765772e-08 pketa = -5.551115123e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.588106337e+00 lpclm = -8.004017569e-7 ++ pdiblc1 = 6.353741327e-02 lpdiblc1 = -2.511453323e-08 ppdiblc1 = -1.110223025e-28 ++ pdiblc2 = 7.762209763e-04 lpdiblc2 = -3.543640937e-10 ++ pdiblcb = -1.404746093e-02 lpdiblcb = -1.121014279e-8 ++ drout = 1.039619329e+00 ldrout = -4.055117553e-8 ++ pscbe1 = 8.256802058e+08 lpscbe1 = -4.030411509e+1 ++ pscbe2 = 3.429057487e-09 lpscbe2 = 5.882883972e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.559046608e+00 lbeta0 = 1.135270669e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.040012878e-09 lagidl = -5.444675421e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.216831793e-01 lkt1 = -5.873117501e-8 ++ kt2 = -6.301890653e-02 lkt2 = 1.479981827e-8 ++ at = 8.604123040e+04 lat = -2.485054094e-2 ++ ute = -1.934483867e+00 lute = 9.071704088e-7 ++ ua1 = -3.495144579e-09 lua1 = 3.136823287e-15 wua1 = 6.617444900e-30 pua1 = -4.963083675e-36 ++ ub1 = 4.257545597e-18 lub1 = -3.441749811e-24 wub1 = -6.162975822e-39 ++ uc1 = 3.311138654e-10 luc1 = -2.704089518e-16 wuc1 = 4.135903063e-31 puc1 = -4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.123 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.045375803e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.664428756e-9 ++ k1 = 4.352213524e-01 lk1 = 3.901559036e-8 ++ k2 = 1.408936088e-02 lk2 = -1.064704755e-08 pk2 = 1.387778781e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.888704683e-01 ldsub = 2.662611400e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.914141847e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.605363981e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.710086311e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.932506331e-7 ++ eta0 = 4.117672797e-01 leta0 = 2.139821381e-8 ++ etab = -1.291129272e-03 letab = 3.335097045e-10 ++ u0 = 7.485205816e-03 lu0 = -5.094077095e-10 ++ ua = -1.063421140e-09 lua = -7.182039643e-17 ++ ub = 1.148080500e-18 lub = 4.611627323e-26 ++ uc = -6.419271038e-11 luc = 1.728726011e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.425608788e+05 lvsat = 3.500072552e-3 ++ a0 = 8.919849864e-01 la0 = -7.572743094e-8 ++ ags = 9.698322768e-01 lags = 1.466734064e-7 ++ a1 = 0.0 ++ a2 = 1.121568012e+00 la2 = -8.904336116e-8 ++ b0 = 1.836663184e-16 lb0 = -5.023641140e-23 ++ b1 = 7.635849659e-20 lb1 = -2.088557599e-26 ++ keta = -4.524308758e-02 lketa = 3.703575468e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.731343976e-01 lpclm = 3.310509927e-07 wpclm = 4.440892099e-22 ppclm = -2.220446049e-28 ++ pdiblc1 = -4.101030779e-01 lpdiblc1 = 2.228457367e-07 wpdiblc1 = 2.220446049e-22 ppdiblc1 = -2.775557562e-28 ++ pdiblc2 = -9.175377595e-03 lpdiblc2 = 4.855496791e-09 wpdiblc2 = -1.214306433e-23 ppdiblc2 = 1.084202172e-30 ++ pdiblcb = 2.408596814e-01 lpdiblcb = -1.446591300e-07 wpdiblcb = 4.440892099e-22 ++ drout = 1.436421824e+00 ldrout = -2.482852179e-7 ++ pscbe1 = 6.925891618e+08 lpscbe1 = 2.937170827e+1 ++ pscbe2 = 2.103542680e-08 lpscbe2 = -3.334402489e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.528056950e+00 lbeta0 = 1.044543745e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.460023937e-01 lkt1 = 6.352420121e-9 ++ kt2 = -1.498550792e-02 lkt2 = -1.034662657e-8 ++ at = 6.500485322e+03 lat = 1.679062992e-2 ++ ute = 4.908242408e-01 lute = -3.625268917e-7 ++ ua1 = 4.905387581e-09 lua1 = -1.261023309e-15 ++ ub1 = -4.666106948e-18 lub1 = 1.229960769e-24 ++ uc1 = -2.811617509e-10 luc1 = 5.012957887e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.124 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.031383245e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.491673223e-9 ++ k1 = -9.625672899e-01 lk1 = 4.213387398e-7 ++ k2 = 5.727293397e-01 lk2 = -1.634462546e-07 wk2 = -4.440892099e-22 pk2 = -2.220446049e-28 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.137145701e+00 ldsub = -3.699508026e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.320460612e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.484373310e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.275066502e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.987658219e-7 ++ eta0 = 1.215531322e+00 leta0 = -1.984473271e-7 ++ etab = 7.331369097e-02 letab = -2.007240073e-08 wetab = 1.647987302e-23 petab = -8.673617380e-30 ++ u0 = 1.425792013e-02 lu0 = -2.361880528e-9 ++ ua = 1.625481428e-09 lua = -8.072890268e-16 ++ ub = -7.962308851e-19 lub = 5.779243232e-25 ++ uc = -1.092267674e-12 luc = 2.802702628e-20 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.884433928e+05 lvsat = -3.640171267e-2 ++ a0 = -8.336933221e-01 la0 = 3.962801000e-7 ++ ags = 2.250598985e+00 lags = -2.036419037e-7 ++ a1 = 0.0 ++ a2 = 1.211177822e+00 la2 = -1.135534364e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.231216453e-01 lketa = 2.500491856e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.814682513e-01 lpclm = -1.210792385e-8 ++ pdiblc1 = 1.051165451e+00 lpdiblc1 = -1.768404313e-7 ++ pdiblc2 = 2.288324307e-02 lpdiblc2 = -3.913177133e-9 ++ pdiblcb = 1.496831658e-01 lpdiblcb = -1.197205294e-7 ++ drout = -8.416449981e-01 ldrout = 3.748116193e-7 ++ pscbe1 = 7.998956541e+08 lpscbe1 = 2.123650263e-2 ++ pscbe2 = 1.360976700e-08 lpscbe2 = -1.303336022e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.053315297e+01 lbeta0 = -4.439794884e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 1.938486425e+09 lbgidl = -1.910007483e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.721835984e-01 lkt1 = -1.383849677e-8 ++ kt2 = 6.325147130e-02 lkt2 = -3.174600512e-8 ++ at = 1.504888693e+05 lat = -2.259307287e-2 ++ ute = -3.018056026e+00 lute = 5.972220390e-07 pute = 1.776356839e-27 ++ ua1 = -9.203434720e-10 lua1 = 3.324306487e-16 ++ ub1 = 6.315712371e-19 lub1 = -2.190601681e-25 ++ uc1 = -3.170369254e-10 luc1 = 5.994215660e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.125 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 7.9e-07 wmax = 8.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.334777041e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.987047813e-08 wvth0 = 2.329092998e-07 pvth0 = -5.136115879e-14 ++ k1 = 3.187794420e-01 lk1 = 1.739705304e-07 wk1 = 6.321316583e-07 pk1 = -1.393976733e-13 ++ k2 = -2.343119070e-01 lk2 = 8.698360709e-10 wk2 = 1.362983893e-08 pk2 = -3.005652080e-15 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.831294506e+00 ldsub = -5.539264412e-07 wdsub = -2.012724951e-06 pdsub = 4.438461061e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.146439752e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.967751696e-07 wvoff = 7.149938099e-07 pvoff = -1.576704350e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.766145895e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.593655350e-06 wnfactor = 1.669130807e-05 pnfactor = -3.680767256e-12 ++ eta0 = 5.710310891e+00 leta0 = -1.206212398e-06 weta0 = -4.382841334e-06 peta0 = 9.665041709e-13 ++ etab = 5.108517495e-01 letab = -1.182349385e-07 wetab = -4.296136144e-07 petab = 9.473839425e-14 ++ u0 = -6.166418921e-05 lu0 = 5.985866220e-10 wu0 = 2.507898122e-09 pu0 = -5.530416939e-16 ++ ua = -3.062213224e-09 lua = 1.590086471e-16 wua = 5.777671626e-16 pua = -1.274092147e-22 ++ ub = 2.189775517e-18 lub = -3.227586245e-26 wub = -1.172769596e-25 pub = 2.586191513e-32 ++ uc = -2.722694536e-10 luc = 5.983036117e-17 wuc = 2.173970365e-16 puc = -4.794039450e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -2.153950831e+05 lvsat = 7.166411754e-02 wvsat = 2.079826933e-01 pvsat = -4.586434353e-8 ++ a0 = -4.066283983e+00 la0 = 1.142232220e-06 wa0 = 4.150365918e-06 pa0 = -9.152386923e-13 ++ ags = 1.250000065e+00 lags = -1.235200386e-14 ++ a1 = 0.0 ++ a2 = 2.745729274e+00 la2 = -4.614378268e-07 wa2 = -1.676660667e-06 pa2 = 3.697372102e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.697922500e-01 lketa = 5.943737803e-08 wketa = 2.159692167e-07 pketa = -4.762553166e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.955905271e-01 lpclm = 5.818458548e-09 wpclm = 2.114164028e-08 ppclm = -4.662154515e-15 ++ pdiblc1 = 3.970288360e+00 lpdiblc1 = -8.353368741e-07 wpdiblc1 = -3.035244236e-06 ppdiblc1 = 6.693320589e-13 ++ pdiblc2 = -4.935934427e-02 lpdiblc2 = 1.169089103e-08 wpdiblc2 = 4.247950796e-08 ppdiblc2 = -9.367581094e-15 ++ pdiblcb = -1.579302345e+01 lpdiblcb = 3.385964893e-06 wpdiblcb = 1.230309606e-05 ppdiblcb = -2.713078744e-12 ++ drout = 1.000001062e+00 ldrout = -2.024409511e-13 ++ pscbe1 = 8.000000008e+08 lpscbe1 = -1.559181213e-7 ++ pscbe2 = -3.775600725e-08 lpscbe2 = 9.914977023e-15 wpscbe2 = 3.602663270e-14 ppscbe2 = -7.944593043e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.401966736e+00 lbeta0 = -1.109585119e-08 wbeta0 = -4.031932164e-08 pbeta0 = 8.891216809e-15 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 1.000000320e+09 lbgidl = -6.090304565e-5 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.559664757e+00 lkt1 = 2.248169204e-07 wkt1 = 8.168850748e-07 pkt1 = -1.801394967e-13 ++ kt2 = 8.267244544e-01 lkt2 = -2.027588072e-07 wkt2 = -7.367357200e-07 pkt2 = 1.624649610e-13 ++ at = -4.251548531e+05 lat = 1.024606843e-01 wat = 3.722967500e-01 pat = -8.209887930e-8 ++ ute = -8.843847175e+00 lute = 1.931811385e-06 wute = 7.019346662e-06 pute = -1.547906326e-12 ++ ua1 = -7.245475874e-09 lua1 = 1.755016736e-15 wua1 = 6.376952903e-15 pua1 = -1.406245654e-21 ++ ub1 = 6.808684109e-18 lub1 = -1.599535167e-24 wub1 = -5.812002834e-24 pub1 = 1.281662865e-30 ++ uc1 = -1.713684412e-10 luc1 = 3.282630327e-17 wuc1 = 1.192762380e-16 puc1 = -2.630279601e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.126 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.127 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.151806267e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.104849604e-7 ++ k1 = 4.210498003e-01 lk1 = 2.123656422e-7 ++ k2 = 3.098838670e-02 lk2 = -8.026149068e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = 1.694065895e-27 pcit = 6.776263578e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.428791561e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.475194627e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.918471956e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.325930941e-7 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 9.965979359e-03 lu0 = -7.600514880e-09 wu0 = 2.775557562e-23 ++ ua = -7.615294670e-10 lua = 2.793342514e-16 ++ ub = 9.271909546e-19 lub = 4.660072882e-25 ++ uc = -1.283201274e-10 luc = 4.536756436e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.656289816e+04 lvsat = 1.073122547e+0 ++ a0 = 1.603503605e+00 la0 = -2.793353225e-6 ++ ags = 2.651897433e-02 lags = 1.737461368e-6 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = -1.462050685e-07 lb0 = 1.173079291e-12 ++ b1 = -1.128592332e-08 lb1 = 9.055283150e-14 ++ keta = 4.023168533e-02 lketa = -3.378053190e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.917610060e-03 lpdiblc2 = -1.282408862e-08 ppdiblc2 = 2.775557562e-29 ++ pdiblcb = -2.717239457e-01 lpdiblcb = 9.355778616e-7 ++ drout = 0.56 ++ pscbe1 = 8.000325955e+08 lpscbe1 = -6.526776473e-1 ++ pscbe2 = 1.315981805e-08 lpscbe2 = -6.205675405e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 4.586076776e+01 lbeta0 = -3.175884006e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.873215960e-09 lagidl = -1.948205518e-14 wagidl = 6.617444900e-30 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -4.251725813e-01 legidl = 1.051580369e-05 wegidl = 4.440892099e-22 pegidl = 1.065814104e-26 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.365640579e-01 lkt1 = -3.375849616e-8 ++ kt2 = -6.268314622e-02 lkt2 = 8.284023011e-8 ++ at = 1.184558071e+05 lat = -9.504325371e-1 ++ ute = -5.002598587e-02 lute = -6.598553610e-7 ++ ua1 = 2.269041386e-09 lua1 = -3.581034063e-15 ++ ub1 = -1.571912915e-18 lub1 = 6.868325966e-24 ++ uc1 = -4.384869988e-11 luc1 = 2.815246818e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.128 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.090489314e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.850716039e-8 ++ k1 = 4.556728822e-01 lk1 = -6.543334786e-8 ++ k2 = 1.885398624e-02 lk2 = 1.709911408e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.057269452e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.057204472e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.150233085e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.692133146e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 8.536279952e-03 lu0 = 3.870706904e-9 ++ ua = -8.237874492e-10 lua = 7.788624164e-16 ++ ub = 1.048518672e-18 lub = -5.074680762e-25 ++ uc = -7.083802061e-11 luc = -7.533189791e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.678104074e+05 lvsat = -8.625316685e-1 ++ a0 = 1.343314159e+00 la0 = -7.057180005e-7 ++ ags = 2.050970535e-01 lags = 3.046365779e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.364571240e-04 lketa = -8.294256640e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.407132755e-01 lpclm = -8.003805848e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.397670217e-03 lpdiblc2 = 1.377612899e-08 wpdiblc2 = -1.734723476e-24 ppdiblc2 = 6.938893904e-30 ++ pdiblcb = -2.494013897e-01 lpdiblcb = 7.564723865e-7 ++ drout = 0.56 ++ pscbe1 = 1.227212561e+09 lpscbe1 = -3.428139670e+3 ++ pscbe2 = -1.711599940e-08 lpscbe2 = 1.808618728e-13 wpscbe2 = -2.646977960e-29 ppscbe2 = 1.058791184e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.429576331e+00 lbeta0 = 2.285911254e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.919420500e-10 lagidl = -1.980560338e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 1.675517744e+00 legidl = -6.339127153e-06 pegidl = -1.421085472e-26 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.061103840e-01 lkt1 = -2.781041573e-7 ++ kt2 = -4.839276194e-02 lkt2 = -3.181895402e-8 ++ at = -9.120917488e+04 lat = 7.318186388e-1 ++ ute = -1.647219648e-01 lute = 2.604101198e-7 ++ ua1 = 5.703275549e-10 lua1 = 1.004863033e-14 ++ ub1 = 8.239234094e-19 lub1 = -1.235471470e-23 pub1 = -1.232595164e-44 ++ uc1 = -1.164852119e-11 luc1 = 2.316590399e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.129 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.085337827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.219949320e-9 ++ k1 = 4.428072554e-01 lk1 = -1.366824095e-8 ++ k2 = 2.193345702e-02 lk2 = 4.708801823e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.622137339e-01 ldsub = -1.215963003e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.337857314e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.232304277e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.881674337e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.788165241e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403185835e-01 letab = 2.829282271e-7 ++ u0 = 8.634054608e-03 lu0 = 3.477308620e-9 ++ ua = -8.215637429e-10 lua = 7.699152898e-16 ++ ub = 1.011504174e-18 lub = -3.585395030e-25 ++ uc = -7.560382119e-11 luc = 1.164210416e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 53438.0 ++ a0 = 1.175519680e+00 la0 = -3.059355826e-8 ++ ags = 1.623197394e-01 lags = 4.767519570e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 6.578345336e-03 lketa = -3.812786263e-08 pketa = -2.775557562e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.559724103e-01 lpclm = 2.405100206e-06 ppclm = -3.552713679e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 3.641230659e-03 lpdiblc2 = -6.497989463e-9 ++ pdiblcb = -9.820554637e-02 lpdiblcb = 1.481328872e-07 ppdiblcb = -4.440892099e-28 ++ drout = 0.56 ++ pscbe1 = -4.676991234e+07 lpscbe1 = 1.697754289e+3 ++ pscbe2 = 4.653609693e-08 lpscbe2 = -7.524360986e-14 ppscbe2 = -2.117582368e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.147359093e+01 lbeta0 = -9.506141085e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.699096204e-10 lagidl = -2.825044171e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.816142056e-01 lkt1 = 2.568697892e-8 ++ kt2 = -6.028632264e-02 lkt2 = 1.603502535e-8 ++ at = 1.022950518e+05 lat = -4.674948746e-2 ++ ute = -1.327375183e-01 lute = 1.317200597e-7 ++ ua1 = 3.323674104e-09 lua1 = -1.029514575e-15 ++ ub1 = -2.842323112e-18 lub1 = 2.396501504e-24 ++ uc1 = 1.818504214e-11 luc1 = -9.687003470e-17 wuc1 = 2.584939414e-32 puc1 = 1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.130 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.101595176e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.067712146e-8 ++ k1 = 3.540326720e-01 lk1 = 1.659689040e-7 ++ k2 = 5.577749960e-02 lk2 = -6.377529522e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.663045674e-01 ldsub = 1.067616350e-06 pdsub = 8.881784197e-28 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.021762939e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.639286090e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.430472285e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.350714714e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = -5.204170428e-23 peta0 = 4.683753385e-29 ++ etab = 8.442995791e-01 letab = -1.709466317e-06 wetab = -6.800116026e-22 petab = -1.066854938e-27 ++ u0 = 1.250342728e-02 lu0 = -4.352444370e-9 ++ ua = 2.633023699e-11 lua = -9.458151364e-16 ++ ub = 5.976931819e-19 lub = 4.788153150e-25 ++ uc = -7.509174596e-11 luc = 1.060590969e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.963848416e+04 lvsat = -1.254680371e-2 ++ a0 = 1.367169856e+00 la0 = -4.184015232e-7 ++ ags = 1.755171746e-01 lags = 4.500466829e-7 ++ a1 = 0.0 ++ a2 = 5.953062352e-01 la2 = 4.142019269e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.079934288e-02 lketa = -2.963762975e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.550220723e-01 lpclm = 3.593326501e-7 ++ pdiblc1 = 7.492555200e-01 lpdiblc1 = -7.269607298e-7 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.025 ++ drout = 1.096512000e-01 ldrout = 9.112898038e-7 ++ pscbe1 = 7.983183467e+08 lpscbe1 = -1.229870506e+1 ++ pscbe2 = 9.530520115e-09 lpscbe2 = -3.620850560e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 5.862313582e+00 lbeta0 = 1.848390856e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.563433413e-10 lagidl = 7.823789760e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.585366557e-01 lkt1 = -2.101090483e-8 ++ kt2 = -5.625426130e-02 lkt2 = 7.876068592e-9 ++ at = 9.703221664e+04 lat = -3.610003518e-2 ++ ute = 9.359355551e-01 lute = -2.030761278e-06 wute = -8.881784197e-22 pute = 1.332267630e-27 ++ ua1 = 6.136533540e-09 lua1 = -6.721391901e-15 pua1 = 1.323488980e-35 ++ ub1 = -4.270929418e-18 lub1 = 5.287314936e-24 wub1 = -6.162975822e-39 pub1 = -6.162975822e-45 ++ uc1 = -1.285649500e-10 luc1 = 2.000815094e-16 wuc1 = -1.033975766e-31 puc1 = -1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.131 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.093776008e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.267404639e-8 ++ k1 = 5.229315034e-01 lk1 = -6.902427879e-9 ++ k2 = -6.829846957e-03 lk2 = 3.045761312e-10 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.255329254e+00 ldsub = -4.898062988e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.995124970e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -4.365735489e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.728101006e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.838195797e-7 ++ eta0 = -2.358444013e-02 leta0 = 2.493135462e-7 ++ etab = -1.689932780e+00 letab = 8.843711865e-7 ++ u0 = 1.007163256e-02 lu0 = -1.863453839e-9 ++ ua = -5.806459616e-10 lua = -3.245628577e-16 ++ ub = 8.868137281e-19 lub = 1.828946535e-25 ++ uc = -9.986617302e-11 luc = 3.596303128e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -5.927833243e+04 lvsat = 1.091669364e-1 ++ a0 = 1.179359238e+00 la0 = -2.261735991e-7 ++ ags = -4.941595706e-02 lags = 6.802702418e-7 ++ a1 = 0.0 ++ a2 = 1.050779681e+00 la2 = -5.198425436e-8 ++ b0 = -9.183315919e-17 lb0 = 9.399307509e-23 ++ b1 = -3.817924830e-20 lb1 = 3.907722422e-26 ++ keta = 1.192995869e-02 lketa = -2.622765772e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.588106337e+00 lpclm = -8.004017569e-7 ++ pdiblc1 = 6.353741327e-02 lpdiblc1 = -2.511453323e-8 ++ pdiblc2 = 7.762209763e-04 lpdiblc2 = -3.543640937e-10 ++ pdiblcb = -1.404746093e-02 lpdiblcb = -1.121014279e-8 ++ drout = 1.039619329e+00 ldrout = -4.055117553e-8 ++ pscbe1 = 8.256802058e+08 lpscbe1 = -4.030411509e+1 ++ pscbe2 = 3.429057487e-09 lpscbe2 = 5.882883972e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 6.559046608e+00 lbeta0 = 1.135270669e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.040012878e-09 lagidl = -5.444675421e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.216831793e-01 lkt1 = -5.873117501e-8 ++ kt2 = -6.301890653e-02 lkt2 = 1.479981827e-8 ++ at = 8.604123040e+04 lat = -2.485054094e-2 ++ ute = -1.934483867e+00 lute = 9.071704088e-7 ++ ua1 = -3.495144579e-09 lua1 = 3.136823287e-15 pua1 = 8.271806126e-37 ++ ub1 = 4.257545597e-18 lub1 = -3.441749811e-24 wub1 = -3.081487911e-39 ++ uc1 = 3.311138654e-10 luc1 = -2.704089518e-16 wuc1 = 4.135903063e-31 puc1 = -2.067951531e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.132 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.045375803e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.664428756e-9 ++ k1 = 4.352213524e-01 lk1 = 3.901559036e-8 ++ k2 = 1.408936088e-02 lk2 = -1.064704755e-08 pk2 = 6.938893904e-30 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.888704683e-01 ldsub = 2.662611400e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.914141847e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.605363981e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.710086311e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.932506331e-7 ++ eta0 = 4.117672797e-01 leta0 = 2.139821381e-8 ++ etab = -1.291129272e-03 letab = 3.335097045e-10 ++ u0 = 7.485205816e-03 lu0 = -5.094077095e-10 ++ ua = -1.063421140e-09 lua = -7.182039643e-17 ++ ub = 1.148080500e-18 lub = 4.611627323e-26 ++ uc = -6.419271038e-11 luc = 1.728726011e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.425608788e+05 lvsat = 3.500072552e-3 ++ a0 = 8.919849864e-01 la0 = -7.572743094e-8 ++ ags = 9.698322768e-01 lags = 1.466734064e-7 ++ a1 = 0.0 ++ a2 = 1.121568012e+00 la2 = -8.904336116e-8 ++ b0 = 1.836663184e-16 lb0 = -5.023641140e-23 ++ b1 = 7.635849659e-20 lb1 = -2.088557599e-26 ++ keta = -4.524308758e-02 lketa = 3.703575468e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.731343976e-01 lpclm = 3.310509927e-07 wpclm = 2.220446049e-22 ppclm = 5.551115123e-29 ++ pdiblc1 = -4.101030779e-01 lpdiblc1 = 2.228457367e-07 wpdiblc1 = 4.440892099e-22 ++ pdiblc2 = -9.175377595e-03 lpdiblc2 = 4.855496791e-09 wpdiblc2 = 9.107298249e-24 ppdiblc2 = -2.059984128e-30 ++ pdiblcb = 2.408596814e-01 lpdiblcb = -1.446591300e-07 ppdiblcb = 1.665334537e-28 ++ drout = 1.436421824e+00 ldrout = -2.482852179e-7 ++ pscbe1 = 6.925891618e+08 lpscbe1 = 2.937170827e+1 ++ pscbe2 = 2.103542680e-08 lpscbe2 = -3.334402489e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.528056950e+00 lbeta0 = 1.044543745e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.460023937e-01 lkt1 = 6.352420121e-9 ++ kt2 = -1.498550792e-02 lkt2 = -1.034662657e-8 ++ at = 6.500485322e+03 lat = 1.679062992e-2 ++ ute = 4.908242408e-01 lute = -3.625268917e-07 pute = -4.440892099e-28 ++ ua1 = 4.905387581e-09 lua1 = -1.261023309e-15 ++ ub1 = -4.666106948e-18 lub1 = 1.229960769e-24 ++ uc1 = -2.811617509e-10 luc1 = 5.012957887e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.133 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.031383245e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.491673223e-9 ++ k1 = -9.625672899e-01 lk1 = 4.213387398e-7 ++ k2 = 5.727293397e-01 lk2 = -1.634462546e-07 wk2 = -4.440892099e-22 pk2 = 1.110223025e-28 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.137145701e+00 ldsub = -3.699508026e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.320460612e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.484373310e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.275066502e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.987658219e-7 ++ eta0 = 1.215531322e+00 leta0 = -1.984473271e-7 ++ etab = 7.331369097e-02 letab = -2.007240073e-08 wetab = -6.158268340e-23 petab = -2.428612866e-29 ++ u0 = 1.425792013e-02 lu0 = -2.361880528e-9 ++ ua = 1.625481428e-09 lua = -8.072890268e-16 ++ ub = -7.962308851e-19 lub = 5.779243232e-25 ++ uc = -1.092267674e-12 luc = 2.802702628e-20 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.884433928e+05 lvsat = -3.640171267e-2 ++ a0 = -8.336933221e-01 la0 = 3.962801000e-7 ++ ags = 2.250598985e+00 lags = -2.036419037e-7 ++ a1 = 0.0 ++ a2 = 1.211177822e+00 la2 = -1.135534364e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.231216453e-01 lketa = 2.500491856e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.814682513e-01 lpclm = -1.210792385e-8 ++ pdiblc1 = 1.051165451e+00 lpdiblc1 = -1.768404313e-7 ++ pdiblc2 = 2.288324307e-02 lpdiblc2 = -3.913177133e-09 wpdiblc2 = 5.551115123e-23 ++ pdiblcb = 1.496831658e-01 lpdiblcb = -1.197205294e-7 ++ drout = -8.416449981e-01 ldrout = 3.748116193e-7 ++ pscbe1 = 7.998956541e+08 lpscbe1 = 2.123650263e-2 ++ pscbe2 = 1.360976700e-08 lpscbe2 = -1.303336022e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.053315297e+01 lbeta0 = -4.439794884e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 1.938486425e+09 lbgidl = -1.910007483e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.721835984e-01 lkt1 = -1.383849677e-8 ++ kt2 = 6.325147130e-02 lkt2 = -3.174600512e-8 ++ at = 1.504888693e+05 lat = -2.259307287e-2 ++ ute = -3.018056026e+00 lute = 5.972220390e-7 ++ ua1 = -9.203434720e-10 lua1 = 3.324306487e-16 pua1 = -4.135903063e-37 ++ ub1 = 6.315712371e-19 lub1 = -2.190601681e-25 ++ uc1 = -3.170369254e-10 luc1 = 5.994215660e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.134 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 7.5e-07 wmax = 7.9e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.787625486e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.863783783e-08 wvth0 = -4.167471016e-08 pvth0 = 9.190107084e-15 ++ k1 = 3.187794420e-01 lk1 = 1.739705304e-07 wk1 = 6.321316583e-07 pk1 = -1.393976733e-13 ++ k2 = -5.917828312e-02 lk2 = -3.775063066e-08 wk2 = -1.214458214e-07 pk2 = 2.678123254e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.831294506e+00 ldsub = -5.539264412e-07 wdsub = -2.012724951e-06 pdsub = 4.438461061e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.146439752e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.967751696e-07 wvoff = 7.149938099e-07 pvoff = -1.576704350e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.766145895e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.593655350e-06 wnfactor = 1.669130807e-05 pnfactor = -3.680767256e-12 ++ eta0 = 5.710310891e+00 leta0 = -1.206212398e-06 weta0 = -4.382841334e-06 peta0 = 9.665041709e-13 ++ etab = 5.108517495e-01 letab = -1.182349385e-07 wetab = -4.296136144e-07 petab = 9.473839425e-14 ++ u0 = 4.110143461e-03 lu0 = -3.213804012e-10 wu0 = -7.097003082e-10 pu0 = 1.565031120e-16 ++ ua = -3.062213224e-09 lua = 1.590086471e-16 wua = 5.777671626e-16 pua = -1.274092147e-22 ++ ub = 2.189775517e-18 lub = -3.227586245e-26 wub = -1.172769596e-25 pub = 2.586191513e-32 ++ uc = -2.722694536e-10 luc = 5.983036117e-17 wuc = 2.173970365e-16 puc = -4.794039450e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.120963484e+06 lvsat = 2.713600613e-01 wvsat = 9.064222450e-01 pvsat = -1.998842335e-7 ++ a0 = -4.066283983e+00 la0 = 1.142232220e-06 wa0 = 4.150365918e-06 pa0 = -9.152386923e-13 ++ ags = 1.250000065e+00 lags = -1.235200386e-14 ++ a1 = 0.0 ++ a2 = 2.745729274e+00 la2 = -4.614378268e-07 wa2 = -1.676660667e-06 pa2 = 3.697372102e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.697922500e-01 lketa = 5.943737803e-08 wketa = 2.159692167e-07 pketa = -4.762553166e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.955905271e-01 lpclm = 5.818458548e-09 wpclm = 2.114164028e-08 ppclm = -4.662154515e-15 ++ pdiblc1 = 3.970288360e+00 lpdiblc1 = -8.353368741e-07 wpdiblc1 = -3.035244236e-06 ppdiblc1 = 6.693320589e-13 ++ pdiblc2 = -4.935934427e-02 lpdiblc2 = 1.169089103e-08 wpdiblc2 = 4.247950796e-08 ppdiblc2 = -9.367581094e-15 ++ pdiblcb = -1.579302345e+01 lpdiblcb = 3.385964893e-06 wpdiblcb = 1.230309606e-05 ppdiblcb = -2.713078744e-12 ++ drout = 1.000001062e+00 ldrout = -2.024409795e-13 wdrout = -8.526512829e-20 pdrout = 2.131628207e-26 ++ pscbe1 = 8.000000008e+08 lpscbe1 = -1.559181213e-7 ++ pscbe2 = -3.775600725e-08 lpscbe2 = 9.914977023e-15 wpscbe2 = 3.602663270e-14 ppscbe2 = -7.944593043e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.401966736e+00 lbeta0 = -1.109585119e-08 wbeta0 = -4.031932164e-08 pbeta0 = 8.891216808e-15 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 1.000000320e+09 lbgidl = -6.090304565e-5 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.559664757e+00 lkt1 = 2.248169204e-07 wkt1 = 8.168850748e-07 pkt1 = -1.801394967e-13 ++ kt2 = 8.267244544e-01 lkt2 = -2.027588072e-07 wkt2 = -7.367357200e-07 pkt2 = 1.624649610e-13 ++ at = -4.251548531e+05 lat = 1.024606843e-01 wat = 3.722967500e-01 pat = -8.209887930e-8 ++ ute = -8.843847175e+00 lute = 1.931811385e-06 wute = 7.019346662e-06 pute = -1.547906326e-12 ++ ua1 = -7.245475874e-09 lua1 = 1.755016736e-15 wua1 = 6.376952903e-15 pua1 = -1.406245654e-21 ++ ub1 = 6.808684109e-18 lub1 = -1.599535167e-24 wub1 = -5.812002834e-24 pub1 = 1.281662865e-30 ++ uc1 = -1.713684412e-10 luc1 = 3.282630327e-17 wuc1 = 1.192762380e-16 puc1 = -2.630279601e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.135 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.136 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.053677964e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.454389071e-06 wvth0 = -7.175848017e-08 pvth0 = 1.436857363e-12 ++ k1 = 4.756564958e-01 lk1 = -8.810526164e-07 wk1 = -3.993234740e-08 pk1 = 7.995861568e-13 ++ k2 = 6.836158540e-02 lk2 = -8.286044823e-07 wk2 = -2.732997376e-08 pk2 = 5.472422762e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = -3.388131789e-27 pcit = -2.710505431e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.644528585e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.322851075e-06 wvoff = -5.735095548e-08 pvoff = 1.148368004e-12 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.060811764e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.882315405e-05 wnfactor = 2.178666764e-06 pnfactor = -4.362457752e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.839079895e-03 lu0 = 1.551286191e-07 wu0 = 5.942974025e-09 pu0 = -1.189992592e-13 ++ ua = 1.283819901e-09 lua = -4.067575973e-14 wua = -1.495706723e-15 pua = 2.994931349e-20 ++ ub = -4.692614964e-18 lub = 1.129943035e-22 wub = 4.109606714e-24 pub = -8.228879223e-29 ++ uc = -4.507886468e-10 luc = 6.910630491e-15 wuc = 2.358121991e-16 puc = -4.721790285e-21 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -8.776011276e+05 lvsat = 1.917766900e+01 wvsat = 6.611898354e-01 pvsat = -1.323934789e-5 ++ a0 = 1.289768351e+00 la0 = 3.488730917e-06 wa0 = 2.294258070e-07 pa0 = -4.593912234e-12 ++ ags = -1.148331015e+00 lags = 2.526209362e-05 wags = 8.591349011e-07 pags = -1.720290488e-11 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = 5.851321373e-08 lb0 = -2.926101328e-12 wb0 = -1.497047477e-13 pb0 = 2.997616010e-18 ++ b1 = -1.101986517e-08 lb1 = 8.522541079e-14 wb1 = -1.945608763e-16 pb1 = 3.895793598e-21 ++ keta = 1.222295640e-01 lketa = -1.979691482e-06 wketa = -5.996275270e-08 pketa = 1.200665378e-12 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-06 wpclm = 2.775557562e-23 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.026251140e-03 lpdiblc2 = -1.499946546e-08 wpdiblc2 = -7.944617972e-11 ppdiblc2 = 1.590792169e-15 ++ pdiblcb = -9.083582647e-01 lpdiblcb = 1.368323788e-05 wpdiblcb = 4.655528517e-07 ppdiblcb = -9.322006837e-12 ++ drout = 0.56 ++ pscbe1 = 8.004767243e+08 lpscbe1 = -9.545697770e+00 wpscbe1 = -3.247788906e-01 ppscbe1 = 6.503216611e-6 ++ pscbe2 = 7.173623340e-08 lpscbe2 = -1.234962778e-12 wpscbe2 = -4.283529241e-14 ppscbe2 = 8.577133342e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 1.599172902e+01 lbeta0 = 2.804948942e-04 wbeta0 = 2.184239170e-05 pbeta0 = -4.373615671e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 3.707992905e-09 lagidl = -3.619722804e-14 wagidl = -6.104490064e-16 pagidl = 1.222333789e-20 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = -7.580880078e+00 legidl = 1.537982559e-04 wegidl = 5.232768532e-06 pegidl = -1.047784454e-10 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.299115814e-01 lkt1 = -2.169316491e-06 wkt1 = -7.799196975e-08 pkt1 = 1.561673766e-12 ++ kt2 = -2.807034184e-02 lkt2 = -6.102299507e-07 wkt2 = -2.531137469e-08 pkt2 = 5.068228173e-13 ++ at = 6.811258234e+05 lat = -1.221706686e+01 wat = -4.114648282e-01 pat = 8.238974216e-6 ++ ute = -8.394121972e+00 lute = 1.664183175e-04 wute = 6.101803760e-06 pute = -1.221795896e-10 ++ ua1 = -1.825770657e-08 lua1 = 4.074367141e-13 wua1 = 1.501063603e-14 pua1 = -3.005657707e-19 ++ ub1 = 1.310277777e-17 lub1 = -2.869706365e-22 wub1 = -1.073119041e-23 pub1 = 2.148762058e-28 ++ uc1 = 6.456217551e-10 luc1 = -1.352410076e-14 wuc1 = -5.041904386e-16 puc1 = 1.009566733e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.137 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.633718219e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.199575512e-06 wvth0 = 3.972480879e-07 pvth0 = -2.326226216e-12 ++ k1 = 3.911231088e-01 lk1 = -2.027972957e-07 wk1 = 4.720344188e-08 pk1 = 1.004504088e-13 ++ k2 = -1.481909608e-01 lk2 = 9.089092033e-07 wk2 = 1.221552925e-07 pk2 = -6.521557476e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-4.156687847e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.927849331e-07 wvoff = 1.535245889e-07 pvoff = -5.435961439e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.084474039e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.169364817e-04 wnfactor = -1.367076974e-05 pnfactor = 8.354369328e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 4.855245661e-02 lu0 = -2.196770933e-07 wu0 = -2.926270954e-08 pu0 = 1.634742469e-13 ++ ua = -4.920767924e-09 lua = 9.106874780e-15 wua = 2.996007106e-15 pua = -6.090042257e-21 ++ ub = 1.641019926e-17 lub = -5.632454851e-23 wub = -1.123356689e-23 pub = 4.081746804e-29 ++ uc = 4.219816178e-10 luc = -9.205918246e-17 wuc = -3.603852026e-16 puc = 6.181149171e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.052433313e+06 lvsat = -1.235504093e+01 wvsat = -2.036316761e+00 pvsat = 8.404150236e-6 ++ a0 = 3.715656987e+00 la0 = -1.597543507e-05 wa0 = -1.734827884e-06 pa0 = 1.116631654e-11 ++ ags = 2.389652736e+00 lags = -3.124989767e-06 wags = -1.597504403e-06 pags = 2.507989716e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = -1.572365200e-06 lb0 = 1.015928424e-11 wb0 = 1.149826644e-12 pb0 = -7.429200106e-18 ++ b1 = 1.991317682e-09 lb1 = -1.917007506e-14 wb1 = -1.456194864e-15 pb1 = 1.401853913e-20 ++ keta = -1.149748278e-01 lketa = -7.647730010e-08 wketa = 8.346619462e-08 pketa = 4.986035056e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.119689207e+00 lpclm = -7.204102155e-05 wpclm = -6.492946487e-06 ppclm = 5.209628600e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = -2.360080879e-02 lpdiblc2 = 1.906197624e-07 wpdiblc2 = 1.623653355e-08 ppdiblc2 = -1.293207975e-13 ++ pdiblcb = -5.818810603e-01 lpdiblcb = 1.106374150e-05 wpdiblcb = 2.431330737e-07 ppdiblcb = -7.537417300e-12 ++ drout = 0.56 ++ pscbe1 = 7.048171672e+09 lpscbe1 = -5.013805106e+04 wpscbe1 = -4.256704411e+03 ppscbe1 = 3.415765032e-2 ++ pscbe2 = -4.497359603e-07 lpscbe2 = 2.949079797e-12 wpscbe2 = 3.163628640e-13 ppscbe2 = -2.024320258e-18 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.270555759e-09 lalpha0 = 1.099668154e-14 walpha0 = 1.002249051e-15 palpha0 = -8.041565304e-21 ++ alpha1 = -1.270555759e-09 lalpha1 = 1.099668154e-14 walpha1 = 1.002249051e-15 palpha1 = -8.041565304e-21 ++ beta0 = 3.793327977e+02 lbeta0 = -2.634779437e-03 wbeta0 = -2.748875005e-04 pbeta0 = 1.943456658e-9 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.552545071e-10 lagidl = -1.170352045e-14 wagidl = 2.682857287e-17 pagidl = 7.110128486e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 2.314264023e+01 legidl = -9.271252383e-05 wegidl = -1.569830560e-05 pegidl = 6.316244653e-11 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.014696393e-01 lkt1 = -2.397520983e-06 wkt1 = -7.652084669e-08 pkt1 = 1.549870181e-12 ++ kt2 = -1.830001519e-01 lkt2 = 6.328524787e-07 wkt2 = 9.843461525e-08 pkt2 = -4.860556079e-13 ++ at = -1.637860103e+06 lat = 6.389363097e+00 wat = 1.131022517e+00 pat = -4.137203851e-6 ++ ute = 2.373027882e+01 lute = -9.133245478e-05 wute = -1.747374502e-05 pute = 6.697929750e-11 ++ ua1 = 4.875201832e-08 lua1 = -1.302171537e-13 wua1 = -3.523392137e-14 pua1 = 1.025724404e-19 ++ ub1 = -2.788007638e-17 lub1 = 4.185611345e-23 wub1 = 2.099043133e-23 pub1 = -3.964286072e-29 ++ uc1 = -7.730163667e-09 luc1 = 5.367918108e-14 wuc1 = 5.644334007e-15 puc1 = -3.923714153e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.138 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-8.020680890e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.465854175e-07 wvth0 = -2.071472278e-07 pvth0 = 1.055704246e-13 ++ k1 = 1.225807115e+00 lk1 = -3.561165090e-06 wk1 = -5.725858736e-07 pk1 = 2.594185116e-12 ++ k2 = -2.330807718e-01 lk2 = 1.250465056e-06 wk2 = 1.864847652e-07 pk2 = -9.109866673e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.443064175e-01 ldsub = -1.143912557e-06 wdsub = 1.309511903e-08 pdsub = -5.268847333e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.893048602e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.867091554e-07 wvoff = 1.137267844e-07 pvoff = -3.834688814e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.941557006e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.505168257e-05 wnfactor = 1.477442753e-05 pnfactor = -3.090612684e-11 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403357992e-01 letab = 2.829974947e-07 wetab = 1.258935134e-11 petab = -5.065350689e-17 ++ u0 = -3.877717042e-02 lu0 = 1.316954077e-07 wu0 = 3.467050135e-08 pu0 = -9.376230574e-14 ++ ua = -1.023266084e-08 lua = 3.047938215e-14 wua = 6.882071794e-15 pua = -2.172570125e-20 ++ ub = 6.362971760e-18 lub = -1.589932770e-23 wub = -3.913378405e-24 pub = 1.136454327e-29 ++ uc = 7.174654981e-10 luc = -1.280944484e-15 wuc = -5.799493872e-16 puc = 9.452323797e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -3.614778172e+05 lvsat = 1.380898776e+00 wvsat = 3.034163195e-01 pvsat = -1.009812610e-6 ++ a0 = -1.893549226e+00 la0 = 6.593318310e-06 wa0 = 2.244324157e-06 pa0 = -4.843881280e-12 ++ ags = 2.977890423e+00 lags = -5.491775863e-06 wags = -2.058948005e-06 pags = 4.364617276e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.367985191e-06 lb0 = -1.671274362e-12 wb0 = -1.000369266e-12 pb0 = 1.222156146e-18 ++ b1 = -2.712875170e-08 lb1 = 9.799510651e-14 wb1 = 1.983849652e-14 pb1 = -7.166107753e-20 ++ keta = -2.145978629e-01 lketa = 3.243579738e-07 wketa = 1.617399681e-07 pketa = -2.650757426e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -1.638822495e+01 lpclm = 3.059058122e-05 wpclm = 1.157768298e-05 ppclm = -2.061125308e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.755176576e-02 lpdiblc2 = -9.566404433e-08 wpdiblc2 = -3.211054483e-08 ppdiblc2 = 6.520463928e-14 ++ pdiblcb = 4.386559709e+00 lpdiblcb = -8.926879302e-06 wpdiblcb = -3.279583258e-06 ppdiblcb = 6.636302314e-12 ++ drout = 0.56 ++ pscbe1 = -1.158438255e+10 lpscbe1 = 2.483040349e+04 wpscbe1 = 8.437133066e+03 ppscbe1 = -1.691625864e-2 ++ pscbe2 = 5.618995403e-07 lpscbe2 = -1.121255872e-12 wpscbe2 = -3.768708560e-13 ppscbe2 = 7.649194792e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.841111517e-09 lalpha0 = -5.546693978e-15 walpha0 = -2.004498102e-15 palpha0 = 4.056141999e-21 ++ alpha1 = 2.841111517e-09 lalpha1 = -5.546693978e-15 walpha1 = -2.004498102e-15 palpha1 = 4.056141999e-21 ++ beta0 = -5.777699435e+02 lbeta0 = 1.216142584e-03 wbeta0 = 4.308972979e-04 pbeta0 = -8.962825945e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.948911298e-09 lagidl = -2.897913442e-14 wagidl = -3.421622915e-15 pagidl = 2.098504201e-20 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.109550467e+00 lkt1 = 8.538083898e-07 wkt1 = 4.591922059e-07 pkt1 = -6.055820004e-13 ++ kt2 = -2.043323612e-02 lkt2 = -2.123875818e-08 wkt2 = -2.914344628e-08 pkt2 = 2.725727423e-14 ++ at = -7.201323731e+05 lat = 2.696867222e+00 wat = 6.014181479e-01 pat = -2.006330078e-6 ++ ute = -6.939730642e-01 lute = 6.939011178e-06 wute = 4.104158401e-07 pute = -4.977981391e-12 ++ ua1 = 9.274082300e-09 lua1 = 2.862311143e-14 wua1 = -4.351366902e-15 pua1 = -2.168413512e-20 ++ ub1 = -1.561623263e-17 lub1 = -7.487707165e-24 wub1 = 9.341202360e-24 pub1 = 7.228045041e-30 ++ uc1 = 1.221739784e-08 luc1 = -2.658023158e-14 wuc1 = -8.920942740e-15 puc1 = 1.936654077e-20 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.139 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-8.766199814e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.271827929e-09 wvth0 = -1.645180604e-07 pvth0 = 1.930945181e-14 ++ k1 = -1.722928233e+00 lk1 = 2.405659863e-06 wk1 = 1.518823355e-06 pk1 = -1.637823287e-12 ++ k2 = 8.533724819e-01 lk2 = -9.479948323e-07 wk2 = -5.832588779e-07 pk2 = 6.466049893e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -7.437436148e+00 ldsub = 1.561435916e-05 wdsub = 5.244047733e-06 pdsub = -1.063762571e-11 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.604132786e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.235424622e-07 wvoff = -3.054012376e-08 pvoff = -9.154190742e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.372368471e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.986873184e-06 wnfactor = 7.737616924e-07 pnfactor = -2.575499512e-12 ++ eta0 = 3.541233154e+00 leta0 = -7.164744352e-06 weta0 = -2.753528513e-06 peta0 = 5.571820017e-12 ++ etab = 1.234464833e+01 letab = -2.498061760e-05 wetab = -8.409883035e-06 petab = 1.701754134e-11 ++ u0 = 2.673278932e-02 lu0 = -8.653060509e-10 wu0 = -1.040553404e-08 pu0 = -2.550046613e-15 ++ ua = 4.906545040e-09 lua = -1.551037253e-16 wua = -3.568764440e-15 pua = -5.782251150e-22 ++ ub = -9.740529492e-19 lub = -1.052711464e-24 wub = 1.149373937e-24 pub = 1.119962651e-30 ++ uc = 5.319927074e-10 luc = -9.056365829e-16 wuc = -4.439438624e-16 puc = 6.700224801e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.627622624e+05 lvsat = -6.916715100e-01 wvsat = -4.410475315e-01 pvsat = 4.966248822e-7 ++ a0 = 5.948469617e+00 la0 = -9.275163659e-06 wa0 = -3.350176238e-06 pa0 = 6.476702161e-12 ++ ags = -8.062165699e-01 lags = 2.165440319e-06 wags = 7.179143988e-07 pags = -1.254419335e-12 ++ a1 = 0.0 ++ a2 = -2.193736375e+00 la2 = 6.057885430e-06 wa2 = 2.039548768e-06 pa2 = -4.127067723e-12 ++ b0 = -2.116318994e-07 lb0 = 1.525112412e-12 wb0 = 1.547604823e-13 pb0 = -1.115272004e-18 ++ b1 = 4.136020093e-08 lb1 = -4.059365891e-14 wb1 = -3.024555685e-14 pb1 = 2.968500614e-20 ++ keta = 8.066116310e-02 lketa = -2.731045704e-07 wketa = -6.688250713e-08 pketa = 1.975464085e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.879381478e+00 lpclm = 9.325726280e-06 wpclm = 4.632171953e-06 ppclm = -6.556872602e-12 ++ pdiblc1 = 5.565324206e+00 lpdiblc1 = -1.047237204e-05 wpdiblc1 = -3.521856180e-06 ppdiblc1 = 7.126546418e-12 ++ pdiblc2 = 1.177911272e-04 lpdiblc2 = 3.195520255e-10 wpdiblc2 = 2.283096068e-10 ppdiblc2 = -2.336794488e-16 ++ pdiblcb = -2.814182070e+00 lpdiblcb = 5.643965702e-06 wpdiblcb = 2.039650751e-06 ppdiblcb = -4.127274087e-12 ++ drout = -3.566972320e+00 ldrout = 8.351011029e-06 wdrout = 2.688611835e-06 pdrout = -5.440459820e-12 ++ pscbe1 = 7.754050805e+08 lpscbe1 = -1.798739729e+02 wpscbe1 = 1.675582996e+01 ppscbe1 = 1.225431013e-4 ++ pscbe2 = 6.273955912e-09 lpscbe2 = 3.063610230e-15 wpscbe2 = 2.381434218e-15 ppscbe2 = -2.505115043e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 2.247271270e+01 lbeta0 = 1.539564340e-06 wbeta0 = -1.214671978e-05 pbeta0 = 2.258361835e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -9.359506164e-09 lagidl = -2.576551638e-17 wagidl = 6.656888084e-15 pagidl = 5.909734392e-22 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -9.010568288e-01 lkt1 = 4.319173427e-07 wkt1 = 3.236026120e-07 pkt1 = -3.312137455e-13 ++ kt2 = -1.288452720e-02 lkt2 = -3.651372165e-08 wkt2 = -3.171507219e-08 pkt2 = 3.246101069e-14 ++ at = 1.036991479e+06 lat = -8.587080362e-01 wat = -6.873658899e-01 pat = 6.015501981e-7 ++ ute = 6.607555321e+00 lute = -7.835777541e-06 wute = -4.147496730e-06 pute = 4.245045853e-12 ++ ua1 = 4.782992954e-08 lua1 = -4.939541657e-14 wua1 = -3.048921308e-14 pua1 = 3.120631937e-20 ++ ub1 = -4.056123588e-17 lub1 = 4.298900582e-23 wub1 = 2.653808499e-23 pub1 = -2.757019090e-29 ++ uc1 = -1.926575420e-09 luc1 = 2.040381186e-15 wuc1 = 1.314834713e-15 puc1 = -1.345759625e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.140 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-6.860533727e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.907769075e-07 wvth0 = -2.981561467e-07 pvth0 = 1.560907059e-13 ++ k1 = 7.506913696e-01 lk1 = -1.261392730e-07 wk1 = -1.665544129e-07 pk1 = 8.719456623e-14 ++ k2 = -1.425600885e-01 lk2 = 7.136207217e-08 wk2 = 9.925572517e-08 pk2 = -5.196235724e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.566922168e+01 ldsub = -8.035767261e-06 wdsub = -1.054047594e-05 pdsub = 5.518149965e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {1.363415625e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.801920527e-07 wvoff = -2.456006698e-07 pvoff = 1.285768626e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {6.606009485e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.369863067e-06 wnfactor = -3.567077889e-06 pnfactor = 1.867436617e-12 ++ eta0 = -7.554376028e+00 leta0 = 4.191833558e-06 weta0 = 5.507057026e-06 peta0 = -2.883054494e-12 ++ etab = -2.469056143e+01 letab = 1.292566030e-05 wetab = 1.681971571e-05 petab = -8.805457570e-12 ++ u0 = 4.617398246e-02 lu0 = -2.076375606e-08 wu0 = -2.640063762e-08 pu0 = 1.382126181e-14 ++ ua = 1.099077177e-08 lua = -6.382431469e-15 wua = -8.461853788e-15 pua = 4.429949695e-21 ++ ub = -5.393667030e-18 lub = 3.470851940e-24 wub = 4.592739725e-24 pub = -2.404391101e-30 ++ uc = -6.896249989e-10 luc = 3.447135718e-16 wuc = 4.312741161e-16 puc = -2.257806253e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.829092460e+05 lvsat = 1.738901923e-01 wvsat = 9.040782546e-02 pvsat = -4.733030479e-8 ++ a0 = -7.156061172e+00 la0 = 4.137585694e-06 wa0 = 6.095459554e-06 pa0 = -3.191094986e-12 ++ ags = 1.371723071e+00 lags = -6.372446195e-08 wags = -1.039239179e-06 pags = 5.440624950e-13 ++ a1 = 0.0 ++ a2 = 6.628864902e+00 la2 = -2.972223429e-06 wa2 = -4.079097536e-06 pa2 = 2.135489142e-12 ++ b0 = 2.617005861e-06 lb0 = -1.370054908e-12 wb0 = -1.913743110e-12 pb0 = 1.001882793e-18 ++ b1 = 3.478667877e-09 lb1 = -1.821152207e-15 wb1 = -2.543852416e-15 pb1 = 1.331757617e-21 ++ keta = -3.411283420e-01 lketa = 1.586054239e-07 wketa = 2.581816497e-07 pketa = -1.351632572e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.554116152e+00 lpclm = -3.400207215e-06 wpclm = -3.631503929e-06 ppclm = 1.901164937e-12 ++ pdiblc1 = -9.568599959e+00 lpdiblc1 = 5.017502024e-06 wpdiblc1 = 7.043712361e-06 ppdiblc1 = -3.687524295e-12 ++ pdiblc2 = 7.762209763e-04 lpdiblc2 = -3.543640937e-10 ++ pdiblcb = 5.564316679e+00 lpdiblcb = -2.931595337e-06 wpdiblcb = -4.079301501e-06 ppdiblcb = 2.135595922e-12 ++ drout = 8.392866369e+00 ldrout = -3.890123066e-06 wdrout = -5.377223670e-06 pdrout = 2.815084136e-12 ++ pscbe1 = 4.436252978e+08 lpscbe1 = 1.597092704e+02 wpscbe1 = 2.793860567e+02 ppscbe1 = -1.462641884e-4 ++ pscbe2 = 3.614130874e-09 lpscbe2 = 5.785994353e-15 wpscbe2 = -1.353389859e-16 ppscbe2 = 7.085266590e-23 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.994354498e+01 lbeta0 = -1.634218192e-05 wbeta0 = -2.441314890e-05 pbeta0 = 1.278077171e-11 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.921081453e-08 lagidl = 1.005724562e-14 wagidl = 1.480886306e-14 pagidl = -7.752735990e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.216831793e-01 lkt1 = -5.873117501e-8 ++ kt2 = -6.301890653e-02 lkt2 = 1.479981827e-8 ++ at = 3.649594374e+05 lat = -1.708698007e-01 wat = -2.039650751e-01 pat = 1.067797961e-7 ++ ute = -1.934483867e+00 lute = 9.071704088e-7 ++ ua1 = -3.495144579e-09 lua1 = 3.136823287e-15 pua1 = -1.654361225e-36 ++ ub1 = 5.373218425e-18 lub1 = -4.025826850e-24 wub1 = -8.158603002e-25 pub1 = 4.271191844e-31 ++ uc1 = 3.311138654e-10 luc1 = -2.704089518e-16 wuc1 = -2.067951531e-31 puc1 = 1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.141 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.045375803e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.664428756e-9 ++ k1 = 4.352213524e-01 lk1 = 3.901559036e-8 ++ k2 = 1.408936088e-02 lk2 = -1.064704755e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.888704683e-01 ldsub = 2.662611400e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.914141847e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -8.605363981e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.710086311e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.932506331e-7 ++ eta0 = 4.117672797e-01 leta0 = 2.139821381e-8 ++ etab = -1.291129272e-03 letab = 3.335097045e-10 ++ u0 = 7.485205816e-03 lu0 = -5.094077095e-10 ++ ua = -1.063421140e-09 lua = -7.182039643e-17 ++ ub = 1.148080500e-18 lub = 4.611627323e-26 ++ uc = -6.419271038e-11 luc = 1.728726011e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.425608788e+05 lvsat = 3.500072552e-3 ++ a0 = 8.919849864e-01 la0 = -7.572743094e-8 ++ ags = 9.698322768e-01 lags = 1.466734064e-7 ++ a1 = 0.0 ++ a2 = 1.121568012e+00 la2 = -8.904336116e-8 ++ b0 = 1.836663184e-16 lb0 = -5.023641140e-23 ++ b1 = 7.635849659e-20 lb1 = -2.088557599e-26 ++ keta = -4.524308758e-02 lketa = 3.703575468e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -5.731343976e-01 lpclm = 3.310509927e-07 wpclm = 2.220446049e-22 ppclm = -1.665334537e-28 ++ pdiblc1 = -4.101030779e-01 lpdiblc1 = 2.228457367e-07 ppdiblc1 = -8.326672685e-29 ++ pdiblc2 = -9.175377595e-03 lpdiblc2 = 4.855496791e-09 wpdiblc2 = 1.734723476e-24 ppdiblc2 = 3.144186300e-30 ++ pdiblcb = 2.408596814e-01 lpdiblcb = -1.446591300e-07 wpdiblcb = 1.110223025e-22 ppdiblcb = 2.775557562e-29 ++ drout = 1.436421824e+00 ldrout = -2.482852179e-7 ++ pscbe1 = 6.925891618e+08 lpscbe1 = 2.937170827e+1 ++ pscbe2 = 2.103542680e-08 lpscbe2 = -3.334402489e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.528056950e+00 lbeta0 = 1.044543745e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.460023937e-01 lkt1 = 6.352420121e-9 ++ kt2 = -1.498550792e-02 lkt2 = -1.034662657e-8 ++ at = 6.500485322e+03 lat = 1.679062992e-2 ++ ute = 4.908242408e-01 lute = -3.625268917e-07 pute = -2.220446049e-28 ++ ua1 = 4.905387581e-09 lua1 = -1.261023309e-15 ++ ub1 = -4.666106948e-18 lub1 = 1.229960769e-24 ++ uc1 = -2.811617509e-10 luc1 = 5.012957887e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.142 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.335459096e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.667915352e-08 wvth0 = 2.223621557e-07 pvth0 = -6.082049681e-14 ++ k1 = -2.388367963e+00 lk1 = 8.113237399e-07 wk1 = 1.042648110e-06 pk1 = -2.851851110e-13 ++ k2 = 1.334853916e+00 lk2 = -3.719025686e-07 wk2 = -5.573203631e-07 pk2 = 1.524382657e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 6.676943852e+00 ldsub = -1.611676393e-06 wdsub = -3.319827273e-06 pdsub = 9.080391558e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.744744788e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.162616225e-07 wvoff = 1.179321423e-06 pvoff = -3.225679956e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-3.742046143e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.089623805e-05 wnfactor = 2.753090492e-05 pnfactor = -7.530253113e-12 ++ eta0 = 1.110121481e+01 leta0 = -2.902379475e-06 weta0 = -7.229123536e-06 peta0 = 1.977309870e-12 ++ etab = 1.042326804e+00 letab = -2.851168675e-07 wetab = -7.086121574e-07 petab = 1.938195973e-13 ++ u0 = 1.434860387e-02 lu0 = -2.386684346e-09 wu0 = -6.631448256e-11 pu0 = 1.813833727e-17 ++ ua = 3.222999760e-10 lua = -4.508428360e-16 wua = 9.529801071e-16 pua = -2.606591189e-22 ++ ub = -5.317012365e-19 lub = 5.055701737e-25 wub = -1.934431252e-25 pub = 5.291056360e-32 ++ uc = -4.914405300e-10 luc = 1.341480837e-16 wuc = 3.585779545e-16 puc = -9.807824210e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -7.114064630e+05 lvsat = 2.370772199e-01 wvsat = 7.311622037e-01 pvsat = -1.999874860e-7 ++ a0 = -1.019501200e+01 la0 = 2.956787986e-06 wa0 = 6.845670235e-06 pa0 = -1.872427723e-12 ++ ags = 2.250598975e+00 lags = -2.036419008e-07 wags = 7.580119643e-15 pags = -2.073313965e-21 ++ a1 = 0.0 ++ a2 = 4.992957653e+00 la2 = -1.147945856e-06 wa2 = -2.765509701e-06 pa2 = 7.564222134e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -6.102501342e-01 lketa = 1.582443028e-07 wketa = 3.562234243e-07 pketa = -9.743423102e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.337829886e-01 lpclm = 9.349492092e-10 wpclm = 3.487089744e-08 ppclm = -9.537887867e-15 ++ pdiblc1 = 7.897290898e+00 lpdiblc1 = -2.049392664e-06 wpdiblc1 = -5.006379848e-06 ppdiblc1 = 1.369345016e-12 ++ pdiblc2 = -7.293104918e-02 lpdiblc2 = 2.229394808e-08 wpdiblc2 = 7.006630912e-08 ppdiblc2 = -1.916453687e-14 ++ pdiblcb = -2.760046981e+01 lpdiblcb = 7.470501312e-06 wpdiblcb = 2.029290987e-05 ppdiblcb = -5.550516707e-12 ++ drout = -8.416496816e-01 ldrout = 3.748129004e-07 wdrout = 3.424960241e-12 pdrout = -9.367951250e-19 ++ pscbe1 = 7.998956527e+08 lpscbe1 = 2.123688588e-02 wpscbe1 = 1.024650574e-06 ppscbe1 = -2.802619934e-13 ++ pscbe2 = -6.764984646e-08 lpscbe2 = 2.092279345e-14 wpscbe2 = 5.942288006e-14 ppscbe2 = -1.625334615e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.062410412e+01 lbeta0 = -4.688564472e-07 wbeta0 = -6.651003022e-08 pbeta0 = 1.819182347e-14 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.101485692e-08 lagidl = -1.121838366e-14 wagidl = -2.999301645e-14 pagidl = 8.203689858e-21 ++ bgidl = 1.938486420e+09 lbgidl = -1.910007469e+02 wbgidl = 3.803092957e-06 pbgidl = -1.040224075e-12 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.314704130e+00 lkt1 = 4.901277191e-07 wkt1 = 1.347383674e-06 pkt1 = -3.685363826e-13 ++ kt2 = 1.724989142e+00 lkt2 = -4.862644929e-07 wkt2 = -1.215182230e-06 pkt2 = 3.323766436e-13 ++ at = -6.892421477e+05 lat = 2.070901549e-01 wat = 6.140717803e-01 pat = -1.679609133e-7 ++ ute = -1.885049126e+01 lute = 4.927709725e-06 wute = 1.157781658e-05 pute = -3.166764391e-12 ++ ua1 = -1.530382061e-08 lua1 = 4.266599314e-15 wua1 = 1.051823409e-14 pua1 = -2.876947388e-21 ++ ub1 = 1.374079099e-17 lub1 = -3.804693956e-24 wub1 = -9.586405349e-24 pub1 = 2.622073591e-30 ++ uc1 = -5.860694786e-10 luc1 = 1.335279405e-16 wuc1 = 1.967359732e-16 puc1 = -5.381122340e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.143 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 7.0e-07 wmax = 7.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-2.266700962e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.614259966e-07 wvth0 = -5.916588620e-07 pvth0 = 1.136070896e-13 ++ k1 = 3.923540565e+00 lk1 = -5.128085587e-07 wk1 = -2.003929217e-06 pk1 = 3.628246447e-13 ++ k2 = -1.984709832e+00 lk2 = 3.290626543e-07 wk2 = 1.286641485e-06 pk2 = -2.414590520e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -8.646388305e+00 ldsub = 1.632801688e-06 wdsub = 6.380583114e-06 pdsub = -1.155246946e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {2.930843827e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.800288978e-07 wvoff = -2.266609507e-06 pvoff = 4.103846291e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.752150333e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.354060262e-05 wnfactor = -5.291332712e-05 pnfactor = 9.580307841e-12 ++ eta0 = -1.928303368e+01 leta0 = 3.555519609e-06 weta0 = 1.389409174e-05 peta0 = -2.515617117e-12 ++ etab = -1.939042449e+00 letab = 3.485189043e-07 wetab = 1.361925416e-06 petab = -2.465856219e-13 ++ u0 = 8.135153008e-04 lu0 = 3.987139427e-10 wu0 = 1.701031560e-09 pu0 = -3.700817190e-16 ++ ua = 2.325375246e-10 lua = -4.687072656e-16 wua = -1.831591807e-15 pua = 3.316218562e-22 ++ ub = 1.520982037e-18 lub = 9.514267123e-26 wub = 3.717929856e-25 pub = -6.731569083e-32 ++ uc = 9.674468586e-10 luc = -1.763603906e-16 wuc = -6.891727905e-16 puc = 1.247792890e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.717571586e+06 lvsat = -4.992779891e-01 wvsat = -1.900590972e+00 pvsat = 3.636617949e-7 ++ a0 = 1.960134241e+01 la0 = -3.366923961e-06 wa0 = -1.315710657e-05 pa0 = 2.382180966e-12 ++ ags = 1.250000091e+00 lags = -1.734492727e-14 wags = -1.916431813e-14 pags = 3.651187797e-21 ++ a1 = 0.0 ++ a2 = -6.815504052e+00 la2 = 1.360168346e-06 wa2 = 5.315201549e-06 pa2 = -9.623523792e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 9.617837140e-01 lketa = -1.752024746e-07 wketa = -6.846478017e-07 pketa = 1.239600227e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 7.161501427e-01 lpclm = -1.715055943e-08 wpclm = -6.702023098e-08 ppclm = 1.213444520e-14 ++ pdiblc1 = -1.333833501e+01 lpdiblc1 = 2.462302050e-06 wpdiblc1 = 9.622067394e-06 ppdiblc1 = -1.742138953e-12 ++ pdiblc2 = 1.928818345e-01 lpdiblc2 = -3.446089834e-08 wpdiblc2 = -1.346646833e-07 ppdiblc2 = 2.438193022e-14 ++ pdiblcb = 5.436592266e+01 lpdiblcb = -9.980717520e-06 wpdiblcb = -3.900217678e-05 ppdiblcb = 7.061601838e-12 ++ drout = 1.000012903e+00 ldrout = -2.458418830e-12 wdrout = -8.659109056e-12 pdrout = 1.649733457e-18 ++ pscbe1 = 8.000000043e+08 lpscbe1 = -8.308391571e-07 wpscbe1 = -2.590553284e-06 ppscbe1 = 4.935512543e-13 ++ pscbe2 = 1.676875032e-07 lpscbe2 = -2.922612059e-14 wpscbe2 = -1.142084541e-13 ppscbe2 = 2.067819569e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.172020727e+00 lbeta0 = 3.271346236e-08 wbeta0 = 1.278337559e-07 pbeta0 = -2.314530753e-14 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.036952531e-07 lagidl = 1.975601962e-14 wagidl = 7.582943512e-14 pagidl = -1.444702398e-20 ++ bgidl = 1.000000333e+09 lbgidl = -6.340809631e-05 wbgidl = -9.615112305e-06 pbgidl = 1.831874847e-12 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 3.098662670e+00 lkt1 = -6.626876210e-07 wkt1 = -2.589619339e-06 pkt1 = 4.688677243e-13 ++ kt2 = -3.374541169e+00 lkt2 = 5.976663193e-07 wkt2 = 2.335532195e-06 pkt2 = -4.228635221e-13 ++ at = 1.697883648e+06 lat = -3.020206109e-01 wat = -1.180221861e+00 pat = 2.136869664e-7 ++ ute = 3.118429147e+01 lute = -5.694349590e-06 wute = -2.225211034e-05 pute = 4.028891662e-12 ++ ua1 = 2.911935419e-08 lua1 = -5.173210687e-15 wua1 = -2.021562911e-14 pua1 = 3.660173070e-21 ++ ub1 = -2.633452210e-17 lub1 = 4.714908480e-24 wub1 = 1.842469586e-23 pub1 = -3.335912970e-30 ++ uc1 = 5.088094453e-10 luc1 = -9.676118767e-17 wuc1 = -3.781188054e-16 puc1 = 6.846090766e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.144 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.145 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.159008111e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.546912416e-07 wvth0 = -1.776356839e-21 ++ k1 = 4.170420989e-01 lk1 = 2.926139320e-7 ++ k2 = 2.824548822e-02 lk2 = -2.533900806e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = -3.388131789e-27 pcit = 5.421010862e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.486350287e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.627722941e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.137127920e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.210855164e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.056242978e-02 lu0 = -1.954355187e-8 ++ ua = -9.116420042e-10 lua = 3.285115641e-15 ++ ub = 1.339640455e-18 lub = -7.792683529e-24 ++ uc = -1.046534774e-10 luc = -2.021399574e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.292141765e+04 lvsat = -2.556085955e-1 ++ a0 = 1.626529302e+00 la0 = -3.254408731e-6 ++ ags = 1.127437120e-01 lags = 1.093860960e-8 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = -1.612297784e-07 lb0 = 1.473926869e-12 pb0 = -1.694065895e-33 ++ b1 = -1.130544990e-08 lb1 = 9.094382223e-14 ++ keta = 3.421368674e-02 lketa = -2.173038039e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-06 wpclm = -2.775557562e-23 ppclm = 4.440892099e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.909636661e-03 lpdiblc2 = -1.266443309e-8 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.860770435e-09 lpscbe2 = 2.402531188e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 4.805292000e+01 lbeta0 = -3.614830047e-04 pbeta0 = 4.547473509e-25 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.811949905e-09 lagidl = -1.825529312e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.443915098e-01 lkt1 = 1.229746438e-7 ++ kt2 = -6.522345350e-02 lkt2 = 1.337061237e-7 ++ at = 7.716025872e+04 lat = -1.235502988e-1 ++ ute = 5.623649524e-01 lute = -1.292207756e-05 pute = -4.440892099e-27 ++ ua1 = 3.775543044e-09 lua1 = -3.374650014e-14 ++ ub1 = -2.648919653e-18 lub1 = 2.843379192e-23 ++ uc1 = -9.445040192e-11 luc1 = 1.294748875e-15 wuc1 = 5.169878828e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.146 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.050620590e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.149582067e-7 ++ k1 = 4.604103273e-01 lk1 = -5.535191579e-8 ++ k2 = 3.111376993e-02 lk2 = -4.835272375e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.903188674e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.051285932e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.782034620e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.692502402e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 5.599407700e-03 lu0 = 2.027735507e-8 ++ ua = -5.231013447e-10 lua = 1.676518894e-16 ++ ub = -7.890771535e-20 lub = 3.589066086e-24 ++ uc = -1.070071013e-10 luc = -1.329647545e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.344101412e+04 lvsat = -1.907198821e-2 ++ a0 = 1.169202877e+00 la0 = 4.149589883e-7 ++ ags = 4.476786908e-02 lags = 5.563441444e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.153992238e-07 lb0 = -7.456114622e-13 wb0 = -5.293955920e-29 pb0 = 2.117582368e-34 ++ b1 = -1.461470369e-10 lb1 = 1.406932551e-15 wb1 = 5.169878828e-32 pb1 = 4.135903063e-37 ++ keta = 7.540400483e-03 lketa = -3.290158170e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -4.109336388e-01 lpclm = 4.428121465e-06 ppclm = -1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.318653116e-04 lpdiblc2 = 7.971988815e-10 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.463489899e-08 lpscbe2 = -2.230352405e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.005880000e-10 lalpha0 = -8.070698298e-16 ++ alpha1 = 2.005880000e-10 lalpha1 = -8.070698298e-16 ++ beta0 = -2.415876000e+01 lbeta0 = 2.179088540e-04 wbeta0 = 1.421085472e-20 pbeta0 = 5.684341886e-26 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.946346267e-10 lagidl = -1.266971631e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.137901907e-01 lkt1 = -1.225556520e-7 ++ kt2 = -3.851363950e-02 lkt2 = -8.060060310e-8 ++ at = 2.230282385e+04 lat = 3.165994270e-1 ++ ute = -1.918426857e+00 lute = 6.982605139e-06 wute = -1.776356839e-21 ++ ua1 = -2.965829131e-09 lua1 = 2.034303433e-14 ++ ub1 = 2.930570959e-18 lub1 = -1.633336259e-23 wub1 = 1.540743956e-39 pub1 = 1.232595164e-44 ++ uc1 = 5.548297096e-10 luc1 = -3.914763086e-15 wuc1 = 2.067951531e-31 puc1 = 8.271806126e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.147 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.106127595e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.375339211e-9 ++ k1 = 3.853412315e-01 lk1 = 2.466900923e-7 ++ k2 = 4.064949326e-02 lk2 = -8.671989728e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.635279899e-01 ldsub = -1.221250938e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.223718520e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.383723148e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.270962668e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.136441188e-7 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403173200e-01 letab = 2.829231434e-7 ++ u0 = 1.211366518e-02 lu0 = -5.932890175e-9 ++ ua = -1.308633256e-10 lua = -1.410525625e-15 ++ ub = 6.187485939e-19 lub = 7.820319721e-25 ++ uc = -1.338088641e-10 luc = 1.065077811e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.388955365e+04 lvsat = -1.013470960e-1 ++ a0 = 1.400765170e+00 la0 = -5.167365282e-7 ++ ags = -4.432097709e-02 lags = 9.147948988e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = -1.003993406e-07 lb0 = 1.226583774e-13 ++ b1 = 1.991036745e-09 lb1 = -7.192069138e-15 wb1 = -8.271806126e-31 pb1 = -8.271806126e-37 ++ keta = 2.281093733e-02 lketa = -6.473146857e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.059902523e-01 lpclm = 3.365078504e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.185431636e-04 lpdiblc2 = 4.609681023e-11 ++ pdiblcb = -4.273520000e-01 lpdiblcb = 8.141673190e-7 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.712478508e-09 lpscbe2 = 1.525453199e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.011760000e-10 lalpha0 = 4.070836595e-16 ++ alpha1 = -1.011760000e-10 lalpha1 = 4.070836595e-16 ++ beta0 = 5.471942625e+01 lbeta0 = -9.945910591e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -7.349225709e-11 lagidl = 1.823602248e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.355286288e-01 lkt1 = -3.509061145e-8 ++ kt2 = -6.321122536e-02 lkt2 = 1.877062754e-8 ++ at = 1.626547485e+05 lat = -2.481093488e-1 ++ ute = -9.154724880e-02 lute = -3.678815031e-7 ++ ua1 = 2.886961000e-09 lua1 = -3.205783819e-15 wua1 = 3.308722450e-30 ++ ub1 = -1.904818744e-18 lub1 = 3.121924585e-24 ++ uc1 = -8.771411122e-10 luc1 = 1.846800155e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.148 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.118106584e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.261506208e-8 ++ k1 = 5.064652470e-01 lk1 = 1.593224533e-9 ++ k2 = -2.759691298e-03 lk2 = 1.119455858e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.600000202e-01 ldsub = -2.071113148e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.052413704e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.082664065e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.508128773e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.935544749e-7 ++ eta0 = -5.005130400e-01 leta0 = 1.013809907e-06 weta0 = 1.977584763e-22 peta0 = 2.576064362e-28 ++ etab = 2.645421120e-04 letab = -1.547066255e-09 petab = -8.673617380e-31 ++ u0 = 1.145910416e-02 lu0 = -4.608372862e-9 ++ ua = -3.318390994e-10 lua = -1.003847127e-15 ++ ub = 7.130469709e-19 lub = 5.912173203e-25 ++ uc = -1.196469642e-10 luc = 7.785089353e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.537394825e+04 lvsat = 3.729560184e-2 ++ a0 = 1.030938530e+00 la0 = 2.316150734e-7 ++ ags = 2.475687006e-01 lags = 3.241502982e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.553211488e-08 lb0 = -1.119312413e-13 ++ b1 = -3.035513050e-09 lb1 = 2.979254902e-15 ++ keta = -1.751182380e-02 lketa = 1.686244502e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.199174115e-01 lpclm = -2.987300348e-7 ++ pdiblc1 = 3.957940034e-01 lpdiblc1 = -1.172428182e-8 ++ pdiblc2 = 4.529136727e-04 lpdiblc2 = -2.345260230e-11 ++ pdiblcb = 1.797040000e-01 lpdiblcb = -4.142226381e-07 wpdiblcb = 1.110223025e-22 ++ drout = 3.794864141e-01 ldrout = 3.652728512e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.769526283e-09 lpscbe2 = -6.135041137e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.643241089e+00 lbeta0 = 1.871056290e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.117571254e-10 lagidl = 8.416904178e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.260591597e-01 lkt1 = -5.425227153e-8 ++ kt2 = -5.943725826e-02 lkt2 = 1.113392964e-8 ++ at = 2.804660864e+04 lat = 2.427291432e-2 ++ ute = 5.196833265e-01 lute = -1.604718797e-06 pute = 4.440892099e-28 ++ ua1 = 3.076566596e-09 lua1 = -3.589454534e-15 ++ ub1 = -1.607506698e-18 lub1 = 2.520307714e-24 pub1 = 1.540743956e-45 ++ uc1 = 3.394859767e-12 luc1 = 6.501800488e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.149 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.326272392e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.456769299e-07 wvth0 = 1.380071447e-07 pvth0 = -1.412530728e-13 ++ k1 = -3.047362452e-01 lk1 = 8.318741759e-07 wk1 = 5.524788691e-07 pk1 = -5.654731721e-13 ++ k2 = 4.002227781e-01 lk2 = -4.113411612e-07 wk2 = -2.705270439e-07 pk2 = 2.768898400e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 7.572714005e+00 ldsub = -7.484709039e-06 wdsub = -5.024551965e-06 pdsub = 5.142729427e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.777360046e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -3.897893263e-08 wvoff = -3.162841752e-08 pvoff = 3.237231790e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.001902646e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.481108477e-06 wnfactor = -5.892270791e-06 pnfactor = 6.030857000e-12 ++ eta0 = -4.289659422e+00 leta0 = 4.892077011e-06 weta0 = 3.282897014e-06 peta0 = -3.360110752e-12 ++ etab = -5.618112549e-03 letab = 4.473948444e-09 wetab = 2.555010427e-09 petab = -2.615104272e-15 ++ u0 = 2.988221817e-02 lu0 = -2.346479851e-08 wu0 = -1.530151477e-08 pu0 = 1.566140640e-14 ++ ua = 2.974418634e-09 lua = -4.387868043e-15 wua = -3.000536854e-15 pua = 3.071109481e-21 ++ ub = -1.750169136e-18 lub = 3.112368270e-24 wub = 2.110526628e-24 pub = -2.160166214e-30 ++ uc = -2.426354036e-10 luc = 2.037320210e-16 wuc = 1.267526206e-16 puc = -1.297338422e-22 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.246424469e+05 lvsat = 6.923651826e-01 wvsat = 3.913482867e-01 pvsat = -4.005527984e-7 ++ a0 = 5.769610677e+00 la0 = -4.618510642e-06 wa0 = -2.710438758e-06 pa0 = 2.774188277e-12 ++ ags = -1.537163700e-01 lags = 7.348735937e-07 wags = -4.362963324e-16 pags = 4.465601222e-22 ++ a1 = 0.0 ++ a2 = -4.338442546e+00 la2 = 5.259298714e-06 wa2 = 3.392621944e-06 pa2 = -3.472416412e-12 ++ b0 = -1.920676231e-07 lb0 = 1.005512426e-13 wb0 = 6.478143980e-22 pb0 = -6.630510384e-28 ++ b1 = -2.553068292e-10 lb1 = 1.336582315e-16 wb1 = 2.693260783e-25 pb1 = -2.756606275e-31 ++ keta = -2.029414668e-02 lketa = 1.971020813e-08 wketa = 3.960629574e-08 pketa = -4.053783582e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.270649158e+00 lpclm = -4.751790991e-06 wpclm = -2.757113803e-06 ppclm = 2.821961120e-12 ++ pdiblc1 = 1.359239429e+00 lpdiblc1 = -9.978299443e-07 wpdiblc1 = -4.011186353e-07 ppdiblc1 = 4.105529456e-13 ++ pdiblc2 = 8.411932156e-03 lpdiblc2 = -8.169667200e-09 wpdiblc2 = -5.201996227e-09 ppdiblc2 = 5.324347178e-15 ++ pdiblcb = -2.478369146e+00 lpdiblcb = 2.306368389e-06 wpdiblcb = 1.399955156e-06 ppdiblcb = -1.432882102e-12 ++ drout = -4.380787899e+00 ldrout = 5.237508817e-06 wdrout = 3.325109321e-06 pdrout = -3.403315892e-12 ++ pscbe1 = -5.764093430e+09 lpscbe1 = 6.718480908e+03 wpscbe1 = 4.508531010e+03 ppscbe1 = -4.614571659e-3 ++ pscbe2 = 6.919512782e-07 lpscbe2 = -6.988401709e-13 wpscbe2 = -4.690801641e-13 ppscbe2 = 4.801129295e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.014046269e+00 lbeta0 = 5.585609772e-06 wbeta0 = 2.108428552e-06 pbeta0 = -2.158018792e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.242895764e-08 lagidl = -1.145815465e-14 wagidl = -6.746427803e-15 pagidl = 6.905103785e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 1.202709299e-01 lkt1 = -6.134320449e-07 wkt1 = -3.692181599e-07 pkt1 = 3.779021710e-13 ++ kt2 = -3.318357464e-01 lkt2 = 2.899392302e-07 wkt2 = 1.831373861e-07 pkt2 = -1.874447775e-13 ++ at = 5.147056721e+05 lat = -4.738323703e-01 wat = -3.059829918e-01 pat = 3.131797118e-7 ++ ute = -2.335023866e+00 lute = 1.317131108e-06 wute = 2.728766862e-07 pute = -2.792947458e-13 ++ ua1 = -1.250504413e-08 lua1 = 1.235863567e-14 wua1 = 6.138192284e-15 pua1 = -6.282562567e-21 ++ ub1 = 1.989883382e-17 lub1 = -1.949186193e-23 wub1 = -1.071175535e-23 pub1 = 1.096369584e-29 ++ uc1 = 1.611051657e-09 luc1 = -1.580450880e-15 wuc1 = -8.719857791e-16 puc1 = 8.924948846e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.150 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-6.402302965e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.134798277e-07 wvth0 = -2.760142895e-07 pvth0 = 7.549542846e-14 ++ k1 = 2.057125288e+00 lk1 = -4.046075742e-07 wk1 = -1.104957738e-06 pk1 = 3.022280406e-13 ++ k2 = -7.800928274e-01 lk2 = 2.065776646e-07 wk2 = 5.410540878e-07 pk2 = -1.479891141e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.493937237e+01 ldsub = 4.300818420e-06 wdsub = 1.004910393e-05 pdsub = -2.748630907e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.842652560e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.679126104e-08 wvoff = 6.325683505e-08 pvoff = -1.730200952e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.558776474e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.924558853e-06 wnfactor = 1.178454158e-05 pnfactor = -3.223307813e-12 ++ eta0 = 1.004931884e+01 leta0 = -2.614664890e-06 weta0 = -6.565794029e-06 peta0 = 1.795875983e-12 ++ etab = 6.209576546e-03 letab = -1.718083351e-09 wetab = -5.110020854e-09 petab = 1.397692904e-15 ++ u0 = -3.743522178e-02 lu0 = 1.177722765e-08 wu0 = 3.060302955e-08 pu0 = -8.370540641e-15 ++ ua = -9.872052212e-09 lua = 2.337516375e-15 wua = 6.001073708e-15 pua = -1.641413681e-21 ++ ub = 7.343921890e-18 lub = -1.648570264e-24 wub = -4.221053256e-24 pub = 1.154542486e-30 ++ uc = 3.079130582e-10 luc = -8.449110970e-17 wuc = -2.535052412e-16 puc = 6.933875356e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.291436179e+06 lvsat = -3.107402995e-01 wvsat = -7.826965733e-01 pvsat = 2.140831667e-7 ++ a0 = -7.065009452e+00 la0 = 2.100669688e-06 wa0 = 5.420877515e-06 pa0 = -1.482718418e-12 ++ ags = 9.698322756e-01 lags = 1.466734067e-07 wags = 8.725926648e-16 pags = -2.386721931e-22 ++ a1 = 0.0 ++ a2 = 1.108123741e+01 la2 = -2.813212134e-06 wa2 = -6.785243888e-06 pa2 = 1.855899908e-12 ++ b0 = 2.085445334e-15 lb0 = -5.704110078e-22 wb0 = -1.295628794e-21 pb0 = 3.543803876e-28 ++ b1 = 8.670150949e-19 lb1 = -2.371459687e-25 wb1 = -5.386522020e-25 pb1 = 1.473321503e-31 ++ keta = 7.102852124e-02 lketa = -2.809903498e-08 wketa = -7.921259149e-08 pketa = 2.166622802e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -8.667152067e+00 lpclm = 2.544926706e-06 wpclm = 5.514227606e-06 ppclm = -1.508251535e-12 ++ pdiblc1 = -1.587661044e+00 lpdiblc1 = 5.449313916e-07 wpdiblc1 = 8.022372707e-07 ppdiblc1 = -2.194279383e-13 ++ pdiblc2 = -2.444679995e-02 lpdiblc2 = 9.032536234e-09 wpdiblc2 = 1.040399245e-08 ppdiblc2 = -2.845700016e-15 ++ pdiblcb = 4.350687052e+00 lpdiblcb = -1.268779112e-06 wpdiblcb = -2.799910313e-06 ppdiblcb = 7.658314687e-13 ++ drout = 1.119789542e+01 ldrout = -2.918243477e-06 wdrout = -6.650218642e-06 pdrout = 1.818967803e-12 ++ pscbe1 = 1.392821608e+10 lpscbe1 = -3.590836966e+03 wpscbe1 = -9.017062020e+03 ppscbe1 = 2.466346804e-3 ++ pscbe2 = -1.356036181e-06 lpscbe2 = 3.733222236e-13 wpscbe2 = 9.381603281e-13 ppscbe2 = -2.566056129e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.471773905e+01 lbeta0 = -1.588547474e-06 wbeta0 = -4.216857105e-06 pbeta0 = 1.153394755e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.980538699e-08 lagidl = 5.417169450e-15 wagidl = 1.349285561e-14 pagidl = -3.690565866e-21 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.629910612e+00 lkt1 = 3.028229960e-07 wkt1 = 7.384363198e-07 pkt1 = -2.019771022e-13 ++ kt2 = 5.226481719e-01 lkt2 = -1.574001907e-07 wkt2 = -3.662747723e-07 pkt2 = 1.001834757e-13 ++ at = -8.917691980e+05 lat = 2.624853537e-01 wat = 6.119659837e-01 pat = -1.673849359e-7 ++ ute = 1.291904239e+00 lute = -5.816382927e-07 wute = -5.457533723e-07 pute = 1.492744624e-13 ++ ua1 = 2.292518668e-08 lua1 = -6.189798757e-15 wua1 = -1.227638457e-14 pua1 = 3.357836707e-21 ++ ub1 = -3.611244660e-17 lub1 = 9.831163589e-24 wub1 = 2.142351070e-23 pub1 = -5.859758648e-30 ++ uc1 = -2.841037334e-09 luc1 = 7.503067483e-16 wuc1 = 1.743971558e-15 puc1 = -4.770111006e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.151 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.009066472e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.259575696e-8 ++ k1 = -8.579247482e-01 lk1 = 3.927169118e-7 ++ k2 = 5.167953973e-01 lk2 = -1.481472026e-07 wk2 = 1.110223025e-22 pk2 = 5.551115123e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.803960266e+00 ldsub = -2.788179225e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.368667420e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.721739264e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.990571042e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.569875505e-7 ++ eta0 = 4.900000008e-01 leta0 = -7.095923849e-17 ++ etab = 2.195759126e-03 letab = -6.202240101e-10 wetab = -8.673617380e-25 petab = 1.084202172e-31 ++ u0 = 1.425126466e-02 lu0 = -2.360060124e-9 ++ ua = 1.721124685e-09 lua = -8.334493704e-16 ++ ub = -8.156452782e-19 lub = 5.832345480e-25 ++ uc = 3.489543344e-11 luc = -9.815328983e-18 wuc = 1.292469707e-32 puc = 5.654554969e-39 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.618244987e+05 lvsat = -5.647291276e-2 ++ a0 = -1.466462483e-01 la0 = 2.083589844e-7 ++ ags = 2.250598986e+00 lags = -2.036419039e-7 ++ a1 = 0.0 ++ a2 = 9.336249622e-01 la2 = -3.763717830e-8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.737025015e-02 lketa = 1.522619697e-08 wketa = 1.110223025e-22 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.849679741e-01 lpclm = -1.306516802e-8 ++ pdiblc1 = 5.487137542e-01 lpdiblc1 = -3.940984321e-8 ++ pdiblc2 = 2.991525761e-02 lpdiblc2 = -5.836573751e-9 ++ pdiblcb = 2.186325871e+00 lpdiblcb = -6.767830420e-7 ++ drout = -8.416446543e-01 ldrout = 3.748115253e-7 ++ pscbe1 = 7.998956542e+08 lpscbe1 = 2.123647450e-2 ++ pscbe2 = 1.957358274e-08 lpscbe2 = -2.934558902e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.052647787e+01 lbeta0 = -4.421537155e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -3.010167519e-09 lagidl = 8.233410197e-16 ++ bgidl = 1.938486425e+09 lbgidl = -1.910007484e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.369571006e-01 lkt1 = -5.082564844e-8 ++ kt2 = -5.870698811e-02 lkt2 = 1.612072696e-9 ++ at = 2.121185134e+05 lat = -3.945001311e-2 ++ ute = -1.856079955e+00 lute = 2.793983441e-7 ++ ua1 = 1.352904843e-10 lua1 = 4.369364895e-17 ++ ub1 = -3.305422621e-19 lub1 = 4.409711620e-26 ++ uc1 = -2.972920545e-10 luc1 = 5.454153952e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.152 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 6.4e-07 wmax = 7.0e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.345464511e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.053461668e-08 wvth0 = 1.705444468e-07 pvth0 = -3.760846140e-14 ++ k1 = 5.421836102e-02 lk1 = 2.243747076e-07 wk1 = 6.321316589e-07 pk1 = -1.393976734e-13 ++ k2 = 1.094714173e+00 lk2 = -2.879645683e-07 wk2 = -8.112838655e-07 pk2 = 1.789043180e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 3.673666038e+00 ldsub = -7.144150654e-07 wdsub = -2.012724948e-06 pdsub = 4.438461056e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.445680266e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.537864726e-07 wvoff = 7.149938145e-07 pvoff = -1.576704360e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-2.464713883e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.924567081e-06 wnfactor = 1.669130806e-05 pnfactor = -3.680767254e-12 ++ eta0 = 7.544625565e+00 leta0 = -1.555686030e-06 weta0 = -4.382841332e-06 peta0 = 9.665041706e-13 ++ etab = 6.906546923e-01 letab = -1.524909952e-07 wetab = -4.296136149e-07 petab = 9.473839435e-14 ++ u0 = 1.009571445e-02 lu0 = -1.640813718e-09 wu0 = -4.622670819e-09 pu0 = 1.019391369e-15 ++ ua = -3.304021960e-09 lua = 2.050780466e-16 wua = 5.777671459e-16 pua = -1.274092110e-22 ++ ub = 2.238859668e-18 lub = -4.162737417e-26 wub = -1.172769433e-25 pub = 2.586191154e-32 ++ uc = -3.632548687e-10 luc = 7.716490245e-17 wuc = 2.173970366e-16 puc = -4.794039452e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.693097572e+06 lvsat = 3.919613270e-01 wvsat = 1.104274426e+00 pvsat = -2.435145964e-7 ++ a0 = -5.803301371e+00 la0 = 1.473168772e-06 wa0 = 4.150365909e-06 pa0 = -9.152386902e-13 ++ ags = 1.250000062e+00 lags = -1.182880638e-14 wags = 4.842775070e-16 pags = -1.067927968e-22 ++ a1 = 0.0 ++ a2 = 3.447448506e+00 la2 = -5.951293749e-07 wa2 = -1.676660665e-06 pa2 = 3.697372099e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.601802273e-01 lketa = 7.665809545e-08 wketa = 2.159692165e-07 pketa = -4.762553162e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 5.867423976e-01 lpclm = 7.504204276e-09 wpclm = 2.114164239e-08 ppclm = -4.662154979e-15 ++ pdiblc1 = 5.240605014e+00 lpdiblc1 = -1.077357603e-06 wpdiblc1 = -3.035244235e-06 ppdiblc1 = 6.693320587e-13 ++ pdiblc2 = -6.713793942e-02 lpdiblc2 = 1.507806899e-08 wpdiblc2 = 4.247950810e-08 ppdiblc2 = -9.367581126e-15 ++ pdiblcb = -2.094213764e+01 lpdiblcb = 4.366974129e-06 wpdiblcb = 1.230309608e-05 ppdiblcb = -2.713078747e-12 ++ drout = 1.000000221e+00 ldrout = -4.311984192e-14 wdrout = -1.930837357e-14 pdrout = 4.257882935e-21 ++ pscbe1 = 8.000000018e+08 lpscbe1 = -3.814220428e-07 wpscbe1 = -8.497085571e-07 ppscbe1 = 1.873769760e-13 ++ pscbe2 = -5.283394308e-08 lpscbe2 = 1.278762535e-14 wpscbe2 = 3.602663267e-14 ppscbe2 = -7.944593037e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.418842974e+00 lbeta0 = -1.431111250e-08 wbeta0 = -4.031932991e-08 pbeta0 = 8.891218632e-15 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 7.569230185e-08 lagidl = -1.646335395e-14 wagidl = -4.638228321e-14 pagidl = 1.022822109e-20 ++ bgidl = 1.000000301e+09 lbgidl = -5.672953796e-05 wbgidl = 1.232560730e-05 pbgidl = -2.718044281e-12 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -1.901549308e+00 lkt1 = 2.899527650e-07 wkt1 = 8.168850751e-07 pkt1 = -1.801394968e-13 ++ kt2 = 1.135064268e+00 lkt2 = -2.615037085e-07 wkt2 = -7.367357205e-07 pkt2 = 1.624649611e-13 ++ at = -5.809691612e+05 lat = 1.321464263e-01 wat = 3.722967503e-01 pat = -8.209887937e-8 ++ ute = -1.178159732e+01 lute = 2.491511542e-06 wute = 7.019346647e-06 pute = -1.547906323e-12 ++ ua1 = -9.914368045e-09 lua1 = 2.263494073e-15 wua1 = 6.376952908e-15 pua1 = -1.406245655e-21 ++ ub1 = 9.241134442e-18 lub1 = -2.062965604e-24 wub1 = -5.812002826e-24 pub1 = 1.281662863e-30 ++ uc1 = -2.212881416e-10 luc1 = 4.233700458e-17 wuc1 = 1.192762379e-16 puc1 = -2.630279597e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.153 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.154 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.159008111e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.546912416e-7 ++ k1 = 4.170420989e-01 lk1 = 2.926139320e-7 ++ k2 = 2.824548822e-02 lk2 = -2.533900806e-08 wk2 = -2.220446049e-22 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = -1.016439537e-26 pcit = 5.421010862e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.486350287e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.627722941e-07 wvoff = -1.776356839e-21 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.137127920e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.210855164e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.056242978e-02 lu0 = -1.954355187e-8 ++ ua = -9.116420042e-10 lua = 3.285115641e-15 ++ ub = 1.339640455e-18 lub = -7.792683529e-24 ++ uc = -1.046534774e-10 luc = -2.021399574e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.292141765e+04 lvsat = -2.556085955e-1 ++ a0 = 1.626529302e+00 la0 = -3.254408731e-6 ++ ags = 1.127437120e-01 lags = 1.093860960e-8 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = -1.612297784e-07 lb0 = 1.473926869e-12 ++ b1 = -1.130544990e-08 lb1 = 9.094382223e-14 ++ keta = 3.421368674e-02 lketa = -2.173038039e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-06 wpclm = -1.110223025e-22 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.909636661e-03 lpdiblc2 = -1.266443309e-8 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.860770435e-09 lpscbe2 = 2.402531188e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 4.805292000e+01 lbeta0 = -3.614830047e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.811949905e-09 lagidl = -1.825529312e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.443915098e-01 lkt1 = 1.229746438e-7 ++ kt2 = -6.522345350e-02 lkt2 = 1.337061237e-7 ++ at = 7.716025872e+04 lat = -1.235502988e-1 ++ ute = 5.623649524e-01 lute = -1.292207756e-05 wute = -8.881784197e-22 pute = 2.131628207e-26 ++ ua1 = 3.775543044e-09 lua1 = -3.374650014e-14 ++ ub1 = -2.648919653e-18 lub1 = 2.843379192e-23 pub1 = -9.860761315e-44 ++ uc1 = -9.445040192e-11 luc1 = 1.294748875e-15 puc1 = 3.308722450e-36 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.155 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.050620590e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.149582067e-7 ++ k1 = 4.604103273e-01 lk1 = -5.535191579e-8 ++ k2 = 3.111376993e-02 lk2 = -4.835272375e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.903188674e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.051285932e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.782034620e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.692502402e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 5.599407700e-03 lu0 = 2.027735507e-8 ++ ua = -5.231013447e-10 lua = 1.676518894e-16 ++ ub = -7.890771535e-20 lub = 3.589066086e-24 ++ uc = -1.070071013e-10 luc = -1.329647545e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.344101412e+04 lvsat = -1.907198821e-2 ++ a0 = 1.169202877e+00 la0 = 4.149589883e-7 ++ ags = 4.476786908e-02 lags = 5.563441444e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.153992238e-07 lb0 = -7.456114622e-13 wb0 = 4.235164736e-28 pb0 = -8.470329473e-34 ++ b1 = -1.461470369e-10 lb1 = 1.406932551e-15 pb1 = 3.308722450e-36 ++ keta = 7.540400483e-03 lketa = -3.290158170e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -4.109336388e-01 lpclm = 4.428121465e-06 ppclm = 1.421085472e-26 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.318653116e-04 lpdiblc2 = 7.971988815e-10 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.463489899e-08 lpscbe2 = -2.230352405e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.005880000e-10 lalpha0 = -8.070698298e-16 ++ alpha1 = 2.005880000e-10 lalpha1 = -8.070698298e-16 ++ beta0 = -2.415876000e+01 lbeta0 = 2.179088540e-04 wbeta0 = -2.842170943e-20 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.946346267e-10 lagidl = -1.266971631e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.137901907e-01 lkt1 = -1.225556520e-7 ++ kt2 = -3.851363950e-02 lkt2 = -8.060060310e-8 ++ at = 2.230282385e+04 lat = 3.165994270e-1 ++ ute = -1.918426857e+00 lute = 6.982605139e-06 pute = 5.684341886e-26 ++ ua1 = -2.965829131e-09 lua1 = 2.034303433e-14 pua1 = 5.293955920e-35 ++ ub1 = 2.930570959e-18 lub1 = -1.633336259e-23 wub1 = 1.232595164e-38 pub1 = 4.930380658e-44 ++ uc1 = 5.548297096e-10 luc1 = -3.914763086e-15 wuc1 = -8.271806126e-31 puc1 = -3.308722450e-36 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.156 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.106127595e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.375339211e-9 ++ k1 = 3.853412315e-01 lk1 = 2.466900923e-7 ++ k2 = 4.064949326e-02 lk2 = -8.671989728e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.635279899e-01 ldsub = -1.221250938e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.223718520e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.383723148e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.270962668e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.136441188e-7 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403173200e-01 letab = 2.829231434e-7 ++ u0 = 1.211366518e-02 lu0 = -5.932890175e-9 ++ ua = -1.308633256e-10 lua = -1.410525625e-15 ++ ub = 6.187485939e-19 lub = 7.820319721e-25 ++ uc = -1.338088641e-10 luc = 1.065077811e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.388955365e+04 lvsat = -1.013470960e-1 ++ a0 = 1.400765170e+00 la0 = -5.167365282e-7 ++ ags = -4.432097709e-02 lags = 9.147948988e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = -1.003993406e-07 lb0 = 1.226583774e-13 ++ b1 = 1.991036745e-09 lb1 = -7.192069138e-15 ++ keta = 2.281093733e-02 lketa = -6.473146857e-08 wketa = 1.110223025e-22 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.059902523e-01 lpclm = 3.365078504e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.185431636e-04 lpdiblc2 = 4.609681023e-11 ++ pdiblcb = -4.273520000e-01 lpdiblcb = 8.141673190e-7 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.712478508e-09 lpscbe2 = 1.525453199e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.011760000e-10 lalpha0 = 4.070836595e-16 ++ alpha1 = -1.011760000e-10 lalpha1 = 4.070836595e-16 ++ beta0 = 5.471942625e+01 lbeta0 = -9.945910591e-5 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -7.349225709e-11 lagidl = 1.823602248e-15 pagidl = 6.617444900e-36 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.355286288e-01 lkt1 = -3.509061145e-8 ++ kt2 = -6.321122536e-02 lkt2 = 1.877062754e-8 ++ at = 1.626547485e+05 lat = -2.481093488e-1 ++ ute = -9.154724880e-02 lute = -3.678815031e-7 ++ ua1 = 2.886961000e-09 lua1 = -3.205783819e-15 ++ ub1 = -1.904818744e-18 lub1 = 3.121924585e-24 ++ uc1 = -8.771411122e-10 luc1 = 1.846800155e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.157 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.118106584e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.261506208e-8 ++ k1 = 5.064652470e-01 lk1 = 1.593224533e-9 ++ k2 = -2.759691298e-03 lk2 = 1.119455858e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.600000202e-01 ldsub = -2.071113414e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.052413704e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.082664065e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.508128773e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.935544749e-7 ++ eta0 = -5.005130400e-01 leta0 = 1.013809907e-06 weta0 = 4.440892099e-22 peta0 = 3.483324740e-27 ++ etab = 2.645421120e-04 letab = -1.547066255e-9 ++ u0 = 1.145910416e-02 lu0 = -4.608372862e-9 ++ ua = -3.318390994e-10 lua = -1.003847127e-15 ++ ub = 7.130469709e-19 lub = 5.912173203e-25 ++ uc = -1.196469642e-10 luc = 7.785089353e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.537394825e+04 lvsat = 3.729560184e-2 ++ a0 = 1.030938530e+00 la0 = 2.316150734e-7 ++ ags = 2.475687006e-01 lags = 3.241502982e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.553211488e-08 lb0 = -1.119312413e-13 ++ b1 = -3.035513050e-09 lb1 = 2.979254902e-15 ++ keta = -1.751182380e-02 lketa = 1.686244502e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.199174115e-01 lpclm = -2.987300348e-07 wpclm = -7.105427358e-21 ++ pdiblc1 = 3.957940034e-01 lpdiblc1 = -1.172428182e-8 ++ pdiblc2 = 4.529136727e-04 lpdiblc2 = -2.345260230e-11 ++ pdiblcb = 1.797040000e-01 lpdiblcb = -4.142226381e-7 ++ drout = 3.794864141e-01 ldrout = 3.652728512e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.769526283e-09 lpscbe2 = -6.135041137e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.643241089e+00 lbeta0 = 1.871056290e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.117571254e-10 lagidl = 8.416904178e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.260591597e-01 lkt1 = -5.425227153e-8 ++ kt2 = -5.943725826e-02 lkt2 = 1.113392964e-8 ++ at = 2.804660864e+04 lat = 2.427291432e-2 ++ ute = 5.196833265e-01 lute = -1.604718797e-6 ++ ua1 = 3.076566596e-09 lua1 = -3.589454534e-15 ++ ub1 = -1.607506698e-18 lub1 = 2.520307714e-24 pub1 = 6.162975822e-45 ++ uc1 = 3.394859767e-12 luc1 = 6.501800488e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.158 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.104135961e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.831585011e-8 ++ k1 = 5.845342661e-01 lk1 = -7.831197791e-8 ++ k2 = -3.521780815e-02 lk2 = 3.434098762e-08 wk2 = -1.110223025e-22 pk2 = -4.163336342e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -5.148096000e-01 ldsub = 7.930331218e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.286451354e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.312758095e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {5.348218125e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.226164665e-6 ++ eta0 = 9.944947238e-01 leta0 = -5.163604397e-7 ++ etab = -1.505565344e-03 letab = 2.646741292e-10 ++ u0 = 5.252885488e-03 lu0 = 1.743816071e-9 ++ ua = -1.855248330e-09 lua = 5.553926879e-16 ++ ub = 1.646936524e-18 lub = -3.646373153e-25 wub = -1.232595164e-38 ++ uc = -3.861426543e-11 luc = -5.087694348e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.272126226e+03 lvsat = 4.763501872e-2 ++ a0 = 1.406885884e+00 la0 = -1.531745618e-7 ++ ags = -1.537163707e-01 lags = 7.348735944e-7 ++ a1 = 0.0 ++ a2 = 1.122324950e+00 la2 = -3.299060332e-7 ++ b0 = -1.920676221e-07 lb0 = 1.005512415e-13 ++ b1 = -2.553068288e-10 lb1 = 1.336582310e-16 ++ keta = 4.345618449e-02 lketa = -4.553953082e-08 wketa = 4.163336342e-23 pketa = 7.632783294e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 8.327961674e-01 lpclm = -2.095596990e-7 ++ pdiblc1 = 7.135984939e-01 lpdiblc1 = -3.370035339e-07 ppdiblc1 = -1.776356839e-27 ++ pdiblc2 = 3.879409928e-05 lpdiblc2 = 4.004070635e-10 ++ pdiblcb = -0.225 ++ drout = 9.713112154e-01 ldrout = -2.404716693e-7 ++ pscbe1 = 1.492842356e+09 lpscbe1 = -7.091380085e+2 ++ pscbe2 = -6.308059837e-08 lpscbe2 = 7.395005547e-14 wpscbe2 = -2.117582368e-28 ppscbe2 = 2.117582368e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.407774865e+00 lbeta0 = 2.112060679e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.569901051e-09 lagidl = -3.436930533e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.740229702e-01 lkt1 = -5.160352257e-9 ++ kt2 = -3.705763611e-02 lkt2 = -1.177206122e-8 ++ at = 2.219515839e+04 lat = 3.026199068e-2 ++ ute = -1.895801293e+00 lute = 8.675780209e-07 pute = -7.105427358e-27 ++ ua1 = -2.625004008e-09 lua1 = 2.246217010e-15 pua1 = 6.617444900e-36 ++ ub1 = 2.657182254e-18 lub1 = -1.844686722e-24 wub1 = 1.232595164e-38 ++ uc1 = 2.075025205e-10 luc1 = -1.438902680e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.159 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.084503158e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.037685512e-9 ++ k1 = 2.785842657e-01 lk1 = 8.185896632e-8 ++ k2 = 9.078834505e-02 lk2 = -3.162575371e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.235674842e+00 ldsub = -1.233804932e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.824469943e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.105806988e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.380644558e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.636804585e-7 ++ eta0 = -5.189894481e-01 leta0 = 2.759787940e-07 weta0 = 1.554312234e-21 peta0 = 5.828670879e-28 ++ etab = -2.015517863e-03 letab = 5.316444720e-10 ++ u0 = 1.182344359e-02 lu0 = -1.696002504e-9 ++ ua = -2.127182843e-10 lua = -3.045046414e-16 ++ ub = 5.497105694e-19 lub = 2.097824166e-25 ++ uc = -1.001292182e-10 luc = 2.711661375e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.160703249e+04 lvsat = 3.384816859e-2 ++ a0 = 1.660440134e+00 la0 = -2.859152828e-7 ++ ags = 9.698322770e-01 lags = 1.466734064e-7 ++ a1 = 0.0 ++ a2 = 1.597024138e-01 la2 = 1.740461171e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.647214109e-02 lketa = 6.774946183e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.085539131e-01 lpclm = 1.172436059e-7 ++ pdiblc1 = -2.963791728e-01 lpdiblc1 = 1.917399742e-07 ppdiblc1 = 2.220446049e-28 ++ pdiblc2 = -7.700523841e-03 lpdiblc2 = 4.452094792e-09 wpdiblc2 = 1.387778781e-23 ppdiblc2 = -1.040834086e-29 ++ pdiblcb = -1.560512404e-01 lpdiblcb = -3.609605461e-8 ++ drout = 4.936971948e-01 ldrout = 9.568822735e-9 ++ pscbe1 = -5.856554958e+08 lpscbe1 = 3.789971870e+02 ppscbe1 = 4.768371582e-19 ++ pscbe2 = 1.540275727e-07 lpscbe2 = -3.971041422e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.930281860e+00 lbeta0 = 2.679578173e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.912726180e-09 lagidl = -5.231688648e-16 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.413228120e-01 lkt1 = -2.227953908e-8 ++ kt2 = -6.690804875e-02 lkt2 = 3.855226801e-9 ++ at = 9.325182934e+04 lat = -6.937597694e-3 ++ ute = 4.134590933e-01 lute = -3.413659765e-07 pute = 8.881784197e-28 ++ ua1 = 3.165106439e-09 lua1 = -7.850216110e-16 ++ ub1 = -1.629143462e-18 lub1 = 3.992905164e-25 ++ uc1 = -3.393906100e-11 luc1 = -1.749077126e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.160 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.009066472e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.259575696e-8 ++ k1 = -8.579247482e-01 lk1 = 3.927169118e-7 ++ k2 = 5.167953973e-01 lk2 = -1.481472026e-07 wk2 = 1.332267630e-21 pk2 = -1.110223025e-28 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.803960266e+00 ldsub = -2.788179225e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.368667420e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.721739264e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.990571042e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.569875505e-7 ++ eta0 = 4.900000008e-01 leta0 = -7.096190302e-17 ++ etab = 2.195759126e-03 letab = -6.202240101e-10 wetab = -1.734723476e-24 petab = -1.301042607e-30 ++ u0 = 1.425126466e-02 lu0 = -2.360060124e-9 ++ ua = 1.721124685e-09 lua = -8.334493704e-16 ++ ub = -8.156452782e-19 lub = 5.832345480e-25 ++ uc = 3.489543344e-11 luc = -9.815328983e-18 wuc = 7.754818243e-32 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.618244987e+05 lvsat = -5.647291276e-2 ++ a0 = -1.466462483e-01 la0 = 2.083589844e-7 ++ ags = 2.250598986e+00 lags = -2.036419039e-7 ++ a1 = 0.0 ++ a2 = 9.336249622e-01 la2 = -3.763717830e-8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.737025015e-02 lketa = 1.522619697e-08 pketa = -1.110223025e-28 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.849679741e-01 lpclm = -1.306516802e-8 ++ pdiblc1 = 5.487137542e-01 lpdiblc1 = -3.940984321e-8 ++ pdiblc2 = 2.991525761e-02 lpdiblc2 = -5.836573751e-9 ++ pdiblcb = 2.186325871e+00 lpdiblcb = -6.767830420e-7 ++ drout = -8.416446543e-01 ldrout = 3.748115253e-7 ++ pscbe1 = 7.998956542e+08 lpscbe1 = 2.123647450e-2 ++ pscbe2 = 1.957358274e-08 lpscbe2 = -2.934558902e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.052647787e+01 lbeta0 = -4.421537155e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -3.010167519e-09 lagidl = 8.233410197e-16 ++ bgidl = 1.938486425e+09 lbgidl = -1.910007484e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.369571006e-01 lkt1 = -5.082564844e-8 ++ kt2 = -5.870698811e-02 lkt2 = 1.612072696e-9 ++ at = 2.121185134e+05 lat = -3.945001311e-2 ++ ute = -1.856079955e+00 lute = 2.793983441e-07 wute = 1.421085472e-20 ++ ua1 = 1.352904843e-10 lua1 = 4.369364895e-17 ++ ub1 = -3.305422621e-19 lub1 = 4.409711620e-26 ++ uc1 = -2.972920545e-10 luc1 = 5.454153952e-17 puc1 = -4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.161 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 6.3e-07 wmax = 6.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {1.837304558e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.413296186e-07 wvth0 = -1.806820859e-06 pvth0 = 3.984401358e-13 ++ k1 = 2.666255590e-01 lk1 = 1.775346723e-07 wk1 = 5.001690142e-07 pk1 = -1.102972710e-13 ++ k2 = -6.537104039e+00 lk2 = 1.395003984e-06 wk2 = 3.930151099e-06 pk2 = -8.666769204e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -5.155565786e+00 ldsub = 1.232607137e-06 wdsub = 3.472629566e-06 pdsub = -7.657842719e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.906560635e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.113253549e-08 wvoff = 5.953681827e-08 pvoff = -1.312905916e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.201272870e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.098644668e-06 wnfactor = -3.095218374e-06 pnfactor = 6.825575559e-13 ++ eta0 = -1.093665024e+01 leta0 = 2.519804911e-06 weta0 = 7.099057850e-06 peta0 = -1.565484237e-12 ++ etab = -6.496892491e-01 letab = 1.430816508e-07 wetab = 4.031045463e-07 petab = -8.889261456e-14 ++ u0 = -5.494754813e-02 lu0 = 1.270252655e-08 wu0 = 3.578688701e-08 pu0 = -7.891724324e-15 ++ ua = 4.539382406e-09 lua = -1.524549484e-15 wua = -4.295120371e-15 pua = 9.471599442e-22 ++ ub = -2.519919023e-19 lub = 5.076552140e-25 wub = 1.430219393e-24 pub = -3.153919806e-31 ++ uc = 4.871909564e-10 luc = -1.103754109e-16 wuc = -3.109611420e-16 puc = 6.857315104e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.450799115e+07 lvsat = -3.180702758e+00 wvsat = -8.961008368e+00 pvsat = 1.976081565e-6 ++ a0 = 5.978140540e+00 la0 = -1.124874798e-06 wa0 = -3.169114070e-06 pa0 = 6.988530347e-13 ++ ags = 1.250000067e+00 lags = -1.292610818e-14 wags = -2.607123406e-15 pags = 5.749143384e-22 ++ a1 = 0.0 ++ a2 = 8.547183238e+00 la2 = -1.719722878e-06 wa2 = -4.844983061e-06 pa2 = 1.068415665e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.996439956e+00 lketa = -4.430237873e-07 wketa = -1.248132918e-06 pketa = 2.752382710e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.633270215e-01 lpclm = -9.384236998e-09 wpclm = -2.643824011e-08 ppclm = 5.830160708e-15 ++ pdiblc1 = -6.508220492e+00 lpdiblc1 = 1.513493398e-06 wpdiblc1 = 4.263972085e-06 ppdiblc1 = -9.402911241e-13 ++ pdiblc2 = 3.424791438e-02 lpdiblc2 = -7.279539492e-09 wpdiblc2 = -2.050868406e-08 ppdiblc2 = 4.522575010e-15 ++ pdiblcb = 3.875064344e+01 lpdiblcb = -8.796477954e-06 wpdiblcb = -2.478235740e-05 ppdiblcb = 5.465005455e-12 ++ drout = 1.000000053e+00 ldrout = -5.914387202e-15 wdrout = 8.551069186e-14 pdrout = -1.885682366e-20 ++ pscbe1 = 7.861069041e+07 lpscbe1 = 1.590807706e+02 wpscbe1 = 4.481789794e+02 ppscbe1 = -9.883242854e-5 ++ pscbe2 = 1.562207896e-07 lpscbe2 = -3.331312430e-14 wpscbe2 = -9.385321921e-14 ppscbe2 = 2.069651190e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -5.199897891e+00 lbeta0 = 2.988893623e-06 wbeta0 = 8.420623045e-06 pbeta0 = -1.856915794e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -4.008809060e-07 lagidl = 8.863056985e-14 wagidl = 2.496993068e-13 pagidl = -5.506369113e-20 ++ bgidl = 1.000000428e+09 lbgidl = -8.465629578e-05 wbgidl = -6.635260010e-05 pbgidl = 1.463207245e-11 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.461193829e-02 lkt1 = -1.195390637e-07 wkt1 = -3.367781182e-07 pkt1 = 7.426631062e-14 ++ kt2 = -1.639495691e+00 lkt2 = 3.503422538e-07 wkt2 = 9.870206947e-07 pkt2 = -2.176578036e-13 ++ at = 1.423147657e+06 lat = -3.098014146e-01 wat = -8.728049138e-01 pat = 1.924709396e-7 ++ ute = 1.151482381e+01 lute = -2.645815245e-06 wute = -7.454067500e-06 pute = 1.643770965e-12 ++ ua1 = 1.510530450e-08 lua1 = -3.253844117e-15 wua1 = -9.167069095e-15 pua1 = 2.021522077e-21 ++ ub1 = -2.011491469e-17 lub1 = 4.410630350e-24 wub1 = 1.242608853e-23 pub1 = -2.740201043e-30 ++ uc1 = -4.826448999e-10 luc1 = 9.997139693e-17 wuc1 = 2.816498738e-16 puc1 = -6.210943017e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.162 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.163 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.159008111e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.546912416e-7 ++ k1 = 4.170420989e-01 lk1 = 2.926139320e-7 ++ k2 = 2.824548822e-02 lk2 = -2.533900806e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = 8.470329473e-28 pcit = 4.743384505e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.486350287e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.627722941e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.137127920e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.210855164e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.056242978e-02 lu0 = -1.954355187e-8 ++ ua = -9.116420042e-10 lua = 3.285115641e-15 ++ ub = 1.339640455e-18 lub = -7.792683529e-24 ++ uc = -1.046534774e-10 luc = -2.021399574e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.292141765e+04 lvsat = -2.556085955e-1 ++ a0 = 1.626529302e+00 la0 = -3.254408731e-6 ++ ags = 1.127437120e-01 lags = 1.093860960e-8 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = -1.612297784e-07 lb0 = 1.473926869e-12 wb0 = 1.058791184e-28 ++ b1 = -1.130544990e-08 lb1 = 9.094382223e-14 ++ keta = 3.421368674e-02 lketa = -2.173038039e-07 wketa = -2.775557562e-23 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-06 wpclm = -1.387778781e-23 ppclm = -3.330669074e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.909636661e-03 lpdiblc2 = -1.266443309e-8 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.860770435e-09 lpscbe2 = 2.402531188e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 4.805292000e+01 lbeta0 = -3.614830047e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.811949905e-09 lagidl = -1.825529312e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.443915098e-01 lkt1 = 1.229746438e-7 ++ kt2 = -6.522345350e-02 lkt2 = 1.337061237e-07 wkt2 = -5.551115123e-23 ++ at = 7.716025872e+04 lat = -1.235502988e-1 ++ ute = 5.623649524e-01 lute = -1.292207756e-05 wute = -1.110223025e-22 pute = -3.552713679e-27 ++ ua1 = 3.775543044e-09 lua1 = -3.374650014e-14 wua1 = 1.654361225e-30 ++ ub1 = -2.648919653e-18 lub1 = 2.843379192e-23 ++ uc1 = -9.445040192e-11 luc1 = 1.294748875e-15 wuc1 = 2.584939414e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.164 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.050620590e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.149582067e-7 ++ k1 = 4.604103273e-01 lk1 = -5.535191579e-8 ++ k2 = 3.111376993e-02 lk2 = -4.835272375e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.903188674e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.051285932e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.782034620e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.692502402e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 5.599407700e-03 lu0 = 2.027735507e-8 ++ ua = -5.231013447e-10 lua = 1.676518894e-16 ++ ub = -7.890771535e-20 lub = 3.589066086e-24 pub = -1.540743956e-45 ++ uc = -1.070071013e-10 luc = -1.329647545e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.344101412e+04 lvsat = -1.907198821e-2 ++ a0 = 1.169202877e+00 la0 = 4.149589883e-7 ++ ags = 4.476786908e-02 lags = 5.563441444e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.153992238e-07 lb0 = -7.456114622e-13 wb0 = 2.646977960e-29 pb0 = -1.058791184e-34 ++ b1 = -1.461470369e-10 lb1 = 1.406932551e-15 ++ keta = 7.540400483e-03 lketa = -3.290158170e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -4.109336388e-01 lpclm = 4.428121465e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.318653116e-04 lpdiblc2 = 7.971988815e-10 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.463489899e-08 lpscbe2 = -2.230352405e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.005880000e-10 lalpha0 = -8.070698298e-16 ++ alpha1 = 2.005880000e-10 lalpha1 = -8.070698298e-16 ++ beta0 = -2.415876000e+01 lbeta0 = 2.179088540e-04 wbeta0 = 3.552713679e-21 pbeta0 = 2.842170943e-26 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.946346267e-10 lagidl = -1.266971631e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.137901907e-01 lkt1 = -1.225556520e-7 ++ kt2 = -3.851363950e-02 lkt2 = -8.060060310e-8 ++ at = 2.230282385e+04 lat = 3.165994270e-1 ++ ute = -1.918426857e+00 lute = 6.982605139e-6 ++ ua1 = -2.965829131e-09 lua1 = 2.034303433e-14 wua1 = 4.135903063e-31 pua1 = -4.963083675e-36 ++ ub1 = 2.930570959e-18 lub1 = -1.633336259e-23 ++ uc1 = 5.548297096e-10 luc1 = -3.914763086e-15 puc1 = 1.240770919e-36 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.165 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.106127595e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.375339211e-9 ++ k1 = 3.853412315e-01 lk1 = 2.466900923e-7 ++ k2 = 4.064949326e-02 lk2 = -8.671989728e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.635279899e-01 ldsub = -1.221250938e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.223718520e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.383723148e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.270962668e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.136441188e-7 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403173200e-01 letab = 2.829231434e-7 ++ u0 = 1.211366518e-02 lu0 = -5.932890175e-9 ++ ua = -1.308633256e-10 lua = -1.410525625e-15 ++ ub = 6.187485939e-19 lub = 7.820319721e-25 ++ uc = -1.338088641e-10 luc = 1.065077811e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.388955365e+04 lvsat = -1.013470960e-1 ++ a0 = 1.400765170e+00 la0 = -5.167365282e-7 ++ ags = -4.432097709e-02 lags = 9.147948988e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = -1.003993406e-07 lb0 = 1.226583774e-13 ++ b1 = 1.991036745e-09 lb1 = -7.192069138e-15 wb1 = 2.067951531e-31 pb1 = 8.271806126e-37 ++ keta = 2.281093733e-02 lketa = -6.473146857e-08 wketa = -6.938893904e-24 pketa = 2.775557562e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.059902523e-01 lpclm = 3.365078504e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.185431636e-04 lpdiblc2 = 4.609681023e-11 ++ pdiblcb = -4.273520000e-01 lpdiblcb = 8.141673190e-7 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.712478508e-09 lpscbe2 = 1.525453199e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.011760000e-10 lalpha0 = 4.070836595e-16 ++ alpha1 = -1.011760000e-10 lalpha1 = 4.070836595e-16 ++ beta0 = 5.471942625e+01 lbeta0 = -9.945910591e-05 wbeta0 = 2.842170943e-20 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -7.349225709e-11 lagidl = 1.823602248e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.355286288e-01 lkt1 = -3.509061145e-8 ++ kt2 = -6.321122536e-02 lkt2 = 1.877062754e-8 ++ at = 1.626547485e+05 lat = -2.481093488e-1 ++ ute = -9.154724880e-02 lute = -3.678815031e-7 ++ ua1 = 2.886961000e-09 lua1 = -3.205783819e-15 ++ ub1 = -1.904818744e-18 lub1 = 3.121924585e-24 ++ uc1 = -8.771411122e-10 luc1 = 1.846800155e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.166 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.118106584e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.261506208e-8 ++ k1 = 5.064652470e-01 lk1 = 1.593224533e-9 ++ k2 = -2.759691298e-03 lk2 = 1.119455858e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.600000202e-01 ldsub = -2.071113236e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.052413704e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.082664065e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.508128773e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.935544749e-7 ++ eta0 = -5.005130400e-01 leta0 = 1.013809907e-06 weta0 = -1.552577511e-22 peta0 = -2.151057110e-28 ++ etab = 2.645421120e-04 letab = -1.547066255e-9 ++ u0 = 1.145910416e-02 lu0 = -4.608372862e-9 ++ ua = -3.318390994e-10 lua = -1.003847127e-15 ++ ub = 7.130469709e-19 lub = 5.912173203e-25 ++ uc = -1.196469642e-10 luc = 7.785089353e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.537394825e+04 lvsat = 3.729560184e-2 ++ a0 = 1.030938530e+00 la0 = 2.316150734e-7 ++ ags = 2.475687006e-01 lags = 3.241502982e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.553211488e-08 lb0 = -1.119312413e-13 ++ b1 = -3.035513050e-09 lb1 = 2.979254902e-15 pb1 = -1.654361225e-36 ++ keta = -1.751182380e-02 lketa = 1.686244502e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.199174115e-01 lpclm = -2.987300348e-7 ++ pdiblc1 = 3.957940034e-01 lpdiblc1 = -1.172428182e-8 ++ pdiblc2 = 4.529136727e-04 lpdiblc2 = -2.345260230e-11 ++ pdiblcb = 1.797040000e-01 lpdiblcb = -4.142226381e-07 ppdiblcb = 8.326672685e-29 ++ drout = 3.794864141e-01 ldrout = 3.652728512e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.769526283e-09 lpscbe2 = -6.135041137e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.643241089e+00 lbeta0 = 1.871056290e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.117571254e-10 lagidl = 8.416904178e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.260591597e-01 lkt1 = -5.425227153e-8 ++ kt2 = -5.943725826e-02 lkt2 = 1.113392964e-8 ++ at = 2.804660864e+04 lat = 2.427291432e-2 ++ ute = 5.196833265e-01 lute = -1.604718797e-06 pute = -4.440892099e-28 ++ ua1 = 3.076566596e-09 lua1 = -3.589454534e-15 ++ ub1 = -1.607506698e-18 lub1 = 2.520307714e-24 wub1 = 3.851859889e-40 ++ uc1 = 3.394859767e-12 luc1 = 6.501800488e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.167 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.104135961e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.831585011e-8 ++ k1 = 5.845342661e-01 lk1 = -7.831197791e-8 ++ k2 = -3.521780815e-02 lk2 = 3.434098762e-08 wk2 = 8.673617380e-24 pk2 = 4.336808690e-30 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -5.148096000e-01 ldsub = 7.930331218e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.286451354e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.312758095e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {5.348218125e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.226164665e-6 ++ eta0 = 9.944947238e-01 leta0 = -5.163604397e-7 ++ etab = -1.505565344e-03 letab = 2.646741292e-10 ++ u0 = 5.252885488e-03 lu0 = 1.743816071e-9 ++ ua = -1.855248330e-09 lua = 5.553926879e-16 ++ ub = 1.646936524e-18 lub = -3.646373153e-25 ++ uc = -3.861426543e-11 luc = -5.087694348e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.272126226e+03 lvsat = 4.763501872e-2 ++ a0 = 1.406885884e+00 la0 = -1.531745618e-7 ++ ags = -1.537163707e-01 lags = 7.348735944e-7 ++ a1 = 0.0 ++ a2 = 1.122324950e+00 la2 = -3.299060332e-7 ++ b0 = -1.920676221e-07 lb0 = 1.005512415e-13 ++ b1 = -2.553068288e-10 lb1 = 1.336582310e-16 ++ keta = 4.345618449e-02 lketa = -4.553953082e-08 wketa = 1.214306433e-23 pketa = 5.204170428e-30 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 8.327961674e-01 lpclm = -2.095596990e-7 ++ pdiblc1 = 7.135984939e-01 lpdiblc1 = -3.370035339e-7 ++ pdiblc2 = 3.879409928e-05 lpdiblc2 = 4.004070635e-10 ++ pdiblcb = -0.225 ++ drout = 9.713112154e-01 ldrout = -2.404716693e-7 ++ pscbe1 = 1.492842356e+09 lpscbe1 = -7.091380085e+2 ++ pscbe2 = -6.308059837e-08 lpscbe2 = 7.395005547e-14 wpscbe2 = -1.323488980e-29 ppscbe2 = -1.985233470e-35 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.407774865e+00 lbeta0 = 2.112060679e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.569901051e-09 lagidl = -3.436930533e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.740229702e-01 lkt1 = -5.160352257e-9 ++ kt2 = -3.705763611e-02 lkt2 = -1.177206122e-8 ++ at = 2.219515839e+04 lat = 3.026199068e-2 ++ ute = -1.895801293e+00 lute = 8.675780209e-7 ++ ua1 = -2.625004008e-09 lua1 = 2.246217010e-15 pua1 = -8.271806126e-37 ++ ub1 = 2.657182254e-18 lub1 = -1.844686722e-24 pub1 = 7.703719778e-46 ++ uc1 = 2.075025205e-10 luc1 = -1.438902680e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.168 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.084503158e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.037685512e-9 ++ k1 = 2.785842657e-01 lk1 = 8.185896632e-8 ++ k2 = 9.078834505e-02 lk2 = -3.162575371e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.235674842e+00 ldsub = -1.233804932e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.824469943e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.105806988e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.380644558e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.636804585e-7 ++ eta0 = -5.189894481e-01 leta0 = 2.759787940e-07 weta0 = -6.938893904e-23 peta0 = 9.367506770e-29 ++ etab = -2.015517863e-03 letab = 5.316444720e-10 ++ u0 = 1.182344359e-02 lu0 = -1.696002504e-9 ++ ua = -2.127182843e-10 lua = -3.045046414e-16 ++ ub = 5.497105694e-19 lub = 2.097824166e-25 ++ uc = -1.001292182e-10 luc = 2.711661375e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.160703249e+04 lvsat = 3.384816859e-2 ++ a0 = 1.660440134e+00 la0 = -2.859152828e-7 ++ ags = 9.698322770e-01 lags = 1.466734064e-7 ++ a1 = 0.0 ++ a2 = 1.597024138e-01 la2 = 1.740461171e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.647214109e-02 lketa = 6.774946183e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.085539131e-01 lpclm = 1.172436059e-7 ++ pdiblc1 = -2.963791728e-01 lpdiblc1 = 1.917399742e-07 ppdiblc1 = 2.775557562e-29 ++ pdiblc2 = -7.700523841e-03 lpdiblc2 = 4.452094792e-09 wpdiblc2 = -2.602085214e-24 ppdiblc2 = -4.336808690e-31 ++ pdiblcb = -1.560512404e-01 lpdiblcb = -3.609605461e-8 ++ drout = 4.936971948e-01 ldrout = 9.568822735e-9 ++ pscbe1 = -5.856554958e+08 lpscbe1 = 3.789971870e+2 ++ pscbe2 = 1.540275727e-07 lpscbe2 = -3.971041422e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.930281860e+00 lbeta0 = 2.679578173e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.912726180e-09 lagidl = -5.231688648e-16 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.413228120e-01 lkt1 = -2.227953908e-8 ++ kt2 = -6.690804875e-02 lkt2 = 3.855226801e-9 ++ at = 9.325182934e+04 lat = -6.937597694e-3 ++ ute = 4.134590933e-01 lute = -3.413659765e-7 ++ ua1 = 3.165106439e-09 lua1 = -7.850216110e-16 ++ ub1 = -1.629143462e-18 lub1 = 3.992905164e-25 ++ uc1 = -3.393906100e-11 luc1 = -1.749077126e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.169 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.009066472e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.259575696e-8 ++ k1 = -8.579247482e-01 lk1 = 3.927169118e-7 ++ k2 = 5.167953973e-01 lk2 = -1.481472026e-07 wk2 = -2.220446049e-22 pk2 = 4.163336342e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.803960266e+00 ldsub = -2.788179225e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.368667420e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.721739264e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.990571042e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.569875505e-7 ++ eta0 = 4.900000008e-01 leta0 = -7.095968257e-17 ++ etab = 2.195759126e-03 letab = -6.202240101e-10 wetab = 2.168404345e-25 petab = -2.710505431e-32 ++ u0 = 1.425126466e-02 lu0 = -2.360060124e-9 ++ ua = 1.721124685e-09 lua = -8.334493704e-16 ++ ub = -8.156452782e-19 lub = 5.832345480e-25 ++ uc = 3.489543344e-11 luc = -9.815328983e-18 wuc = -3.231174268e-33 puc = -1.211690350e-39 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.618244987e+05 lvsat = -5.647291276e-2 ++ a0 = -1.466462483e-01 la0 = 2.083589844e-7 ++ ags = 2.250598986e+00 lags = -2.036419039e-7 ++ a1 = 0.0 ++ a2 = 9.336249622e-01 la2 = -3.763717830e-8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.737025015e-02 lketa = 1.522619697e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.849679741e-01 lpclm = -1.306516802e-8 ++ pdiblc1 = 5.487137542e-01 lpdiblc1 = -3.940984321e-8 ++ pdiblc2 = 2.991525761e-02 lpdiblc2 = -5.836573751e-9 ++ pdiblcb = 2.186325871e+00 lpdiblcb = -6.767830420e-07 wpdiblcb = -8.881784197e-22 ppdiblcb = -2.220446049e-28 ++ drout = -8.416446543e-01 ldrout = 3.748115253e-7 ++ pscbe1 = 7.998956542e+08 lpscbe1 = 2.123647450e-2 ++ pscbe2 = 1.957358274e-08 lpscbe2 = -2.934558902e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.052647787e+01 lbeta0 = -4.421537155e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -3.010167519e-09 lagidl = 8.233410197e-16 ++ bgidl = 1.938486425e+09 lbgidl = -1.910007484e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.369571006e-01 lkt1 = -5.082564844e-8 ++ kt2 = -5.870698811e-02 lkt2 = 1.612072696e-9 ++ at = 2.121185134e+05 lat = -3.945001311e-2 ++ ute = -1.856079955e+00 lute = 2.793983441e-7 ++ ua1 = 1.352904843e-10 lua1 = 4.369364895e-17 ++ ub1 = -3.305422621e-19 lub1 = 4.409711620e-26 ++ uc1 = -2.972920545e-10 luc1 = 5.454153952e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.170 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.5e-07 wmax = 6.3e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.471180083e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.825741449e-08 wvth0 = 2.155631649e-07 pvth0 = -4.753598911e-14 ++ k1 = 2.666255684e-01 lk1 = 1.775346702e-07 wk1 = 5.001690085e-07 pk1 = -1.102972697e-13 ++ k2 = 3.635604738e-01 lk2 = -1.267305545e-07 wk2 = -2.880318992e-07 pk2 = 6.351679442e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -5.155565835e+00 ldsub = 1.232607147e-06 wdsub = 3.472629596e-06 pdsub = -7.657842784e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.906560677e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.113253640e-08 wvoff = 5.953682080e-08 pvoff = -1.312905972e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.201273558e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.098644820e-06 wnfactor = -3.095218794e-06 pnfactor = 6.825576486e-13 ++ eta0 = -1.093665135e+01 leta0 = 2.519805157e-06 weta0 = 7.099058531e-06 peta0 = -1.565484387e-12 ++ etab = -6.496891861e-01 letab = 1.430816369e-07 wetab = 4.031045078e-07 petab = -8.889260606e-14 ++ u0 = 3.322251681e-02 lu0 = -6.740736174e-09 wu0 = -1.810900493e-08 pu0 = 3.993397766e-15 ++ ua = 4.539381566e-09 lua = -1.524549299e-15 wua = -4.295119858e-15 pua = 9.471598310e-22 ++ ub = -2.519914808e-19 lub = 5.076551211e-25 wub = 1.430219136e-24 pub = -3.153919238e-31 ++ uc = 4.871909543e-10 luc = -1.103754104e-16 wuc = -3.109611408e-16 puc = 6.857315077e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.302265005e+06 lvsat = 3.057749292e-01 wvsat = 7.033585337e-01 pvsat = -1.551046238e-7 ++ a0 = 5.978140941e+00 la0 = -1.124874887e-06 wa0 = -3.169114315e-06 pa0 = 6.988530888e-13 ++ ags = 1.250000062e+00 lags = -1.188502452e-14 wags = 2.786819664e-16 pags = -6.145484122e-23 ++ a1 = 0.0 ++ a2 = 8.547183572e+00 la2 = -1.719722952e-06 wa2 = -4.844983266e-06 pa2 = 1.068415710e-12 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 1.996439835e+00 lketa = -4.430237606e-07 wketa = -1.248132844e-06 pketa = 2.752382547e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.633270275e-01 lpclm = -9.384238313e-09 wpclm = -2.643824375e-08 ppclm = 5.830161512e-15 ++ pdiblc1 = -6.508220570e+00 lpdiblc1 = 1.513493415e-06 wpdiblc1 = 4.263972132e-06 ppdiblc1 = -9.402911346e-13 ++ pdiblc2 = 3.424790847e-02 lpdiblc2 = -7.279538190e-09 wpdiblc2 = -2.050868045e-08 ppdiblc2 = 4.522574214e-15 ++ pdiblcb = 3.875064748e+01 lpdiblcb = -8.796478846e-06 wpdiblcb = -2.478235988e-05 ppdiblcb = 5.465006000e-12 ++ drout = 1.000000208e+00 ldrout = -4.006034438e-14 wdrout = -9.140411095e-15 pdrout = 2.015642764e-21 ++ pscbe1 = 7.861074015e+07 lpscbe1 = 1.590807596e+02 wpscbe1 = 4.481789490e+02 ppscbe1 = -9.883242183e-5 ++ pscbe2 = 1.562207629e-07 lpscbe2 = -3.331311841e-14 wpscbe2 = -9.385320288e-14 ppscbe2 = 2.069650830e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = -5.199898492e+00 lbeta0 = 2.988893756e-06 wbeta0 = 8.420623412e-06 pbeta0 = -1.856915875e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 5.273077116e-08 lagidl = -1.139987721e-14 wagidl = -2.758081034e-14 pagidl = 6.082120297e-21 ++ bgidl = 1.000000307e+09 lbgidl = -5.816053009e-05 wbgidl = 7.092559814e-06 pbgidl = -1.564051628e-12 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.461201659e-02 lkt1 = -1.195390464e-07 wkt1 = -3.367780703e-07 pkt1 = 7.426630007e-14 ++ kt2 = -1.639495540e+00 lkt2 = 3.503422204e-07 wkt2 = 9.870206023e-07 pkt2 = -2.176577832e-13 ++ at = 1.423147570e+06 lat = -3.098013954e-01 wat = -8.728048606e-01 pat = 1.924709279e-7 ++ ute = 1.151482466e+01 lute = -2.645815434e-06 wute = -7.454068023e-06 pute = 1.643771080e-12 ++ ua1 = 1.510530841e-08 lua1 = -3.253844978e-15 wua1 = -9.167071482e-15 pua1 = 2.021522603e-21 ++ ub1 = -2.011491045e-17 lub1 = 4.410629417e-24 wub1 = 1.242608594e-23 pub1 = -2.740200472e-30 ++ uc1 = -4.826449106e-10 luc1 = 9.997139928e-17 wuc1 = 2.816498803e-16 puc1 = -6.210943161e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.171 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.172 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.159008111e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.546912416e-7 ++ k1 = 4.170420989e-01 lk1 = 2.926139320e-7 ++ k2 = 2.824548822e-02 lk2 = -2.533900806e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = 5.082197684e-27 pcit = 2.710505431e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.486350287e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.627722941e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.137127920e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.210855164e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.056242978e-02 lu0 = -1.954355187e-8 ++ ua = -9.116420042e-10 lua = 3.285115641e-15 ++ ub = 1.339640455e-18 lub = -7.792683529e-24 ++ uc = -1.046534774e-10 luc = -2.021399574e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 9.292141765e+04 lvsat = -2.556085955e-1 ++ a0 = 1.626529302e+00 la0 = -3.254408731e-6 ++ ags = 1.127437120e-01 lags = 1.093860960e-8 ++ a1 = 0.0 ++ a2 = 1.083666533e+00 la2 = -2.276004104e-6 ++ b0 = -1.612297784e-07 lb0 = 1.473926869e-12 ++ b1 = -1.130544990e-08 lb1 = 9.094382223e-14 ++ keta = 3.421368674e-02 lketa = -2.173038039e-7 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -6.921953293e-02 lpclm = 1.686371502e-06 wpclm = -1.110223025e-22 ppclm = 1.776356839e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 1.909636661e-03 lpdiblc2 = -1.266443309e-8 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.860770435e-09 lpscbe2 = 2.402531188e-14 wpscbe2 = -5.293955920e-29 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -6.686266667e-11 lalpha0 = 1.338825943e-15 ++ alpha1 = -6.686266667e-11 lalpha1 = 1.338825943e-15 ++ beta0 = 4.805292000e+01 lbeta0 = -3.614830047e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.811949905e-09 lagidl = -1.825529312e-14 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.443915098e-01 lkt1 = 1.229746438e-7 ++ kt2 = -6.522345350e-02 lkt2 = 1.337061237e-7 ++ at = 7.716025872e+04 lat = -1.235502988e-1 ++ ute = 5.623649524e-01 lute = -1.292207756e-05 pute = -1.421085472e-26 ++ ua1 = 3.775543044e-09 lua1 = -3.374650014e-14 ++ ub1 = -2.648919653e-18 lub1 = 2.843379192e-23 wub1 = -1.232595164e-38 ++ uc1 = -9.445040192e-11 luc1 = 1.294748875e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.173 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.050620590e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.149582067e-7 ++ k1 = 4.604103273e-01 lk1 = -5.535191579e-8 ++ k2 = 3.111376993e-02 lk2 = -4.835272375e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.903188674e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.051285932e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.782034620e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.692502402e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 5.599407700e-03 lu0 = 2.027735507e-8 ++ ua = -5.231013447e-10 lua = 1.676518894e-16 ++ ub = -7.890771535e-20 lub = 3.589066086e-24 ++ uc = -1.070071013e-10 luc = -1.329647545e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.344101412e+04 lvsat = -1.907198821e-2 ++ a0 = 1.169202877e+00 la0 = 4.149589883e-7 ++ ags = 4.476786908e-02 lags = 5.563441444e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.153992238e-07 lb0 = -7.456114622e-13 pb0 = 8.470329473e-34 ++ b1 = -1.461470369e-10 lb1 = 1.406932551e-15 wb1 = -4.135903063e-31 pb1 = -2.481541838e-36 ++ keta = 7.540400483e-03 lketa = -3.290158170e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -4.109336388e-01 lpclm = 4.428121465e-06 wpclm = -8.881784197e-22 ppclm = -3.552713679e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 2.318653116e-04 lpdiblc2 = 7.971988815e-10 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.463489899e-08 lpscbe2 = -2.230352405e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.005880000e-10 lalpha0 = -8.070698298e-16 ++ alpha1 = 2.005880000e-10 lalpha1 = -8.070698298e-16 ++ beta0 = -2.415876000e+01 lbeta0 = 2.179088540e-04 pbeta0 = -3.410605132e-25 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 6.946346267e-10 lagidl = -1.266971631e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.137901907e-01 lkt1 = -1.225556520e-7 ++ kt2 = -3.851363950e-02 lkt2 = -8.060060310e-8 ++ at = 2.230282385e+04 lat = 3.165994270e-1 ++ ute = -1.918426857e+00 lute = 6.982605139e-6 ++ ua1 = -2.965829131e-09 lua1 = 2.034303433e-14 wua1 = 3.308722450e-30 pua1 = -3.970466940e-35 ++ ub1 = 2.930570959e-18 lub1 = -1.633336259e-23 wub1 = 6.162975822e-39 ++ uc1 = 5.548297096e-10 luc1 = -3.914763086e-15 puc1 = -3.308722450e-36 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.174 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.106127595e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.375339211e-9 ++ k1 = 3.853412315e-01 lk1 = 2.466900923e-7 ++ k2 = 4.064949326e-02 lk2 = -8.671989728e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.635279899e-01 ldsub = -1.221250938e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.223718520e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.383723148e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.270962668e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.136441188e-7 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403173200e-01 letab = 2.829231434e-7 ++ u0 = 1.211366518e-02 lu0 = -5.932890175e-9 ++ ua = -1.308633256e-10 lua = -1.410525625e-15 ++ ub = 6.187485939e-19 lub = 7.820319721e-25 ++ uc = -1.338088641e-10 luc = 1.065077811e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 8.388955365e+04 lvsat = -1.013470960e-1 ++ a0 = 1.400765170e+00 la0 = -5.167365282e-7 ++ ags = -4.432097709e-02 lags = 9.147948988e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = -1.003993406e-07 lb0 = 1.226583774e-13 ++ b1 = 1.991036745e-09 lb1 = -7.192069138e-15 wb1 = -3.308722450e-30 pb1 = -1.323488980e-35 ++ keta = 2.281093733e-02 lketa = -6.473146857e-08 wketa = -5.551115123e-23 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.059902523e-01 lpclm = 3.365078504e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 4.185431636e-04 lpdiblc2 = 4.609681023e-11 ++ pdiblcb = -4.273520000e-01 lpdiblcb = 8.141673190e-7 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 8.712478508e-09 lpscbe2 = 1.525453199e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.011760000e-10 lalpha0 = 4.070836595e-16 ++ alpha1 = -1.011760000e-10 lalpha1 = 4.070836595e-16 ++ beta0 = 5.471942625e+01 lbeta0 = -9.945910591e-05 pbeta0 = 4.547473509e-25 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -7.349225709e-11 lagidl = 1.823602248e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.355286288e-01 lkt1 = -3.509061145e-8 ++ kt2 = -6.321122536e-02 lkt2 = 1.877062754e-8 ++ at = 1.626547485e+05 lat = -2.481093488e-1 ++ ute = -9.154724880e-02 lute = -3.678815031e-7 ++ ua1 = 2.886961000e-09 lua1 = -3.205783819e-15 ++ ub1 = -1.904818744e-18 lub1 = 3.121924585e-24 ++ uc1 = -8.771411122e-10 luc1 = 1.846800155e-15 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.175 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.118106584e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.261506208e-8 ++ k1 = 5.064652470e-01 lk1 = 1.593224533e-9 ++ k2 = -2.759691298e-03 lk2 = 1.119455858e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.600000202e-01 ldsub = -2.071113059e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.052413704e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.082664065e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.508128773e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.935544749e-07 wnfactor = 1.421085472e-20 ++ eta0 = -5.005130400e-01 leta0 = 1.013809907e-06 weta0 = 7.355227538e-22 peta0 = -4.163336342e-28 ++ etab = 2.645421120e-04 letab = -1.547066255e-9 ++ u0 = 1.145910416e-02 lu0 = -4.608372862e-9 ++ ua = -3.318390994e-10 lua = -1.003847127e-15 ++ ub = 7.130469709e-19 lub = 5.912173203e-25 ++ uc = -1.196469642e-10 luc = 7.785089353e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.537394825e+04 lvsat = 3.729560184e-2 ++ a0 = 1.030938530e+00 la0 = 2.316150734e-7 ++ ags = 2.475687006e-01 lags = 3.241502982e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.553211488e-08 lb0 = -1.119312413e-13 ++ b1 = -3.035513050e-09 lb1 = 2.979254902e-15 ++ keta = -1.751182380e-02 lketa = 1.686244502e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 9.199174115e-01 lpclm = -2.987300348e-7 ++ pdiblc1 = 3.957940034e-01 lpdiblc1 = -1.172428182e-8 ++ pdiblc2 = 4.529136727e-04 lpdiblc2 = -2.345260230e-11 ++ pdiblcb = 1.797040000e-01 lpdiblcb = -4.142226381e-07 wpdiblcb = -2.220446049e-22 ppdiblcb = -6.661338148e-28 ++ drout = 3.794864141e-01 ldrout = 3.652728512e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.769526283e-09 lpscbe2 = -6.135041137e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.643241089e+00 lbeta0 = 1.871056290e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.117571254e-10 lagidl = 8.416904178e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.260591597e-01 lkt1 = -5.425227153e-8 ++ kt2 = -5.943725826e-02 lkt2 = 1.113392964e-8 ++ at = 2.804660864e+04 lat = 2.427291432e-2 ++ ute = 5.196833265e-01 lute = -1.604718797e-06 pute = -1.776356839e-27 ++ ua1 = 3.076566596e-09 lua1 = -3.589454534e-15 ++ ub1 = -1.607506698e-18 lub1 = 2.520307714e-24 pub1 = 6.162975822e-45 ++ uc1 = 3.394859767e-12 luc1 = 6.501800488e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.176 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.104135961e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.831585011e-8 ++ k1 = 5.845342661e-01 lk1 = -7.831197791e-8 ++ k2 = -3.521780815e-02 lk2 = 3.434098762e-08 wk2 = 1.387778781e-23 pk2 = 3.469446952e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -5.148096000e-01 ldsub = 7.930331218e-07 pdsub = -8.881784197e-28 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.286451354e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 1.312758095e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {5.348218125e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.226164665e-6 ++ eta0 = 9.944947238e-01 leta0 = -5.163604397e-7 ++ etab = -1.505565344e-03 letab = 2.646741292e-10 ++ u0 = 5.252885488e-03 lu0 = 1.743816071e-9 ++ ua = -1.855248330e-09 lua = 5.553926879e-16 ++ ub = 1.646936524e-18 lub = -3.646373153e-25 ++ uc = -3.861426543e-11 luc = -5.087694348e-18 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.272126226e+03 lvsat = 4.763501872e-2 ++ a0 = 1.406885884e+00 la0 = -1.531745618e-7 ++ ags = -1.537163707e-01 lags = 7.348735944e-7 ++ a1 = 0.0 ++ a2 = 1.122324950e+00 la2 = -3.299060332e-7 ++ b0 = -1.920676221e-07 lb0 = 1.005512415e-13 ++ b1 = -2.553068288e-10 lb1 = 1.336582310e-16 ++ keta = 4.345618449e-02 lketa = -4.553953082e-08 wketa = -9.714451465e-23 pketa = -2.775557562e-29 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 8.327961674e-01 lpclm = -2.095596990e-7 ++ pdiblc1 = 7.135984939e-01 lpdiblc1 = -3.370035339e-7 ++ pdiblc2 = 3.879409928e-05 lpdiblc2 = 4.004070635e-10 ++ pdiblcb = -0.225 ++ drout = 9.713112154e-01 ldrout = -2.404716693e-7 ++ pscbe1 = 1.492842356e+09 lpscbe1 = -7.091380085e+2 ++ pscbe2 = -6.308059837e-08 lpscbe2 = 7.395005547e-14 wpscbe2 = -1.058791184e-28 ppscbe2 = -1.058791184e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 4.407774865e+00 lbeta0 = 2.112060679e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.569901051e-09 lagidl = -3.436930533e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.740229702e-01 lkt1 = -5.160352257e-9 ++ kt2 = -3.705763611e-02 lkt2 = -1.177206122e-8 ++ at = 2.219515839e+04 lat = 3.026199068e-2 ++ ute = -1.895801293e+00 lute = 8.675780209e-7 ++ ua1 = -2.625004008e-09 lua1 = 2.246217010e-15 ++ ub1 = 2.657182254e-18 lub1 = -1.844686722e-24 pub1 = 3.081487911e-45 ++ uc1 = 2.075025205e-10 luc1 = -1.438902680e-16 wuc1 = 8.271806126e-31 puc1 = 4.135903063e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.177 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.084503158e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.037685512e-9 ++ k1 = 2.785842657e-01 lk1 = 8.185896632e-8 ++ k2 = 9.078834505e-02 lk2 = -3.162575371e-08 wk2 = 2.220446049e-22 pk2 = 5.551115123e-29 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.235674842e+00 ldsub = -1.233804932e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.824469943e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.105806988e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.380644558e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.636804585e-7 ++ eta0 = -5.189894481e-01 leta0 = 2.759787940e-07 weta0 = -3.885780586e-22 ++ etab = -2.015517863e-03 letab = 5.316444720e-10 ++ u0 = 1.182344359e-02 lu0 = -1.696002504e-9 ++ ua = -2.127182843e-10 lua = -3.045046414e-16 ++ ub = 5.497105694e-19 lub = 2.097824166e-25 ++ uc = -1.001292182e-10 luc = 2.711661375e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.160703249e+04 lvsat = 3.384816859e-2 ++ a0 = 1.660440134e+00 la0 = -2.859152828e-7 ++ ags = 9.698322770e-01 lags = 1.466734064e-7 ++ a1 = 0.0 ++ a2 = 1.597024138e-01 la2 = 1.740461171e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -5.647214109e-02 lketa = 6.774946183e-9 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.085539131e-01 lpclm = 1.172436059e-7 ++ pdiblc1 = -2.963791728e-01 lpdiblc1 = 1.917399742e-07 ppdiblc1 = -2.220446049e-28 ++ pdiblc2 = -7.700523841e-03 lpdiblc2 = 4.452094792e-09 wpdiblc2 = -6.938893904e-24 ppdiblc2 = -5.204170428e-30 ++ pdiblcb = -1.560512404e-01 lpdiblcb = -3.609605461e-8 ++ drout = 4.936971948e-01 ldrout = 9.568822735e-9 ++ pscbe1 = -5.856554958e+08 lpscbe1 = 3.789971870e+02 ppscbe1 = -9.536743164e-19 ++ pscbe2 = 1.540275727e-07 lpscbe2 = -3.971041422e-14 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.930281860e+00 lbeta0 = 2.679578173e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.912726180e-09 lagidl = -5.231688648e-16 ++ bgidl = 7.372237654e+08 lbgidl = 1.375686143e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.413228120e-01 lkt1 = -2.227953908e-8 ++ kt2 = -6.690804875e-02 lkt2 = 3.855226801e-9 ++ at = 9.325182934e+04 lat = -6.937597694e-3 ++ ute = 4.134590933e-01 lute = -3.413659765e-07 pute = -4.440892099e-28 ++ ua1 = 3.165106439e-09 lua1 = -7.850216110e-16 pua1 = 3.308722450e-36 ++ ub1 = -1.629143462e-18 lub1 = 3.992905164e-25 ++ uc1 = -3.393906100e-11 luc1 = -1.749077126e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.178 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.009066472e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.259575696e-8 ++ k1 = -8.579247482e-01 lk1 = 3.927169118e-7 ++ k2 = 5.167953973e-01 lk2 = -1.481472026e-7 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.803960266e+00 ldsub = -2.788179225e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.368667420e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -5.721739264e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.990571042e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.569875505e-7 ++ eta0 = 4.900000008e-01 leta0 = -7.095835031e-17 ++ etab = 2.195759126e-03 letab = -6.202240101e-10 wetab = -3.469446952e-24 petab = -8.673617380e-31 ++ u0 = 1.425126466e-02 lu0 = -2.360060124e-9 ++ ua = 1.721124685e-09 lua = -8.334493704e-16 ++ ub = -8.156452782e-19 lub = 5.832345480e-25 ++ uc = 3.489543344e-11 luc = -9.815328983e-18 wuc = 1.033975766e-31 puc = 3.231174268e-39 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.618244987e+05 lvsat = -5.647291276e-2 ++ a0 = -1.466462483e-01 la0 = 2.083589844e-7 ++ ags = 2.250598986e+00 lags = -2.036419039e-7 ++ a1 = 0.0 ++ a2 = 9.336249622e-01 la2 = -3.763717830e-8 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -8.737025015e-02 lketa = 1.522619697e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.849679741e-01 lpclm = -1.306516802e-8 ++ pdiblc1 = 5.487137542e-01 lpdiblc1 = -3.940984321e-8 ++ pdiblc2 = 2.991525761e-02 lpdiblc2 = -5.836573751e-9 ++ pdiblcb = 2.186325871e+00 lpdiblcb = -6.767830420e-7 ++ drout = -8.416446543e-01 ldrout = 3.748115253e-7 ++ pscbe1 = 7.998956542e+08 lpscbe1 = 2.123647450e-2 ++ pscbe2 = 1.957358274e-08 lpscbe2 = -2.934558902e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.052647787e+01 lbeta0 = -4.421537155e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -3.010167519e-09 lagidl = 8.233410197e-16 ++ bgidl = 1.938486425e+09 lbgidl = -1.910007484e+2 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.00074326333 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.5407449e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.369571006e-01 lkt1 = -5.082564844e-8 ++ kt2 = -5.870698811e-02 lkt2 = 1.612072696e-9 ++ at = 2.121185134e+05 lat = -3.945001311e-2 ++ ute = -1.856079955e+00 lute = 2.793983441e-7 ++ ua1 = 1.352904843e-10 lua1 = 4.369364895e-17 ++ ub1 = -3.305422621e-19 lub1 = 4.409711620e-26 ++ uc1 = -2.972920545e-10 luc1 = 5.454153952e-17 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.179 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 5.4e-07 wmax = 5.5e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {1.965505558e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.795105459e-07 wvth0 = -6.704554269e-07 pvth0 = 1.478488307e-13 ++ k1 = -3.250646473e-01 lk1 = 3.080141966e-07 wk1 = 8.145174527e-07 pk1 = -1.796173887e-13 ++ k2 = -4.644389098e+00 lk2 = 9.776224850e-07 wk2 = 2.372551486e-06 pk2 = -5.231950536e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 5.340755379e+00 ldsub = -1.082041607e-06 wdsub = -2.103771968e-06 pdsub = 4.639237944e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {2.382917007e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.175630255e-07 wvoff = -2.746055180e-07 pvoff = 6.055600883e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {9.545665665e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.615630167e-06 wnfactor = -4.340728678e-06 pnfactor = 9.572174882e-13 ++ eta0 = 8.400759025e+00 leta0 = -1.744480580e-06 weta0 = -3.174366156e-06 peta0 = 7.000112247e-13 ++ etab = 4.527875495e-01 letab = -1.000365329e-07 wetab = -1.826105125e-07 petab = 4.026927022e-14 ++ u0 = -5.146871633e-02 lu0 = 1.193537456e-08 wu0 = 2.688507589e-08 pu0 = -5.928696934e-15 ++ ua = -1.410045817e-09 lua = -2.125815723e-16 wua = -1.134355673e-15 pua = 2.501481130e-22 ++ ub = 4.322556075e-19 lub = 3.567649531e-25 wub = 1.066697816e-24 pub = -2.352282025e-31 ++ uc = -4.741072290e-10 luc = 1.016100649e-16 wuc = 1.997496677e-16 puc = -4.404879671e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 2.630058559e+07 lvsat = -5.781205684e+00 wvsat = -1.396126311e+01 pvsat = 3.078737741e-6 ++ a0 = -1.481837813e-01 la0 = 2.261022409e-07 wa0 = 8.563047240e-08 pa0 = -1.888323177e-14 ++ ags = 1.249999924e+00 lags = 1.854238008e-14 wags = 7.358374887e-14 pags = -1.622668577e-20 ++ a1 = 0.0 ++ a2 = -6.896131828e+00 la2 = 1.685836960e-06 wa2 = 3.359617793e-06 pa2 = -7.408629158e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.495159598e+00 lketa = 3.269437463e-07 wketa = 6.068561700e-07 pketa = -1.338239226e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 6.657885017e-01 lpclm = -9.927042615e-09 wpclm = -2.774595610e-08 ppclm = 6.118538239e-15 ++ pdiblc1 = 1.346265424e+00 lpdiblc1 = -2.185778367e-07 wpdiblc1 = 9.110364911e-08 ppdiblc1 = -2.009017670e-14 ++ pdiblc2 = 2.677645271e-02 lpdiblc2 = -5.631932766e-09 wpdiblc2 = -1.653930521e-08 ppdiblc2 = 3.647247585e-15 ++ pdiblcb = -1.635021505e+01 lpdiblcb = 3.354363360e-06 wpdiblcb = 4.491185563e-06 ppdiblcb = -9.903962403e-13 ++ drout = 1.000000049e+00 ldrout = -5.076614684e-15 wdrout = 7.514165645e-14 pdrout = -1.657023319e-20 ++ pscbe1 = 1.299415028e+09 lpscbe1 = -1.101310020e+02 wpscbe1 = -2.004001866e+02 ppscbe1 = 4.419224916e-5 ++ pscbe2 = -1.480246716e-08 lpscbe2 = 4.400924285e-15 wpscbe2 = -2.993349406e-15 ppscbe2 = 6.600934111e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.828631003e+01 lbeta0 = -2.190284947e-06 wbeta0 = -4.056941559e-06 pbeta0 = 8.946367526e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -3.533524342e-07 lagidl = 7.814959124e-14 wagidl = 1.881598263e-13 pagidl = -4.149300490e-20 ++ bgidl = 1.000000417e+09 lbgidl = -8.236108398e-05 wbgidl = -5.121087646e-05 pbgidl = 1.129302216e-11 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 3.033758617e-01 lkt1 = -1.962773333e-07 wkt1 = -5.216542864e-07 pkt1 = 1.150352032e-13 ++ kt2 = 1.088471149e+00 lkt2 = -2.512289938e-07 wkt2 = -4.622717166e-07 pkt2 = 1.019401589e-13 ++ at = -5.844186240e+05 lat = 1.329071018e-01 wat = 1.937588465e-01 pat = -4.272770084e-8 ++ ute = -2.515781046e+00 lute = 4.482137372e-07 wute = -6.669249331e-14 pute = 1.470703026e-20 ++ ua1 = -1.852592475e-10 lua1 = 1.180310008e-16 wua1 = -1.043621023e-15 pua1 = 2.301393080e-22 ++ ub1 = 3.625483948e-18 lub1 = -8.246023570e-25 wub1 = -1.865208737e-25 pub1 = 4.113158307e-32 ++ uc1 = -7.639541558e-10 luc1 = 1.620057140e-16 wuc1 = 4.311016057e-16 puc1 = -9.506652608e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.180 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.181 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.212199711e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.719774293e-06 wvth0 = 2.772729133e-08 pvth0 = -5.551979725e-13 ++ k1 = 3.678002281e-01 lk1 = 1.278609517e-06 wk1 = 2.566840848e-08 pk1 = -5.139718907e-13 ++ k2 = 4.347795566e-02 lk2 = -3.303466245e-07 wk2 = -7.940258768e-09 pk2 = 1.589919303e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = -7.411538288e-28 pcit = 4.235164736e-33 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.256707971e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -9.705245752e-08 wvoff = -1.197061096e-08 pvoff = 2.396937679e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.813889219e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.880903857e-05 wnfactor = -1.395320716e-06 pnfactor = 2.793923226e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.144539325e-02 lu0 = -3.722358849e-08 wu0 = -4.602641318e-10 pu0 = 9.216108049e-15 ++ ua = -1.049188830e-09 lua = 6.039287251e-15 wua = 7.169930877e-17 pua = -1.435672543e-21 ++ ub = 1.521699024e-18 lub = -1.143813693e-23 wub = -9.490203445e-26 pub = 1.900272785e-30 ++ uc = -1.107681726e-10 luc = 1.022237264e-16 wuc = 3.187419409e-18 puc = -6.382335628e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.356081232e+05 lvsat = -1.110346698e+00 wvsat = -2.225138439e-02 pvsat = 4.455510404e-7 ++ a0 = 2.018426298e+00 la0 = -1.110156606e-05 wa0 = -2.042849307e-07 pa0 = 4.090503396e-12 ++ ags = 1.385966975e-01 lags = -5.067291629e-07 wags = -1.347643746e-08 pags = 2.698457151e-13 ++ a1 = 0.0 ++ a2 = 1.463759843e+00 la2 = -9.886810092e-06 wa2 = -1.981319997e-07 pa2 = 3.967300059e-12 ++ b0 = -2.681768565e-07 lb0 = 3.615383827e-12 wb0 = 5.574851731e-14 pb0 = -1.116281551e-18 ++ b1 = -1.138339630e-08 lb1 = 9.250458364e-14 wb1 = 4.063127883e-17 pb1 = -8.135812243e-22 ++ keta = 7.278892008e-02 lketa = -9.897157602e-07 wketa = -2.010818903e-08 pketa = 4.026367253e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -3.454727724e-01 lpclm = 7.217933768e-06 wpclm = 1.440030787e-07 ppclm = -2.883448525e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 8.070424772e-03 lpdiblc2 = -1.360250970e-07 wpdiblc2 = -3.211446340e-09 ppdiblc2 = 6.430446002e-14 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 4.870921626e+08 lpscbe1 = 6.265516340e+03 wpscbe1 = 1.631100942e+02 ppscbe1 = -3.266038234e-3 ++ pscbe2 = 4.060809250e-09 lpscbe2 = 1.201374307e-13 wpscbe2 = 2.502085367e-15 ppscbe2 = -5.010055639e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -2.904469665e-10 lalpha0 = 5.815770642e-15 walpha0 = 1.165482351e-16 palpha0 = -2.333705917e-21 ++ alpha1 = -2.904469665e-10 lalpha1 = 5.815770642e-15 walpha1 = 1.165482351e-16 palpha1 = -2.333705917e-21 ++ beta0 = 1.084206810e+02 lbeta0 = -1.570258073e-03 wbeta0 = -3.146802349e-05 pbeta0 = 6.301005977e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 3.289093155e-09 lagidl = -2.780938052e-14 wagidl = -2.487214162e-16 pagidl = 4.980278251e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -6.164961059e-01 lkt1 = 3.569114466e-06 wkt1 = 8.971330702e-08 pkt1 = -1.796376197e-12 ++ kt2 = -7.343082846e-02 lkt2 = 2.980466603e-07 wkt2 = 4.278274760e-09 pkt2 = -8.566612021e-14 ++ at = -3.857087195e+04 lat = 2.193794311e+00 wat = 6.032739794e-02 pat = -1.207966859e-6 ++ ute = 5.897759404e-01 lute = -1.347094203e-05 wute = -1.428858053e-08 pute = 2.861076780e-13 ++ ua1 = 5.823763019e-09 lua1 = -7.475907378e-14 wua1 = -1.067679723e-15 pua1 = 2.137870628e-20 ++ ub1 = -5.258272633e-18 lub1 = 8.068222350e-23 wub1 = 1.360182647e-24 pub1 = -2.723564443e-29 ++ uc1 = -1.274889665e-10 luc1 = 1.956297233e-15 wuc1 = 1.722207863e-17 puc1 = -3.448466359e-22 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.182 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.588861528e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.126921047e-07 wvth0 = -4.781859339e-08 pvth0 = 5.094594447e-14 ++ k1 = 5.245517312e-01 lk1 = 2.091069709e-08 wk1 = -3.343511789e-08 pk1 = -3.975356474e-14 ++ k2 = 1.825892600e-02 lk2 = -1.280012357e-07 wk2 = 6.700870205e-09 pk2 = 4.151853911e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.939243404e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.505812123e-07 wvoff = 5.400663215e-08 pvoff = -2.896759617e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-8.135979557e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.509449255e-05 wnfactor = 4.646714010e-06 pnfactor = -2.053915421e-11 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 2.885028431e-03 lu0 = 3.146066983e-08 wu0 = 1.414929910e-09 pu0 = -5.829548852e-15 ++ ua = -4.660448638e-10 lua = 1.360419979e-15 wua = -2.974194591e-17 pua = -6.217566074e-22 ++ ub = -4.727047021e-19 lub = 4.564001255e-24 wub = 2.052753429e-25 pub = -5.082064058e-31 ++ uc = -8.792147730e-11 luc = -8.108719050e-17 wuc = -9.948801378e-18 puc = 4.157537393e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -7.769950388e+04 lvsat = 6.011313138e-01 wvsat = 7.357260010e-02 pvsat = -3.232946157e-7 ++ a0 = -4.988320312e-02 la0 = 5.493556585e-06 wa0 = 6.354754391e-07 pa0 = -2.647330726e-12 ++ ags = 1.001309988e-03 lags = 5.972701805e-07 wags = 2.281428179e-08 pags = -2.133359666e-14 ++ a1 = 0.0 ++ a2 = -3.402799291e-01 la2 = 4.587939100e-06 wa2 = 5.943959992e-07 pa2 = -2.391564191e-12 ++ b0 = 4.985073411e-07 lb0 = -2.536122166e-12 wb0 = -1.997035345e-13 pb0 = 9.333430955e-19 ++ b1 = -7.913730087e-09 lb1 = 6.466564737e-14 wb1 = 4.049023551e-15 pb1 = -3.297499679e-20 ++ keta = -8.490294513e-02 lketa = 2.755280741e-07 wketa = 4.818812765e-08 pketa = -1.453401376e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -7.479194529e-01 lpclm = 1.044697276e-05 wpclm = 1.756612693e-07 ppclm = -3.137458651e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -1.775084640e-02 lpdiblc2 = 7.115238866e-08 wpdiblc2 = 9.373884102e-09 ppdiblc2 = -3.667419049e-14 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 1.738723512e+09 lpscbe1 = -3.776972826e+03 wpscbe1 = -4.893302827e+02 ppscbe1 = 1.968830179e-3 ++ pscbe2 = 3.058199753e-08 lpscbe2 = -9.265585393e-14 wpscbe2 = -8.312775951e-15 ppscbe2 = 3.667269970e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 8.713408995e-10 lalpha0 = -3.505857536e-15 walpha0 = -3.496447054e-16 palpha0 = 1.406802465e-21 ++ alpha1 = 8.713408995e-10 lalpha1 = -3.505857536e-15 walpha1 = -3.496447054e-16 palpha1 = 1.406802465e-21 ++ beta0 = -2.052620429e+02 lbeta0 = 9.465815347e-04 wbeta0 = 9.440407046e-05 pbeta0 = -3.798366656e-10 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.944058985e-09 lagidl = -2.504099195e-14 wagidl = -1.172561934e-15 pagidl = 1.239273112e-20 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 1.899770848e-01 lkt1 = -2.901639309e-06 wkt1 = -3.147269752e-07 pkt1 = 1.448658496e-12 ++ kt2 = -1.389151463e-02 lkt2 = -1.796682150e-07 wkt2 = -1.283482428e-08 pkt2 = 5.164117218e-14 ++ at = 2.685883460e+05 lat = -2.707038177e-01 wat = -1.283817467e-01 pat = 3.061447370e-7 ++ ute = -1.798844082e+00 lute = 5.694198491e-06 wute = -6.233515266e-08 pute = 6.716103105e-13 ++ ua1 = -9.110489056e-09 lua1 = 4.506619643e-14 wua1 = 3.203039168e-15 pua1 = -1.288749215e-20 ++ ub1 = 1.075862990e-17 lub1 = -4.782971430e-23 wub1 = -4.080547940e-24 pub1 = 1.641816625e-29 ++ uc1 = 1.960201142e-09 luc1 = -1.479432611e-14 wuc1 = -7.325807773e-16 puc1 = 5.671211575e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.183 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.012968015e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.444040115e-07 wvth0 = -1.067725574e-07 pvth0 = 2.881483977e-13 ++ k1 = 4.326883529e-01 lk1 = 3.905248369e-07 wk1 = -2.468072863e-08 pk1 = -7.497702499e-14 ++ k2 = 6.987632793e-02 lk2 = -3.356848847e-07 wk2 = -1.523513056e-08 pk2 = 1.297784769e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.635279560e-01 ldsub = -1.221250802e-06 wdsub = 1.763597646e-14 pdsub = -7.095870469e-20 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.799276959e-02+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -6.596349816e-07 wvoff = -1.065370930e-07 pvoff = 3.562749274e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {6.734994912e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.473917064e-05 wnfactor = -2.326975016e-06 pnfactor = 7.519623062e-12 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403173200e-01 letab = 2.829231434e-7 ++ u0 = 2.413873302e-03 lu0 = 3.335637191e-08 wu0 = 5.056229910e-09 pu0 = -2.048039223e-14 ++ ua = -2.130938621e-10 lua = 3.426665642e-16 wua = 4.286447621e-17 pua = -9.138899990e-22 ++ ub = -6.852004360e-19 lub = 5.418982091e-24 wub = 6.797121187e-25 pub = -2.417112262e-30 ++ uc = -9.429779189e-11 luc = -5.543196120e-17 wuc = -2.059601561e-17 puc = 8.441465332e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.672335230e+05 lvsat = -3.843616184e-01 wvsat = -4.344487758e-02 pvsat = 1.475275461e-7 ++ a0 = 1.772657770e+00 la0 = -1.839473471e-06 wa0 = -1.938571996e-07 pa0 = 6.895057318e-13 ++ ags = -5.448374449e-01 lags = 2.793463328e-06 wags = 2.609052202e-07 pags = -9.792972492e-13 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = -2.165343329e-08 lb0 = -4.432448869e-13 wb0 = -4.104803657e-14 pb0 = 2.949895264e-19 ++ b1 = 2.332507374e-08 lb1 = -6.102430459e-14 wb1 = -1.112083613e-14 pb1 = 2.806123704e-20 ++ keta = 3.671945602e-03 lketa = -8.085477023e-08 wketa = 9.976620494e-09 pketa = 8.404625702e-15 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.472467495e+00 lpclm = -2.510318534e-06 wpclm = -9.729423251e-07 ppclm = 1.483970883e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -6.190729664e-04 lpdiblc2 = 2.222355596e-09 wpdiblc2 = 5.408802353e-10 ppdiblc2 = -1.134422770e-15 ++ pdiblcb = -1.104003598e+00 lpdiblcb = 3.536688556e-06 wpdiblcb = 3.527195317e-07 ppdiblcb = -1.419174090e-12 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 5.319651270e-09 lpscbe2 = 8.987701501e-15 wpscbe2 = 1.768585840e-15 ppscbe2 = -3.889861097e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.011760000e-10 lalpha0 = 4.070836595e-16 ++ alpha1 = -1.011760000e-10 lalpha1 = 4.070836595e-16 ++ beta0 = 5.150479931e+01 lbeta0 = -8.652499013e-05 wbeta0 = 1.675695013e-06 pbeta0 = -6.742192400e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -3.608912022e-09 lagidl = 1.325017950e-15 wagidl = 1.842915332e-15 pagidl = 2.598980344e-22 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -8.918211702e-01 lkt1 = 1.450997606e-06 wkt1 = 2.378525256e-07 pkt1 = -7.746561772e-13 ++ kt2 = -5.744277049e-02 lkt2 = -4.438866005e-09 wkt2 = -3.006934008e-09 pkt2 = 1.209845912e-14 ++ at = 2.628306516e+05 lat = -2.475376193e-01 wat = -5.221889339e-02 pat = -2.980265590e-10 ++ ute = -3.176579848e+00 lute = 1.123754590e-05 wute = 1.608141113e-06 pute = -6.049584353e-12 ++ ua1 = 2.127381787e-11 lua1 = 8.324365872e-15 wua1 = 1.493802489e-15 pua1 = -6.010344190e-21 ++ ub1 = -1.600900679e-18 lub1 = 1.899104171e-24 wub1 = -1.584239777e-25 pub1 = 6.374220426e-31 ++ uc1 = -3.433436375e-09 luc1 = 6.907082315e-15 wuc1 = 1.332525144e-15 puc1 = -2.637783402e-21 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.184 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.346687612e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.568532013e-07 wvth0 = 1.191528899e-07 pvth0 = -1.690162633e-13 ++ k1 = 6.526904988e-01 lk1 = -5.465390546e-08 wk1 = -7.622312947e-08 pk1 = 2.932005394e-14 ++ k2 = -1.554261101e-01 lk2 = 1.202191047e-07 wk2 = 7.958072946e-08 pk2 = -6.208331216e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 2.792711245e+00 ldsub = -5.124991771e-06 wdsub = -1.320231446e-06 pdsub = 2.671514700e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-4.435697243e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.015284978e-07 wvoff = 1.242338977e-07 pvoff = -1.106947877e-13 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.981906464e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.118872476e-06 wnfactor = 1.047724467e-06 pnfactor = 6.908511650e-13 ++ eta0 = -1.424610354e+00 leta0 = 2.883739304e-06 weta0 = 4.817060552e-07 peta0 = -9.747418368e-13 ++ etab = -2.892223598e+00 letab = 5.851460534e-06 wetab = 1.507773078e-06 petab = -3.051008978e-12 ++ u0 = 3.367310456e-02 lu0 = -2.989730773e-08 wu0 = -1.157953642e-08 pu0 = 1.318241366e-14 ++ ua = 1.503569224e-09 lua = -3.131035524e-15 wua = -9.567469677e-16 pua = 1.108843750e-21 ++ ub = 2.581860102e-18 lub = -1.191980250e-24 wub = -9.741599586e-25 pub = 9.295309638e-31 ++ uc = -2.372030897e-10 luc = 2.337397670e-16 wuc = 6.127871664e-17 puc = -8.126050486e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -1.385557808e+05 lvsat = 2.344091535e-01 wvsat = 8.023925771e-02 pvsat = -1.027497753e-7 ++ a0 = 2.390537165e-02 la0 = 1.699161982e-06 wa0 = 5.249381886e-07 pa0 = -7.649911121e-13 ++ ags = 1.931257553e+00 lags = -2.216964424e-06 wags = -8.776598557e-07 pags = 1.324611953e-12 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = -2.891711717e-07 lb0 = 9.808260705e-14 wb0 = 1.588332916e-13 pb0 = -1.094743387e-19 ++ b1 = -1.265931526e-08 lb1 = 1.179082624e-14 wb1 = 5.016618628e-15 pb1 = -4.593225415e-21 ++ keta = -1.163336882e-01 lketa = 1.619790299e-07 wketa = 5.151307091e-08 pketa = -7.564521245e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.076016123e+00 lpclm = 3.154287453e-07 wpclm = -8.136988773e-08 ppclm = -3.201437757e-13 ++ pdiblc1 = 4.105462587e-01 lpdiblc1 = -4.157576546e-08 wpdiblc1 = -7.689937624e-09 ppdiblc1 = 1.556074258e-14 ++ pdiblc2 = 5.295354667e-04 lpdiblc2 = -1.018765409e-10 wpdiblc2 = -3.994079580e-11 ppdiblc2 = 4.088020332e-17 ++ pdiblcb = 1.533007196e+00 lpdiblcb = -1.799355525e-06 wpdiblcb = -7.054390634e-07 ppdiblcb = 7.220309902e-13 ++ drout = 7.504845501e-01 ldrout = -3.854492968e-07 wdrout = -1.933909403e-07 pdrout = 3.913304356e-13 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.011067100e-08 lpscbe2 = -7.070227457e-16 wpscbe2 = -1.778291899e-16 ppscbe2 = 4.874864434e-23 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.091751448e-11 lalpha0 = 1.600249911e-16 walpha0 = 4.122348539e-17 palpha0 = -8.341654716e-23 ++ alpha1 = -5.845165291e-10 lalpha1 = 1.385132887e-15 walpha1 = 3.568193001e-16 palpha1 = -7.220309902e-22 ++ beta0 = 1.035183562e+01 lbeta0 = -3.251145041e-06 wbeta0 = -2.975730489e-06 pbeta0 = 2.670060132e-12 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -7.721197732e-09 lagidl = 9.646310330e-15 wagidl = 4.239481644e-15 pagidl = -4.589601831e-21 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 1.915921971e-01 lkt1 = -7.413110111e-07 wkt1 = -3.219643581e-07 pkt1 = 3.581444833e-13 ++ kt2 = -8.064576707e-02 lkt2 = 4.251286165e-08 wkt2 = 1.105540181e-08 pkt2 = -1.635695865e-14 ++ at = 1.902595921e+05 lat = -1.006886290e-01 wat = -8.455708632e-02 pat = 6.513895359e-8 ++ ute = 6.014459668e+00 lute = -7.360706380e-06 wute = -2.864273053e-06 pute = 3.000435159e-12 ++ ua1 = 8.200411971e-09 lua1 = -8.226283763e-15 wua1 = -2.670917126e-15 pua1 = 2.417049246e-21 ++ ub1 = -1.175855023e-18 lub1 = 1.039015785e-24 wub1 = -2.250079321e-25 pub1 = 7.721560061e-31 ++ uc1 = 2.855442131e-11 luc1 = -9.832530060e-17 wuc1 = -1.311497497e-17 puc1 = 8.514629154e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.185 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.944881427e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.629999519e-09 wvth0 = -5.715633737e-08 pvth0 = 1.143975693e-14 ++ k1 = 9.996026328e-01 lk1 = -4.097254129e-07 wk1 = -2.163635177e-07 pk1 = 1.727565441e-13 ++ k2 = -1.935714746e-01 lk2 = 1.592616482e-07 wk2 = 8.254533240e-08 pk2 = -6.511764256e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -8.171143564e+00 ldsub = 6.096732903e-06 wdsub = 3.991032518e-06 pdsub = -2.764670192e-12 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.867046334e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.097393993e-08 wvoff = 3.026479061e-08 pvoff = -1.451552724e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-4.877113666e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.382858994e-06 wnfactor = 2.821090431e-06 pnfactor = -1.124224366e-12 ++ eta0 = 4.529686076e+00 leta0 = -3.210602178e-06 weta0 = -1.842796266e-06 peta0 = 1.404432779e-12 ++ etab = 5.786950059e+00 letab = -3.031847287e-06 wetab = -3.017359840e-06 petab = 1.580555066e-12 ++ u0 = -9.274237186e-03 lu0 = 1.406015550e-08 wu0 = 7.572582291e-09 pu0 = -6.420162887e-15 ++ ua = -5.113614796e-09 lua = 3.641784664e-15 wua = 1.698495204e-15 pua = -1.608849718e-21 ++ ub = 3.700142673e-18 lub = -2.336564827e-24 wub = -1.070278876e-24 pub = 1.027910598e-30 ++ uc = 1.403305441e-10 luc = -1.526734579e-16 wuc = -9.327891877e-17 puc = 7.693232613e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.525468959e+05 lvsat = -6.354025811e-02 wvsat = -7.677021374e-02 pvsat = 5.795255890e-8 ++ a0 = 1.328196563e+00 la0 = 3.641938621e-07 wa0 = 4.101853973e-08 pa0 = -2.696896731e-13 ++ ags = -1.789367385e+00 lags = 1.591169613e-06 wags = 8.526190754e-07 pags = -4.463631384e-13 ++ a1 = 0.0 ++ a2 = 2.795367529e+00 la2 = -2.042298573e-06 wa2 = -8.721102509e-07 pa2 = 8.926222840e-13 ++ b0 = -3.957797411e-07 lb0 = 2.071986101e-13 wb0 = 1.061894237e-13 pb0 = -5.559228711e-20 ++ b1 = -2.332472236e-09 lb1 = 1.221095865e-15 wb1 = 1.082768166e-15 pb1 = -5.668507904e-22 ++ keta = 1.816767316e-01 lketa = -1.430405949e-07 wketa = -7.205050102e-08 pketa = 5.082457469e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.002631546e+00 lpclm = 3.905393281e-07 wpclm = -8.853042744e-08 ppclm = -3.128148201e-13 ++ pdiblc1 = 4.850946201e-01 lpdiblc1 = -1.178775043e-07 wpdiblc1 = 1.191126713e-07 ppdiblc1 = -1.142242637e-13 ++ pdiblc2 = -2.680806485e-03 lpdiblc2 = 3.183972654e-09 wpdiblc2 = 1.417651636e-09 ppdiblc2 = -1.450994802e-15 ++ pdiblcb = 2.123247738e-01 lpdiblcb = -4.476106525e-07 wpdiblcb = -2.279651595e-07 ppdiblcb = 2.333269001e-13 ++ drout = 1.938003494e+00 ldrout = -1.600898687e-06 wdrout = -5.039096177e-07 pdrout = 7.091525122e-13 ++ pscbe1 = 3.809661006e+09 lpscbe1 = -3.080448233e+03 wpscbe1 = -1.207692691e+03 ppscbe1 = 1.236097623e-3 ++ pscbe2 = -3.042480798e-07 lpscbe2 = 3.210454458e-13 wpscbe2 = 1.257138554e-13 ppscbe2 = -1.288039083e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.581649710e-10 lalpha0 = -8.280252564e-17 walpha0 = -8.244697079e-17 palpha0 = 4.316263815e-23 ++ alpha1 = 1.469033058e-09 lalpha1 = -7.167161866e-16 walpha1 = -7.136386003e-16 palpha1 = 3.736040800e-22 ++ beta0 = 4.882723422e+00 lbeta0 = 2.346600676e-06 wbeta0 = -2.475773844e-07 pbeta0 = -1.222591332e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.832549254e-09 lagidl = -1.155660785e-15 wagidl = -6.581831538e-16 pagidl = 4.232560436e-22 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -6.538080550e-01 lkt1 = 1.239730549e-07 wkt1 = 9.371693074e-08 pkt1 = -6.731362943e-14 ++ kt2 = -1.771443798e-02 lkt2 = -2.189861231e-08 wkt2 = -1.008306758e-08 pkt2 = 5.278687539e-15 ++ at = 1.043285375e+05 lat = -1.273647596e-02 wat = -4.281383080e-02 pat = 2.241389670e-8 ++ ute = -1.914663261e+00 lute = 7.549095197e-07 wute = 9.832215555e-09 pute = 5.873093498e-14 ++ ua1 = -2.613319453e-09 lua1 = 2.841786624e-15 wua1 = -6.090831250e-18 pua1 = -3.104537637e-22 ++ ub1 = 8.177959453e-19 lub1 = -1.001525854e-24 wub1 = 9.588205798e-25 pub1 = -4.395161524e-31 ++ uc1 = -6.768146163e-11 luc1 = 1.740503130e-19 wuc1 = 1.434457047e-16 puc1 = -7.509669533e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.186 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-9.211811658e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.200766804e-08 wvth0 = -8.513518174e-08 pvth0 = 2.608724153e-14 ++ k1 = 8.237492375e-01 lk1 = -3.176626434e-07 wk1 = -2.841792352e-07 pk1 = 2.082594285e-13 ++ k2 = -1.419146917e-01 lk2 = 1.322182892e-07 wk2 = 1.213015774e-07 pk2 = -8.540731193e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 5.969492188e+00 ldsub = -1.306172726e-06 wdsub = -2.467606436e-06 pdsub = 6.165564728e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-3.329190214e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 6.516809635e-08 wvoff = 7.843685450e-08 pvoff = -3.973456612e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {-1.133129956e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.422808642e-06 wnfactor = 2.352904268e-06 pnfactor = -8.791195463e-13 ++ eta0 = -3.892982897e+00 leta0 = 1.198833483e-06 weta0 = 1.758768313e-06 peta0 = -4.810583092e-13 ++ etab = -8.734375648e-03 letab = 2.309428144e-09 wetab = 3.502352435e-09 petab = -9.267088500e-16 ++ u0 = 1.783122763e-02 lu0 = -1.300974425e-10 wu0 = -3.131689606e-09 pu0 = -8.162624633e-16 ++ ua = 4.670154407e-10 lua = 7.202131224e-16 wua = -3.543261583e-16 pua = -5.341566782e-22 ++ ub = 7.523483469e-19 lub = -7.933355413e-25 wub = -1.056293996e-25 pub = 5.228973041e-31 ++ uc = -3.111822620e-10 luc = 8.370252639e-17 wuc = 1.100160422e-16 puc = -2.949665186e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -6.852172814e+04 lvsat = 5.219358795e-02 wvsat = 5.219431931e-02 pvsat = -9.562953439e-9 ++ a0 = 5.581686763e+00 la0 = -1.862593327e-06 wa0 = -2.044036073e-06 pa0 = 8.218781176e-13 ++ ags = 3.297008897e-02 lags = 6.371394990e-07 wags = 4.883600265e-07 pags = -2.556662411e-13 ++ a1 = 0.0 ++ a2 = -4.443183087e+00 la2 = 1.747227446e-06 wa2 = 2.399355331e-06 pa2 = -8.200553774e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.442854522e-01 lketa = 2.760712753e-08 wketa = 4.577462033e-08 pketa = -1.085923283e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 3.657737216e+00 lpclm = -9.994615926e-07 wpclm = -1.797962679e-06 ppclm = 5.821071522e-13 ++ pdiblc1 = -6.917757901e-01 lpdiblc1 = 4.982376929e-07 wpdiblc1 = 2.061091855e-07 ppdiblc1 = -1.597686788e-13 ++ pdiblc2 = -9.147055194e-03 lpdiblc2 = 6.569183178e-09 wpdiblc2 = 7.540362915e-10 ppdiblc2 = -1.103578897e-15 ++ pdiblcb = -8.001407327e-01 lpdiblcb = 8.243528945e-08 wpdiblcb = 3.357458178e-07 ppdiblcb = -6.178707078e-14 ++ drout = -1.206281109e+00 ldrout = 4.519718866e-08 wdrout = 8.861510902e-07 pdrout = -1.857206956e-14 ++ pscbe1 = -5.219310158e+09 lpscbe1 = 1.646398751e+03 wpscbe1 = 2.415394433e+03 ppscbe1 = -6.606609478e-4 ++ pscbe2 = 6.391147549e-07 lpscbe2 = -1.728238654e-13 wpscbe2 = -2.528623657e-13 ppscbe2 = 6.938831490e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.050424620e+01 lbeta0 = -5.963789270e-07 wbeta0 = -1.341735538e-06 pbeta0 = 4.505545433e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.201480379e-08 lagidl = -5.962754678e-15 wagidl = -5.265930198e-15 pagidl = 2.835503776e-21 ++ bgidl = -1.414824446e+08 lbgidl = 5.975888894e+02 wbgidl = 4.580449435e+02 pbgidl = -2.397956888e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.683794245e-01 lkt1 = -2.545454170e-08 wkt1 = -3.802334546e-08 pkt1 = 1.655039967e-15 ++ kt2 = -2.856596699e-02 lkt2 = -1.621761984e-08 wkt2 = -1.998665364e-08 pkt2 = 1.046341291e-14 ++ at = 2.291783275e+05 lat = -7.809783800e-02 wat = -7.085467753e-02 pat = 3.709384078e-8 ++ ute = 1.421668901e+00 lute = -9.917270933e-07 wute = -5.255515426e-07 pute = 3.390150401e-13 ++ ua1 = 5.565611091e-09 lua1 = -1.440047095e-15 wua1 = -1.251315861e-15 pua1 = 3.414464438e-22 ++ ub1 = -1.289193713e-18 lub1 = 1.015253722e-25 wub1 = -1.772062858e-25 pub1 = 1.552166323e-31 ++ uc1 = 1.107595423e-10 luc1 = -9.324338408e-17 wuc1 = -7.542733036e-17 puc1 = 3.948771599e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.187 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-8.747221848e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.471512853e-08 wvth0 = -7.002991529e-08 pvth0 = 2.195564905e-14 ++ k1 = -6.574608203e+00 lk1 = 1.705936084e-06 wk1 = 2.979947018e-06 pk1 = -6.845443842e-13 ++ k2 = 2.694294218e+00 lk2 = -6.435415718e-07 wk2 = -1.135069165e-06 pk2 = 2.582352136e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 5.622145527e+00 ldsub = -1.211166467e-06 wdsub = -1.990313067e-06 pdsub = 4.860071907e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {8.140414769e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.485485391e-07 wvoff = -4.314715088e-07 pvoff = 9.973556940e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {6.561927427e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.819434534e-07 wnfactor = -1.861648086e-06 pnfactor = 2.736448134e-13 ++ eta0 = 4.900000034e-01 leta0 = -3.082458733e-16 weta0 = -1.384213189e-15 peta0 = 1.236903913e-22 ++ etab = 9.559130842e-03 letab = -2.694211752e-09 wetab = -3.838319501e-09 petab = 1.081111738e-15 ++ u0 = 5.483710068e-02 lu0 = -1.025194384e-08 wu0 = -2.115625992e-08 pu0 = 4.113818008e-15 ++ ua = 1.633664700e-08 lua = -3.620448502e-15 wua = -7.618662548e-15 pua = 1.452784611e-21 ++ ub = -1.141081170e-17 lub = 2.533531994e-24 wub = 5.522963590e-24 pub = -1.016635450e-30 ++ uc = 1.507205646e-10 luc = -4.263713475e-17 wuc = -6.037639777e-17 puc = 1.710908833e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.019179925e+06 lvsat = -2.453145682e-01 wvsat = -3.426609777e-01 pvsat = 9.843786740e-8 ++ a0 = -4.537101132e+00 la0 = 9.050975375e-07 wa0 = 2.288621198e-06 pa0 = -3.631902991e-13 ++ ags = 5.596535288e+00 lags = -8.846068543e-07 wags = -1.744142908e-06 pags = 3.549679616e-13 ++ a1 = 0.0 ++ a2 = 2.542488304e+00 la2 = -1.634933934e-07 wa2 = -8.386554118e-07 pa2 = 6.560532094e-14 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -2.851689600e-01 lketa = 6.614158458e-08 wketa = 1.031069291e-07 pketa = -2.654076593e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.111615595e-01 lpclm = -5.675421888e-08 wpclm = 2.469820173e-07 ppclm = 2.277387892e-14 ++ pdiblc1 = 1.755692126e+00 lpdiblc1 = -1.711937316e-07 wpdiblc1 = -6.291640299e-07 ppdiblc1 = 6.869525106e-14 ++ pdiblc2 = 1.075642290e-01 lpdiblc2 = -2.535368727e-08 wpdiblc2 = -4.047623460e-08 ppdiblc2 = 1.017372480e-14 ++ pdiblcb = 1.024963883e+01 lpdiblcb = -2.939900416e-06 wpdiblcb = -4.203179271e-06 ppdiblcb = 1.179699720e-12 ++ drout = -6.993642508e+00 ldrout = 1.628156279e-06 wdrout = 3.206864225e-06 pdrout = -6.533335262e-13 ++ pscbe1 = 7.996518954e+08 lpscbe1 = 9.224982947e-02 wpscbe1 = 1.270646314e-01 ppscbe1 = -3.701727357e-8 ++ pscbe2 = 5.386929887e-08 lpscbe2 = -1.274752823e-14 wpscbe2 = -1.787739654e-14 ppscbe2 = 5.115226149e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 1.534596650e+01 lbeta0 = -1.920686263e-06 wbeta0 = -2.512264475e-06 pbeta0 = 7.707176182e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -2.286123153e-08 lagidl = 3.576538500e-15 wagidl = 1.034780384e-14 pagidl = -1.435164757e-21 ++ bgidl = 5.076722465e+09 lbgidl = -8.296945176e+02 wbgidl = -1.635874577e+03 pbgidl = 3.329331785e-4 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 3.457500240e-01 lkt1 = -2.207832284e-07 wkt1 = -3.558761083e-07 pkt1 = 8.859412765e-14 ++ kt2 = -1.134605128e-01 lkt2 = 7.002736321e-09 wkt2 = 2.854147930e-08 pkt2 = -2.810002009e-15 ++ at = 5.701785796e+05 lat = -1.713682270e-01 wat = -1.866466868e-01 pat = 6.876527117e-8 ++ ute = -6.641415603e+00 lute = 1.213687780e-06 wute = 2.494461484e-06 pute = -4.870189229e-13 ++ ua1 = -3.931831201e-10 lua1 = 1.898022981e-16 wua1 = 2.754784927e-16 pua1 = -7.616234778e-23 ++ ub1 = -1.618345368e-18 lub1 = 1.915549330e-25 wub1 = 6.712957006e-25 pub1 = -7.686563106e-32 ++ uc1 = -1.096348514e-09 luc1 = 2.369248116e-16 wuc1 = 4.165257589e-16 puc1 = -9.507129300e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.188 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 4.2e-07 wmax = 5.4e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.745017794e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.326321115e-07 wvth0 = 3.416297900e-07 pvth0 = -6.698959656e-14 ++ k1 = 5.083907541e+00 lk1 = -7.225031816e-07 wk1 = -2.005028298e-06 pk1 = 3.575624661e-13 ++ k2 = -1.385193918e+00 lk2 = 2.023122055e-07 wk2 = 6.736242960e-07 pk2 = -1.190475136e-13 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -2.672875551e-01 ldsub = -1.359726677e-08 wdsub = 8.195437880e-07 pdsub = -9.302632354e-14 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-5.877883277e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 3.982174116e-08 wvoff = 1.560068706e-07 pvoff = -2.148426325e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.683733690e+01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.004839400e-06 wnfactor = -8.141672724e-06 pnfactor = 1.681373364e-12 ++ eta0 = 8.400758521e+00 leta0 = -1.744480469e-06 weta0 = -3.174365893e-06 peta0 = 7.000111666e-13 ++ etab = 4.320056556e-01 letab = -9.607716656e-08 wetab = -1.717774931e-07 petab = 3.820536343e-14 ++ u0 = 2.501116056e-02 lu0 = -4.531071104e-09 wu0 = -1.298174250e-08 pu0 = 2.654800128e-15 ++ ua = 5.363893492e-09 lua = -1.503152506e-15 wua = -4.665420564e-15 pua = 9.228866047e-22 ++ ub = -7.009096801e-18 lub = 1.774491434e-24 wub = 4.945666469e-24 pub = -9.742493206e-31 ++ uc = -8.081621033e-10 luc = 1.652541967e-16 wuc = 3.738831201e-16 puc = -7.722470056e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -3.794431611e+06 lvsat = 7.956919527e-01 wvsat = 1.726426699e+00 pvsat = -3.496148443e-7 ++ a0 = -7.256387058e+00 la0 = 1.580357153e-06 wa0 = 3.790937811e-06 pa0 = -7.248183985e-13 ++ ags = 1.250000285e+00 lags = -5.467549702e-14 wags = -1.144278841e-13 pags = 2.193974602e-20 ++ a1 = 0.0 ++ a2 = 3.844541110e-01 la2 = 2.987397243e-07 wa2 = -4.355478003e-07 pa2 = -1.780796534e-14 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.110167005e+00 lketa = 2.535949516e-07 wketa = 4.061703108e-07 pketa = -9.558924971e-14 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -4.394951055e+00 lpclm = 9.542450621e-07 wpclm = 2.610275874e-06 ppclm = -4.964773831e-13 ++ pdiblc1 = 5.458634989e+00 lpdiblc1 = -1.002066483e-06 wpdiblc1 = -2.052559459e-06 ppdiblc1 = 3.883205167e-13 ++ pdiblc2 = -1.073643652e-01 lpdiblc2 = 1.992457601e-08 wpdiblc2 = 5.338454722e-08 ppdiblc2 = -9.674644857e-15 ++ pdiblcb = -3.881799574e+01 lpdiblcb = 7.634924848e-06 wpdiblcb = 1.620301054e-05 ppdiblcb = -3.221733088e-12 ++ drout = 1.046597409e+00 ldrout = -8.877738664e-09 wdrout = -2.428982380e-08 pdrout = 4.627697372e-15 ++ pscbe1 = 1.300187946e+09 lpscbe1 = -1.102782544e+02 wpscbe1 = -2.008030871e+02 ppscbe1 = 4.426900774e-5 ++ pscbe2 = -1.171276176e-07 lpscbe2 = 2.389591237e-14 wpscbe2 = 5.034588644e-14 ppscbe2 = -9.502098016e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.116248542e-01 lbeta0 = 1.234252074e-06 wbeta0 = 5.312758529e-06 pbeta0 = -8.904785090e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 4.904123979e-08 lagidl = -1.198064666e-14 wagidl = -2.159672889e-14 pagidl = 5.489364469e-21 ++ bgidl = 1.000001385e+09 lbgidl = -2.636625071e-04 wbgidl = -5.558318348e-04 pbgidl = 1.058003817e-10 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -8.167421407e-01 lkt1 = 1.712754841e-08 wkt1 = 6.223186497e-08 pkt1 = 3.793213728e-15 ++ kt2 = 8.806944199e-01 lkt2 = -2.116433718e-07 wkt2 = -3.539635255e-07 pkt2 = 8.130528254e-14 ++ at = -2.717015847e+06 lat = 5.392095215e-01 wat = 1.305422066e+00 pat = -2.545217758e-7 ++ ute = -3.946837913e+00 lute = 7.208586955e-07 wute = 7.459698082e-07 pute = -1.421221680e-13 ++ ua1 = 1.207210285e-09 lua1 = -1.472622934e-16 wua1 = -1.769476401e-15 pua1 = 3.684292741e-22 ++ ub1 = -5.148958533e-19 lub1 = -3.577719454e-26 wub1 = 1.971743186e-24 pub1 = -3.700608870e-31 ++ uc1 = -5.029437159e-11 luc1 = 2.603925173e-17 wuc1 = 5.909074261e-17 puc1 = -2.419101634e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.189 pmos +* Model Flag Parameters ++ lmin = 2.0e-05 lmax = 0.0001 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.126312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.43165561 ++ k2 = 0.026980026 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 3.2465718e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.23051772+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.8768912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 0.0095864 ++ ua = -7.4757916e-10 ++ ub = 9.5046395e-19 ++ uc = -1.0566299e-10 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.464 ++ ags = 0.11329 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -8.762e-8 ++ b1 = -6.7636e-9 ++ keta = 0.023361259 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.015 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0012771588 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 1.0060625e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.9002574e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.43825 ++ kt2 = -0.058546 ++ at = 70990.0 ++ ute = -0.08298 ++ ua1 = 2.0902e-9 ++ ub1 = -1.2289e-18 ++ uc1 = -2.9789e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.190 pmos +* Model Flag Parameters ++ lmin = 8.0e-06 lmax = 2.0e-05 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.143101216e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.361791944e-7 ++ k1 = 4.317678323e-01 lk1 = -2.247085463e-9 ++ k2 = 2.369023370e-02 lk2 = 6.587322199e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -6.144535039e-06 lcit = 1.295359998e-10 wcit = 8.470329473e-28 pcit = -2.202285663e-32 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.555024598e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 5.002824373e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.336645066e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.081762928e-5 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 1.029838042e-02 lu0 = -1.425635417e-8 ++ ua = -8.705087603e-10 lua = 2.461483309e-15 wua = -4.135903063e-31 ++ ub = 1.285196017e-18 lub = -6.702514248e-24 ++ uc = -1.028248838e-10 luc = -5.682887705e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 80156.0 ++ a0 = 1.509332888e+00 la0 = -9.077239895e-7 ++ ags = 1.050124019e-01 lags = 1.657466518e-7 ++ a1 = 0.0 ++ a2 = 0.97 ++ b0 = -1.292473590e-07 lb0 = 8.335262558e-13 ++ b1 = -1.128214010e-08 lb1 = 9.047707805e-14 ++ keta = 2.267780086e-02 lketa = 1.368523780e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 1.339372874e-02 lpclm = 3.216320472e-8 ++ pdiblc1 = 0.39 ++ pdiblc2 = 6.725898845e-05 lpdiblc2 = 2.422645307e-8 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 8.935747834e+08 lpscbe1 = -1.873696547e+3 ++ pscbe2 = 1.029619414e-08 lpscbe2 = -4.716923448e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.669260682e-09 lagidl = -1.539815260e-14 pagidl = -6.617444900e-36 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -3.929237983e-01 lkt1 = -9.075901069e-7 ++ kt2 = -6.276904603e-02 lkt2 = 8.456024658e-08 wkt2 = -2.775557562e-23 ++ at = 1.117695404e+05 lat = -8.165499428e-1 ++ ute = 5.541677232e-01 lute = -1.275794018e-05 wute = -5.551115123e-23 pute = -4.440892099e-28 ++ ua1 = 3.163024859e-09 lua1 = -2.148173002e-14 ++ ub1 = -1.868595191e-18 lub1 = 1.280894945e-23 ++ uc1 = -8.457025143e-11 luc1 = 1.096913484e-15 wuc1 = 1.292469707e-32 puc1 = 1.033975766e-37 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.191 pmos +* Model Flag Parameters ++ lmin = 4.0e-06 lmax = 8.0e-06 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.078053684e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.857309799e-7 ++ k1 = 4.412289030e-01 lk1 = -7.815817575e-8 ++ k2 = 3.495799846e-02 lk2 = -2.453391399e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.56 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.593357517e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -2.713130682e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.443981194e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.090624299e-6 ++ eta0 = 0.08 ++ etab = -0.07 ++ u0 = 6.411140171e-03 lu0 = 1.693299570e-8 ++ ua = -5.401640296e-10 lua = -1.890442437e-16 ++ ub = 3.885688924e-20 lub = 3.297512674e-24 ++ uc = -1.127146385e-10 luc = 2.252176784e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 1.056489483e+05 lvsat = -2.045431804e-1 ++ a0 = 1.533769379e+00 la0 = -1.103790667e-6 ++ ags = 5.785621586e-02 lags = 5.441052533e-7 ++ a1 = 0.0 ++ a2 = 1.140999600e+00 la2 = -1.372018711e-6 ++ b0 = 8.311152000e-10 lb0 = -2.101609837e-13 pb0 = -5.293955920e-35 ++ b1 = 2.176741094e-09 lb1 = -1.751052438e-14 wb1 = -2.778809870e-31 pb1 = 2.856358053e-36 ++ keta = 3.518549277e-02 lketa = -8.667047844e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -3.101583599e-01 lpclm = 2.628189859e-06 ppclm = -1.110223025e-28 ++ pdiblc1 = 0.39 ++ pdiblc2 = 5.609577701e-03 lpdiblc2 = -2.024245196e-8 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 5.192756498e+08 lpscbe1 = 1.129500038e+3 ++ pscbe2 = 9.865934732e-09 lpscbe2 = -1.264728462e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.0 ++ alpha1 = 0.0 ++ beta0 = 30.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.194646772e-11 lagidl = 5.842625945e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -5.943462052e-01 lkt1 = 7.085266035e-7 ++ kt2 = -4.587686192e-02 lkt2 = -5.097453045e-8 ++ at = -5.134862120e+04 lat = 4.922318892e-01 pat = 2.910383046e-23 ++ ute = -1.954187970e+00 lute = 7.367901890e-6 ++ ua1 = -1.128274578e-09 lua1 = 1.294959683e-14 pua1 = 1.654361225e-36 ++ ub1 = 5.895975724e-19 lub1 = -6.914409353e-24 ++ uc1 = 1.345547543e-10 luc1 = -6.612403822e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.192 pmos +* Model Flag Parameters ++ lmin = 2.0e-06 lmax = 4.0e-06 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.167382044e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.736834646e-7 ++ k1 = 3.711821211e-01 lk1 = 2.036764523e-7 ++ k2 = 3.190923688e-02 lk2 = -1.226716077e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 8.635280000e-01 ldsub = -1.221250979e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.834912171e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 2.282289298e-7 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {9.359981820e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.000295510e-6 ++ eta0 = 1.604349200e-01 leta0 = -3.236315093e-7 ++ etab = -1.403173200e-01 letab = 2.829231434e-7 ++ u0 = 1.501437847e-02 lu0 = -1.768230566e-08 wu0 = 6.938893904e-24 ++ ua = -1.062723639e-10 lua = -1.934816038e-15 ++ ub = 1.008693279e-18 lub = -6.046434356e-25 ++ uc = -1.456246116e-10 luc = 1.549357029e-16 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 5.896562096e+04 lvsat = -1.671187928e-2 ++ a0 = 1.289551051e+00 la0 = -1.211733363e-7 ++ ags = 1.053579842e-01 lags = 3.529809385e-7 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = -1.239482273e-07 lb0 = 2.918911964e-13 pb0 = -1.058791184e-34 ++ b1 = -4.388886198e-09 lb1 = 8.906408341e-15 ++ keta = 2.853443412e-02 lketa = -5.990981094e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 4.782205445e-02 lpclm = 1.187848502e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 7.288412547e-04 lpdiblc2 = -6.047112557e-10 ++ pdiblcb = -0.225 ++ drout = 0.56 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.727100183e-09 lpscbe2 = -7.061248733e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = -1.011760000e-10 lalpha0 = 4.070836595e-16 ++ alpha1 = -1.011760000e-10 lalpha1 = 4.070836595e-16 ++ beta0 = 5.568075730e+01 lbeta0 = -1.033270406e-4 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 9.837715734e-10 lagidl = 1.972703396e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -2.990747896e-01 lkt1 = -4.795038425e-7 ++ kt2 = -6.493627616e-02 lkt2 = 2.571140394e-8 ++ at = 1.326972424e+05 lat = -2.482803239e-1 ++ ute = 8.310287392e-01 lute = -3.838473242e-06 wute = 1.110223025e-22 pute = -4.440892099e-28 ++ ua1 = 3.743941955e-09 lua1 = -6.653863832e-15 pua1 = -3.308722450e-36 ++ ub1 = -1.995705145e-18 lub1 = 3.487607836e-24 ++ uc1 = -1.126835086e-10 luc1 = 3.335277131e-16 wuc1 = -2.584939414e-32 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.193 pmos +* Model Flag Parameters ++ lmin = 1.0e-06 lmax = 2.0e-06 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.049749650e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.434803705e-8 ++ k1 = 4.627367281e-01 lk1 = 1.841387386e-8 ++ k2 = 4.289505226e-02 lk2 = -3.449717790e-8 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -4.974048000e-01 ldsub = 1.532623761e-6 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.339695087e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -7.433123756e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {3.109198808e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.972194201e-7 ++ eta0 = -2.241626400e-01 leta0 = 4.546093453e-07 weta0 = 3.599551213e-23 peta0 = 6.331740687e-29 ++ etab = 8.652602975e-01 letab = -1.751883277e-06 wetab = 7.502679034e-23 petab = -6.331740687e-29 ++ u0 = 4.816029016e-03 lu0 = 2.954258430e-9 ++ ua = -8.807161675e-10 lua = -3.677135132e-16 ++ ub = 1.541802277e-19 lub = 1.124480813e-24 ++ uc = -8.449191963e-11 luc = 3.123247804e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.140648349e+04 lvsat = -2.165101343e-2 ++ a0 = 1.332090813e+00 la0 = -2.072533974e-7 ++ ags = -2.559368078e-01 lags = 1.084068176e-6 ++ a1 = 0.0 ++ a2 = 0.8 ++ b0 = 1.066533354e-07 lb0 = -1.747356776e-13 wb0 = 1.323488980e-29 pb0 = 1.323488980e-35 ++ b1 = -1.575243890e-10 lb1 = 3.441630922e-16 wb1 = -1.292469707e-32 ++ keta = 1.204075832e-02 lketa = -2.653452808e-08 wketa = -8.673617380e-25 pketa = 3.469446952e-30 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 8.732362441e-01 lpclm = -4.823936187e-7 ++ pdiblc1 = 3.913823559e-01 lpdiblc1 = -2.797224764e-9 ++ pdiblc2 = 0.00043 ++ pdiblcb = -0.225 ++ drout = 2.685397836e-01 ldrout = 5.897755770e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.667507287e-09 lpscbe2 = -5.855374581e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.236495401e-10 lalpha0 = -4.785531742e-17 ++ alpha1 = 3.047040000e-10 lalpha1 = -4.142226381e-16 ++ beta0 = 2.936091465e+00 lbeta0 = 3.402845599e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.843909339e-09 lagidl = -1.791322574e-15 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -6.107671952e-01 lkt1 = 1.512119740e-7 ++ kt2 = -5.309487440e-02 lkt2 = 1.750090646e-9 ++ at = -2.046302576e+04 lat = 6.164254189e-2 ++ ute = -1.123524176e+00 lute = 1.166036726e-7 ++ ua1 = 1.544285637e-09 lua1 = -2.202815278e-15 ++ ub1 = -1.736591710e-18 lub1 = 2.963286619e-24 wub1 = 1.925929944e-40 pub1 = -3.851859889e-46 ++ uc1 = -4.129082560e-12 luc1 = 1.138656610e-16 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.75e-6 ++ sbref = 2.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.194 pmos +* Model Flag Parameters ++ lmin = 5.0e-07 lmax = 1.0e-06 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.136926034e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.487873503e-8 ++ k1 = 4.604084761e-01 lk1 = 2.079688639e-8 ++ k2 = 1.213770125e-02 lk2 = -3.016414004e-9 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 1.774809600e+00 ldsub = -7.930331218e-07 wdsub = 8.881784197e-22 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-2.112824992e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = 4.800154470e-9 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {2.153255836e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.812073306e-7 ++ eta0 = -6.270080000e-02 leta0 = 2.893499228e-7 ++ etab = -1.732537571e+00 letab = 9.070147972e-7 ++ u0 = 9.597207347e-03 lu0 = -1.939373216e-9 ++ ua = -8.808370178e-10 lua = -3.675898204e-16 ++ ub = 1.032927229e-18 lub = 2.250656827e-25 ++ uc = -9.212753610e-11 luc = 3.904768421e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = -3.877024992e+04 lvsat = 8.088187676e-02 pvsat = 1.455191523e-23 ++ a0 = 1.430417848e+00 la0 = -3.078930841e-7 ++ ags = 3.354234688e-01 lags = 4.787991056e-7 ++ a1 = 0.0 ++ a2 = 6.220032000e-01 la2 = 1.821832847e-7 ++ b0 = -1.311477117e-07 lb0 = 6.865845002e-14 ++ b1 = 3.658674592e-10 lb1 = -1.915389322e-16 ++ keta = 2.121464773e-03 lketa = -1.638193275e-8 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 7.820070632e-01 lpclm = -3.890187274e-07 ppclm = 1.110223025e-28 ++ pdiblc1 = 7.819323543e-01 lpdiblc1 = -4.025329592e-7 ++ pdiblc2 = 8.520880000e-04 lpdiblc2 = -4.320155098e-10 ++ pdiblcb = -3.557815468e-01 lpdiblcb = 1.338575288e-7 ++ drout = 6.822228327e-01 ldrout = 1.663627026e-7 ++ pscbe1 = 800000000.0 ++ pscbe2 = 9.040301580e-09 lpscbe2 = 5.642012712e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 5.270091976e-11 lalpha0 = 2.476201449e-17 ++ alpha1 = -3.094080000e-10 lalpha1 = 2.143332762e-16 walpha1 = 1.033975766e-31 ++ beta0 = 4.265741962e+00 lbeta0 = 2.041921722e-6 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 1.192307339e-09 lagidl = -1.008748955e-16 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.202584160e-01 lkt1 = -4.377757166e-8 ++ kt2 = -4.284220064e-02 lkt2 = -8.743726001e-9 ++ at = -2.366748480e+03 lat = 4.312064016e-2 ++ ute = -1.890160640e+00 lute = 9.012714263e-7 ++ ua1 = -2.628498262e-09 lua1 = 2.068112498e-15 wua1 = -4.135903063e-31 pua1 = 2.067951531e-37 ++ ub1 = 3.207248934e-18 lub1 = -2.096833157e-24 wub1 = 7.703719778e-40 pub1 = 3.851859889e-46 ++ uc1 = 2.897960218e-10 luc1 = -1.869725618e-16 wuc1 = 1.033975766e-31 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.75e-6 ++ sbref = 1.74e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.195 pmos +* Model Flag Parameters ++ lmin = 2.5e-07 lmax = 5.0e-07 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.133344441e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.300369965e-8 ++ k1 = 1.155532129e-01 lk1 = 2.013355138e-7 ++ k2 = 1.603779611e-01 lk2 = -8.062315484e-08 pk2 = 6.938893904e-30 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = -1.799686157e-01 ldsub = 2.303323697e-7 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-1.374484815e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -3.385343050e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {4.730484421e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.680233782e-7 ++ eta0 = 0.49 ++ etab = -6.25e-6 ++ u0 = 1.002682163e-02 lu0 = -2.164284885e-9 ++ ua = -4.159919914e-10 lua = -6.109454887e-16 ++ ub = 4.891119397e-19 lub = 5.097638630e-25 ++ uc = -3.701401147e-11 luc = 1.019465179e-17 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 6.155044064e+04 lvsat = 2.836198884e-2 ++ a0 = 4.877951562e-01 la0 = 1.855887477e-7 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 1.536190831e+00 la2 = -2.964122239e-7 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -3.021165609e-02 lketa = 5.451026823e-10 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = -8.229209877e-01 lpclm = 4.511932058e-07 ppclm = 4.163336342e-29 ++ pdiblc1 = -1.781362003e-01 lpdiblc1 = 1.000821305e-07 ppdiblc1 = 1.040834086e-29 ++ pdiblc2 = -7.267940052e-03 lpdiblc2 = 3.818981576e-09 wpdiblc2 = 9.215718466e-25 ppdiblc2 = 4.607859233e-31 ++ pdiblcb = 3.656309366e-02 lpdiblcb = -7.154273738e-8 ++ drout = 1.002074047e+00 ldrout = -1.085805324e-9 ++ pscbe1 = 8.000344088e+08 lpscbe1 = -1.801370335e-2 ++ pscbe2 = 8.962724207e-09 lpscbe2 = 9.703343354e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.160540337e+00 lbeta0 = 5.264368771e-7 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.108290269e-09 lagidl = 1.103533964e-15 pagidl = 2.067951531e-37 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -4.631364608e-01 lkt1 = -2.133005764e-8 ++ kt2 = -7.837421088e-02 lkt2 = 9.857992000e-9 ++ at = 5.260314272e+04 lat = 1.434280272e-2 ++ ute = 1.119549344e-01 lute = -1.468761193e-07 pute = -2.775557562e-29 ++ ua1 = 2.447237866e-09 lua1 = -5.891368794e-16 ++ ub1 = -1.730805103e-18 lub1 = 4.883368922e-25 ++ uc1 = -7.721103712e-11 luc1 = 5.162973673e-18 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.196 pmos +* Model Flag Parameters ++ lmin = 1.8e-07 lmax = 2.5e-07 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -1.176e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.049242+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.85164386 ++ k2 = -0.1343835 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 0.66213569 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {-0.26121797+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {1.9225604+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = 0.49 ++ etab = -6.25e-6 ++ u0 = 0.00211411 ++ ua = -2.649633e-9 ++ ub = 2.3528289e-18 ++ uc = 2.58041e-13 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 165243.0 ++ a0 = 1.166315 ++ ags = 1.25 ++ a1 = 0.0 ++ a2 = 0.45249595 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -0.028218739 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 0.82665932 ++ pdiblc1 = 0.18776805 ++ pdiblc2 = 0.0066944085 ++ pdiblcb = -0.225 ++ drout = 0.9981043 ++ pscbe1 = 799968550.0 ++ pscbe2 = 9.3174823e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 9.0852145 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 2.9262738e-9 ++ bgidl = 1000000000.0 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -2.56e-9 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.54112 ++ kt2 = -0.042333 ++ at = 105041.0 ++ ute = -0.42503 ++ ua1 = 2.9333e-10 ++ ub1 = 5.4574e-20 ++ uc1 = -5.8335e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.1e-6 ++ sbref = 1.1e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_hvt__model.197 pmos +* Model Flag Parameters ++ lmin = 1.5e-07 lmax = 1.8e-07 wmin = 3.6e-07 wmax = 4.2e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__toxe_slope_spectre) ++ toxe = {4.23e-09+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-9*1.0*(sky130_fd_pr__pfet_01v8_hvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters ++ wint = 9.364e-9 ++ lint = -2.026e-8 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__vth0_slope_spectre) ++ vth0 = {-1.997749953e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.873244639e-07 wvth0 = -2.784328784e-07 pvth0 = 6.140001835e-14 ++ k1 = -1.942612612e+00 lk1 = 6.161894372e-07 wk1 = 8.145174970e-07 pk1 = -1.796173984e-13 ++ k2 = -8.663270902e-02 lk2 = -1.053000443e-08 wk2 = 1.525480424e-07 pk2 = -3.363989431e-14 ++ k3 = -13.778 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.05 ++ dvt1 = 0.3 ++ dvt2 = 0.03 ++ dvt0w = -4.254 ++ dvt1w = 1147200.0 ++ dvt2w = -0.00896 ++ dsub = 7.017835139e+00 ldsub = -1.401558843e-06 wdsub = -2.103771966e-06 pdsub = 4.639237939e-13 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = 1.0e-5 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__voff_slope_spectre) ++ voff = {4.853301413e-01+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__voff_slope/sqrt(l*w*mult))} lvoff = -1.646287895e-07 wvoff = -2.746055237e-07 pvoff = 6.055601009e-14 +*(mismatch parameter sky130_fd_pr__pfet_01v8_hvt__nfactor_slope_spectre) ++ nfactor = {7.365099587e+00+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_hvt__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.200188742e-06 wnfactor = -4.340729115e-06 pnfactor = 9.572175843e-13 ++ eta0 = 8.400758601e+00 leta0 = -1.744480487e-06 weta0 = -3.174365925e-06 peta0 = 7.000111738e-13 ++ etab = 4.590023598e-01 letab = -1.012205786e-07 wetab = -1.826105146e-07 petab = 4.026927068e-14 ++ u0 = -3.472902665e-03 lu0 = 1.232048033e-09 wu0 = -1.551885481e-09 pu0 = 3.422217863e-16 ++ ua = -3.435785141e-09 lua = 1.733622700e-16 wua = -1.134355920e-15 pua = 2.501481675e-22 ++ ub = 2.657584049e-18 lub = -6.720460536e-26 wub = 1.066698111e-24 pub = -2.352282675e-31 ++ uc = -3.742083373e-10 luc = 8.257732575e-17 wuc = 1.997496245e-16 puc = -4.404878720e-23 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = 3.912086591e+06 lvsat = -8.262539486e-01 wvsat = -1.365983273e+00 pvsat = 3.012266314e-7 ++ a0 = 1.977516561e+00 la0 = -1.788861682e-07 wa0 = 8.563083787e-08 pa0 = -1.888331237e-14 ++ ags = 1.249999985e+00 lags = 3.332713661e-15 wags = 6.064414748e-15 pags = -1.337324473e-21 ++ a1 = 0.0 ++ a2 = -9.073383837e+00 la2 = 2.100647011e-06 wa2 = 3.359617749e-06 pa2 = -7.408629060e-13 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = -1.610291043e+00 lketa = 3.488785845e-07 wketa = 6.068560841e-07 pketa = -1.338239037e-13 ++ dwg = -5.722e-9 ++ dwb = -1.7864e-8 ++ pclm = 2.179197569e+00 lpclm = -2.982617346e-07 wpclm = -2.774589244e-08 ppclm = 6.118524200e-15 ++ pdiblc1 = 1.164651831e-01 lpdiblc1 = 1.572370822e-08 wpdiblc1 = 9.110370374e-08 ppdiblc1 = -2.009018875e-14 ++ pdiblc2 = 6.689112786e-02 lpdiblc2 = -1.327458055e-08 wpdiblc2 = -1.653930299e-08 ppdiblc2 = 3.647247095e-15 ++ pdiblcb = -9.631244073e+00 lpdiblcb = 2.074264943e-06 wpdiblcb = 4.491184323e-06 ppdiblcb = -9.903959668e-13 ++ drout = 9.860653258e-01 ldrout = 2.654834583e-09 wdrout = 6.165500110e-15 pdrout = -1.359615975e-21 ++ pscbe1 = 1.299183743e+09 lpscbe1 = -1.100869344e+02 wpscbe1 = -2.004001287e+02 ppscbe1 = 4.419223639e-5 ++ pscbe2 = 1.579775404e-08 lpscbe2 = -1.429029524e-15 wpscbe2 = -2.993343308e-15 ppscbe2 = 6.600920663e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 531.92 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.32348 ++ prwg = 0.02 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 2.366162204e+01 lbeta0 = -3.214389391e-06 wbeta0 = -4.056941542e-06 pbeta0 = 8.946367488e-13 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = -1.543105806e-07 lagidl = 3.467387114e-14 wagidl = 6.000266278e-14 pagidl = -1.323178720e-20 ++ bgidl = 1.000000011e+09 lbgidl = -2.319414139e-06 wbgidl = -4.220550537e-06 pbgidl = 9.307160378e-13 ++ cgidl = 300.0 ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = -1.106e-8 ++ dwc = 0.0 ++ xpart = 0.0 ++ cgso = 5.93202e-11 ++ cgdo = 5.93202e-11 ++ cgbo = 0.0 ++ cgdl = 7.513892e-12 ++ cgsl = 7.513892e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.2e-11 ++ ckappas = 0.6 ++ vfbcv = -0.1446893 ++ acde = 0.552 ++ moin = 14.504 ++ noff = 4.0 ++ voffcv = -0.1375 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.88 ++ noia = 1.2e+41 ++ noib = 2.0e+25 ++ noic = 0.0 ++ em = 41000000.0 ++ ntnoi = 1.0 ++ lintnoi = -6.0e-8 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 1.5 ++ tnoib = 3.5 ++ rnoia = 0.577 ++ rnoib = 0.37 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.17e-5 ++ jsws = 8.2e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.8 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = 0.0007432633326 ++ mjs = 0.34629 ++ pbsws = 0.7418 ++ cjsws = 9.5078641e-11 ++ mjsws = 0.26859 ++ pbswgs = 1.3925 ++ cjswgs = 2.54074486e-10 ++ mjswgs = 0.70393 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = 6.383460612e-01 lkt1 = -2.600958558e-07 wkt1 = -5.216542880e-07 pkt1 = 1.150352036e-13 ++ kt2 = 1.150606588e+00 lkt2 = -2.630670379e-07 wkt2 = -4.622717210e-07 pkt2 = 1.019401599e-13 ++ at = 5.333259814e+04 lat = 1.140273678e-02 wat = 1.937588049e-01 pat = -4.272769165e-8 ++ ute = -2.087825043e+00 lute = 3.666795630e-07 wute = -3.973519291e-15 pute = 8.762401915e-22 ++ ua1 = -6.016759380e-10 lua1 = 1.973667094e-16 wua1 = -1.043621009e-15 pua1 = 2.301393049e-22 ++ ub1 = 4.863660387e-18 lub1 = -1.060499730e-24 wub1 = -1.865208340e-25 pub1 = 4.113157431e-32 ++ uc1 = -9.773734095e-10 luc1 = 2.026663501e-16 wuc1 = 4.311016023e-16 puc1 = -9.506652534e-23 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2556 ++ tpb = 0.0019551 ++ tcj = 0.0012407 ++ tpbsw = 0.00014242 ++ tcjsw = 0.0 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 2.0 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 2.65e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 +.ends sky130_fd_pr__pfet_01v8_hvt +* Well Proximity Effect Parameters + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_01v8_hvt__toxe_slope= 5.00e-3 +.param sky130_fd_pr__pfet_01v8_hvt__vth0_slope= 5.50e-3 +.param sky130_fd_pr__pfet_01v8_hvt__nfactor_slope=0.0 +.param sky130_fd_pr__pfet_01v8_hvt__nfactor_slope1=0.0 +.param sky130_fd_pr__pfet_01v8_hvt__voff_slope=0.01 +.param sky130_fd_pr__pfet_01v8_hvt__voff_slope1=0.00 +.param sky130_fd_pr__pfet_01v8_hvt__lint_slope=0.0 +.param sky130_fd_pr__pfet_01v8_hvt__wint_slope=0.0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 8 +.param ++ sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult = 1.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult = 1.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult = 9.8210e-1 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult = 1.0050e+0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult = 1.0090e+0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff = 0.0 +* +* sky130_fd_pr__esd_pfet_g5v0d10v5, Bin 000, W = 14.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_0 = 0.0025904 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_0 = 0.0013216 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_0 = -5983.8 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_0 = 0.0011957 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_0 = -0.063147 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_0 = 1.3229e-11 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_0 = 3.1718e-19 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_0 = 0.019432 +* +* sky130_fd_pr__esd_pfet_g5v0d10v5, Bin 001, W = 15.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_1 = 0.018981 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_1 = 0.0025296 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_1 = 0.0013991 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_1 = -9020.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_1 = 0.0072841 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_1 = 1.4079e-11 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_1 = -0.074743 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_1 = 3.1631e-19 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_1 = 0.0 +* +* sky130_fd_pr__esd_pfet_g5v0d10v5, Bin 002, W = 16.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_2 = 0.016493 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_2 = 0.0011738 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_2 = 0.00094592 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_2 = 0.011633 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_2 = -1.6818e-11 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_2 = -840.04 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_2 = -0.18372 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_2 = 2.8322e-19 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_2 = 0.0 +* +* sky130_fd_pr__esd_pfet_g5v0d10v5, Bin 003, W = 17.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_3 = 0.020198 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_3 = 0.0025033 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_3 = 0.0015269 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_3 = 0.0030122 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_3 = 1.4317e-11 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_3 = -14143.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_3 = -0.088815 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_3 = 2.9649e-19 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_3 = 0.0 +* +* sky130_fd_pr__esd_pfet_g5v0d10v5, Bin 004, W = 19.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_4 = 0.021723 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_4 = 0.0027232 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_4 = 0.0010803 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_4 = -6.9665e-6 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_4 = 1.108e-11 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_4 = -2261.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_4 = -0.067845 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_4 = 2.8628e-19 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_4 = 0.0 +* +* sky130_fd_pr__esd_pfet_g5v0d10v5, Bin 005, W = 21.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_5 = 0.022334 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_5 = 0.0026099 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_5 = 0.0013654 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_5 = 0.0011422 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_5 = 1.3711e-11 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_5 = -2378.6 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_5 = -0.060725 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_5 = 3.6247e-19 +* +* sky130_fd_pr__esd_pfet_g5v0d10v5, Bin 006, W = 23.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_6 = 3.713e-19 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_6 = 0.022964 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_6 = 0.0028181 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_6 = 0.0011851 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_6 = 0.0037406 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_6 = 1.6366e-11 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_6 = -232.34 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_6 = -0.067505 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_6 = 0.0 +* +* sky130_fd_pr__esd_pfet_g5v0d10v5, Bin 007, W = 26.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_7 = 4.2442e-19 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_7 = 0.02253 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_7 = 0.0024309 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_7 = 0.0013925 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_7 = 0.0023129 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_7 = 1.816e-11 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_7 = -1157.8 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_7 = -0.053499 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_7 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__esd_pfet_g5v0d10v5 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__esd_pfet_g5v0d10v5 d g s b sky130_fd_pr__esd_pfet_g5v0d10v5__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__esd_pfet_g5v0d10v5__model.0 pmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 1.4495e-05 wmax = 1.4505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.53e-8 ++ dwb = -1.0e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.175e-008*sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult} +* Threshold Voltage Parameters ++ vth0 = {-1.0072+sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_0} ++ k1 = 0.64397 ++ k2 = {0.0012758+sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_0} ++ k3 = -1.584 ++ dvt0 = 4.0 ++ dvt1 = 0.39618 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-9 ++ k3b = 0.24 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {154260+sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_0} ++ ua = {2.718e-009+sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_0} ++ ub = {1.5031e-018+sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_0} ++ uc = 2.5114e-11 ++ rdsw = {329.4+sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_0} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0216+sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_0} ++ a0 = {0.71809+sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_0} ++ keta = {-0.01188+sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_0} ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = {0.097232+sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_0} ++ b0 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_0} ++ b1 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.15351+sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_0} ++ nfactor = {1.1792+sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_0} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_0} ++ cit = 1.0e-5 ++ cdsc = 1.0e-5 ++ cdscb = -0.00030725687 ++ cdscd = 7.8783957e-11 ++ eta0 = {0.0154+sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_0} ++ etab = -6.956e-5 ++ dsub = 0.10478 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.46878+sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_0} ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.5 ++ drout = 0.46464 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.561e-6 ++ alpha1 = 1.0e-10 ++ beta0 = 36.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {1.1249e-012+sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_0} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_0} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {7.25e-010+sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_0} ++ bgidl = {1.334e+009+sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_0} ++ cgidl = {650+sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_0} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = {-0.55852+sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_0} ++ kt2 = 0.01 ++ at = 0.0 ++ ute = -1.115 ++ ua1 = 1.56e-9 ++ ub1 = -3.963e-18 ++ uc1 = -8.9645e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgso = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {4.4983e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff} ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00077547*sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = {9.8717e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = {1.46e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.81 ++ pbswgs = 3.0 +.model sky130_fd_pr__esd_pfet_g5v0d10v5__model.1 pmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 1.5495e-05 wmax = 1.5505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.53e-8 ++ dwb = -1.0e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.175e-008*sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult} +* Threshold Voltage Parameters ++ vth0 = {-1.0152+sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_1} ++ k1 = 0.64397 ++ k2 = {0.0012758+sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_1} ++ k3 = -1.584 ++ dvt0 = 4.0 ++ dvt1 = 0.39618 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-9 ++ k3b = 0.24 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {155260+sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_1} ++ ua = {2.718e-009+sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_1} ++ ub = {1.5031e-018+sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_1} ++ uc = 2.5114e-11 ++ rdsw = {329.4+sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_1} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.021700+sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_1} ++ a0 = {0.71809+sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_1} ++ keta = {-0.01188+sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_1} ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = {0.097232+sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_1} ++ b0 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_1} ++ b1 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.15351+sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_1} ++ nfactor = {1.1792+sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_1} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_1} ++ cit = 1.0e-5 ++ cdsc = 1.0e-5 ++ cdscb = -0.00030725687 ++ cdscd = 7.8783957e-11 ++ eta0 = {0.0154+sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_1} ++ etab = -6.956e-5 ++ dsub = 0.10478 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.46878+sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_1} ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.5 ++ drout = 0.46464 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.561e-6 ++ alpha1 = 1.0e-10 ++ beta0 = 36.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {1.1249e-012+sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_1} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_1} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {7.25e-010+sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_1} ++ bgidl = {1.334e+009+sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_1} ++ cgidl = {650+sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_1} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = {-0.548+sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_1} ++ kt2 = 0.02 ++ at = 0.0 ++ ute = -1.121 ++ ua1 = 5.4616e-10 ++ ub1 = -2.0736e-18 ++ uc1 = -2.000e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgso = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {4.4983e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff} ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00077547*sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = {9.8717e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = {1.46e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.81 ++ pbswgs = 3.0 +.model sky130_fd_pr__esd_pfet_g5v0d10v5__model.2 pmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 1.6495e-05 wmax = 1.6505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.53e-8 ++ dwb = -1.0e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.175e-008*sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult} +* Threshold Voltage Parameters ++ vth0 = {-1.01918+sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_2} ++ k1 = 0.64397 ++ k2 = {0.0012758+sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_2} ++ k3 = -1.584 ++ dvt0 = 4.0 ++ dvt1 = 0.39618 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-9 ++ k3b = 0.24 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {152260+sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_2} ++ ua = {2.718e-009+sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_2} ++ ub = {1.5031e-018+sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_2} ++ uc = 2.5114e-11 ++ rdsw = {329.4+sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_2} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0219+sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_2} ++ a0 = {0.71809+sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_2} ++ keta = {-0.01188+sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_2} ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = {0.097232+sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_2} ++ b0 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_2} ++ b1 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.15351+sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_2} ++ nfactor = {1.1792+sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_2} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_2} ++ cit = 1.0e-5 ++ cdsc = 1.0e-5 ++ cdscb = -0.00030725687 ++ cdscd = 7.8783957e-11 ++ eta0 = {0.0154+sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_2} ++ etab = -6.956e-5 ++ dsub = 0.10478 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.46878+sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_2} ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.5 ++ drout = 0.46464 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.561e-6 ++ alpha1 = 1.0e-10 ++ beta0 = 36.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {1.1249e-012+sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_2} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_2} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {7.25e-010+sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_2} ++ bgidl = {1.334e+009+sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_2} ++ cgidl = {650+sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_2} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = {-0.548+sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_2} ++ kt2 = 0.02 ++ at = 0.0 ++ ute = -1.0681 ++ ua1 = 8.227e-10 ++ ub1 = -1.9492e-18 ++ uc1 = -1.36e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgso = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {4.4983e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff} ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00077547*sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = {9.8717e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = {1.46e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.81 ++ pbswgs = 3.0 +.model sky130_fd_pr__esd_pfet_g5v0d10v5__model.3 pmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 1.7495e-05 wmax = 1.7505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.53e-8 ++ dwb = -1.0e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.175e-008*sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult} +* Threshold Voltage Parameters ++ vth0 = {-1.01218+sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_3} ++ k1 = 0.64397 ++ k2 = {0.0012758+sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_3} ++ k3 = -1.584 ++ dvt0 = 4.0 ++ dvt1 = 0.39618 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-9 ++ k3b = 0.24 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {159860+sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_3} ++ ua = {2.718e-009+sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_3} ++ ub = {1.5031e-018+sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_3} ++ uc = 2.5114e-11 ++ rdsw = {329.4+sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_3} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.02165+sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_3} ++ a0 = {0.71809+sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_3} ++ keta = {-0.01188+sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_3} ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = {0.097232+sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_3} ++ b0 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_3} ++ b1 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.15351+sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_3} ++ nfactor = {1.1792+sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_3} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_3} ++ cit = 1.0e-5 ++ cdsc = 1.0e-5 ++ cdscb = -0.00030725687 ++ cdscd = 7.8783957e-11 ++ eta0 = {0.0154+sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_3} ++ etab = -6.956e-5 ++ dsub = 0.10478 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.46878+sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_3} ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.5 ++ drout = 0.46464 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.561e-6 ++ alpha1 = 1.0e-10 ++ beta0 = 36.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {1.1249e-012+sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_3} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_3} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {7.25e-010+sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_3} ++ bgidl = {1.334e+009+sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_3} ++ cgidl = {650+sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_3} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = {-0.548+sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_3} ++ kt2 = 0.02 ++ at = 0.0 ++ ute = -1.0881 ++ ua1 = 5.9616e-10 ++ ub1 = -2.0036e-18 ++ uc1 = -2.0e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgso = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {4.4983e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff} ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00077547*sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = {9.8717e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = {1.46e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.81 ++ pbswgs = 3.0 +.model sky130_fd_pr__esd_pfet_g5v0d10v5__model.4 pmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 1.9495e-05 wmax = 1.9505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.53e-8 ++ dwb = -1.0e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.175e-008*sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult} +* Threshold Voltage Parameters ++ vth0 = {-1.01018+sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_4} ++ k1 = 0.64397 ++ k2 = {0.0012758+sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_4} ++ k3 = -1.584 ++ dvt0 = 4.0 ++ dvt1 = 0.39618 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-9 ++ k3b = 0.24 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {148260+sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_4} ++ ua = {2.718e-009+sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_4} ++ ub = {1.5031e-018+sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_4} ++ uc = 2.5114e-11 ++ rdsw = {329.4+sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_4} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.022+sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_4} ++ a0 = {0.71809+sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_4} ++ keta = {-0.01188+sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_4} ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = {0.097232+sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_4} ++ b0 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_4} ++ b1 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.15351+sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_4} ++ nfactor = {1.1792+sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_4} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_4} ++ cit = 1.0e-5 ++ cdsc = 1.0e-5 ++ cdscb = -0.00030725687 ++ cdscd = 7.8783957e-11 ++ eta0 = {0.0154+sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_4} ++ etab = -6.956e-5 ++ dsub = 0.10478 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.46878+sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_4} ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.5 ++ drout = 0.46464 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.561e-6 ++ alpha1 = 1.0e-10 ++ beta0 = 36.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {1.1249e-012+sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_4} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_4} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {7.25e-010+sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_4} ++ bgidl = {1.334e+009+sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_4} ++ cgidl = {650+sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_4} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = {-0.538+sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_4} ++ kt2 = 0.02 ++ at = 0.0 ++ ute = -1.111 ++ ua1 = 5.9616e-10 ++ ub1 = -2.0736e-18 ++ uc1 = -1.12e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgso = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {4.4983e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff} ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00077547*sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = {9.8717e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = {1.46e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.81 ++ pbswgs = 3.0 +.model sky130_fd_pr__esd_pfet_g5v0d10v5__model.5 pmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 2.1495e-05 wmax = 2.1505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.53e-8 ++ dwb = -1.0e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.175e-008*sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult} +* Threshold Voltage Parameters ++ vth0 = {-1.01218+sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_5} ++ k1 = 0.64397 ++ k2 = {0.0012758+sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_5} ++ k3 = -1.584 ++ dvt0 = 4.0 ++ dvt1 = 0.39618 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-9 ++ k3b = 0.24 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {150260+sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_5} ++ ua = {2.718e-009+sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_5} ++ ub = {1.5031e-018+sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_5} ++ uc = 2.5114e-11 ++ rdsw = {329.4+sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_5} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0219+sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_5} ++ a0 = {0.71809+sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_5} ++ keta = {-0.01188+sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_5} ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = {0.097232+sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_5} ++ b0 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_5} ++ b1 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.15351+sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_5} ++ nfactor = {1.1792+sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_5} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_5} ++ cit = 1.0e-5 ++ cdsc = 1.0e-5 ++ cdscb = -0.00030725687 ++ cdscd = 7.8783957e-11 ++ eta0 = {0.0154+sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_5} ++ etab = -6.956e-5 ++ dsub = 0.10478 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.46878+sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_5} ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.5 ++ drout = 0.46464 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.561e-6 ++ alpha1 = 1.0e-10 ++ beta0 = 36.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {1.1249e-012+sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_5} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_5} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {7.25e-010+sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_5} ++ bgidl = {1.334e+009+sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_5} ++ cgidl = {650+sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_5} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = {-0.538+sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_5} ++ kt2 = 0.02 ++ at = 0.0 ++ ute = -1.115 ++ ua1 = 5.9616e-10 ++ ub1 = -2.0736e-18 ++ uc1 = -1.3393e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgso = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {4.4983e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff} ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00077547*sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = {9.8717e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = {1.46e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.81 ++ pbswgs = 3.0 +.model sky130_fd_pr__esd_pfet_g5v0d10v5__model.6 pmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 2.3495e-05 wmax = 2.3505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.53e-8 ++ dwb = -1.0e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.175e-008*sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult} +* Threshold Voltage Parameters ++ vth0 = {-1.01518+sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_6} ++ k1 = 0.64397 ++ k2 = {0.0012758+sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_6} ++ k3 = -1.584 ++ dvt0 = 4.0 ++ dvt1 = 0.39618 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-9 ++ k3b = 0.24 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {149560+sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_6} ++ ua = {2.718e-009+sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_6} ++ ub = {1.5031e-018+sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_6} ++ uc = 2.5114e-11 ++ rdsw = {329.4+sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_6} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.022046+sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_6} ++ a0 = {0.71809+sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_6} ++ keta = {-0.01188+sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_6} ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = {0.097232+sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_6} ++ b0 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_6} ++ b1 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.15351+sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_6} ++ nfactor = {1.1792+sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_6} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_6} ++ cit = 1.0e-5 ++ cdsc = 1.0e-5 ++ cdscb = -0.00030725687 ++ cdscd = 7.8783957e-11 ++ eta0 = {0.0154+sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_6} ++ etab = -6.956e-5 ++ dsub = 0.10478 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.46878+sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_6} ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.5 ++ drout = 0.46464 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.561e-6 ++ alpha1 = 1.0e-10 ++ beta0 = 36.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {1.1249e-012+sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_6} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_6} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {7.25e-010+sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_6} ++ bgidl = {1.334e+009+sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_6} ++ cgidl = {650+sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_6} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = {-0.538+sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_6} ++ kt2 = 0.02 ++ at = 0.0 ++ ute = -1.095 ++ ua1 = 8.3462e-10 ++ ub1 = -2.0736e-18 ++ uc1 = -1.0304e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgso = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {4.4983e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff} ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00077547*sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = {9.8717e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = {1.46e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.81 ++ pbswgs = 3.0 +.model sky130_fd_pr__esd_pfet_g5v0d10v5__model.7 pmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 2.6495e-05 wmax = 2.6505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {1e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -1.53e-8 ++ dwb = -1.0e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 200000.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.175e-008*sky130_fd_pr__esd_pfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult} +* Threshold Voltage Parameters ++ vth0 = {-1.0172+sky130_fd_pr__esd_pfet_g5v0d10v5__vth0_diff_7} ++ k1 = 0.64397 ++ k2 = {0.0012758+sky130_fd_pr__esd_pfet_g5v0d10v5__k2_diff_7} ++ k3 = -1.584 ++ dvt0 = 4.0 ++ dvt1 = 0.39618 ++ dvt2 = -0.05 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ w0 = 1.0e-9 ++ k3b = 0.24 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {150260+sky130_fd_pr__esd_pfet_g5v0d10v5__vsat_diff_7} ++ ua = {2.718e-009+sky130_fd_pr__esd_pfet_g5v0d10v5__ua_diff_7} ++ ub = {1.5031e-018+sky130_fd_pr__esd_pfet_g5v0d10v5__ub_diff_7} ++ uc = 2.5114e-11 ++ rdsw = {329.4+sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_7} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.022046+sky130_fd_pr__esd_pfet_g5v0d10v5__u0_diff_7} ++ a0 = {0.71809+sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_7} ++ keta = {-0.01188+sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_7} ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = {0.097232+sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_7} ++ b0 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_7} ++ b1 = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.15351+sky130_fd_pr__esd_pfet_g5v0d10v5__voff_diff_7} ++ nfactor = {1.1792+sky130_fd_pr__esd_pfet_g5v0d10v5__nfactor_diff_7} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_7} ++ cit = 1.0e-5 ++ cdsc = 1.0e-5 ++ cdscb = -0.00030725687 ++ cdscd = 7.8783957e-11 ++ eta0 = {0.0154+sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_7} ++ etab = -6.956e-5 ++ dsub = 0.10478 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.46878+sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_7} ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.5 ++ drout = 0.46464 ++ pscbe1 = 4.24e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.561e-6 ++ alpha1 = 1.0e-10 ++ beta0 = 36.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {1.1249e-012+sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_7} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_7} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {7.25e-010+sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_7} ++ bgidl = {1.334e+009+sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_7} ++ cgidl = {650+sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_7} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = {-0.538+sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_7} ++ kt2 = -0.02 ++ at = 0.0 ++ ute = -1.271 ++ ua1 = 1.0114e-9 ++ ub1 = -4.3718e-18 ++ uc1 = -5.152e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgso = {1.9771e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__overlap_mult} ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {4.4983e-008+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_pfet_g5v0d10v5__dwc_diff} ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00077547*sky130_fd_pr__esd_pfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = {9.8717e-011*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = {1.46e-010*sky130_fd_pr__esd_pfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.81 ++ pbswgs = 3.0 +.ends sky130_fd_pr__esd_pfet_g5v0d10v5 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 0 +.param ++ sky130_fd_pr__pfet_g5v0d10v5__ajunction_mult = 1.0050e+0 ++ sky130_fd_pr__pfet_g5v0d10v5__pjunction_mult = 1.0090e+0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__pfet_g5v0d10v5 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__pfet_g5v0d10v5 d g s b sky130_fd_pr__pfet_g5v0d10v5__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__pfet_g5v0d10v5__model.0 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.011028+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.59521 ++ k2 = 0.0252804 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.704411452e-9 ++ ub = -1.7524e-19 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0209856 ++ a0 = 0.8967395 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1342734 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.74009+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.3657e-9 ++ bgidl = 1704700000.0 ++ cgidl = 700.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57573 ++ kt2 = -0.019032 ++ at = 430000.0 ++ ute = -1.3864 ++ ua1 = 7.0656e-10 ++ ub1 = -3.145e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.1 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.011028+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.59521 ++ k2 = 0.0252804 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.704411452e-9 ++ ub = -1.7524e-19 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0209856 ++ a0 = 0.8967395 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1342734 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.74009+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.3657e-9 ++ bgidl = 1704700000.0 ++ cgidl = 700.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57573 ++ kt2 = -0.019032 ++ at = 430000.0 ++ ute = -1.3864 ++ ua1 = 7.0656e-10 ++ ub1 = -3.145e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.2 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.016266395+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.14317756537492e-8 ++ k1 = 0.604152409375 lk1 = -7.07277513492185e-8 ++ k2 = 0.02329948529375 lk2 = 1.56675496404078e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 297721.476875 lvsat = -0.772903590973594 ++ ua = 2.44976570406837e-09 lua = 2.0140568818282e-15 ++ ub = 8.85170975e-20 lub = -2.08612082340188e-24 ++ uc = -5.15756313125e-11 luc = 9.17760209583907e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0202516383125 lu0 = 5.80508647685934e-9 ++ a0 = 0.9165416104125 la0 = -1.56619841780065e-7 ++ keta = -0.00495672689375 lketa = -2.34839323906078e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1097586887125 lags = 1.9389298025066e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.094776478466875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.24319289368811e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.755179705+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.19348249271248e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.64831926658375 lpclm = 5.78838869829003e-06 wpclm = 8.470329472543e-22 ppclm = -9.69352280335579e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00455412315405625 lpdiblc2 = -1.27602734399944e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 562123300.169375 lpscbe1 = -1806.55551118713 ++ pscbe2 = -1.5317388699625e-08 lpscbe2 = 2.39795383634009e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.815322348125e-05 lalpha0 = -2.17393918220827e-10 ++ alpha1 = 0.0 ++ beta0 = 39.1402881918125 lbeta0 = -6.914600055593e-06 wbeta0 = -2.16840434497101e-19 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.53879589375e-09 lagidl = 6.54019130235781e-15 ++ bgidl = 1478354425.0 lbgidl = 1790.22373906875 ++ cgidl = 932.600375 lcgidl = -0.00183969451596875 ++ egidl = 1.20931880529938 legidl = -4.07967463450158e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.585239250625 lkt1 = 7.52110405057811e-8 ++ kt2 = -0.019032 ++ at = 673047.845625 lat = -1.92232617300953 ++ ute = -1.22055006875 lute = -1.31174856873906e-6 ++ ua1 = 1.375495223e-09 lua1 = -5.29077591251275e-15 ++ ub1 = -2.6104100625e-18 lub1 = -4.22820546317188e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.3 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.99113669825+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.68064913661867e-8 ++ k1 = 0.602594105 lk1 = -6.463594997125e-8 ++ k2 = 0.026832087675 lk2 = 1.85772378150623e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 84545.075 lvsat = 0.06045625805625 ++ ua = 3.31386607100375e-09 lua = -1.36392747761391e-15 ++ ub = -1.45999138375e-18 lub = 3.96738595692469e-24 pub = 5.60519385729927e-45 ++ uc = -5.4923007875e-11 luc = 1.04861752785344e-16 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.020938342575 lu0 = 3.12058783868126e-9 ++ a0 = 0.8237225450125 la0 = 2.06233089634885e-7 ++ keta = -0.0050873285 lketa = -2.2973378061375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.121306802125 lags = 1.48748517892844e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.064087020519875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.07540834542429e-7 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.156068903125+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.68652434704141e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.019094925000001 leta0 = 2.3809316444375e-7 ++ etab = -0.1224012755 letab = 2.04849686248375e-7 ++ dsub = 0.81474168125 ldsub = -9.95848917426563e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.04876570365625 lpclm = -8.45940721620695e-7 ++ pdiblc1 = 0.581562116725 lpdiblc1 = -7.48864204807207e-7 ++ pdiblc2 = -0.001133342292 lpdiblc2 = 9.473450855001e-09 ppdiblc2 = -2.52435489670724e-29 ++ pdiblcb = 0.165925 lpdiblcb = -7.4637355625e-07 wpdiblcb = 2.11758236813575e-22 ppdiblcb = 4.03896783473158e-28 ++ drout = 0.139965 ldrout = 1.64202182375e-6 ++ pscbe1 = -156170353.9325 lpscbe1 = 1001.43395611063 ppscbe1 = -1.73472347597681e-18 ++ pscbe2 = 7.6074691134375e-08 lpscbe2 = -1.17479104457056e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.4063189874125e-05 lalpha0 = -8.41274543421732e-11 ++ alpha1 = -9.54625e-11 lalpha1 = 3.73186778125e-16 ++ beta0 = 70.183410779125 lbeta0 = -0.000128269927030044 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.197163925e-09 lagidl = -3.85203392380625e-15 ++ bgidl = 2620002425 lbgidl = -2672.76370493125 ++ cgidl = 455.74720625 lcgidl = 2.44437339671877e-5 ++ egidl = -1.5853225474425 legidl = 6.84527707370459e-06 wegidl = -1.6940658945086e-21 pegidl = -3.23117426778526e-27 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.566954625 lkt1 = 3.73186778124998e-9 ++ kt2 = -0.019032 ++ at = 210435.60875 lat = -0.113859286005938 ++ ute = -1.7051169625 lute = 5.82544560653126e-7 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = -5.91645678915759e-31 pua1 = 2.25694915357879e-36 ++ ub1 = -3.7199705125e-18 lub1 = 1.09343725990627e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.4 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.0417136435+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.97575413523751e-8 ++ k1 = 0.5590564875 lk1 = 1.8488246240625e-8 ++ k2 = 0.022879783675 lk2 = 9.40366019350625e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 178330.86925 lvsat = -0.118604269615563 wvsat = 8.88178419700125e-16 ++ ua = 3.46201304285e-09 lua = -1.64677708361136e-15 ++ ub = 4.300207475e-19 lub = 3.58880295335625e-25 ++ uc = 5.32329505e-13 luc = -1.01635010742125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.025667614225 lu0 = -5.90877405908125e-9 ++ a0 = 1.02177378945 la0 = -1.71896248807413e-7 ++ keta = 0.044301656 lketa = -1.17269296718e-07 pketa = -2.01948391736579e-28 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.2888171909 lags = 9.31777751575825e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.15919953277725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 7.40527294849646e-08 wvoff = -8.470329472543e-22 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.00707397375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.07194221867812e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.454625e-05 lcit = -8.6799278125e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.274524108170751 leta0 = -2.49585003525004e-7 ++ etab = -0.028844949 letab = 2.622726987825e-8 ++ dsub = 0.0649191575000002 ldsub = 4.35749736043125e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0624965230850001 lpclm = 1.03709371138496e-6 ++ pdiblc1 = -0.00178611264999995 lpdiblc1 = 3.64893402127013e-7 ++ pdiblc2 = 0.005940118645525 lpdiblc2 = -4.03155443996861e-9 ++ pdiblcb = -0.40685 lpdiblcb = 3.471971125e-7 ++ drout = 1.5358306539575 ldrout = -1.02303467606836e-6 ++ pscbe1 = 430963245.09 lpscbe1 = -119.550867823083 ++ pscbe2 = 1.4532757728e-08 lpscbe2 = 1.98318990659996e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.165892571425e-05 lalpha0 = 1.17722494844932e-10 walpha0 = -5.25334031222881e-26 palpha0 = 6.33412779951888e-32 ++ alpha1 = 1.90925e-10 lalpha1 = -1.7359855625e-16 ++ beta0 = -39.87379705625 lbeta0 = 8.18567970296453e-05 wbeta0 = -8.13151629364128e-20 pbeta0 = 5.16987882845642e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.8422888e-09 lagidl = 6.3717614086e-15 ++ bgidl = 855308900.0 lbgidl = 696.477407675 ++ cgidl = 439.5176475 lcgidl = 5.54300190106251e-5 ++ egidl = 3.11021319877 legidl = -2.11967454975162e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.5002614 lkt1 = -1.2360217205e-7 ++ kt2 = -0.019032 ++ at = 260819.25 lat = -0.2100542530625 ++ ute = -1.211876175 lute = -3.59175412881251e-7 ++ ua1 = 6.72948365e-10 lua1 = -2.3015696587625e-16 ++ ub1 = -3.532040775e-18 lub1 = -2.49461125331251e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.5 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.08649885375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.04784937721876e-8 ++ k1 = 0.590241525 lk1 = -9.86674910625021e-9 ++ k2 = 0.02223217125 lk2 = 9.99250179093749e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8367.10762500006 lvsat = 0.0359352806419687 ++ ua = -8.20535858874999e-10 lua = 2.24713050528209e-15 ++ ub = 4.35210395e-18 lub = -3.2072738565375e-24 wub = -1.17549435082229e-38 ++ uc = 6.082695725e-12 luc = -6.06302059295625e-18 puc = 5.87747175411144e-39 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.019157397375 lu0 = 1.06406117812362e-11 ++ a0 = 0.79446050875 la0 = 3.47883516690627e-8 ++ keta = -0.1537600425 lketa = 6.2818302643125e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.78858180375 lags = -4.78472843096877e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0952545834049999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.59107842682462e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.1837035875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.46593745565626e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.273125e-05 lcit = 1.61221390625e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -7.166468124899e-05 leta0 = 9.12029406765625e-11 ++ etab = 0.0007545356125 letab = -6.86061505665625e-10 ++ dsub = 1.499306975 ldsub = -8.6846738701875e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.0219019961875 lpclm = -7.44495715033484e-7 ++ pdiblc1 = 0.1958620354125 lpdiblc1 = 1.85181823501184e-7 ++ pdiblc2 = -0.033618504135125 lpdiblc2 = 3.19371233233374e-08 wpdiblc2 = -2.64697796016969e-23 ppdiblc2 = 2.52435489670724e-29 ++ pdiblcb = -0.025 ++ drout = 0.335842470275 ldrout = 6.80545799449564e-8 ++ pscbe1 = -56987686.125 lpscbe1 = 324.118516384156 ++ pscbe2 = 1.82815146225e-08 lpscbe2 = -3.38872530725812e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0002471576267875 lalpha0 = -1.63068955517284e-10 ++ alpha1 = 0.0 ++ beta0 = 67.19689401875 lbeta0 = -1.54972288302984e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.0414125e-09 lagidl = 1.644458184375e-15 ++ bgidl = 961697500.0 lbgidl = 599.743573125001 ++ cgidl = 431.2572 lcgidl = 6.29408308999999e-5 ++ egidl = 1.8355566512875 legidl = -9.60693083953159e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.610312375 lkt1 = -2.35383230312497e-8 ++ kt2 = -0.019032 ++ at = 46822 lat = -0.0154772535 ++ ute = -2.057628375 lute = 4.0982477496875e-7 ++ ua1 = -5.03418250000002e-11 lua1 = 4.2749463938125e-16 ++ ub1 = -4.570616875e-18 lub1 = 6.94864193593752e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.6 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.91460784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.14352077299997e-8 ++ k1 = 0.5943829125 lk1 = -1.28040281906251e-8 ++ k2 = 0.02652557625 lk2 = 6.9474042946875e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 61237.9886249999 lvsat = -0.00156339170728123 ++ ua = -2.037867569625e-09 lua = 3.11052302113153e-15 ++ ub = 2.53193054975e-18 lub = -1.91631587241019e-24 wub = 2.93873587705572e-39 pub = 1.40129846432482e-45 ++ uc = 2.7825305e-12 luc = -3.722378407125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00638258624999999 lu0 = 9.0711754021875e-9 ++ a0 = 0.9390707625 la0 = -6.77764708031249e-8 ++ keta = 0.01556431875 lketa = -5.72750005734375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.6255407 lags = 9.55119101475e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.01132308791625+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -5.96794291163503e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.8614136+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.340720808e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.060172259922499 leta0 = 4.27175501155331e-08 weta0 = -5.79026428787119e-24 peta0 = -3.4315449377114e-29 ++ etab = -0.0007545356125 letab = 3.84247260665625e-10 ++ dsub = 0.189319827725 ldsub = 6.06409971860438e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2216982981875 lpclm = 5.32298757773015e-7 ++ pdiblc1 = 0.1763525393125 lpdiblc1 = 1.99018933610109e-7 ++ pdiblc2 = 0.0267160385535 lpdiblc2 = -1.08551510785699e-8 ++ pdiblcb = -0.025 ++ drout = -0.738324518225 ldrout = 8.29907516538581e-7 ++ pscbe1 = 432224423.35 lpscbe1 = -22.8551722609875 ++ pscbe2 = 1.054562873625e-08 lpscbe2 = 2.09795175756469e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.00012163830041875 lalpha0 = 9.84995558537484e-11 walpha0 = 2.06795153138257e-25 palpha0 = 9.86076131526265e-32 ++ alpha1 = 0.0 ++ beta0 = 26.4659450525 lbeta0 = 1.33911967240144e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.3681049125e-08 lagidl = -8.73870409190625e-15 ++ bgidl = 2062179625 lbgidl = -180.77337403125 ++ cgidl = 1258.4125 lcgidl = -0.000523719065625 ++ egidl = -0.2467454455 legidl = 5.16179678193375e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.707207175 lkt1 = 4.518431386875e-8 ++ kt2 = -0.019032 ++ at = 42823.75 lat = -0.0126414946875 ++ ute = -1.613478125 lute = 9.48112101562502e-8 ++ ua1 = 5.534185e-10 lua1 = -7.22371124999967e-19 ++ ub1 = -4.333641125e-18 lub1 = 5.26789142906249e-25 ++ uc1 = -2.8159131e-10 luc1 = 1.222685366175e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.7 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.9001061875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.88201742656253e-8 ++ k1 = 0.451376 lk1 = 6.00222420000003e-8 ++ k2 = 0.0478496225 lk2 = -3.91186625812501e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 38528.5017499999 lvsat = 0.0100014144838125 ++ ua = 1.188723724875e-08 lua = -3.98083660762594e-15 ++ ub = -8.64438477450001e-18 lub = 3.77522270646413e-24 pub = 1.12103877145985e-44 ++ uc = -1.43920875e-12 luc = -1.5724576940625e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.03876347225 lu0 = -7.41879079331252e-9 ++ a0 = 0.177126449999999 la0 = 3.202436703375e-7 ++ keta = -0.1420657375 lketa = 2.29981055718751e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.123592234725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 9.02619893870631e-9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.312278875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.4557477790625e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 3.04625e-05 lcit = -1.0420528125e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.206876819999999 leta0 = 1.17426847335e-07 peta0 = -2.01948391736579e-28 ++ etab = 0.01575735275 letab = -8.02443188793751e-9 ++ dsub = 0.42597171955 ldsub = -5.98739787258376e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.98195066125 lpclm = 1.45140241883437e-7 ++ pdiblc1 = 1.6849454761 lpdiblc1 = -5.69232019448926e-7 ++ pdiblc2 = 0.00785230350750001 lpdiblc2 = -1.24879400639438e-9 ++ pdiblcb = -0.025 ++ drout = 0.85752593545 ldrout = 1.72206730045875e-8 ++ pscbe1 = 488447117 lpscbe1 = -51.4865790022501 ++ pscbe2 = 1.539618939e-08 lpscbe2 = -3.72196255357505e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.19739062200001e-05 lalpha0 = 5.28379631080351e-11 ++ alpha1 = 0.0 ++ beta0 = 44.8934311525 lbeta0 = 4.00699942758938e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.362793825e-08 lagidl = 1.53533977288125e-14 ++ bgidl = 2086574750 lbgidl = -193.1965914375 ++ cgidl = -2413.755 lcgidl = 0.00134633223375 pcgidl = -1.65436122510606e-24 ++ egidl = 1.1826331595 legidl = -2.11731376402875e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.6389425 lkt1 = 1.04205281250001e-8 ++ kt2 = -0.019032 ++ at = 18000.0 ++ ute = -1.65197825 lute = 1.144173988125e-7 ++ ua1 = 5.52e-10 ++ ub1 = -7.96137600000001e-18 lub1 = 2.374213128e-24 ++ uc1 = -4.1496e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.8 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.011028+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.59521 ++ k2 = 0.0252804 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.704411452e-9 ++ ub = -1.7524e-19 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0209856 ++ a0 = 0.8967395 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1342734 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.74009+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.3657e-9 ++ bgidl = 1704700000.0 ++ cgidl = 700.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57573 ++ kt2 = -0.019032 ++ at = 430000.0 ++ ute = -1.3864 ++ ua1 = 7.0656e-10 ++ ub1 = -3.145e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.9 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.011028+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.59521 ++ k2 = 0.0252804 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.704411452e-9 ++ ub = -1.7524e-19 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0209856 ++ a0 = 0.8967395 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1342734 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.74009+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.3657e-9 ++ bgidl = 1704700000.0 ++ cgidl = 700.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57573 ++ kt2 = -0.019032 ++ at = 430000.0 ++ ute = -1.3864 ++ ua1 = 7.0656e-10 ++ ub1 = -3.145e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.10 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.016266395+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.14317756537526e-8 ++ k1 = 0.604152409375001 lk1 = -7.07277513492185e-8 ++ k2 = 0.02329948529375 lk2 = 1.56675496404078e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 297721.476875 lvsat = -0.772903590973594 ++ ua = 2.44976570406837e-09 lua = 2.01405688182821e-15 ++ ub = 8.85170974999998e-20 lub = -2.08612082340187e-24 pub = 1.12103877145985e-44 ++ uc = -5.15756313125e-11 luc = 9.17760209583907e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0202516383125 lu0 = 5.80508647685937e-9 ++ a0 = 0.9165416104125 la0 = -1.56619841780065e-7 ++ keta = -0.00495672689375 lketa = -2.34839323906078e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1097586887125 lags = 1.93892980250659e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0947764784668749+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.24319289368814e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.755179705+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.19348249271241e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.64831926658375 lpclm = 5.78838869829003e-06 wpclm = 3.3881317890172e-21 ppclm = -6.46234853557053e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00455412315405625 lpdiblc2 = -1.27602734399944e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 562123300.169375 lpscbe1 = -1806.55551118713 ++ pscbe2 = -1.5317388699625e-08 lpscbe2 = 2.39795383634009e-13 ppscbe2 = 7.70371977754894e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.815322348125e-05 lalpha0 = -2.17393918220827e-10 ++ alpha1 = 0.0 ++ beta0 = 39.1402881918125 lbeta0 = -6.91460005559311e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.53879589375e-09 lagidl = 6.54019130235788e-15 ++ bgidl = 1478354425.0 lbgidl = 1790.22373906875 ++ cgidl = 932.600375 lcgidl = -0.00183969451596875 ++ egidl = 1.20931880529937 legidl = -4.07967463450158e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.585239250625 lkt1 = 7.5211040505782e-8 ++ kt2 = -0.019032 ++ at = 673047.845625 lat = -1.92232617300953 ++ ute = -1.22055006875 lute = -1.31174856873907e-6 ++ ua1 = 1.375495223e-09 lua1 = -5.29077591251275e-15 ++ ub1 = -2.6104100625e-18 lub1 = -4.22820546317187e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.11 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.99113669825+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.68064913661884e-8 ++ k1 = 0.602594105 lk1 = -6.46359499712504e-8 ++ k2 = 0.026832087675 lk2 = 1.85772378150626e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 84545.075 lvsat = 0.0604562580562502 ++ ua = 3.31386607100375e-09 lua = -1.36392747761392e-15 ++ ub = -1.45999138375e-18 lub = 3.96738595692469e-24 pub = 1.12103877145985e-44 ++ uc = -5.4923007875e-11 luc = 1.04861752785344e-16 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.020938342575 lu0 = 3.12058783868126e-9 ++ a0 = 0.8237225450125 la0 = 2.06233089634883e-7 ++ keta = -0.0050873285 lketa = -2.2973378061375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.121306802125 lags = 1.48748517892844e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0640870205198749+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.07540834542429e-7 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.156068903125+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.68652434704141e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.019094925000001 leta0 = 2.3809316444375e-7 ++ etab = -0.1224012755 letab = 2.04849686248375e-7 ++ dsub = 0.81474168125 ldsub = -9.95848917426562e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.04876570365625 lpclm = -8.45940721620696e-07 wpclm = -1.35525271560688e-20 ++ pdiblc1 = 0.581562116725 lpdiblc1 = -7.48864204807207e-7 ++ pdiblc2 = -0.001133342292 lpdiblc2 = 9.47345085500101e-9 ++ pdiblcb = 0.165925 lpdiblcb = -7.4637355625e-07 wpdiblcb = -8.470329472543e-22 ppdiblcb = 2.42338070083895e-27 ++ drout = 0.139965 ldrout = 1.64202182375e-6 ++ pscbe1 = -156170353.9325 lpscbe1 = 1001.43395611063 ++ pscbe2 = 7.6074691134375e-08 lpscbe2 = -1.17479104457055e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.4063189874125e-05 lalpha0 = -8.41274543421732e-11 ++ alpha1 = -9.54625e-11 lalpha1 = 3.73186778125e-16 ++ beta0 = 70.183410779125 lbeta0 = -0.000128269927030044 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.197163925e-09 lagidl = -3.85203392380624e-15 ++ bgidl = 2620002425.0 lbgidl = -2672.76370493125 ++ cgidl = 455.74720625 lcgidl = 2.44437339671881e-5 ++ egidl = -1.5853225474425 legidl = 6.84527707370459e-06 wegidl = 3.3881317890172e-21 pegidl = 1.93870456067116e-26 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.566954624999999 lkt1 = 3.73186778125082e-9 ++ kt2 = -0.019032 ++ at = 210435.60875 lat = -0.113859286005937 ++ ute = -1.7051169625 lute = 5.82544560653127e-7 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = -1.57772181044202e-30 pua1 = -9.02779661431517e-36 ++ ub1 = -3.7199705125e-18 lub1 = 1.09343725990636e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.12 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.03162387311185+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.04936472388086e-08 wvth0 = -2.015476635408e-07 pvth0 = 3.84804876615263e-13 ++ k1 = 0.5590564875 lk1 = 1.8488246240625e-8 ++ k2 = 0.0232555969850038 lk2 = 8.68613863138151e-09 wk2 = -7.50703848006211e-09 pk2 = 1.43328132180586e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 178330.86925 lvsat = -0.118604269615563 ++ ua = 3.45991826490661e-09 lua = -1.64277762882294e-15 wua = 4.18441236902234e-17 pua = -7.989089315553e-23 ++ ub = 3.46306971054226e-19 lub = 5.18710823014719e-25 wub = 1.67222002084863e-24 pub = -3.19268607480524e-30 ++ uc = 5.32329505e-13 luc = -1.01635010742125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0251605385849804 lu0 = -4.94063989337381e-09 wu0 = 1.01290620651274e-08 pu0 = -1.93389117478444e-14 ++ a0 = 1.02109296823622 la0 = -1.70596390905012e-07 wa0 = 1.35997073914275e-08 pa0 = -2.59652413370807e-14 ++ keta = 0.044301656 lketa = -1.17269296718e-07 pketa = 4.03896783473158e-28 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.284887490854091 lags = 9.24274971763173e-07 wags = -7.84975110632591e-08 pags = 1.49871372997517e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.15919953277725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 7.40527294849646e-08 wvoff = -1.6940658945086e-21 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.08798276679502+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.52719108746606e-07 wnfactor = -1.61618922639599e-06 pnfactor = 3.08570928049654e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.454625e-05 lcit = -8.67992781250001e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.274560812604028 leta0 = -2.49655081464239e-07 weta0 = -7.3318742488618e-10 peta0 = 1.39983809096307e-15 ++ etab = -0.028844949 letab = 2.622726987825e-8 ++ dsub = 0.0649191575000003 ldsub = 4.35749736043125e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0624965230849996 lpclm = 1.03709371138496e-6 ++ pdiblc1 = -0.00178611265000006 lpdiblc1 = 3.64893402127013e-7 ++ pdiblc2 = 0.005940118645525 lpdiblc2 = -4.03155443996861e-09 ppdiblc2 = 5.04870979341448e-29 ++ pdiblcb = -0.40685 lpdiblcb = 3.471971125e-7 ++ drout = 1.5358306539575 ldrout = -1.02303467606836e-6 ++ pscbe1 = 430963245.09 lpscbe1 = -119.550867823083 ++ pscbe2 = 1.4532757728e-08 lpscbe2 = 1.98318990660185e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.165892571425e-05 lalpha0 = 1.17722494844932e-10 walpha0 = -5.11797178089288e-26 palpha0 = 3.15079129636214e-31 ++ alpha1 = 1.90925e-10 lalpha1 = -1.7359855625e-16 ++ beta0 = -39.87379705625 lbeta0 = 8.18567970296453e-05 wbeta0 = -1.0842021724855e-19 pbeta0 = -5.16987882845642e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.97572716322835e-09 lagidl = 1.93890583863937e-14 wagidl = 1.36192909700606e-13 pagidl = -2.60026312845882e-19 ++ bgidl = 1039402956.20476 lbgidl = 344.995830866063 wbgidl = -3677.36087863913 pbgidl = 0.00702100125754179 ++ cgidl = 78.7368698963523 lcgidl = 0.000744250718650389 wcgidl = 0.00720675694085968 pcgidl = -1.37595006893363e-8 ++ egidl = 3.11021319877 legidl = -2.11967454975162e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.513877824275499 lkt1 = -9.7605014002001e-08 wkt1 = 2.71994147828334e-07 pkt1 = -5.19304826741251e-13 ++ kt2 = -0.019032 ++ at = 266265.8197102 lat = -0.2204531162817 wat = -0.108797659131334 pat = 2.07721930696504e-7 ++ ute = -1.211876175 lute = -3.5917541288125e-7 ++ ua1 = 6.72948365e-10 lua1 = -2.3015696587625e-16 ++ ub1 = -3.532040775e-18 lub1 = -2.49461125331251e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.13 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.13694770569072+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.16259342011147e-07 wvth0 = 1.00773831770398e-06 pvth0 = -7.14738401831544e-13 ++ k1 = 0.590241525000001 lk1 = -9.86674910625021e-9 ++ k2 = 0.020353104699981 lk2 = 1.13252297415385e-08 wk2 = 3.75351924003118e-08 pk2 = -2.66218352099206e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8367.10762500006 lvsat = 0.0359352806419687 ++ ua = -8.1006196915804e-10 lua = 2.23970189900034e-15 wua = -2.09220618451016e-16 pua = 1.48389723636375e-22 ++ ub = 4.77067283222887e-18 lub = -3.50414383625833e-24 wub = -8.36110010424311e-24 pub = 5.93011024893446e-30 ++ uc = 6.08269572499999e-12 luc = -6.06302059295625e-18 puc = -2.35098870164458e-38 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0216927755750981 lu0 = -1.78757637663835e-09 wu0 = -5.06453103256378e-08 pu0 = 3.59201863484578e-14 ++ a0 = 0.797864614818877 la0 = 3.23739894397137e-08 wa0 = -6.79985369571106e-08 pa0 = 4.82279623368387e-14 ++ keta = -0.1537600425 lketa = 6.28183026431248e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.768933303520454 lags = -3.39115855218822e-08 wags = 3.92487555316262e-07 pags = -2.78371798608083e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0952545834049998+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.59107842682461e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.779159622274893+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 6.33516552901533e-07 wnfactor = 8.08094613197999e-06 pnfactor = -5.73141104410678e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.273125e-05 lcit = 1.61221390625e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.00025518684763417 leta0 = 2.21366037185258e-10 weta0 = 3.66593712443036e-09 peta0 = -2.60006590550223e-15 ++ etab = 0.0007545356125 letab = -6.86061505665625e-10 ++ dsub = 1.499306975 ldsub = -8.68467387018751e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.0219019961875 lpclm = -7.44495715033487e-7 ++ pdiblc1 = 0.1958620354125 lpdiblc1 = 1.85181823501184e-7 ++ pdiblc2 = -0.033618504135125 lpdiblc2 = 3.19371233233374e-08 wpdiblc2 = 1.05879118406788e-22 ppdiblc2 = 1.51461293802434e-28 ++ pdiblcb = -0.025 ++ drout = 0.335842470275 ldrout = 6.80545799449566e-8 ++ pscbe1 = -56987686.125 lpscbe1 = 324.118516384157 ++ pscbe2 = 1.82815146225e-08 lpscbe2 = -3.38872530725811e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0002471576267875 lalpha0 = -1.63068955517284e-10 ++ alpha1 = 0.0 ++ beta0 = 67.1968940187498 lbeta0 = -1.54972288302985e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 4.31314923161418e-08 lagidl = -2.25339309252236e-14 wagidl = -6.80964548503031e-13 pagidl = 4.82974106025775e-19 ++ bgidl = 41227218.9761963 lbgidl = 1252.58711994113 wbgidl = 18386.8043931957 pbgidl = -0.0130408410158741 ++ cgidl = 2235.16108801824 lcgidl = -0.00121647800167694 wcgidl = -0.0360337847042984 pcgidl = 2.55569618015237e-8 ++ egidl = 1.8355566512875 legidl = -9.60693083953159e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.542230253622501 lkt1 = -7.182556761824e-08 wkt1 = -1.3599707391417e-06 pkt1 = 9.64559246736258e-13 ++ kt2 = -0.019032 ++ at = 19589.1514489999 lat = 0.00383764433479683 wat = 0.54398829565668 pat = -3.85823698694499e-7 ++ ute = -2.057628375 lute = 4.09824774968749e-7 ++ ua1 = -5.03418250000006e-11 lua1 = 4.2749463938125e-16 ++ ub1 = -4.57061687500001e-18 lub1 = 6.94864193593755e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.14 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.914607839999999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.14352077299997e-8 ++ k1 = 0.594382912500001 lk1 = -1.28040281906247e-8 ++ k2 = 0.0265255762500001 lk2 = 6.94740429468753e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 61237.988625 lvsat = -0.00156339170728126 ++ ua = -2.037867569625e-09 lua = 3.11052302113153e-15 ++ ub = 2.53193054975e-18 lub = -1.91631587241019e-24 wub = -5.87747175411144e-39 pub = 8.4077907859489e-45 ++ uc = 2.78253049999999e-12 luc = -3.722378407125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00638258625000004 lu0 = 9.07117540218748e-9 ++ a0 = 0.9390707625 la0 = -6.77764708031244e-8 ++ keta = 0.01556431875 lketa = -5.72750005734375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.6255407 lags = 9.55119101474999e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.0113230879162498+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -5.96794291163503e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.86141360000001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.34072080799999e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.060172259922499 leta0 = 4.27175501155331e-08 weta0 = -9.76073122812573e-23 peta0 = 4.25984888819346e-29 ++ etab = -0.0007545356125 letab = 3.84247260665625e-10 ++ dsub = 0.189319827725 ldsub = 6.06409971860439e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2216982981875 lpclm = 5.32298757773016e-7 ++ pdiblc1 = 0.1763525393125 lpdiblc1 = 1.99018933610109e-7 ++ pdiblc2 = 0.0267160385535 lpdiblc2 = -1.08551510785699e-8 ++ pdiblcb = -0.025 ++ drout = -0.738324518224999 ldrout = 8.29907516538581e-7 ++ pscbe1 = 432224423.350001 lpscbe1 = -22.8551722609877 ++ pscbe2 = 1.054562873625e-08 lpscbe2 = 2.09795175756468e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.00012163830041875 lalpha0 = 9.84995558537484e-11 walpha0 = 4.13590306276514e-25 palpha0 = 3.94430452610506e-31 ++ alpha1 = 0.0 ++ beta0 = 26.4659450525 lbeta0 = 1.33911967240144e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.3681049125e-08 lagidl = -8.73870409190625e-15 ++ bgidl = 2062179625 lbgidl = -180.773374031251 ++ cgidl = 1258.4125 lcgidl = -0.000523719065625 pcgidl = -6.61744490042422e-24 ++ egidl = -0.2467454455 legidl = 5.16179678193375e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.707207175000001 lkt1 = 4.51843138687494e-8 ++ kt2 = -0.019032 ++ at = 42823.75 lat = -0.0126414946875 ++ ute = -1.613478125 lute = 9.48112101562498e-8 ++ ua1 = 5.53418500000001e-10 lua1 = -7.22371125000165e-19 ++ ub1 = -4.333641125e-18 lub1 = 5.26789142906249e-25 ++ uc1 = -2.8159131e-10 luc1 = 1.222685366175e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.15 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.856396290003133+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.10794395659041e-08 wvth0 = -8.73124697114156e-07 pvth0 = 4.44638752005381e-13 ++ k1 = 0.451376 lk1 = 6.00222420000003e-8 ++ k2 = 0.0466361396474511 lk2 = -3.2939001154645e-09 wk2 = 2.42398611930178e-08 pk2 = -1.23441493125445e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 44207.3563518783 lvsat = 0.00710945777780603 wvsat = -0.113437653441672 pvsat = 5.7768125015172e-8 ++ ua = 1.1878881254857e-08 lua = -3.97658131773591e-15 wua = 1.66914704786938e-16 pua = -8.50013134126814e-23 ++ ub = -8.54938990472976e-18 lub = 3.72684656903363e-24 wub = -1.89756489137272e-24 pub = 9.66334920931517e-31 ++ uc = -1.43920874999999e-12 luc = -1.5724576940625e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0361305821820452 lu0 = -6.07799152620649e-09 wu0 = 5.25931533763674e-08 pu0 = -2.67830633569153e-14 ++ a0 = 0.177126449999999 la0 = 3.202436703375e-7 ++ keta = -0.1420657375 lketa = 2.29981055718751e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.123592234725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 9.02619893870626e-9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.72596621109625+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.50954980007649e-08 wnfactor = -8.26358904307452e-06 pnfactor = 4.2082327201857e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 3.04625e-05 lcit = -1.0420528125e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.344257787791608 leta0 = 1.87388105182877e-07 weta0 = 2.74424610354902e-06 peta0 = -1.39750732823234e-12 ++ etab = 0.01575735275 letab = -8.02443188793751e-9 ++ dsub = 0.425971719550001 ldsub = -5.98739787258377e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.98195066125 lpclm = 1.45140241883437e-7 ++ pdiblc1 = 1.6849454761 lpdiblc1 = -5.69232019448926e-7 ++ pdiblc2 = 0.00785230350750001 lpdiblc2 = -1.24879400639438e-9 ++ pdiblcb = -0.025 ++ drout = 0.857525935449999 ldrout = 1.72206730045871e-8 ++ pscbe1 = 488447117.0 lpscbe1 = -51.4865790022504 ++ pscbe2 = 1.53961893900001e-08 lpscbe2 = -3.72196255357492e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.19739062200001e-05 lalpha0 = 5.28379631080351e-11 ++ alpha1 = 0.0 ++ beta0 = 44.8934311525002 lbeta0 = 4.00699942758944e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.63245668112201e-08 lagidl = 1.67266558236138e-14 wagidl = 5.3866358206708e-14 pagidl = -2.7431442916766e-20 ++ bgidl = 2147861762.755 lbgidl = -224.407002682983 wbgidl = -1224.23541378882 pbgidl = 0.000623441884471942 ++ cgidl = -9269.56539482535 lcgidl = 0.00483765367731481 wcgidl = 0.136947870328072 pcgidl = -6.97407029645709e-8 ++ egidl = 1.1826331595 legidl = -2.11731376402875e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.516368474489999 lkt1 = -5.20002943659683e-08 wkt1 = -2.44847082757764e-06 pkt1 = 1.24688376894392e-12 ++ kt2 = -0.019032 ++ at = 18000.0 ++ ute = -1.65197825 lute = 1.14417398812498e-7 ++ ua1 = 5.52e-10 ++ ub1 = -7.961376e-18 lub1 = 2.374213128e-24 ++ uc1 = -4.1496e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.16 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.011028+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.59521 ++ k2 = 0.0252804 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.704411452e-9 ++ ub = -1.7524e-19 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0209856 ++ a0 = 0.8967395 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1342734 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.74009+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.3657e-9 ++ bgidl = 1704700000.0 ++ cgidl = 700.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57573 ++ kt2 = -0.019032 ++ at = 430000.0 ++ ute = -1.3864 ++ ua1 = 7.0656e-10 ++ ub1 = -3.145e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.17 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.011028+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.59521 ++ k2 = 0.0252804 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.704411452e-9 ++ ub = -1.7524e-19 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0209856 ++ a0 = 0.8967395 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1342734 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.74009+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.3657e-9 ++ bgidl = 1704700000.0 ++ cgidl = 700.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57573 ++ kt2 = -0.019032 ++ at = 430000.0 ++ ute = -1.3864 ++ ua1 = 7.0656e-10 ++ ub1 = -3.145e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.18 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.016266395+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.14317756537492e-8 ++ k1 = 0.604152409375 lk1 = -7.07277513492202e-8 ++ k2 = 0.02329948529375 lk2 = 1.56675496404079e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 297721.476875 lvsat = -0.772903590973594 wvsat = 1.77635683940025e-15 ++ ua = 2.44976570406837e-09 lua = 2.01405688182821e-15 ++ ub = 8.85170975000002e-20 lub = -2.08612082340188e-24 pub = -5.60519385729927e-45 ++ uc = -5.15756313125e-11 luc = 9.17760209583907e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0202516383125 lu0 = 5.80508647685926e-9 ++ a0 = 0.9165416104125 la0 = -1.56619841780065e-7 ++ keta = -0.00495672689374999 lketa = -2.34839323906078e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1097586887125 lags = 1.93892980250659e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.094776478466875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.2431928936881e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.755179705+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.19348249271241e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.64831926658375 lpclm = 5.78838869829003e-06 ppclm = -3.23117426778526e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00455412315405625 lpdiblc2 = -1.27602734399944e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 562123300.169375 lpscbe1 = -1806.55551118713 ++ pscbe2 = -1.5317388699625e-08 lpscbe2 = 2.39795383634009e-13 ppscbe2 = 3.85185988877447e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.815322348125e-05 lalpha0 = -2.17393918220827e-10 ++ alpha1 = 0.0 ++ beta0 = 39.1402881918125 lbeta0 = -6.914600055593e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.53879589375e-09 lagidl = 6.5401913023578e-15 ++ bgidl = 1478354425.0 lbgidl = 1790.22373906875 ++ cgidl = 932.600375 lcgidl = -0.00183969451596875 ++ egidl = 1.20931880529938 legidl = -4.07967463450159e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.585239250624999 lkt1 = 7.5211040505782e-8 ++ kt2 = -0.019032 ++ at = 673047.845625 lat = -1.92232617300953 ++ ute = -1.22055006875 lute = -1.31174856873906e-6 ++ ua1 = 1.375495223e-09 lua1 = -5.29077591251275e-15 ++ ub1 = -2.6104100625e-18 lub1 = -4.22820546317189e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.19 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.99113669825+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.68064913661867e-8 ++ k1 = 0.602594105 lk1 = -6.46359499712504e-8 ++ k2 = 0.026832087675 lk2 = 1.85772378150631e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 84545.075 lvsat = 0.06045625805625 ++ ua = 3.31386607100375e-09 lua = -1.36392747761391e-15 ++ ub = -1.45999138375e-18 lub = 3.96738595692469e-24 pub = -5.60519385729927e-45 ++ uc = -5.4923007875e-11 luc = 1.04861752785344e-16 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.020938342575 lu0 = 3.12058783868126e-9 ++ a0 = 0.8237225450125 la0 = 2.06233089634885e-7 ++ keta = -0.00508732850000001 lketa = -2.2973378061375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.121306802125 lags = 1.48748517892844e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.064087020519875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.07540834542429e-7 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.156068903125+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.6865243470414e-06 wnfactor = -1.35525271560688e-20 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.019094925000001 leta0 = 2.3809316444375e-7 ++ etab = -0.1224012755 letab = 2.04849686248375e-7 ++ dsub = 0.81474168125 ldsub = -9.95848917426563e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.04876570365625 lpclm = -8.45940721620696e-7 ++ pdiblc1 = 0.581562116725 lpdiblc1 = -7.48864204807206e-7 ++ pdiblc2 = -0.001133342292 lpdiblc2 = 9.473450855001e-9 ++ pdiblcb = 0.165925 lpdiblcb = -7.4637355625e-07 wpdiblcb = 2.11758236813575e-22 ++ drout = 0.139965 ldrout = 1.64202182375e-6 ++ pscbe1 = -156170353.9325 lpscbe1 = 1001.43395611063 ppscbe1 = 1.73472347597681e-18 ++ pscbe2 = 7.6074691134375e-08 lpscbe2 = -1.17479104457056e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.4063189874125e-05 lalpha0 = -8.41274543421731e-11 ++ alpha1 = -9.54625e-11 lalpha1 = 3.73186778125e-16 ++ beta0 = 70.183410779125 lbeta0 = -0.000128269927030044 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.197163925e-09 lagidl = -3.85203392380624e-15 ++ bgidl = 2620002425.0 lbgidl = -2672.76370493125 ++ cgidl = 455.74720625 lcgidl = 2.44437339671872e-5 ++ egidl = -1.5853225474425 legidl = 6.84527707370459e-06 wegidl = 1.6940658945086e-21 pegidl = -6.46234853557053e-27 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.566954625 lkt1 = 3.73186778124998e-9 ++ kt2 = -0.019032 ++ at = 210435.60875 lat = -0.113859286005938 ++ ute = -1.7051169625 lute = 5.82544560653127e-7 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = 9.86076131526265e-31 pua1 = 4.89005649942072e-36 ++ ub1 = -3.7199705125e-18 lub1 = 1.09343725990624e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.20 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.01999068463426+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.71701786204546e-09 wvth0 = -3.75759849394887e-07 pvth0 = 7.17419492457191e-13 ++ k1 = 0.5590564875 lk1 = 1.84882462406254e-8 ++ k2 = 0.0221266511151354 lk2 = 1.08415785334277e-08 wk2 = 9.3994294310752e-09 pk2 = -1.79458606412801e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 178330.86925 lvsat = -0.118604269615563 ++ ua = 3.46147924147713e-09 lua = -1.64575792334021e-15 wua = 1.84678033511199e-17 pua = -3.52596535481094e-23 ++ ub = 2.70254765694252e-19 lub = 6.6391349609825e-25 wub = 2.81113571539783e-24 pub = -5.36716086462331e-30 ++ uc = 5.32329505e-13 luc = -1.01635010742125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0243002476492448 lu0 = -3.29812942432061e-09 wu0 = 2.3012302517525e-08 pu0 = -4.39362385815849e-14 ++ a0 = 1.00778995289515 la0 = -1.45197608865059e-07 wa0 = 2.12818295268911e-07 pa0 = -4.06323330242158e-13 ++ keta = 0.044301656 lketa = -1.17269296718e-07 pketa = -1.0097419586829e-28 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.311291769269596 lags = 9.74687340327977e-07 wags = 3.16918334517115e-07 pags = -6.05076330176797e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.15919953277725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 7.40527294849647e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.11925105068182+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.9302013773573e-07 wnfactor = -2.08444572325547e-06 pnfactor = 3.9797279971255e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.454625e-05 lcit = -8.6799278125e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.274511853299251 leta0 = -2.49561605911593e-7 ++ etab = -0.028844949 letab = 2.622726987825e-8 ++ dsub = 0.0649191575000001 ldsub = 4.35749736043125e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0625191985206888 lpclm = 1.03705041830938e-06 wpclm = -3.39574762684141e-10 ppclm = 6.48333115648567e-16 ++ pdiblc1 = -0.00178611264999984 lpdiblc1 = 3.64893402127012e-7 ++ pdiblc2 = 0.005940118645525 lpdiblc2 = -4.03155443996861e-9 ++ pdiblcb = -0.40685 lpdiblcb = 3.471971125e-7 ++ drout = 1.5358306539575 ldrout = -1.02303467606836e-6 ++ pscbe1 = 430963245.09 lpscbe1 = -119.550867823083 ++ pscbe2 = 1.4532757728e-08 lpscbe2 = 1.98318990659932e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.165892571425e-05 lalpha0 = 1.17722494844932e-10 walpha0 = 9.34003423172626e-26 palpha0 = 1.14201627171087e-31 ++ alpha1 = 1.90925e-10 lalpha1 = -1.7359855625e-16 ++ beta0 = -39.87379705625 lbeta0 = 8.18567970296453e-05 wbeta0 = -2.71050543121376e-20 pbeta0 = -7.75481824268463e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.11868709999999e-09 lagidl = 2.025547954325e-15 ++ bgidl = 793843600.0 lbgidl = 813.830031700001 ++ cgidl = 559.9750875 lcgidl = -0.000174553348309375 ++ egidl = 3.11021319877 legidl = -2.11967454975162e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.47539795962288 lkt1 = -1.71072695590017e-07 wkt1 = -3.04258987364285e-07 pkt1 = 5.80906471625252e-13 ++ kt2 = -0.019032 ++ at = 255735.48726082 lat = -0.200348079052721 wat = 0.0488987658264026 pat = -9.33599686540571e-8 ++ ute = -0.94684568266989 lute = -8.65184880362511e-07 wute = -3.96894982624298e-06 pute = 7.57771745575441e-12 ++ ua1 = 9.13453106089158e-10 lua1 = -6.89340642800725e-16 wua1 = -3.60166576292467e-15 pua1 = 6.87648035786392e-21 ++ ub1 = -3.14111626372595e-18 lub1 = -9.95833748481232e-25 wub1 = -5.85426890866095e-24 pub1 = 1.11772629138609e-29 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.21 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.19511364807872+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.57513536649832e-07 wvth0 = 1.87879924697446e-06 pvth0 = -1.33253836591662e-12 ++ k1 = 0.590241525 lk1 = -9.86674910625021e-9 ++ k2 = 0.025997834049323 lk2 = 7.32170545051759e-09 wk2 = -4.69971471553751e-08 pk2 = 3.33327266199498e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8367.10762499995 lvsat = 0.0359352806419688 ++ ua = -8.17866852010644e-10 lua = 2.24523751216355e-15 wua = -9.23390167555488e-17 pua = 6.54914476338717e-23 ++ ub = 5.15093385902874e-18 lub = -3.77384396951613e-24 wub = -1.40556785769891e-23 pub = 9.96899003072955e-30 ++ uc = 6.082695725e-12 luc = -6.06302059295625e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.025994230253776 lu0 = -4.83838310749067e-09 wu0 = -1.15061512587626e-07 pu0 = 8.16073778027737e-14 ++ a0 = 0.864379691524267 la0 = -1.48018287135877e-08 wa0 = -1.06409147634454e-06 pa0 = 7.54706879597368e-13 ++ keta = -0.1537600425 lketa = 6.2818302643125e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.900954695597985 lags = -1.27547757852869e-07 wags = -1.58459167258558e-06 pags = 1.12387164378132e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0952545834049999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.59107842682462e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.622818202840886+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.44401704635103e-07 wnfactor = 1.04222286162773e-05 pnfactor = -7.3919656460947e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.273125e-05 lcit = 1.61221390625e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -1.039032374899e-05 leta0 = 4.77441026196875e-11 ++ etab = 0.0007545356125 letab = -6.86061505665625e-10 ++ dsub = 1.499306975 ldsub = -8.6846738701875e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.02178861900905 lpclm = -7.44415302269674e-07 wpclm = 1.69787381341393e-09 ppclm = -1.20421700215833e-15 ++ pdiblc1 = 0.1958620354125 lpdiblc1 = 1.85181823501185e-7 ++ pdiblc2 = -0.033618504135125 lpdiblc2 = 3.19371233233374e-08 wpdiblc2 = -2.64697796016969e-23 ppdiblc2 = -2.52435489670724e-29 ++ pdiblcb = -0.025 ++ drout = 0.335842470275001 ldrout = 6.80545799449566e-8 ++ pscbe1 = -56987686.125 lpscbe1 = 324.118516384156 ++ pscbe2 = 1.82815146225e-08 lpscbe2 = -3.38872530725811e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0002471576267875 lalpha0 = -1.63068955517284e-10 ++ alpha1 = 0.0 ++ beta0 = 67.1968940187501 lbeta0 = -1.54972288302985e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.34057899999999e-09 lagidl = 9.71713565575001e-15 ++ bgidl = 1269024000.0 lbgidl = 381.772252999999 ++ cgidl = -171.03 lcgidl = 0.000490113027500001 ++ egidl = 1.8355566512875 legidl = -9.6069308395316e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.734629576885601 lkt1 = 6.46336524061115e-08 wkt1 = 1.5212949368214e-06 pkt1 = -1.07897843394057e-12 ++ kt2 = -0.019032 ++ at = 72240.8136959 lat = -0.0335055471138171 wat = -0.24449382913201 pat = 1.73407248311879e-7 ++ ute = -3.38278083665055 lute = 1.3496891583944e-06 wute = 1.98447491312149e-05 pute = -1.40748883213141e-11 ++ ua1 = -1.25286553044579e-09 lua1 = 1.28038457746868e-15 wua1 = 1.80083288146233e-14 pua1 = -1.27724072117716e-20 ++ ub1 = -6.52523943137025e-18 lub1 = 2.08118024169935e-24 wub1 = 2.92713445433046e-23 pub1 = -2.07607011173388e-29 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.22 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.914607839999999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.14352077300006e-8 ++ k1 = 0.5943829125 lk1 = -1.28040281906251e-8 ++ k2 = 0.02652557625 lk2 = 6.94740429468753e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 61237.988625 lvsat = -0.00156339170728126 ++ ua = -2.037867569625e-09 lua = 3.11052302113153e-15 ++ ub = 2.53193054975e-18 lub = -1.91631587241019e-24 pub = -4.20389539297445e-45 ++ uc = 2.7825305e-12 luc = -3.722378407125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00638258625000002 lu0 = 9.07117540218748e-9 ++ a0 = 0.9390707625 la0 = -6.77764708031244e-8 ++ keta = 0.0155643187500001 lketa = -5.72750005734375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.625540699999999 lags = 9.55119101474999e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.0113230879162499+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -5.96794291163503e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.8614136+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.340720808e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.060172259922499 leta0 = 4.27175501155331e-08 weta0 = -1.05051937794235e-22 peta0 = -7.80972296168802e-29 ++ etab = -0.0007545356125 letab = 3.84247260665625e-10 ++ dsub = 0.189319827725 ldsub = 6.06409971860436e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2216982981875 lpclm = 5.32298757773015e-7 ++ pdiblc1 = 0.176352539312501 lpdiblc1 = 1.99018933610109e-7 ++ pdiblc2 = 0.0267160385535 lpdiblc2 = -1.08551510785699e-8 ++ pdiblcb = -0.025 ++ drout = -0.738324518224998 ldrout = 8.29907516538581e-7 ++ pscbe1 = 432224423.35 lpscbe1 = -22.8551722609877 ++ pscbe2 = 1.054562873625e-08 lpscbe2 = 2.09795175756468e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.00012163830041875 lalpha0 = 9.84995558537484e-11 walpha0 = -2.06795153138257e-25 palpha0 = 1.97215226305253e-31 ++ alpha1 = 0.0 ++ beta0 = 26.4659450525 lbeta0 = 1.33911967240144e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.3681049125e-08 lagidl = -8.73870409190626e-15 ++ bgidl = 2062179625.0 lbgidl = -180.773374031251 ++ cgidl = 1258.4125 lcgidl = -0.000523719065625 ++ egidl = -0.246745445499999 legidl = 5.16179678193375e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.707207175000001 lkt1 = 4.51843138687498e-8 ++ kt2 = -0.019032 ++ at = 42823.75 lat = -0.0126414946875 ++ ute = -1.613478125 lute = 9.48112101562515e-8 ++ ua1 = 5.534185e-10 lua1 = -7.22371125000165e-19 ++ ub1 = -4.333641125e-18 lub1 = 5.26789142906249e-25 ++ uc1 = -2.8159131e-10 luc1 = 1.222685366175e-16 puc1 = -7.52316384526264e-37 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.23 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.861946607117988+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.8252940575164e-08 wvth0 = -7.90006222877788e-07 pvth0 = 4.02310669000527e-13 ++ k1 = 0.451376000000002 lk1 = 6.00222420000003e-8 ++ k2 = 0.0527618062081521 lk2 = -6.41339581150145e-09 wk2 = -6.74947276007656e-08 pk2 = 3.43716900306898e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 50706.2890271588 lvsat = 0.00379987631291939 wvsat = -0.210762068777969 pvsat = 1.07330583525181e-7 ++ ua = 1.18868819284835e-08 lua = -3.98065566078024e-15 wua = 4.71010489285566e-17 pua = -2.39862091668607e-23 ++ ub = -9.66438145427305e-18 lub = 4.29465601563855e-24 wub = 1.47999308492692e-23 pub = -7.53686478499033e-30 ++ uc = -1.43920874999999e-12 luc = -1.5724576940625e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0359168962159787 lu0 = -5.96917194798718e-09 wu0 = 5.57931960221544e-08 pu0 = -2.8412685074282e-14 ++ a0 = 0.177126450000001 la0 = 3.202436703375e-7 ++ keta = -0.1420657375 lketa = 2.2998105571875e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.123592234725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 9.02619893870626e-9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.79501618997804+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.00259199746316e-07 wnfactor = -9.29764327311987e-06 pnfactor = 4.73482483683629e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 3.04625e-05 lcit = -1.0420528125e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.200224106952452 leta0 = 1.14038953215537e-07 weta0 = 5.87277493961004e-07 peta0 = -2.99071063799642e-13 ++ etab = -0.0125364840599803 letab = 6.38420450754495e-09 wetab = 4.23712825280672e-07 petab = -2.15775756274182e-13 ++ dsub = 0.42597171955 ldsub = -5.98739787258375e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.109122578548096 lpclm = 5.8962794299938e-07 wpclm = 1.30709898197858e-05 ppclm = -6.65640156572595e-12 ++ pdiblc1 = 1.6849454761 lpdiblc1 = -5.69232019448926e-7 ++ pdiblc2 = 0.00785230350750001 lpdiblc2 = -1.24879400639438e-9 ++ pdiblcb = -0.025 ++ drout = 0.857525935449999 ldrout = 1.72206730045879e-8 ++ pscbe1 = 488447117.0 lpscbe1 = -51.4865790022495 ++ pscbe2 = 1.539618939e-08 lpscbe2 = -3.72196255357505e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.19739062200001e-05 lalpha0 = 5.28379631080351e-11 ++ alpha1 = 0.0 ++ beta0 = 44.8934311524999 lbeta0 = 4.00699942758933e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -7.3088707184568e-08 lagidl = 4.05412943087413e-14 wagidl = 7.54180217104201e-13 pagidl = -3.84066275560314e-19 ++ bgidl = 1557438821.0727 lbgidl = 76.2658803687245 wbgidl = 7617.61146653563 pbgidl = -0.00387926863933326 ++ cgidl = -550.9458131622 lcgidl = 0.00039769665535285 wcgidl = 0.00638265358833322 pcgidl = -3.25036633985869e-9 ++ egidl = 1.1826331595 legidl = -2.11731376402875e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.679867500000002 lkt1 = 3.12615843750005e-8 ++ kt2 = -0.019032 ++ at = 18000.0 ++ ute = -1.65197825000001 lute = 1.144173988125e-7 ++ ua1 = 5.52e-10 ++ ub1 = -7.96137600000001e-18 lub1 = 2.374213128e-24 ++ uc1 = -4.1496e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.24 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.011028+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.59521 ++ k2 = 0.0252804 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.704411452e-9 ++ ub = -1.7524e-19 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0209856 ++ a0 = 0.8967395 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1342734 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.74009+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.3657e-9 ++ bgidl = 1704700000.0 ++ cgidl = 700.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57573 ++ kt2 = -0.019032 ++ at = 430000.0 ++ ute = -1.3864 ++ ua1 = 7.0656e-10 ++ ub1 = -3.145e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.25 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.011028+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.59521 ++ k2 = 0.0252804 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.704411452e-9 ++ ub = -1.7524e-19 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0209856 ++ a0 = 0.8967395 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1342734 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.74009+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.3657e-9 ++ bgidl = 1704700000.0 ++ cgidl = 700.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57573 ++ kt2 = -0.019032 ++ at = 430000.0 ++ ute = -1.3864 ++ ua1 = 7.0656e-10 ++ ub1 = -3.145e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.26 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.016266395+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.14317756537526e-8 ++ k1 = 0.604152409375 lk1 = -7.07277513492169e-8 ++ k2 = 0.02329948529375 lk2 = 1.56675496404078e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 297721.476875 lvsat = -0.772903590973594 ++ ua = 2.44976570406838e-09 lua = 2.0140568818282e-15 ++ ub = 8.85170975000002e-20 lub = -2.08612082340188e-24 ++ uc = -5.15756313125e-11 luc = 9.17760209583907e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0202516383125 lu0 = 5.80508647685937e-9 ++ a0 = 0.916541610412501 la0 = -1.56619841780065e-7 ++ keta = -0.00495672689374999 lketa = -2.34839323906078e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1097586887125 lags = 1.9389298025066e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.094776478466875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.2431928936881e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.755179705+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.19348249271255e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.64831926658375 lpclm = 5.78838869829002e-06 ppclm = 3.23117426778526e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00455412315405625 lpdiblc2 = -1.27602734399944e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 562123300.169375 lpscbe1 = -1806.55551118713 ppscbe1 = 6.93889390390723e-18 ++ pscbe2 = -1.5317388699625e-08 lpscbe2 = 2.39795383634009e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.815322348125e-05 lalpha0 = -2.17393918220826e-10 ++ alpha1 = 0.0 ++ beta0 = 39.1402881918125 lbeta0 = -6.91460005559311e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.53879589374999e-09 lagidl = 6.5401913023578e-15 ++ bgidl = 1478354425.0 lbgidl = 1790.22373906875 ++ cgidl = 932.600375000001 lcgidl = -0.00183969451596875 ++ egidl = 1.20931880529938 legidl = -4.07967463450158e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.585239250624999 lkt1 = 7.52110405057803e-8 ++ kt2 = -0.019032 ++ at = 673047.845625 lat = -1.92232617300953 ++ ute = -1.22055006875 lute = -1.31174856873906e-6 ++ ua1 = 1.375495223e-09 lua1 = -5.29077591251275e-15 ++ ub1 = -2.6104100625e-18 lub1 = -4.22820546317189e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.27 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.99113669825+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.68064913661884e-8 ++ k1 = 0.602594105000001 lk1 = -6.46359499712487e-8 ++ k2 = 0.026832087675 lk2 = 1.8577237815062e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 84545.0750000001 lvsat = 0.06045625805625 ++ ua = 3.31386607100375e-09 lua = -1.36392747761391e-15 ++ ub = -1.45999138375e-18 lub = 3.96738595692469e-24 wub = -2.93873587705572e-39 ++ uc = -5.4923007875e-11 luc = 1.04861752785344e-16 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.020938342575 lu0 = 3.12058783868126e-9 ++ a0 = 0.8237225450125 la0 = 2.06233089634885e-7 ++ keta = -0.0050873285 lketa = -2.2973378061375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.121306802125 lags = 1.48748517892844e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.064087020519875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.07540834542429e-7 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.156068903125+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.68652434704141e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.0190949250000011 leta0 = 2.3809316444375e-7 ++ etab = -0.1224012755 letab = 2.04849686248375e-7 ++ dsub = 0.81474168125 ldsub = -9.95848917426563e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.04876570365625 lpclm = -8.45940721620696e-7 ++ pdiblc1 = 0.581562116725 lpdiblc1 = -7.48864204807207e-7 ++ pdiblc2 = -0.001133342292 lpdiblc2 = 9.47345085500101e-9 ++ pdiblcb = 0.165925 lpdiblcb = -7.4637355625e-07 wpdiblcb = -4.2351647362715e-22 ppdiblcb = -1.21169035041947e-27 ++ drout = 0.139965000000001 ldrout = 1.64202182375e-6 ++ pscbe1 = -156170353.9325 lpscbe1 = 1001.43395611063 ppscbe1 = -1.73472347597681e-18 ++ pscbe2 = 7.6074691134375e-08 lpscbe2 = -1.17479104457055e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.4063189874125e-05 lalpha0 = -8.41274543421732e-11 ++ alpha1 = -9.54625e-11 lalpha1 = 3.73186778125e-16 ++ beta0 = 70.183410779125 lbeta0 = -0.000128269927030044 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.197163925e-09 lagidl = -3.85203392380625e-15 ++ bgidl = 2620002425 lbgidl = -2672.76370493125 ++ cgidl = 455.747206250001 lcgidl = 2.44437339671881e-5 ++ egidl = -1.5853225474425 legidl = 6.84527707370459e-06 wegidl = 1.6940658945086e-21 pegidl = 6.46234853557053e-27 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.566954625 lkt1 = 3.73186778124998e-9 ++ kt2 = -0.019032 ++ at = 210435.60875 lat = -0.113859286005937 ++ ute = -1.7051169625 lute = 5.82544560653127e-7 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = -7.88860905221012e-31 pua1 = -1.88079096131566e-36 ++ ub1 = -3.7199705125e-18 lub1 = 1.09343725990624e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.28 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.05765916075+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.02015201619377e-8 ++ k1 = 0.5590564875 lk1 = 1.84882462406245e-8 ++ k2 = 0.023068907675 lk2 = 9.04257519650625e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 178330.86925 lvsat = -0.118604269615562 ++ ua = 3.4633305675583e-09 lua = -1.64929256766068e-15 ++ ub = 5.520602825e-19 lub = 1.25876313136875e-25 ++ uc = 5.32329505e-13 luc = -1.01635010742125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.02660714225 lu0 = -7.70256794081251e-9 ++ a0 = 1.02912416645 la0 = -1.85929956094663e-7 ++ keta = 0.0443016559999999 lketa = -1.17269296718e-7 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.27952192815 lags = 9.14030771170387e-07 pags = -1.61558713389263e-27 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.15919953277725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 7.40527294849646e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.91029340375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 6.91972525140312e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.454625e-05 lcit = -8.6799278125e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.274511853299251 leta0 = -2.49561605911593e-7 ++ etab = -0.028844949 letab = 2.622726987825e-8 ++ dsub = 0.0649191575000003 ldsub = 4.35749736043125e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0624851574600003 lpclm = 1.0371154112045e-6 ++ pdiblc1 = -0.00178611264999984 lpdiblc1 = 3.64893402127012e-7 ++ pdiblc2 = 0.005940118645525 lpdiblc2 = -4.03155443996861e-9 ++ pdiblcb = -0.40685 lpdiblcb = 3.471971125e-7 ++ drout = 1.5358306539575 ldrout = -1.02303467606836e-6 ++ pscbe1 = 430963245.09 lpscbe1 = -119.550867823082 ++ pscbe2 = 1.4532757728e-08 lpscbe2 = 1.98318990660059e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.165892571425e-05 lalpha0 = 1.17722494844932e-10 walpha0 = -3.67127976680807e-26 palpha0 = -1.66222800528309e-31 ++ alpha1 = 1.90925e-10 lalpha1 = -1.7359855625e-16 ++ beta0 = -39.87379705625 lbeta0 = 8.18567970296454e-05 wbeta0 = -5.42101086242752e-20 pbeta0 = -1.03397576569128e-25 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.11868710000001e-09 lagidl = 2.025547954325e-15 ++ bgidl = 793843600.000001 lbgidl = 813.8300317 ++ cgidl = 559.9750875 lcgidl = -0.000174553348309375 ++ egidl = 3.11021319877 legidl = -2.11967454975162e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.50589875 lkt1 = -1.128390615625e-7 ++ kt2 = -0.019032 ++ at = 260637.4 lat = -0.20970705595 ++ ute = -1.3447176 lute = -1.05547922200001e-7 ++ ua1 = 5.524e-10 ++ ub1 = -3.72798415e-18 lub1 = 1.24643763387503e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.29 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.0067712675+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.39317032243725e-8 ++ k1 = 0.590241525000001 lk1 = -9.86674910624936e-9 ++ k2 = 0.02128655125 lk2 = 1.06631827759375e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8367.10762500018 lvsat = 0.0359352806419687 ++ ua = -8.27123482416499e-10 lua = 2.2518027772789e-15 ++ ub = 3.741906275e-18 lub = -2.77449115554375e-24 ++ uc = 6.082695725e-12 luc = -6.06302059295625e-18 wuc = -1.23259516440783e-32 puc = -5.87747175411144e-39 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.01445975725 lu0 = 3.34244187043749e-9 ++ a0 = 0.757708623749998 la0 = 6.08546261053134e-8 ++ keta = -0.1537600425 lketa = 6.2818302643125e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.74210549 lags = -1.4883958782501e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.095254583405+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.59107842682463e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.6676064375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.38564920312334e-9 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.273125e-05 lcit = 1.61221390625e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -1.039032374899e-05 leta0 = 4.77441026196875e-11 ++ etab = 0.0007545356125 letab = -6.86061505665625e-10 ++ dsub = 1.499306975 ldsub = -8.68467387018751e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.0219588243125 lpclm = -7.44536020381139e-7 ++ pdiblc1 = 0.1958620354125 lpdiblc1 = 1.85181823501184e-7 ++ pdiblc2 = -0.033618504135125 lpdiblc2 = 3.19371233233374e-08 wpdiblc2 = -5.29395592033938e-23 ppdiblc2 = 1.26217744835362e-29 ++ pdiblcb = -0.025 ++ drout = 0.335842470275 ldrout = 6.80545799449566e-8 ++ pscbe1 = -56987686.124999 lpscbe1 = 324.118516384156 ++ pscbe2 = 1.82815146225e-08 lpscbe2 = -3.38872530725814e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0002471576267875 lalpha0 = -1.63068955517284e-10 ++ alpha1 = 0.0 ++ beta0 = 67.1968940187498 lbeta0 = -1.54972288302984e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.34057900000002e-09 lagidl = 9.71713565575001e-15 ++ bgidl = 1269024000 lbgidl = 381.772252999999 ++ cgidl = -171.03 lcgidl = 0.000490113027499999 ++ egidl = 1.8355566512875 legidl = -9.6069308395316e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.582125625 lkt1 = -4.35297754687504e-8 ++ kt2 = -0.019032 ++ at = 47731.2499999999 lat = -0.0161221390625 ++ ute = -1.39342125 lute = -6.12641284375002e-8 ++ ua1 = 5.524e-10 ++ ub1 = -3.5909e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.30 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.91460784+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.14352077299989e-8 ++ k1 = 0.5943829125 lk1 = -1.28040281906251e-8 ++ k2 = 0.0265255762499999 lk2 = 6.94740429468747e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 61237.988625 lvsat = -0.00156339170728126 ++ ua = -2.037867569625e-09 lua = 3.11052302113153e-15 ++ ub = 2.53193054975e-18 lub = -1.91631587241019e-24 wub = 5.87747175411144e-39 pub = -1.40129846432482e-45 ++ uc = 2.7825305e-12 luc = -3.722378407125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00638258624999999 lu0 = 9.0711754021875e-9 ++ a0 = 0.9390707625 la0 = -6.77764708031244e-8 ++ keta = 0.0155643187500001 lketa = -5.72750005734375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.625540699999998 lags = 9.55119101474999e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.01132308791625+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -5.96794291163503e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.8614136+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.34072080799999e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.060172259922499 leta0 = 4.27175501155331e-08 weta0 = -5.21123785908407e-23 peta0 = -7.37584946381646e-29 ++ etab = -0.0007545356125 letab = 3.84247260665625e-10 ++ dsub = 0.189319827725 ldsub = 6.06409971860439e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.221698298187498 lpclm = 5.32298757773016e-7 ++ pdiblc1 = 0.1763525393125 lpdiblc1 = 1.99018933610109e-7 ++ pdiblc2 = 0.0267160385535 lpdiblc2 = -1.08551510785699e-8 ++ pdiblcb = -0.025 ++ drout = -0.738324518225 ldrout = 8.29907516538581e-7 ++ pscbe1 = 432224423.35 lpscbe1 = -22.8551722609873 ++ pscbe2 = 1.054562873625e-08 lpscbe2 = 2.09795175756469e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.00012163830041875 lalpha0 = 9.84995558537484e-11 walpha0 = -2.06795153138257e-25 palpha0 = 1.97215226305253e-31 ++ alpha1 = 0.0 ++ beta0 = 26.4659450524999 lbeta0 = 1.33911967240144e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.3681049125e-08 lagidl = -8.73870409190625e-15 ++ bgidl = 2062179625.0 lbgidl = -180.773374031251 ++ cgidl = 1258.4125 lcgidl = -0.000523719065625 ++ egidl = -0.246745445500001 legidl = 5.16179678193375e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.707207174999999 lkt1 = 4.51843138687502e-8 ++ kt2 = -0.019032 ++ at = 42823.75 lat = -0.0126414946875 ++ ute = -1.613478125 lute = 9.48112101562515e-8 ++ ua1 = 5.534185e-10 lua1 = -7.22371125000165e-19 ++ ub1 = -4.333641125e-18 lub1 = 5.26789142906252e-25 ++ uc1 = -2.8159131e-10 luc1 = 1.222685366175e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.31 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.883353015512963+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.73517271000248e-08 wvth0 = -5.76467751879794e-07 pvth0 = 2.93566202644784e-13 ++ k1 = 0.451376000000002 lk1 = 6.00222420000003e-8 ++ k2 = 0.0469472870918334 lk2 = -3.4523519515161e-09 wk2 = -9.49230613996067e-09 pk2 = 4.83395690177486e-15 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 8589.48890543205 lvsat = 0.0252478567749088 wvsat = 0.209371796529108 pvsat = -1.06622587382449e-7 ++ ua = 1.19027771371721e-08 lua = -3.98875029580488e-15 wua = -1.1146074700276e-16 pua = 5.67613854111454e-23 ++ ub = -8.63997172801878e-18 lub = 3.77297536254356e-24 wub = 4.58098694314493e-24 pub = -2.33286760079656e-30 ++ uc = -1.43920874999999e-12 luc = -1.5724576940625e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0377341307796053 lu0 = -6.89459864951403e-09 wu0 = 3.7665470763363e-08 pu0 = -1.91811409862427e-14 ++ a0 = 0.177126449999999 la0 = 3.202436703375e-7 ++ keta = -0.1420657375 lketa = 2.29981055718751e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.123592234725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 9.02619893870626e-9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.844122271581703+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.8398352819702e-07 wnfactor = 1.87947661571194e-07 pnfactor = -9.57123466551465e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 3.04625e-05 lcit = -1.0420528125e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.011003604573436 leta0 = 6.47124112097818e-09 weta0 = -1.51981313606907e-06 peta0 = 7.73964839543175e-13 ++ etab = 0.0629137474333006 letab = -3.20388258804083e-08 wetab = -3.2893688466805e-07 petab = 1.67511108517205e-13 ++ dsub = 0.425971719550001 ldsub = -5.98739787258381e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.43696958545631 lpclm = -5.95828145268627e-07 wpclm = -1.01503222938887e-05 ppclm = 5.16905162816281e-12 ++ pdiblc1 = 1.6849454761 lpdiblc1 = -5.69232019448926e-7 ++ pdiblc2 = 0.00785230350750001 lpdiblc2 = -1.24879400639437e-9 ++ pdiblcb = -0.025 ++ drout = 0.857525935449999 ldrout = 1.72206730045871e-8 ++ pscbe1 = 488447117.0 lpscbe1 = -51.4865790022504 ++ pscbe2 = 1.539618939e-08 lpscbe2 = -3.72196255357505e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.19739062200001e-05 lalpha0 = 5.28379631080351e-11 ++ alpha1 = 0.0 ++ beta0 = 44.8934311524999 lbeta0 = 4.00699942758933e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.82072632295001e-08 lagidl = -1.10436786246229e-14 wagidl = -2.56292265778933e-13 pagidl = 1.30516836347922e-19 ++ bgidl = 2321075000.0 lbgidl = -312.61584375 ++ cgidl = -772.087304690801 lcgidl = 0.000510312959913791 wcgidl = 0.00858863859543624 pcgidl = -4.37376420472591e-9 ++ egidl = 1.1826331595 legidl = -2.11731376402875e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.6798675 lkt1 = 3.12615843749997e-8 ++ kt2 = -0.019032 ++ at = 18000.0 ++ ute = -1.65197825 lute = 1.144173988125e-7 ++ ua1 = 5.52e-10 ++ ub1 = -7.961376e-18 lub1 = 2.374213128e-24 ++ uc1 = -4.1496e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.32 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.02988494034+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.31535569066895e-7 ++ k1 = 0.59521 ++ k2 = 0.0256978399194 wk2 = -2.91182961601904e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.70511538307553e-09 wua = -4.91023320506719e-18 ++ ub = -3.724600519e-20 wub = -9.62569659121435e-25 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0221657757912 wu0 = -8.23225250202285e-9 ++ a0 = 0.896182001275701 wa0 = 3.88880224642466e-9 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1361319778533 wags = -1.29644094524902e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.67461313064+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 4.56730366469734e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.25368303169e-08 wagidl = 1.38829025488899e-13 ++ bgidl = 1704700000.0 ++ cgidl = -46.3169000000003 wcgidl = 0.0052058932348374 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57505831479 wkt1 = -4.68530391135311e-9 ++ kt2 = -0.019032 ++ at = 383230.8076 wat = 0.32623597604981 ++ ute = -1.0139878669 wute = -2.59774072418386e-6 ++ ua1 = 2.409316835472e-09 wua1 = -1.18774883569658e-14 ++ ub1 = -1.8571058029e-18 wub1 = -8.9836364255844e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.33 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.02988494034+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.31535569066895e-7 ++ k1 = 0.59521 ++ k2 = 0.0256978399194 wk2 = -2.91182961601915e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.70511538307553e-09 wua = -4.91023320506719e-18 ++ ub = -3.72460051900002e-20 wub = -9.62569659121435e-25 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0221657757912 wu0 = -8.23225250202285e-9 ++ a0 = 0.8961820012757 wa0 = 3.88880224642466e-9 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1361319778533 wags = -1.29644094524906e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.67461313064+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 4.56730366469747e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.25368303169e-08 wagidl = 1.38829025488899e-13 ++ bgidl = 1704700000.0 ++ cgidl = -46.3168999999989 wcgidl = 0.0052058932348374 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.57505831479 wkt1 = -4.68530391135481e-9 ++ kt2 = -0.019032 ++ at = 383230.8076 wat = 0.326235976049809 ++ ute = -1.0139878669 wute = -2.59774072418387e-6 ++ ua1 = 2.409316835472e-09 wua1 = -1.18774883569658e-14 ++ ub1 = -1.8571058029e-18 wub1 = -8.9836364255844e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.34 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.03463221621754+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.75473917344654e-08 wvth0 = 1.28109794148636e-07 pvth0 = 2.7095310272251e-14 ++ k1 = 0.604152409375 lk1 = -7.07277513492185e-8 ++ k2 = 0.0233233005303496 lk2 = 1.87808256628471e-08 wk2 = -1.66121896877705e-10 pk2 = -2.17164887776193e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 358260.418207494 lvsat = -1.25172121270762 wvsat = -0.422286116161978 pvsat = 3.33996646425413e-6 ++ ua = 2.45066693860442e-09 lua = 2.01249635943307e-15 wua = -6.28651283955156e-18 pua = 1.08853396991537e-23 ++ ub = 2.20141131414774e-19 lub = -2.03573921019131e-24 wub = -9.18136340874673e-25 pub = -3.51434222343205e-31 ++ uc = -5.15756313125e-11 luc = 9.17760209583907e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0214357041561551 lu0 = 5.77431907947882e-09 wu0 = -8.25938735286063e-09 pu0 = 2.14616318988171e-16 ++ a0 = 0.919712726966413 la0 = -1.86110392169274e-07 wa0 = -2.21199522815272e-08 pa0 = 2.05709741750216e-13 ++ keta = -0.00495672689375 lketa = -2.34839323906078e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.0938470206943461 lags = 3.34442297409455e-07 wags = 1.10990981030558e-07 pags = -9.80394172178052e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.094776478466875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.24319289368818e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.70475247607567+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.38379617887045e-07 wnfactor = 3.51752412291323e-07 pnfactor = 8.302968840856e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.64831926658375 lpclm = 5.78838869829003e-06 wpclm = -4.2351647362715e-22 ppclm = -4.8467614016779e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00455412315405625 lpdiblc2 = -1.27602734399944e-08 wpdiblc2 = 1.32348898008484e-23 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 562123300.169375 lpscbe1 = -1806.55551118713 ++ pscbe2 = -1.5317388699625e-08 lpscbe2 = 2.39795383634009e-13 ppscbe2 = 3.85185988877447e-34 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.815322348125e-05 lalpha0 = -2.17393918220827e-10 ++ alpha1 = 0.0 ++ beta0 = 39.1402881918125 lbeta0 = -6.9146000555929e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.35825251868107e-08 lagidl = 1.66455662149841e-13 wagidl = 2.79864108646113e-13 pagidl = -1.1154817314612e-18 ++ bgidl = 1297223857.55941 lbgidl = 3222.83067959827 wbgidl = 1263.46649213122 pbgidl = -0.00999307235288885 ++ cgidl = -61.7073690126253 lcgidl = 0.000121727067038106 wcgidl = 0.00693573997574189 pcgidl = -1.36817903354989e-8 ++ egidl = 1.20931880529937 legidl = -4.07967463450159e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.608223946907577 lkt1 = 2.62315275825946e-07 wkt1 = 1.60328507745517e-07 pkt1 = -1.3051354898471e-12 ++ kt2 = -0.019032 ++ at = 712808.007523131 lat = -2.60670846849202 wat = -0.277344862271669 pat = 4.77387174549415e-6 ++ ute = -0.484174902819705 lute = -4.19042318615206e-06 wute = -5.1365452056878e-06 pute = 2.00800393453351e-11 ++ ua1 = 4.74237759823923e-09 lua1 = -1.84527608379167e-14 wua1 = -2.3485506196833e-14 pua1 = 9.18107150999694e-20 ++ ub1 = -5.12655569903866e-19 lub1 = -1.06335930053247e-23 wub1 = -1.46327731843617e-23 pub1 = 4.46804349093595e-29 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.35 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.01439802497666+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.15531203739574e-08 wvth0 = 1.6225812847018e-07 pvth0 = -1.06399065674272e-13 ++ k1 = 0.602594105 lk1 = -6.46359499712495e-8 ++ k2 = 0.0274254924827725 lk2 = 2.74433177283797e-09 wk2 = -4.13926319275697e-09 pk2 = -6.18448616670344e-15 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -12784.3819762375 lvsat = 0.198785672410632 wvsat = 0.678916371347068 pvsat = -9.64909360040613e-7 ++ ua = 3.30616298981007e-09 lua = -1.33185157874259e-15 wua = 5.37324269001763e-17 pua = -2.23743700478361e-22 ++ ub = -1.19286643284898e-18 lub = 3.48806061040679e-24 wub = -1.86331567026269e-24 pub = 3.34350807106689e-30 ++ uc = -5.4923007875e-11 luc = 1.04861752785344e-16 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0223473675748525 lu0 = 2.2103988599361e-09 wu0 = -9.82857779912106e-09 pu0 = 6.34897407103223e-15 ++ a0 = 0.801686911444114 la0 = 2.7528202716127e-07 wa0 = 1.53708372032056e-07 pa0 = -4.81647135072676e-13 ++ keta = -0.0050873285 lketa = -2.2973378061375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.146165515536263 lags = 1.29916221448692e-07 wags = -1.7340061302974e-07 pags = 1.31363666902172e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0640870205198749+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.07540834542429e-7 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.82527647065944+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.09538043713664e-07 wnfactor = 2.30742474987217e-06 pnfactor = -6.81491520160228e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.0190949250000009 leta0 = 2.3809316444375e-7 ++ etab = -0.1224012755 letab = 2.04849686248375e-7 ++ dsub = 0.81474168125 ldsub = -9.95848917426562e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.04876570365625 lpclm = -8.45940721620694e-07 wpclm = -3.3881317890172e-21 ++ pdiblc1 = 0.581562116725 lpdiblc1 = -7.48864204807207e-7 ++ pdiblc2 = -0.001133342292 lpdiblc2 = 9.47345085500101e-09 ppdiblc2 = -1.26217744835362e-29 ++ pdiblcb = 0.165925 lpdiblcb = -7.4637355625e-07 wpdiblcb = 2.11758236813575e-22 ppdiblcb = 2.01948391736579e-28 ++ drout = 0.139965 ldrout = 1.64202182375e-6 ++ pscbe1 = -156170353.9325 lpscbe1 = 1001.43395611063 ppscbe1 = 8.67361737988404e-19 ++ pscbe2 = 7.6074691134375e-08 lpscbe2 = -1.17479104457056e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.4063189874125e-05 lalpha0 = -8.41274543421732e-11 ++ alpha1 = -9.54625e-11 lalpha1 = 3.73186778125e-16 ++ beta0 = 70.183410779125 lbeta0 = -0.000128269927030044 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.67235399958948e-08 lagidl = -3.02033231656503e-14 wagidl = -5.24998298582189e-14 pagidl = 1.83811995136864e-19 ++ bgidl = 2982263559.88119 lbgidl = -3364.41077670316 wbgidl = -2526.93298426244 pbgidl = 0.00482454680020307 ++ cgidl = -507.04077638725 lcgidl = 0.00186264668981736 wcgidl = 0.00671587558233508 pcgidl = -1.28222854555732e-8 ++ egidl = -1.5853225474425 legidl = 6.84527707370459e-06 pegidl = -9.69352280335579e-27 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.542077395 lkt1 = 3.73186778124998e-09 wkt1 = -1.73529774494577e-7 ++ kt2 = -0.019032 ++ at = -163282.090709361 lat = 0.818146748023348 wat = 2.606847631823 pat = -6.50115776204545e-6 ++ ute = -1.82338412242998 lute = 1.04488045560938e-06 wute = 8.24966187664906e-07 pute = -3.22499906912903e-12 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = 4.93038065763132e-31 pua1 = 2.63310734584192e-36 ++ ub1 = -2.65182721204045e-18 lub1 = -2.27103626330222e-24 wub1 = -7.45077591261736e-24 pub1 = 1.66042120747928e-29 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.36 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.10219882034735+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.26080548187527e-07 wvth0 = 3.10683990379684e-07 pvth0 = -3.89781142524978e-13 ++ k1 = 0.5590564875 lk1 = 1.8488246240625e-8 ++ k2 = 0.0202297791236843 lk2 = 1.64827475036771e-08 wk2 = 1.98041878967608e-08 pk2 = -5.18985201593654e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 130834.0178725 lvsat = -0.0754177575005706 wvsat = 0.331311721953777 pvsat = -3.01245183186472e-7 ++ ua = 3.48199372939773e-09 lua = -1.66755641830033e-15 wua = -1.30183877600178e-16 pua = 1.27398503888937e-22 ++ ub = 1.41860159332835e-18 lub = -1.49788471857228e-24 wub = -6.04451212045235e-24 pub = 1.13264573935915e-29 ++ uc = 5.32329505000001e-13 luc = -1.01635010742125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0298784458896418 lu0 = -1.21683124125754e-08 wu0 = -2.28188018879247e-08 pu0 = 3.11505594125803e-14 ++ a0 = 1.06570133789856 la0 = -2.28787516546874e-07 wa0 = -2.5514208427216e-07 pa0 = 2.98950598626143e-13 ++ keta = 0.0443016560000001 lketa = -1.17269296718e-07 pketa = 2.01948391736579e-28 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.235271615490397 lags = 8.58175063861341e-07 wags = -3.0866566644018e-07 pags = 3.89618470126057e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.196533217860814+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.45332067730759e-07 wvoff = 2.60419104281404e-07 pvoff = -4.97205174849272e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.03062640306537+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.07647597840317e-07 wnfactor = -8.39376338742386e-07 pnfactor = -8.0688522316496e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.454625e-05 lcit = -8.6799278125e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.275607482161875 leta0 = -2.51653435317558e-07 weta0 = -7.64249996727589e-09 peta0 = 1.45914430625213e-14 ++ etab = -0.028844949 letab = 2.622726987825e-8 ++ dsub = -0.489646099812167 ldsub = 1.49455345356638e-06 wdsub = 3.86834000585713e-06 pdsub = -7.38562815618273e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0624851574599994 lpclm = 1.03711541120449e-6 ++ pdiblc1 = -0.00178611265000006 lpdiblc1 = 3.64893402127012e-7 ++ pdiblc2 = 0.005940118645525 lpdiblc2 = -4.0315544399686e-9 ++ pdiblcb = -0.40685 lpdiblcb = 3.471971125e-7 ++ drout = 1.5358306539575 ldrout = -1.02303467606836e-6 ++ pscbe1 = 430963245.09 lpscbe1 = -119.550867823082 ++ pscbe2 = 1.4532757728e-08 lpscbe2 = 1.98318990660059e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.165892571425e-05 lalpha0 = 1.17722494844932e-10 walpha0 = -9.01944115984444e-26 palpha0 = -1.40465744471283e-31 ++ alpha1 = 1.90925e-10 lalpha1 = -1.7359855625e-16 ++ beta0 = -39.87379705625 lbeta0 = 8.18567970296453e-05 pbeta0 = 7.75481824268463e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.7651249419388e-09 lagidl = 8.9146603669085e-15 wagidl = 6.89439971726938e-14 pagidl = -4.80546316219059e-20 ++ bgidl = 510872136.567474 lbgidl = 1354.09329825855 wbgidl = 1973.85216271455 pbgidl = -0.00376857724166276 ++ cgidl = 559.9750875 lcgidl = -0.000174553348309375 ++ egidl = 3.11021319877 legidl = -2.11967454975162e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.458401898622499 lkt1 = -1.56025573677492e-07 wkt1 = -3.31311721953775e-07 pkt1 = 3.01245183186471e-13 ++ kt2 = -0.019032 ++ at = 479122.9163365 lat = -0.408365011678963 wat = -1.52403392098737 pat = 1.38572784265777e-6 ++ ute = -1.10818328014005 lute = -3.2061675253266e-07 wute = -1.64993237532981e-06 pute = 1.50020101226863e-12 ++ ua1 = 5.524e-10 ++ ub1 = -4.06901154289045e-18 lub1 = 4.34722920373141e-25 wub1 = 2.37881816362812e-24 pub1 = -2.16294041527887e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.37 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.862543203663648+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.1826321282127e-08 wvth0 = -1.00605507097504e-06 pvth0 = 8.07463849011787e-13 ++ k1 = 0.590241525 lk1 = -9.86674910625021e-9 ++ k2 = 0.0424658276553063 lk2 = -3.73537962370024e-09 wk2 = -1.47734898884288e-07 pk2 = 1.00436394496303e-13 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 6473.00539822539 lvsat = 0.0376574930916637 wvsat = 0.0132122078013457 pvsat = -1.20131999433738e-8 ++ ua = -7.9321575854206e-10 lua = 2.21967780860892e-15 wua = -2.36521496869073e-16 pua = 2.2408598420913e-22 ++ ub = -8.00767241092021e-19 lub = 5.20076394124436e-25 wub = 3.168717380713e-23 pub = -2.29810780360628e-29 ++ uc = 6.082695725e-12 luc = -6.06302059295625e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00396046101905728 lu0 = 1.13976153310036e-08 wu0 = 7.32372738969444e-08 pu0 = -5.6188427494812e-14 ++ a0 = 0.709709787186945 la0 = 9.48978009376614e-08 wa0 = 3.34813292508427e-07 pa0 = -2.37466327711596e-13 ++ keta = -0.1537600425 lketa = 6.28183026431251e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.663999937383494 lags = 4.0512404410758e-08 wags = 5.44821064576605e-07 pags = -3.8641434005096e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.091413842012819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.16483796459342e-07 wvoff = -1.30209552140702e-06 pvoff = 9.2351124855793e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.93305223075797+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.22133085989188e-07 wnfactor = -8.82704879679819e-06 pnfactor = 6.45590595932228e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.273125e-05 lcit = 1.61221390625e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.00028679213604453 leta0 = -1.31809791157168e-09 weta0 = -2.07298020043692e-09 peta0 = 9.52735721452314e-15 ++ etab = -0.00206103367336456 letab = 1.87399486750673e-09 wetab = 1.96398515128068e-08 petab = -1.78575349880196e-14 ++ dsub = 4.27216422708862 ldsub = -2.83512258616816e-06 wdsub = -1.93419160276526e-05 pdsub = 1.3718297142286e-11 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.0219588243125 lpclm = -7.4453602038114e-7 ++ pdiblc1 = 0.1958620354125 lpdiblc1 = 1.85181823501185e-7 ++ pdiblc2 = -0.033618504135125 lpdiblc2 = 3.19371233233374e-08 ppdiblc2 = -3.78653234506086e-29 ++ pdiblcb = -0.025 ++ drout = 0.335842470275001 ldrout = 6.80545799449558e-8 ++ pscbe1 = -56987686.125 lpscbe1 = 324.118516384156 ++ pscbe2 = 1.82815146225e-08 lpscbe2 = -3.38872530725811e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0002471576267875 lalpha0 = -1.63068955517284e-10 walpha0 = 8.27180612553028e-25 ++ alpha1 = 0.0 ++ beta0 = 67.19689401875 lbeta0 = -1.54972288302984e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.43335833513107e-08 lagidl = -2.57265901487286e-14 wagidl = -2.55818639076801e-13 pagidl = 2.47235795387947e-19 ++ bgidl = 2683881317.16262 lbgidl = -621.715299197593 wbgidl = -9869.26081357275 pbgidl = 0.00699977323202648 ++ cgidl = -171.03 lcgidl = 0.000490113027500001 ++ egidl = 1.8355566512875 legidl = -9.60693083953159e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.555659361933751 lkt1 = -6.75942251617372e-08 wkt1 = -1.84613988840419e-07 pkt1 = 1.67860269353152e-13 ++ kt2 = -0.019032 ++ at = 47731.2500000001 lat = -0.0161221390625 ++ ute = -1.39342125 lute = -6.12641284375002e-8 ++ ua1 = 5.524e-10 ++ ub1 = -3.5909e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.38 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.981929191152851+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.15180965541007e-09 wvth0 = 4.69596449613751e-07 pvth0 = -2.39141991965808e-13 ++ k1 = 0.5943829125 lk1 = -1.28040281906251e-8 ++ k2 = 0.0296397732041287 lk2 = 5.36149949579745e-09 wk2 = -2.17229126868893e-08 pk2 = 1.10623932857985e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 63132.0908517746 lvsat = -0.0025279632662662 wvsat = -0.0132122078013461 pvsat = 6.72831682283543e-9 ++ ua = -2.07824714718519e-09 lua = 3.13108632100405e-15 wua = 2.81665562773846e-16 pua = -1.43438187842588e-22 ++ ub = 2.89531234164961e-18 lub = -2.10136804993507e-24 wub = -2.53475006677899e-24 pub = 1.2908214715072e-30 ++ uc = 2.7825305e-12 luc = -3.722378407125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00942532429384987 lu0 = 7.52166105335693e-09 wu0 = -2.12244549170204e-08 pu0 = 1.08085536664926e-14 ++ a0 = 0.9390707625 la0 = -6.77764708031261e-8 ++ keta = 0.0155643187500001 lketa = -5.72750005734375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.6255407 lags = 9.55119101475e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.01132308791625+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -5.96794291163503e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.72140706837954+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.27737545722791e-08 wnfactor = 9.7660800096583e-07 pnfactor = -4.97337624491848e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.065947586695413 leta0 = 4.56586352746396e-08 weta0 = 4.02854800368163e-08 peta0 = -2.05153807087487e-14 ++ etab = 0.00206103367336456 letab = -1.0495813981609e-09 wetab = -1.96398515128068e-08 petab = 1.00015943828969e-14 ++ dsub = 0.189288862197213 ldsub = 6.06567663810691e-08 wdsub = 2.15998366941687e-10 pdsub = -1.09997168365768e-16 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2216982981875 lpclm = 5.32298757773016e-7 ++ pdiblc1 = 0.1763525393125 lpdiblc1 = 1.99018933610109e-7 ++ pdiblc2 = 0.0267160385535 lpdiblc2 = -1.08551510785699e-8 ++ pdiblcb = -0.025 ++ drout = -0.738324518224999 ldrout = 8.29907516538581e-7 ++ pscbe1 = 432224423.35 lpscbe1 = -22.8551722609873 ++ pscbe2 = 1.054562873625e-08 lpscbe2 = 2.09795175756471e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.00012163830041875 lalpha0 = 9.84995558537484e-11 palpha0 = 9.86076131526265e-32 ++ alpha1 = 0.0 ++ beta0 = 26.4659450525 lbeta0 = 1.33911967240144e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.34818316590575e-08 lagidl = 1.5278992947375e-14 wagidl = 3.28982128113631e-13 pagidl = -1.67534148741867e-19 ++ bgidl = 2062179625 lbgidl = -180.773374031251 ++ cgidl = 1258.4125 lcgidl = -0.000523719065625 ++ egidl = -0.2467454455 legidl = 5.16179678193375e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.73367343806625 lkt1 = 5.86622583352379e-08 wkt1 = 1.84613988840419e-07 pkt1 = -9.40146738169845e-14 ++ kt2 = -0.019032 ++ at = 42823.75 lat = -0.0126414946875 ++ ute = -1.613478125 lute = 9.48112101562498e-8 ++ ua1 = 5.534185e-10 lua1 = -7.22371125000165e-19 ++ ub1 = -4.333641125e-18 lub1 = 5.26789142906249e-25 ++ uc1 = -2.8159131e-10 luc1 = 1.222685366175e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.39 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.11222523210003+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.92014491969418e-08 wvth0 = 1.02001803582361e-06 pvth0 = -5.19444184743175e-13 ++ k1 = 0.332563655574575 lk1 = 1.20527428398648e-07 wk1 = 8.28769092672963e-07 pk1 = -4.22050660443704e-13 ++ k2 = 0.0418805836785902 lk2 = -8.72133238321973e-10 wk2 = 2.5850209917134e-08 pk2 = -1.31642194003004e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 102283.153988712 lvsat = -0.0224656421687514 wvsat = -0.444183304801394 pvsat = 2.2620034797011e-7 ++ ua = 1.87124330232666e-08 lua = -7.4565675557985e-15 wua = -4.7611847659037e-14 pua = 2.42463334203646e-20 ++ ub = -8.79517665810563e-18 lub = 3.85201347319029e-24 wub = 5.66361055189958e-24 pub = -2.88419367355486e-30 ++ uc = -4.79277200709405e-11 luc = 2.21018166961265e-17 wuc = 3.24278100339609e-16 puc = -1.65138622597946e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0688744914242333 lu0 = -2.27528273077908e-08 wu0 = -1.79552433333764e-07 pu0 = 9.14370766752194e-14 ++ a0 = -1.46008118556577 la0 = 1.15399165874937e-06 wa0 = 1.14202534526767e-05 pa0 = -5.81576407077563e-12 ++ keta = -0.037954766283685 lketa = -3.00204065200334e-08 wketa = -7.2622045772696e-07 pketa = 3.69827768097455e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.25 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.44347029220031+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.71924099708008e-07 wvoff = 2.23129211650392e-06 pvoff = -1.13628551032962e-12 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {-3.7368570364565+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.71684724081547e-06 wnfactor = 3.21423214519091e-05 pnfactor = -1.63684771993847e-11 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 3.04625e-05 lcit = -1.0420528125e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.611347841365319 leta0 = 3.23403714965289e-07 weta0 = 2.82136576809864e-06 peta0 = -1.43678051740423e-12 ++ etab = -0.0491842326301592 letab = 2.50470704669086e-08 wetab = 4.5299652197369e-07 petab = -2.30688478815102e-13 ++ dsub = 0.536120536598492 ldsub = -1.15967263807782e-07 wdsub = -7.68337125285635e-07 pdsub = 3.91275681051709e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.570174338286741 lpclm = 9.35559897897524e-07 wpclm = 1.08258477604091e-05 ppclm = -5.51306297198834e-12 ++ pdiblc1 = 2.68942229835588 lpdiblc1 = -1.08076184118273e-06 wpdiblc1 = -7.00667383189752e-06 ppdiblc1 = 3.56814864889381e-12 ++ pdiblc2 = 0.0401093439324827 lpdiblc2 = -1.76756918428168e-08 wpdiblc2 = -2.25007243604284e-07 ppdiblc2 = 1.14584938805482e-13 ++ pdiblcb = -0.025 ++ drout = -0.943896140671598 ldrout = 9.34594865269511e-07 wdrout = 1.25657224151941e-05 pdrout = -6.39909413993759e-12 ++ pscbe1 = 29721003.5986633 lpscbe1 = 182.11969424738 wpscbe1 = 3199.8192328209 ppscbe1 = -0.00162950794431405 ++ pscbe2 = 1.51162422576379e-08 lpscbe2 = -2.296331782021e-16 wpscbe2 = 1.95275610464662e-15 ppscbe2 = -9.94441046291318e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.000572116254996407 lalpha0 = 3.2790545422242e-10 walpha0 = 3.76773378620299e-09 palpha0 = -1.91871843062387e-15 ++ alpha1 = 0.0 ++ beta0 = 2.63744925086473 lbeta0 = 2.55258582109971e-05 wbeta0 = 0.000294754319931834 pbeta0 = -1.50103637425286e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.06476489533499e-09 lagidl = 2.32388652050653e-16 wagidl = -1.01838464343874e-13 pagidl = 5.18612379671181e-20 ++ bgidl = 3487818330.8615 lbgidl = -906.77988499122 wbgidl = -8138.55510028452 pbgidl = 0.00414455918481989 ++ cgidl = 2760.087441315 lcgidl = -0.00128844702948966 wcgidl = -0.016049855607891 pcgidl = 8.17338896831847e-9 ++ egidl = 1.27487022659837 legidl = -2.58703102822717e-07 wegidl = -6.43394680743017e-07 pegidl = 3.27648741168382e-13 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.476247372450002 lkt1 = -7.24319655798374e-08 wkt1 = -1.42034120423814e-06 pkt1 = 7.23308758258271e-13 ++ kt2 = -0.019032 ++ at = 18000.0 ++ ute = -1.65197825 lute = 1.14417398812502e-7 ++ ua1 = 5.52e-10 ++ ub1 = -1.5434234681085e-17 lub1 = 6.17976641134254e-24 wub1 = 5.21265221955397e-23 pub1 = -2.65454314280786e-29 ++ uc1 = -4.1496e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.40 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.0300992185943+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.32601698950133e-7 ++ k1 = 0.59521 ++ k2 = 0.0253484040955 wk2 = -1.17323054373906e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.78879880790487e-09 wua = -4.21272594538509e-16 ++ ub = -2.7812373201e-19 wub = 2.35904463274226e-25 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0216317529636 wu0 = -5.57525076053175e-9 ++ a0 = 0.5363746550628 wa0 = 1.79409082373201e-6 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.0950869594429 wags = 1.91252863217461e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.80622146748+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -1.98079806627497e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.6245244293e-08 wagidl = -4.37463250062967e-15 ++ bgidl = 1599071667.0 wbgidl = 525.548066911517 ++ cgidl = 1000.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.576 ++ kt2 = -0.019032 ++ at = 448800.0 ++ ute = -1.5361 ++ ua1 = 2.2096e-11 ++ ub1 = -3.6627e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.41 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.0300992185943+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.32601698950133e-7 ++ k1 = 0.59521 ++ k2 = 0.0253484040955 wk2 = -1.17323054373911e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 200000.0 ++ ua = 2.78879880790487e-09 wua = -4.21272594538518e-16 ++ ub = -2.7812373201e-19 wub = 2.35904463274226e-25 ++ uc = -3.9972e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0216317529636 wu0 = -5.57525076053177e-9 ++ a0 = 0.5363746550628 wa0 = 1.79409082373201e-6 ++ keta = -0.0079259 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.0950869594429 wags = 1.91252863217461e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.093204657+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.80622146748+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -1.98079806627497e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.08353125 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0029407877 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 333712830.0 ++ pscbe2 = 1.5000958e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 5.0667189e-5 ++ alpha1 = 0.0 ++ beta0 = 38.266046 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.6245244293e-08 wagidl = -4.37463250062967e-15 ++ bgidl = 1599071667.0 wbgidl = 525.548066911517 ++ cgidl = 1000.0 ++ egidl = 0.69350825 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.576 ++ kt2 = -0.019032 ++ at = 448800.0 ++ ute = -1.5361 ++ ua1 = 2.2096e-11 ++ ub1 = -3.6627e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.42 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.03990392062998+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.75478395756818e-08 wvth0 = 1.54338874780657e-07 pvth0 = -1.71924757937553e-13 ++ k1 = 0.604152409375 lk1 = -7.07277513492202e-8 ++ k2 = 0.0227753222192018 lk2 = 2.03511478301116e-08 wk2 = 2.56031459940945e-09 pk2 = -2.9529541923447e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 273386.395625 lvsat = -0.580431349597031 ++ ua = 2.61616633625946e-09 lua = 1.36539337636142e-15 wua = -8.29719828904729e-16 pua = 3.23051128841093e-21 ++ ub = -1.49686077833208e-19 lub = -1.01584551629779e-24 wub = 9.21918968069361e-25 pub = -5.42586022205092e-30 ++ uc = -5.15756313125e-11 luc = 9.17760209583907e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0210016404217217 lu0 = 4.98371762185074e-09 wu0 = -6.0997266816289e-09 pu0 = 4.14821117893795e-15 ++ a0 = 0.201965995986916 la0 = 2.64492168679594e-06 wa0 = 3.54899014938349e-06 pa0 = -1.3879937491409e-11 ++ keta = -0.00495672689375 lketa = -2.34839323906078e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.0383070146285059 lags = 4.49086778523245e-07 wags = 3.87327282050819e-07 pags = -1.55080159715774e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.094776478466875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.24319289368812e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.83771090929671+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.49057867688855e-07 wnfactor = -3.09775092444613e-07 pnfactor = 8.83425939349025e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.64831926658375 lpclm = 5.78838869829003e-06 wpclm = -2.11758236813575e-22 ppclm = -1.61558713389263e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00455412315405625 lpdiblc2 = -1.27602734399944e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 562123300.169375 lpscbe1 = -1806.55551118713 ++ pscbe2 = -1.5317388699625e-08 lpscbe2 = 2.39795383634009e-13 ppscbe2 = 9.62964972193618e-35 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 7.815322348125e-05 lalpha0 = -2.17393918220827e-10 ++ alpha1 = 0.0 ++ beta0 = 39.1402881918125 lbeta0 = -6.9146000555929e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.65918364138966e-08 lagidl = -8.18337837322012e-14 wagidl = -1.95301780826797e-14 pagidl = 1.1986899889483e-19 ++ bgidl = 1342303983.05494 lbgidl = 2030.83980424248 wbgidl = 1039.17276205498 pbgidl = -0.00406238612006343 ++ cgidl = 1332.28625 lcgidl = -0.0026281350228125 wcgidl = -1.73472347597681e-18 ++ egidl = 1.20931880529937 legidl = -4.07967463450158e-06 wegidl = -1.6940658945086e-21 pegidl = -6.46234853557053e-27 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.576 ++ kt2 = -0.019032 ++ at = 648283.313231997 lat = -1.57776339518018 wat = 0.0436942698403735 pat = -3.45588903734975e-7 ++ ute = -1.51655375 lute = -1.545961778125e-7 ++ ua1 = 2.2096e-11 ++ ub1 = -3.27481451126419e-18 lub1 = -3.06788330178373e-24 wub1 = -8.89800528206285e-25 pub1 = 7.03765482771553e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.43 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.997147699198433+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -8.95969190555818e-08 wvth0 = 7.64300640782002e-08 pvth0 = 1.32640260301023e-13 ++ k1 = 0.602594105 lk1 = -6.46359499712504e-8 ++ k2 = 0.0284159277267564 lk2 = -1.69938925029652e-09 wk2 = -9.06712026569618e-09 pk2 = 1.59250078229671e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 137871.16318875 lvsat = -0.0506684271956208 wvsat = -0.0706621582218883 pvsat = 2.76236042028917e-7 ++ ua = 3.32105560571619e-09 lua = -1.39019500026228e-15 wua = -2.03649793394932e-17 pua = 6.65408427480938e-23 ++ ub = -1.44260028787921e-18 lub = 4.03847935932453e-24 wub = -6.20778360187988e-25 pub = 6.0492930843913e-31 ++ uc = -5.4923007875e-11 luc = 1.04861752785344e-16 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0212519674608157 lu0 = 4.00512664427268e-09 wu0 = -4.37847368333717e-09 pu0 = -2.58059710463412e-15 ++ a0 = 0.838751943621997 la0 = 1.55566221003499e-07 wa0 = -3.07066940572547e-08 pa0 = 1.13992393811779e-13 ++ keta = -0.00508732849999999 lketa = -2.2973378061375e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.110897808348917 lags = 1.65311218171727e-07 wags = 2.0719596247088e-09 pags = -4.47422279634664e-14 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.064087020519875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.07540834542429e-7 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.27151914549864+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.94492271506122e-06 wnfactor = 8.7168418314174e-08 pnfactor = -6.68325480084769e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.019094925000001 leta0 = 2.3809316444375e-7 ++ etab = -0.1224012755 letab = 2.04849686248375e-7 ++ dsub = 0.81474168125 ldsub = -9.95848917426563e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.04876453907784 lpclm = -8.4593616899256e-07 wpclm = 5.79429697446362e-12 ppclm = -2.26513554470595e-17 ++ pdiblc1 = 0.581562116725 lpdiblc1 = -7.48864204807206e-7 ++ pdiblc2 = -0.001133342292 lpdiblc2 = 9.473450855001e-9 ++ pdiblcb = 0.165925 lpdiblcb = -7.4637355625e-07 wpdiblcb = 5.29395592033938e-23 ppdiblcb = 4.03896783473158e-28 ++ drout = 0.139965 ldrout = 1.64202182375e-6 ++ pscbe1 = -156170353.9325 lpscbe1 = 1001.43395611063 ++ pscbe2 = 7.6074691134375e-08 lpscbe2 = -1.17479104457055e-13 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.4063189874125e-05 lalpha0 = -8.41274543421732e-11 ++ alpha1 = -9.54625e-11 lalpha1 = 3.73186778125e-16 ++ beta0 = 70.183410779125 lbeta0 = -0.000128269927030044 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.24702727093161e-09 lagidl = 1.72454114099346e-14 wagidl = 2.45027234731483e-14 pagidl = -5.22666215122914e-20 ++ bgidl = 2474382862.5 lbgidl = -2394.73955522813 ++ cgidl = 842.76295625 lcgidl = -0.000714466086720313 ++ egidl = -1.5853225474425 legidl = 6.84527707370459e-06 wegidl = 8.470329472543e-22 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.576954624999999 lkt1 = 3.73186778124998e-9 ++ kt2 = -0.019032 ++ at = 473279.535420809 lat = -0.893629876771786 wat = -0.560330364659846 pat = 2.01569439868501e-6 ++ ute = -1.6575766375 lute = 3.96697545146875e-7 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = 1.97215226305253e-31 pua1 = -9.4039548065783e-37 ++ ub1 = -5.26100655978736e-18 lub1 = 4.69663796390549e-24 wub1 = 5.53105503641261e-24 pub1 = -1.80630747882709e-29 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.44 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.05552570743275+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.18612931657777e-08 wvth0 = 7.84644374211709e-08 pvth0 = 1.28756132995958e-13 ++ k1 = 0.5590564875 lk1 = 1.8488246240625e-8 ++ k2 = 0.0261058180026611 lk2 = 2.71118774043248e-09 wk2 = -9.43172623948894e-09 pk2 = 1.66211317784307e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 169019.0178725 lvsat = -0.110137468750571 wvsat = 0.141324316443777 pvsat = -1.28499134726504e-7 ++ ua = 3.44848752764965e-09 lua = -1.63349439721373e-15 wua = 3.65244198624953e-17 pua = -4.20752426782995e-23 ++ ub = -2.61028670689522e-19 lub = 1.78256374920512e-24 wub = 2.3123975581343e-24 pub = -4.9952368136177e-30 ++ uc = 5.32329505e-13 luc = -1.01635010742125e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.025273770378849 lu0 = -3.67350057698244e-09 wu0 = 9.15124635472259e-11 pu0 = -1.11149181555732e-14 ++ a0 = 1.02693385851797 la0 = -2.03720100011639e-07 wa0 = -6.22565840579306e-08 pa0 = 1.74229021295567e-13 ++ keta = 0.044301656 lketa = -1.17269296718e-07 pketa = -5.04870979341448e-29 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.329814866218907 lags = 1.00674189209035e-06 wags = 1.61729172223986e-07 pags = -3.49567761118636e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.121865847693686+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 2.77339123917048e-09 wvoff = -1.11084363947149e-07 pvoff = 2.12087821866095e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.1019513760924+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.88074548677646e-07 wnfactor = -1.19424989048982e-06 pnfactor = 1.77822242599926e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.454625e-05 lcit = -8.6799278125e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.28522916329164 leta0 = -2.70023630014561e-07 weta0 = -5.55146548576375e-08 peta0 = 1.05991354786944e-13 ++ etab = -0.028844949 letab = 2.622726987825e-8 ++ dsub = 0.287839303643931 ldsub = 1.01394470178245e-08 wdsub = 3.36517309735418e-12 pdsub = -6.4249567358621e-18 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0624874866168126 lpclm = 1.03711329341866e-06 wpclm = -1.15885939489272e-11 ppclm = 1.05369290483002e-17 ++ pdiblc1 = -0.00178611264999995 lpdiblc1 = 3.64893402127013e-7 ++ pdiblc2 = 0.005940118645525 lpdiblc2 = -4.03155443996861e-09 ppdiblc2 = 6.31088724176809e-30 ++ pdiblcb = -0.40685 lpdiblcb = 3.471971125e-7 ++ drout = 1.5358306539575 ldrout = -1.02303467606836e-06 pdrout = 1.61558713389263e-27 ++ pscbe1 = 430963245.09 lpscbe1 = -119.550867823083 ++ pscbe2 = 1.4532757728e-08 lpscbe2 = 1.98318990659932e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.165892571425e-05 lalpha0 = 1.17722494844932e-10 walpha0 = -6.37024902488598e-27 palpha0 = 1.86252848108128e-32 ++ alpha1 = 1.90925e-10 lalpha1 = -1.7359855625e-16 ++ beta0 = -39.87379705625 lbeta0 = 8.18567970296453e-05 pbeta0 = 1.29246970711411e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.78506563517843e-09 lagidl = 6.67191166299636e-15 wagidl = 1.64520936665382e-14 pagidl = -3.68959565540211e-20 ++ bgidl = 907590775.0 lbgidl = 596.658237831251 ++ cgidl = 1306.672187538 lcgidl = -0.00160018478655693 wcgidl = -0.00371515109959567 pcgidl = 7.09315223690303e-9 ++ egidl = 3.11021319877 legidl = -2.11967454975162e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.52499125 lkt1 = -9.54792059374999e-8 ++ kt2 = -0.019032 ++ at = -17298.4237696073 lat = 0.0430060918125156 wat = 0.945883649958198 pat = -8.60044708724492e-7 ++ ute = -1.43979825 lute = -1.90958411875009e-8 ++ ua1 = 5.524e-10 ++ ub1 = -2.08291298536853e-18 lub1 = -1.37113719305367e-24 wub1 = -7.50290796000011e-24 pub1 = 6.8220190626301e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.45 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.26583462408723+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.13084675633865e-07 wvth0 = 1.00049961360579e-06 pvth0 = -7.09604350949903e-13 ++ k1 = 0.590241525 lk1 = -9.86674910625021e-9 ++ k2 = 0.00468799379676071 lk2 = 2.21853443996475e-08 wk2 = 4.02266734758774e-08 pk2 = -2.8530768162766e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 9128.48749999993 lvsat = 0.0352429959906251 ++ ua = -8.31844341241457e-10 lua = 2.2583973545755e-15 wua = -4.43270695916708e-17 pua = 3.14389741078926e-23 ++ ub = 8.47490783940337e-18 lub = -6.16058652259684e-24 wub = -1.44634466694204e-23 pub = 1.02581995502864e-29 ++ uc = 6.082695725e-12 luc = -6.06302059295625e-18 wuc = -3.08148791101958e-33 puc = 2.93873587705572e-39 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0297663538249301 lu0 = -7.75838207533169e-09 wu0 = -5.51585522404641e-08 pu0 = 3.91212031765492e-14 ++ a0 = 0.658800216729128 la0 = 1.31005413784866e-07 wa0 = 5.88111111204474e-07 pa0 = -4.17117805621772e-13 ++ keta = -0.1537600425 lketa = 6.2818302643125e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.977016824904027 lags = -1.8149482306318e-07 wags = -1.01257755636988e-06 pags = 7.18170631855338e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.281923008822819+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.48305364995834e-07 wvoff = 5.55421819735747e-07 pvoff = -3.93932925647578e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.463162624448291+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.68893221110052e-07 wnfactor = 3.46175356535694e-06 pnfactor = -2.45524871622941e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.273125e-05 lcit = 1.61221390625e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.0559184715706913 leta0 = 4.01648569840135e-08 weta0 = 2.77573274288187e-07 peta0 = -1.96868844788897e-13 ++ etab = 0.0018863213 letab = -1.715137642025e-9 ++ dsub = 0.384693796942844 ldsub = -7.79255010142126e-08 wdsub = -1.68258654859239e-11 pdsub = 1.19337450960291e-17 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.0219588243125 lpclm = -7.4453602038114e-7 ++ pdiblc1 = 0.1958620354125 lpdiblc1 = 1.85181823501184e-7 ++ pdiblc2 = -0.033618504135125 lpdiblc2 = 3.19371233233374e-08 wpdiblc2 = -1.98523347012727e-23 ppdiblc2 = 6.31088724176809e-30 ++ pdiblcb = -0.025 ++ drout = 0.335842470275 ldrout = 6.80545799449562e-8 ++ pscbe1 = -56987686.1249995 lpscbe1 = 324.118516384156 ++ pscbe2 = 1.82815146225e-08 lpscbe2 = -3.38872530725814e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0002471576267875 lalpha0 = -1.63068955517284e-10 palpha0 = 1.97215226305253e-31 ++ alpha1 = 0.0 ++ beta0 = 67.19689401875 lbeta0 = -1.54972288302985e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 4.96251045891174e-09 lagidl = 8.32906995701687e-15 wagidl = -1.09684451938606e-13 pagidl = 7.77936975374563e-20 ++ bgidl = 700288124.999998 lbgidl = 785.148172343748 ++ cgidl = -3904.51550018999 lcgidl = 0.00313808761850975 wcgidl = 0.0185757554979783 pcgidl = -1.31748545869411e-8 ++ egidl = 1.8355566512875 legidl = -9.6069308395316e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.592764375 lkt1 = -3.38564920312495e-8 ++ kt2 = -0.019032 ++ at = 47731.25 lat = -0.0161221390625 ++ ute = -1.39342125 lute = -6.12641284375002e-8 ++ ua1 = 5.524e-10 ++ ub1 = -3.5909e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.46 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.702883391402814+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.86188486147554e-07 wvth0 = -9.18780858569367e-07 pvth0 = 6.51645323940323e-13 ++ k1 = 0.621240645643238 lk1 = -3.18528754224665e-08 wk1 = -1.33629200936589e-07 pk1 = 9.47765107642751e-14 ++ k2 = 0.0253229954909538 lk2 = 7.54996944804107e-09 wk2 = -2.45018280983856e-10 pk2 = 1.73779215787835e-16 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 119228.373515168 lvsat = -0.0428453481656329 wvsat = -0.292316232993797 pvsat = 2.0732528825085e-7 ++ ua = -8.55857795844092e-09 lua = 7.73858317257422e-15 wua = 3.2524201576313e-14 pua = -2.3067789968e-20 ++ ub = 5.75923497954808e-18 lub = -4.23449554674448e-24 wub = -1.67840424998204e-23 pub = 1.19040821429976e-29 ++ uc = 1.05905924964515e-11 luc = -9.26024637810822e-18 wuc = -3.88485908279966e-17 puc = 2.75533630447566e-23 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -0.0200170832193702 lu0 = 2.75505206483383e-08 wu0 = 1.25264653775001e-07 pu0 = -8.88439556899191e-14 ++ a0 = 1.08123870676879 la0 = -1.68609085275762e-07 wa0 = -7.07348929640361e-07 pa0 = 5.01687228347426e-13 ++ keta = 0.21966793817985 lketa = -2.02035492654058e-07 wketa = -1.01550653687777e-06 pketa = 7.20248011280558e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -2.6289987965861 lags = 2.37607175647869e-06 wags = 9.96809757282692e-06 pags = -7.06987320352749e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.13650667525959+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.48465888439614e-07 wvoff = -6.22844178913073e-07 pvoff = 4.41752233894096e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.54750453565157+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.09426279410879e-07 wnfactor = -3.13359533818296e-06 pnfactor = 2.22250249360627e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.146754257435801 leta0 = 1.04590138108842e-07 weta0 = 4.42334706745396e-07 peta0 = -3.13725890759172e-13 ++ etab = -0.0018863213 letab = 9.60609122025e-10 ++ dsub = 0.0621317022650203 ldsub = 1.50851664636034e-07 wdsub = 6.32879581122931e-07 pdsub = -4.48869842911437e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.894842824149528 lpclm = 1.32420554879055e-06 wpclm = 5.55529006096728e-06 ppclm = -3.94008947574104e-12 ++ pdiblc1 = -0.241109631949019 lpdiblc1 = 4.95103978577341e-07 wpdiblc1 = 2.07706049015444e-06 ppdiblc1 = -1.47315515264204e-12 ++ pdiblc2 = 0.0494858065169306 lpdiblc2 = -2.70046090066331e-08 wpdiblc2 = -1.13289750934579e-07 ppdiblc2 = 8.03507558503504e-14 ++ pdiblcb = -0.025 ++ drout = -2.4791387589403 ldrout = 2.06458001676591e-06 wdrout = 8.66132725070998e-06 pdrout = -6.14304635256605e-12 ++ pscbe1 = 480165439.129532 lpscbe1 = -56.8573377026207 wpscbe1 = -238.527935196209 ppscbe1 = 0.000169175938037912 ++ pscbe2 = 6.14496453798506e-09 lpscbe2 = 5.2191228401841e-15 wpscbe2 = 2.18952670826005e-14 ppscbe2 = -1.55292181783344e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.000328250996553267 lalpha0 = 2.45039610587154e-10 walpha0 = 1.0279903125317e-09 palpha0 = -7.29102129163107e-16 ++ alpha1 = 0.0 ++ beta0 = -1.62343297194951 lbeta0 = 3.33135880878552e-05 wbeta0 = 0.000139757183534235 pbeta0 = -9.91227824216565e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.22348400984349e-08 lagidl = -3.22913298398149e-14 wagidl = -9.74965435154976e-14 pagidl = 6.91494234883667e-20 ++ bgidl = 2441369905.34387 lbgidl = -449.714080365143 wbgidl = -1886.64076357581 pbgidl = 0.00133809996156614 ++ cgidl = 2356.9657597375 lcgidl = -0.00130286796509382 wcgidl = -0.0054657924219479 pcgidl = 3.87661327526655e-9 ++ egidl = -1.32948408403491 legidl = 1.28411205757426e-06 wegidl = 5.38710762814394e-06 pegidl = -3.82080608526109e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.798847521596249 lkt1 = 1.1230797969214e-07 wkt1 = 5.08884122043425e-07 pkt1 = -3.60926063559299e-13 ++ kt2 = -0.019032 ++ at = 42823.75 lat = -0.0126414946875 ++ ute = -1.7271215656625 lute = 1.75412820446128e-07 wute = 5.65426802270479e-07 pute = -4.01028959510332e-13 ++ ua1 = 5.53418499999999e-10 lua1 = -7.22371125000165e-19 ++ ub1 = -4.333641125e-18 lub1 = 5.26789142906246e-25 ++ uc1 = -2.8159131e-10 luc1 = 1.222685366175e-16 puc1 = 1.88079096131566e-37 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.47 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.14375056560109+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.83231223129202e-08 wvth0 = 1.17687063029015e-06 pvth0 = -4.15565196761384e-13 ++ k1 = 0.338079641278201 lk1 = 1.12346866050429e-07 wk1 = 8.01324603667796e-07 pk1 = -3.81348714230505e-13 ++ k2 = 0.0746133794655651 lk2 = -1.75511585910297e-08 wk2 = -1.37010047949988e-07 pk2 = 6.98213705747279e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 226088.529144955 lvsat = -0.0972638824201018 wvsat = -1.06017026340102 pvsat = 5.9835495323573e-7 ++ ua = 2.08376954887961e-08 lua = -7.23146908043124e-15 wua = -5.81859762921062e-14 pua = 2.31263681114925e-20 ++ ub = -1.49549885966426e-17 lub = 6.31422280943061e-24 wub = 3.63114222222454e-23 pub = -1.51347832667144e-29 ++ uc = -1.57596604579196e-10 luc = 7.63890837326654e-17 wuc = 8.69929713090672e-16 puc = -4.35241988225826e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0700208335919499 lu0 = -1.83012884878265e-08 wu0 = -1.85255996886761e-07 pu0 = 6.92886856595831e-14 ++ a0 = 1.3237196009585 la0 = -2.92092480641874e-07 wa0 = -2.43039703543231e-06 pa0 = 1.37914947622198e-12 ++ keta = -0.137049892726472 lketa = -2.03769372650138e-08 wketa = -2.33178007247698e-07 pketa = 3.21847207566444e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -1.1969005669778 lags = 1.64677573305067e-06 wags = 1.21744216383674e-05 pags = -8.193443733904e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.169010859916058+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 7.11891634858414e-09 wvoff = 8.65734031982967e-07 pvoff = -3.16306220004712e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {3.20964814250875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.46622911202896e-07 wnfactor = -2.4196399547529e-06 pnfactor = 1.8589207145945e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 6.09050318875e-05 lcit = -2.59233874887094e-11 wcit = -1.51465173509534e-10 pcit = 7.71336396097304e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.763513097995663 leta0 = -3.5896351264463e-07 weta0 = -4.0191805932012e-06 peta0 = 1.95830077573863e-12 ++ etab = 0.0121563598841775 letab = -6.19062627101742e-09 wetab = 1.47799716310604e-07 petab = -7.52670055311749e-14 ++ dsub = 0.652297114065168 ldsub = -1.49690071323191e-07 wdsub = -1.3463674129359e-06 pdsub = 5.5906168881302e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.316549529527554 lpclm = 7.07303992680498e-07 wpclm = 6.41400103918796e-06 ppclm = -4.37738804139993e-12 ++ pdiblc1 = 0.877940138667317 lpdiblc1 = -7.47721171090276e-08 wpdiblc1 = 2.00625783359632e-06 ppdiblc1 = -1.43709889978981e-12 ++ pdiblc2 = -0.0758644600276356 lpdiblc2 = 3.68300142311873e-08 wpdiblc2 = 3.52014155413871e-07 ppdiblc2 = -1.56605258457598e-13 ++ pdiblcb = -1.2427012755 lpdiblcb = 6.20114374548375e-07 wpdiblcb = 6.05860694038137e-06 ppdiblcb = -3.08534558438921e-12 ++ drout = 6.75183483059918 ldrout = -2.63629328370707e-06 wdrout = -2.57239714628912e-05 pdrout = 1.13676670173354e-11 ++ pscbe1 = 886035991.106242 lpscbe1 = -263.54691629676 wpscbe1 = -1060.72974651373 ppscbe1 = 0.000587882210451359 ++ pscbe2 = 2.48209480061787e-08 lpscbe2 = -4.2916217409935e-15 wpscbe2 = -4.63324832931074e-14 ppscbe2 = 1.92157637004949e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000329985245720384 lalpha0 = -9.01671957907021e-11 walpha0 = -7.20623517132361e-10 palpha0 = 1.61379463593315e-16 ++ alpha1 = 6.0885063775e-10 lalpha1 = -3.10057187274188e-16 walpha1 = -3.02930347019069e-15 palpha1 = 1.54267279219461e-21 ++ beta0 = -171.353305738435 lbeta0 = 0.000119748525794188 wbeta0 = 0.00116043592588032 pbeta0 = -6.18903431961402e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.51855494394548e-08 lagidl = 1.22275035323554e-14 wagidl = 5.36457871118979e-14 pagidl = -7.81980838363445e-21 ++ bgidl = -1656484391.4045 lbgidl = 1637.11822025397 wbgidl = 17456.645302003 pbgidl = -0.00851246846732984 ++ cgidl = -419.70399987645 lcgidl = 0.000111151109989582 wcgidl = -0.000228975000980717 pcgidl = 1.20976400363901e-9 ++ egidl = 11.3823929755749 legidl = -5.18941133503202e-06 wegidl = -5.09328283120472e-05 pegidl = 2.48601212922812e-11 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.593690345072499 lkt1 = 7.83168754742089e-09 wkt1 = -8.36010035875414e-07 pkt1 = 3.23961286360867e-13 ++ kt2 = -0.019032 ++ at = 18000.0 ++ ute = -0.815840730924997 lute = -2.88656944643944e-07 wute = -4.16015707473163e-06 pute = 2.00547462985299e-12 ++ ua1 = 5.52e-10 ++ ub1 = -4.957481e-18 lub1 = 8.44479599250001e-25 ++ uc1 = -4.1496e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.48 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.0078051671916+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 6.62669528801774e-8 ++ k1 = 0.608803775813334 wk1 = -4.04475458686794e-8 ++ k2 = 0.0241669092301427 wk2 = 2.34224362740893e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 219328.3426 wvsat = -0.0575104396757996 ++ ua = 2.61614232689791e-09 wua = 9.24574412477322e-17 ++ ub = -2.02302379946667e-19 wub = 1.03021245627897e-26 ++ uc = -5.60297706333333e-11 wuc = 4.77790293998691e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0199184301617333 wu0 = -4.7735128300881e-10 ++ a0 = 1.31601157621213 wa0 = -5.25676734754087e-7 ++ keta = -0.00625884274613333 wketa = -4.96023883778856e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.169665996769493 wags = -3.06530350798018e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0874903097253173+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} wvoff = -1.70027317410655e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.86249563396+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -3.65520550183746e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.49181533333333e-05 wcit = -1.46336996630533e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.66221915003436 wpclm = 2.21894004478064e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00456455310587613 wpdiblc2 = -4.83142628185252e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 447221920.362453 wpscbe1 = -337.740168882601 ++ pscbe2 = 1.501649444638e-08 wpscbe2 = -4.62278572355832e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 8.06839939075347e-05 walpha0 = -8.93133820949044e-11 ++ alpha1 = 0.0 ++ beta0 = 39.0497950461547 wbeta0 = -2.33200296438472e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.96685625666667e-08 wagidl = -1.45605311647381e-14 ++ bgidl = 1758978278.66667 wbgidl = 49.7545788543812 ++ cgidl = 1523.29151466667 wcgidl = -0.00155702564414887 ++ egidl = 0.49835708641752 wegidl = 5.80661749076835e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.556327386666667 wkt1 = -5.85347986522134e-8 ++ kt2 = -0.019032 ++ at = 554274.716386667 wat = -0.313834322973842 ++ ute = -1.51642738666667 wute = -5.85347986522143e-8 ++ ua1 = 2.2096e-11 ++ ub1 = -3.5732879724e-18 wub1 = -2.66040659874311e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.49 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.0078051671916+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 6.62669528801774e-8 ++ k1 = 0.608803775813333 wk1 = -4.04475458686798e-8 ++ k2 = 0.0241669092301427 wk2 = 2.34224362740891e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 219328.3426 wvsat = -0.0575104396757995 ++ ua = 2.61614232689791e-09 wua = 9.24574412477322e-17 ++ ub = -2.02302379946667e-19 wub = 1.03021245627896e-26 ++ uc = -5.60297706333333e-11 wuc = 4.77790293998691e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0199184301617333 wu0 = -4.77351283008796e-10 ++ a0 = 1.31601157621213 wa0 = -5.25676734754088e-7 ++ keta = -0.00625884274613333 wketa = -4.96023883778855e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.169665996769493 wags = -3.06530350798018e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0874903097253173+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} wvoff = -1.70027317410654e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.86249563396+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -3.65520550183746e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.49181533333333e-05 wcit = -1.46336996630533e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.66221915003436 wpclm = 2.21894004478064e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00456455310587613 wpdiblc2 = -4.83142628185252e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 447221920.362453 wpscbe1 = -337.7401688826 ++ pscbe2 = 1.501649444638e-08 wpscbe2 = -4.62278572355832e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 8.06839939075347e-05 walpha0 = -8.93133820949044e-11 ++ alpha1 = 0.0 ++ beta0 = 39.0497950461547 wbeta0 = -2.33200296438472e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.96685625666667e-08 wagidl = -1.45605311647381e-14 ++ bgidl = 1758978278.66667 wbgidl = 49.7545788543812 ++ cgidl = 1523.29151466667 wcgidl = -0.00155702564414887 ++ egidl = 0.49835708641752 wegidl = 5.80661749076836e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.556327386666667 wkt1 = -5.85347986522134e-8 ++ kt2 = -0.019032 ++ at = 554274.716386667 wat = -0.313834322973842 ++ ute = -1.51642738666667 wute = -5.85347986522134e-8 ++ ua1 = 2.2096e-11 ++ ub1 = -3.5732879724e-18 wub1 = -2.66040659874311e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.50 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.01276262427342+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.92097674243685e-08 wvth0 = 7.35814131017175e-08 pvth0 = -5.78518945072155e-14 ++ k1 = 0.626542213283471 lk1 = -1.40297736560685e-07 wk1 = -6.6619652480244e-08 pk1 = 2.07001734217516e-13 ++ k2 = 0.0215518952079057 lk2 = 2.06827996553779e-08 wk2 = 6.20055560646243e-09 pk2 = -3.05163540203288e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 364899.847477883 lvsat = -1.15136142495539 wvsat = -0.272293334261852 pvsat = 1.69877160900473e-6 ++ ua = 2.00140510583548e-09 lua = 4.86211036568804e-15 wua = 9.99469015115411e-16 pua = -7.17378129061295e-21 ++ ub = 5.09813492829903e-19 lub = -5.63230246675808e-24 wub = -1.04038639146191e-24 pub = 8.31015814536835e-30 ++ uc = -7.90470895495019e-11 luc = 1.82049729637707e-16 wuc = 8.17398405254544e-17 puc = -2.68604545395036e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0183188689861475 lu0 = 1.26513292280023e-08 wu0 = 1.88271485526464e-09 pu0 = -1.86663531041391e-14 ++ a0 = 1.82260118863798 la0 = -4.00674389207915e-06 wa0 = -1.27312235204936e-06 pa0 = 5.91173424859262e-12 ++ keta = -0.000369099917933904 lketa = -4.65834484639364e-08 wketa = -1.36502363346841e-08 pketa = 6.8731362702321e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.187751836206259 lags = -1.4304542556524e-07 wags = -5.73377145334202e-08 pags = 2.11055800968531e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0906082229895355+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 2.46603554850181e-08 wvoff = -1.24024190870276e-08 pvoff = -3.6385022858948e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.85049553254578+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 9.49118021104548e-08 wnfactor = -3.47815048552535e-07 pnfactor = -1.40037238776664e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.49181533333333e-05 wcit = -1.46336996630533e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.11394027814573 lpclm = 1.14820253325148e-05 wpclm = 4.36087617636805e-06 ppclm = -1.69411083487577e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00776481478816271 lpdiblc2 = -2.53116697106251e-08 wpdiblc2 = -9.55323957993551e-09 ppdiblc2 = 3.73460018278629e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 900303933.578178 lpscbe1 = -3583.53891302647 wpscbe1 = -1006.23821295369 ppscbe1 = 0.00528731815506925 ++ pscbe2 = -4.51239078296283e-08 lpscbe2 = 4.75665476701519e-13 wpscbe2 = 8.86876881192918e-14 ppscbe2 = -7.01818724937349e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.0001352061348096 lalpha0 = -4.31229242929657e-10 walpha0 = -1.69757856800293e-10 palpha0 = 6.36255461563591e-16 ++ alpha1 = 0.0 ++ beta0 = 40.7839686679278 lbeta0 = -1.37160127180094e-05 wbeta0 = -4.89068249793543e-06 pbeta0 = 2.0237236100736e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.00886829384688e-08 lagidl = -8.24153370506757e-14 wagidl = -2.9934856086832e-14 pagidl = 1.21599379390071e-19 ++ bgidl = 1592062490.01324 lbgidl = 1320.1787014071 wbgidl = 296.029811559925 pbgidl = -0.0019478523842763 ++ cgidl = 2182.42471027833 lcgidl = -0.00521324922739158 wcgidl = -0.00252954108108133 pcgidl = 7.691867719558e-9 ++ egidl = 1.52153472209972 legidl = -8.09256771501947e-06 wegidl = -9.28981600779929e-07 pegidl = 1.19401466648546e-11 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.556327386666666 wkt1 = -5.85347986522139e-8 ++ kt2 = -0.019032 ++ at = 979105.447228845 lat = -3.3600924579135 wat = -0.940649125472011 pat = 4.95763497665864e-6 ++ ute = -1.47765484574833 lute = -3.0666171925833e-07 wute = -1.15741589060005e-07 pute = 4.52462807032821e-13 ++ ua1 = 2.2096e-11 ++ ub1 = -3.39706677392617e-18 lub1 = -1.3937775140291e-24 wub1 = -5.26045522277721e-25 pub1 = 2.05644345796418e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.51 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.979615091845838+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.03722237181473e-08 wvth0 = 2.42627376613512e-08 pvth0 = 1.34947137458034e-13 ++ k1 = 0.62345111293722 lk1 = -1.28213852532104e-07 wk1 = -6.20589008387703e-08 pk1 = 1.89172615863083e-13 ++ k2 = 0.0229891772820937 lk2 = 1.50641047068583e-08 wk2 = 7.07988263787368e-09 pk2 = -3.39538632178732e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 48979.5711356166 lvsat = 0.0836499153356105 wvsat = 0.193829973786239 pvsat = -1.23420932982265e-7 ++ ua = 3.93891150214322e-09 lua = -2.71208651407802e-15 wua = -1.85876183493972e-15 pua = 3.99975765996508e-21 ++ ub = -3.00720984673159e-18 lub = 8.11662102342269e-24 wub = 4.0346328932611e-24 pub = -1.15293609934351e-29 ++ uc = -9.78301759860135e-11 luc = 2.55477510289639e-16 wuc = 1.27667961727243e-16 puc = -4.48149053203126e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0201009413649824 lu0 = 5.68476278104206e-09 wu0 = -9.5365769059427e-10 pu0 = -7.57826372924023e-15 ++ a0 = 0.605351771014418 la0 = 7.51788393765771e-07 wa0 = 6.63762915927274e-07 pa0 = -1.66003448524503e-12 ++ keta = -0.00062816526920733 lketa = -4.55706972394707e-08 wketa = -1.32679993984091e-08 pketa = 6.72371029591881e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.0758409515231108 lags = 2.94442200382358e-07 wags = 1.06381744039628e-07 pags = -4.28964492458157e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0297316730624893+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -2.13321297317288e-07 wvoff = -1.02222481170688e-07 pvoff = 3.14744054841603e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.90252005313328+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.01771505499626e-06 wnfactor = -1.7903407083037e-06 pnfactor = 5.49915619660557e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.49181533333333e-05 wcit = -1.46336996630533e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.0408131745256324 leta0 = 4.72288902514333e-07 weta0 = 1.78253315101148e-07 peta0 = -6.96836772059162e-13 ++ etab = -0.173944777054249 letab = 4.06346119699322e-07 wetab = 1.53364905525583e-07 petab = -5.99541756925886e-13 ++ dsub = 1.06531341100573 ldsub = -1.97539645197413e-06 wdsub = -7.45562651014753e-07 pdsub = 2.91459079347943e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.25245106553263 lpclm = -1.67804002775979e-06 wpclm = -6.06052466096819e-07 ppclm = 2.47585744679807e-12 ++ pdiblc1 = 0.76998848930729 lpdiblc1 = -1.48547000182452e-06 wpdiblc1 = -5.60652496594484e-07 ppdiblc1 = 2.19173077231199e-12 ++ pdiblc2 = -0.00351701608624149 lpdiblc2 = 1.87918276351396e-08 wpdiblc2 = 7.09249265638066e-09 ppdiblc2 = -2.77263269169561e-14 ++ pdiblcb = 0.353724685033333 lpdiblcb = -1.48052947496656e-06 wpdiblcb = -5.58787821633692e-07 ppdiblcb = 2.18444129172151e-12 ++ drout = -0.273194307073333 ldrout = 3.25716484492643e-06 wdrout = 1.22933320759412e-06 pdrout = -4.80577084178732e-12 ++ pscbe1 = -524525386.370361 lpscbe1 = 1986.47510598236 wpscbe1 = 1096.0205078471 ppscbe1 = -0.00293093674922125 ++ pscbe2 = 1.36164224419542e-07 lpscbe2 = -2.33035154293552e-13 wpscbe2 = -1.78793161455218e-13 ppscbe2 = 3.43830786261804e-19 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 6.75840987188092e-05 lalpha0 = -1.66877798341735e-10 walpha0 = -6.99851941382801e-11 palpha0 = 2.46219180052119e-16 ++ alpha1 = -1.89362342516667e-10 lalpha1 = 7.4026473748328e-16 walpha1 = 2.79393910816846e-16 palpha1 = -1.09222064586076e-21 ++ beta0 = 102.362058621214 lbeta0 = -0.000254440160867892 wbeta0 = -9.57458290071512e-05 pbeta0 = 3.75412717591888e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.87469939222552e-08 lagidl = -3.80778392640427e-14 wagidl = -2.75674822996657e-14 pagidl = 1.12344723412591e-19 ++ bgidl = 2964713233.20663 lbgidl = -4045.85621642167 wbgidl = -1458.95154019757 pbgidl = 0.00491280846508168 ++ cgidl = 1898.73813997016 lcgidl = -0.00410424750241435 wcgidl = -0.00314199713649941 pcgidl = 1.00861115542011e-8 ++ egidl = -4.02200156753388 legidl = 1.35785015252307e-05 wegidl = 7.25020684361481e-06 pegidl = -2.00343457613955e-11 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.552587019540833 lkt1 = -1.46220301866627e-08 wkt1 = -7.25044941930555e-08 pkt1 = 5.46110322930375e-14 ++ kt2 = -0.019032 ++ at = 182263.845965143 lat = -0.245039428173373 wat = 0.305571104468255 pat = 8.58485427646554e-8 ++ ute = -1.62001670049333 lute = 2.49866361403562e-07 wute = -1.11757564326738e-07 pute = 4.36888258344303e-13 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = -4.93038065763132e-32 pua1 = -9.4039548065783e-38 ++ ub1 = -3.52520976853935e-18 lub1 = -8.92834512337546e-25 wub1 = 3.66285417080886e-25 pub1 = -1.43190126672345e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.52 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.08525682296809+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.11324251427006e-07 wvth0 = 1.66927766216342e-07 pvth0 = -1.37436068310582e-13 ++ k1 = 0.537088559710617 lk1 = 3.66738522157884e-08 wk1 = 6.53643828692091e-08 pk1 = -5.41102885563761e-14 ++ k2 = 0.0232693516803531 lk2 = 1.45291817369816e-08 wk2 = -9.91973866642734e-10 pk2 = -1.85426711866254e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 225258.741820957 lvsat = -0.252911091295376 wvsat = -0.0260139452197637 pvsat = 2.96316069379946e-7 ++ ua = 4.23069980081529e-09 lua = -3.26918332331767e-15 wua = -2.29090595947912e-15 pua = 4.82482882974194e-21 ++ ub = 1.15650654101796e-18 lub = 1.67045510111857e-25 wub = -1.90540191739988e-24 pub = -1.88349531180603e-31 ++ uc = 6.84425553192915e-11 luc = -6.19787019550142e-17 wuc = -2.0206320975823e-16 puc = 1.81390185955513e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0306362547253528 lu0 = -1.44297842522452e-08 wu0 = -1.58642701353203e-08 pu0 = 2.08898230808529e-14 ++ a0 = 1.14854311167707 la0 = -2.85299673394392e-07 wa0 = -4.24098349933151e-07 pa0 = 4.16964636598987e-13 ++ keta = 0.0973413389805174 lketa = -2.32618973228258e-07 wketa = -1.57816712565648e-07 pketa = 3.4321673357374e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.705658861627077 lags = 1.78652071863935e-06 wags = 1.28003268498524e-06 pags = -2.66975755145857e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.218399769159928+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.46893265156747e-07 wvoff = 1.76147106543893e-07 pvoff = -2.16733080502461e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {-0.191338621733133+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.88923461999245e-06 wnfactor = 2.65386466038016e-06 pnfactor = -2.98594290355408e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.39362342516667e-05 lcit = -1.72177709933279e-11 wcit = -2.79393910816846e-11 pcit = 2.54038913410217e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.450089092094545 leta0 = -4.64966250030241e-07 weta0 = -5.46046470574526e-07 peta0 = 6.86032593742118e-13 ++ etab = 0.0116364212518307 letab = 5.20252168334397e-08 wetab = -1.20450131190329e-07 petab = -7.67603980760312e-14 ++ dsub = 0.0201359398954783 ldsub = 2.01086347431075e-08 wdsub = 7.96540268024976e-07 pdsub = -2.96692046971794e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.703971933532877 lpclm = 2.05726058320604e-06 wpclm = 2.28054702725277e-06 ppclm = -3.03538263587963e-12 ++ pdiblc1 = -0.387158947826661 lpdiblc1 = 7.23813742523474e-07 wpdiblc1 = 1.14665606093506e-06 ppdiblc1 = -1.06794809115129e-12 ++ pdiblc2 = 0.0105141179489019 lpdiblc2 = -7.99711502145789e-09 wpdiblc2 = -1.36096879312354e-08 ppdiblc2 = 1.179931136995e-14 ++ pdiblcb = -0.782449370066667 lpdiblcb = 6.88710839733117e-07 wpdiblcb = 1.11757564326738e-06 ppdiblcb = -1.01615565364087e-12 ++ drout = 2.49568761066349 ldrout = -2.02932295651259e-06 wdrout = -2.856002542403e-06 pdrout = 2.99415643889468e-12 ++ pscbe1 = 640130826.08104 lpscbe1 = -237.14476764048 wpscbe1 = -622.366842189467 ppscbe1 = 0.000349894298836075 ++ pscbe2 = 1.40877580286991e-08 lpscbe2 = 3.93391631655581e-17 wpscbe2 = 1.32407257528599e-15 ppscbe2 = -5.80428109359714e-23 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.00014212953190717 lalpha0 = 2.33517950930916e-10 walpha0 = 2.39435943314298e-10 palpha0 = -3.44543126629216e-16 ++ alpha1 = 3.78724685033333e-10 lalpha1 = -3.44355419866559e-16 walpha1 = -5.58787821633692e-16 palpha1 = 5.08077826820434e-22 ++ beta0 = -115.950793928743 lbeta0 = 0.000162373652863113 wbeta0 = 0.000226362996036273 pbeta0 = -2.39573556622269e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.22154285228844e-09 lagidl = 1.95633957275473e-15 wagidl = 4.32507708641376e-14 pagidl = -2.28650264404e-20 ++ bgidl = 209898641.422866 lbgidl = 1213.77354294149 wbgidl = 2075.94526808355 pbgidl = -0.00183619326612905 ++ cgidl = -1623.25367585765 lcgidl = 0.0026201153719549 wcgidl = 0.00500268509094147 pcgidl = -5.46412298854036e-9 ++ egidl = 5.29220713508279 legidl = -4.20465144024018e-06 wegidl = -6.49240512982614e-06 pegidl = 6.20373614889661e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.469990311735 lkt1 = -1.72319794564952e-07 wkt1 = -1.63652321756842e-07 pkt1 = 2.28635022069196e-13 ++ kt2 = -0.019032 ++ at = 57782.9960622432 lat = -0.00737436549626114 wat = 0.722482939645198 pat = -7.10140378546921e-7 ++ ute = -1.45231249115667 lute = -7.03229002724673e-08 wute = 3.72354487926408e-08 pute = 1.52423348046131e-13 ++ ua1 = 5.524e-10 ++ ub1 = -4.3583154379213e-18 lub1 = 6.97772486929941e-25 wub1 = -7.32570834161766e-25 pub1 = 6.66090030961588e-31 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.53 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.799150669234296+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.48817768855442e-07 wvth0 = -3.88093293125543e-07 pvth0 = 3.67216829896028e-13 ++ k1 = 0.583495429321883 lk1 = -5.52159397825579e-09 wk1 = 2.00726434010684e-08 pk1 = -1.292877444497e-14 ++ k2 = 0.0398095265908075 lk2 = -5.09972300349095e-10 wk2 = -6.42755507900382e-08 pk2 = 3.8997921130972e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -455963.277341425 lvsat = 0.36649002962802 wvsat = 1.38385543133036 pvsat = -9.85607661248253e-7 ++ ua = -8.83979800802908e-09 lua = 8.61516680937407e-15 wua = 2.37829066364369e-14 pua = -1.88827852730947e-20 ++ ub = 1.04698980639232e-17 lub = -8.30115573208973e-24 wub = -2.03994323530071e-23 pub = 1.66273476423952e-29 ++ uc = 1.20166401209316e-11 luc = -1.06734385609055e-17 wuc = -1.76561311170972e-17 puc = 1.37180497010628e-23 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -0.00995640817569698 lu0 = 2.24790944905343e-08 wu0 = 6.30343810632536e-08 pu0 = -5.08487755214505e-14 ++ a0 = 0.638078905035741 la0 = 1.78839906494234e-07 wa0 = 6.49766255197319e-07 pa0 = -5.59446755615894e-13 ++ keta = -0.487378027556905 lketa = 2.99037110795894e-07 wketa = 9.92662299165629e-07 pketa = -7.02856307842924e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 3.21821407722305 lags = -1.78126075101013e-06 wags = -7.68113895599352e-06 pags = 5.47818776310137e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0378417446554652+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.72791186239359e-08 wvoff = -1.70828801405948e-07 pvoff = 9.87547638009314e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.2035518687739+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.88319558501073e-07 wnfactor = -1.71668065011473e-06 pnfactor = 9.87975420013395e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.273125e-05 lcit = 1.61221390625e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.259815042824537 leta0 = 1.80514084644935e-07 weta0 = 8.84256511639158e-07 peta0 = -6.14470392835674e-13 ++ etab = 0.302487493130751 letab = -2.12431120272468e-07 wetab = -8.94422554319119e-07 petab = 6.26974027653822e-13 ++ dsub = -0.816055110550594 ldsub = 7.80415347361199e-07 wdsub = 3.57274670794023e-06 pdsub = -2.55393491019013e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 4.26770631928296 lpclm = -2.46323786816676e-06 wpclm = -6.68210040091986e-06 ppclm = 5.11390453818633e-12 ++ pdiblc1 = 0.228614075292368 lpdiblc1 = 1.63922121252497e-07 wpdiblc1 = -9.7451926052393e-08 ppdiblc1 = 6.32570960170468e-14 ++ pdiblc2 = -0.0494207478878231 lpdiblc2 = 4.64986617405843e-08 wpdiblc2 = 4.70187229649905e-08 ppdiblc2 = -4.33270712374435e-14 ++ pdiblcb = -0.025 ++ drout = 0.0668626154906704 ldrout = 1.79086170348289e-07 wdrout = 8.00335032998614e-07 pdrout = -3.30368501539237e-13 ++ pscbe1 = 197557456.754715 lpscbe1 = 165.265068419482 wpscbe1 = -757.385327200876 ppscbe1 = 0.0004726598563327 ++ pscbe2 = 1.62888022760051e-08 lpscbe2 = -1.96196031869739e-15 wpscbe2 = 5.92920798052892e-15 ppscbe2 = -4.24526217815309e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000513370145671293 lalpha0 = -3.62495130907301e-10 walpha0 = -7.92100974462705e-10 palpha0 = 5.93381815859525e-16 ++ alpha1 = 0.0 ++ beta0 = 131.244395370038 lbeta0 = -6.23885730068033e-05 wbeta0 = -0.000190569881705684 pbeta0 = 1.39522662464605e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -9.07838266410607e-08 lagidl = 8.24815961076959e-14 wagidl = 1.75203603800158e-13 pagidl = -1.42843139787477e-19 ++ bgidl = 548752443.570002 lbgidl = 905.67072333921 wbgidl = 450.886237168168 pbgidl = -0.000358608342269242 ++ cgidl = 3841.92783339 lcgidl = -0.00234910091532852 wcgidl = -0.00447336833314894 pcgidl = 3.15197858731384e-9 ++ egidl = 0.218104400613138 legidl = 4.08976471076351e-07 wegidl = 4.81264182946003e-06 pegidl = -4.07537779883434e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.72691930255 lkt1 = 6.1292890333587e-08 wkt1 = 3.99170742558939e-07 pkt1 = -2.83111849159926e-13 ++ kt2 = -0.019032 ++ at = 119726.867108333 lat = -0.0636968302449187 wat = -0.214219070942522 pat = 1.41555924579963e-7 ++ ute = -1.70644941428333 lute = 1.60751097080453e-07 wute = 9.3139839930419e-07 pute = -6.60594314706494e-13 ++ ua1 = 5.524e-10 ++ ub1 = -3.5909e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.54 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.08810174903286+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.61207844916901e-08 wvth0 = 2.27415562767526e-07 pvth0 = -6.93328261461319e-14 ++ k1 = 0.70191557406815 lk1 = -8.9511081639545e-08 wk1 = -3.7367309401878e-07 pk1 = 2.66335389820058e-13 ++ k2 = -0.00363173216900381 lk2 = 3.03007404750472e-08 wk2 = 8.59082103159264e-08 pk2 = -6.75199114334334e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 22554.558733982 lvsat = 0.027101254391538 wvsat = -0.00466851749837571 pvsat = -7.97050541473752e-10 ++ ua = 2.89377098995614e-09 lua = 2.9313299755306e-16 wua = -1.55164429279925e-15 pua = -9.14255026533968e-22 ++ ub = -5.37428333762268e-19 lub = -4.94209484531315e-25 wub = 1.95133916911565e-24 pub = 7.75062940329696e-31 ++ uc = -5.19633150204846e-12 luc = 1.53486156269312e-18 wuc = 8.12454903564408e-18 puc = -4.56689769726899e-24 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0255068275642672 lu0 = -2.67320545803525e-09 wu0 = -1.01892844705302e-08 pu0 = 1.08510925838575e-15 ++ a0 = 1.00544265757667 la0 = -8.17128349954172e-08 wa0 = -4.81821878255864e-07 pa0 = 2.43132128035775e-13 ++ keta = -0.14481252411372 lketa = 5.60725274788149e-08 wketa = 6.89853967317842e-08 pketa = -4.77384647917201e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.672843756388567 lags = 2.40431490417319e-08 wags = 1.43643355948665e-07 pags = -7.15390916436254e-14 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0530690668443025+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -6.47914036150301e-09 wvoff = -5.87717953730138e-08 pvoff = 1.92783322720727e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.68804403496459+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.73043726281862e-08 wnfactor = -5.76317029255847e-07 pnfactor = 1.79172521919235e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.0247560778665867 leta0 = -2.1317982705245e-08 weta0 = -6.79850343887519e-08 peta0 = 6.09069236846211e-14 ++ etab = 0.0105406711525826 letab = -5.3678367844527e-09 wetab = -3.69758449850672e-08 petab = 1.88299490586455e-14 ++ dsub = 0.300865814793376 ldsub = -1.17608189390116e-08 wdsub = -7.74608790631168e-08 pdsub = 3.49748208919987e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.662413845611478 lpclm = 9.38158187847344e-08 wpclm = 9.21756931953574e-07 ppclm = -2.7913127515415e-13 ++ pdiblc1 = 0.320534222962811 lpdiblc1 = 9.87277565172351e-08 wpdiblc1 = 4.05919528632457e-07 ppdiblc1 = -2.93759108218181e-13 ++ pdiblc2 = 0.0192563236005596 lpdiblc2 = -2.21055121255114e-09 wpdiblc2 = -2.33435569089946e-08 ppdiblc2 = 6.57737576318046e-15 ++ pdiblcb = -0.025 ++ drout = 0.560273750149023 ldrout = -1.70865676908147e-07 wdrout = -3.82280541809809e-07 pdrout = 5.08401594893639e-13 ++ pscbe1 = 354433812.358504 lpscbe1 = 54.0005132074944 wpscbe1 = 135.57973075314 ppscbe1 = -0.000160675611021187 ++ pscbe2 = 1.6355008599768e-08 lpscbe2 = -2.00891715382625e-15 wpscbe2 = -8.48416768085536e-15 ppscbe2 = 5.9774245096837e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -4.74024680986435e-06 lalpha0 = 4.97466495995917e-12 walpha0 = 6.54015462506897e-11 palpha0 = -1.48018469564507e-17 ++ alpha1 = 0.0 ++ beta0 = 45.1022501519365 lbeta0 = -1.29225651086492e-06 wbeta0 = 7.27436586001246e-07 pbeta0 = 3.84503946622694e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.49116321580628e-07 lagidl = -8.76675840185367e-14 wagidl = -3.56007700065763e-13 pagidl = 2.33918477479428e-19 ++ bgidl = 1225098106.98367 lbgidl = 425.972561563068 wbgidl = 1732.31029376787 pbgidl = -0.00126745835441258 ++ cgidl = 1671.92003742666 lcgidl = -0.000810022886041529 wcgidl = -0.00342747586768102 pcgidl = 2.41017935618072e-9 ++ egidl = 3.42724495190953 legidl = -1.86710646493062e-06 wegidl = -8.7662827549408e-06 pegidl = 5.55547446265195e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.64785623168 lkt1 = 5.21740731903931e-09 wkt1 = 5.96176924272786e-08 pkt1 = -4.22838483540474e-14 ++ kt2 = -0.019032 ++ at = 40228.1945783334 lat = -0.00731239675301626 wat = 0.00772293499717636 pat = -1.58564431327679e-8 ++ ute = -1.2463100961815 lute = -1.65602714283272e-07 wute = -8.65201761350892e-07 pute = 6.1364434923812e-13 ++ ua1 = 5.534185e-10 lua1 = -7.2237112499977e-19 ++ ub1 = -7.53108446284004e-19 lub1 = -2.01270365947307e-24 wub1 = -1.06536816367548e-23 pub1 = 7.55612370086835e-30 ++ uc1 = -4.5116068918284e-10 luc1 = 2.42535618802929e-16 wuc1 = 5.04544531012064e-16 puc1 = -3.57848208620307e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.55 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.693401667364405+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.44880232097972e-07 wvth0 = -1.6311819757262e-07 pvth0 = 1.29546491307088e-13 ++ k1 = 0.439823410429467 lk1 = 4.39593526934539e-08 wk1 = 4.98591512721738e-07 pk1 = -1.77865361162549e-13 ++ k2 = 0.102242166050673 lk2 = -2.36155421933232e-08 wk2 = -2.192180104795e-07 pk2 = 8.78656165066377e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -297531.869596497 lvsat = 0.190105268018834 wvsat = 0.497833957552635 pvsat = -2.56696435961201e-7 ++ ua = 3.71254445317336e-09 lua = -1.23827388590308e-16 wua = -7.23101414376659e-15 pua = 1.97796407007115e-21 ++ ub = -8.42707050214492e-18 lub = 3.52359078971755e-24 wub = 1.68879544396448e-23 pub = -6.83140838618726e-30 ++ uc = 2.66361792467217e-10 luc = -1.36756113068655e-16 wuc = -3.9153560356749e-16 puc = 1.98960035015877e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00574979775194839 lu0 = 7.38806197388808e-09 wu0 = 5.9789996192277e-09 pu0 = -7.14858941432348e-15 ++ a0 = 0.070361740752297 la0 = 3.94477121897393e-07 wa0 = 1.29890159628679e-06 pa0 = -6.63701301375068e-13 ++ keta = -0.363101170008507 lketa = 1.67236020400735e-07 wketa = 4.39425361536022e-07 pketa = -2.36385016868278e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 5.53133506073384 lags = -2.4501435476961e-06 wags = -7.84508014716465e-06 pags = 3.99671835231683e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.27346164211768+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.72764903900393e-07 wvoff = -4.50819004303309e-07 pvoff = 2.18928373419826e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {3.5047551439186+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.47855759606648e-07 wnfactor = -3.29771490166983e-06 pnfactor = 1.56504438844605e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -1.01580269862506 leta0 = 5.08586574223126e-07 weta0 = 1.27507747659074e-06 peta0 = -6.23047660031683e-13 ++ etab = 0.0664306462193101 letab = -3.38298065871837e-08 wetab = -1.36904918681211e-08 petab = 6.97188298384067e-15 ++ dsub = 0.136256671460085 ldsub = 7.20663873034672e-08 wdsub = 1.89083057851627e-07 pdsub = -1.00762678981835e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.56090542718983 lpclm = -1.38224101913404e-06 wpclm = -3.23940473908767e-06 ppclm = 1.8399403058236e-12 ++ pdiblc1 = 1.83533027189823 lpdiblc1 = -6.72682131403126e-07 wpdiblc1 = -8.42404808765055e-07 ppdiblc1 = 3.41950060601502e-13 ++ pdiblc2 = 0.0605090135217994 lpdiblc2 = -2.32184835549425e-08 wpdiblc2 = -5.37577509649013e-08 ppdiblc2 = 2.2065804086151e-14 ++ pdiblcb = 1.59860170066667 lpdiblcb = -8.26819166064502e-07 wpdiblcb = -2.39553663484184e-06 ppdiblcb = 1.21992703129321e-12 ++ drout = -2.94796269644758 ldrout = 1.61570373352117e-06 wdrout = 3.13725228976994e-06 pdrout = -1.28392049958835e-12 ++ pscbe1 = 727753101.983397 lpscbe1 = -136.112335033983 wpscbe1 = -589.767557204716 ppscbe1 = 0.000208707495371353 ++ pscbe2 = 4.51575515409641e-09 lpscbe2 = 4.020222663382e-15 wpscbe2 = 1.40845215578492e-14 ppscbe2 = -5.5156804851266e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 9.88284439070776e-05 lalpha0 = -4.77676907876436e-11 walpha0 = -3.28289358041667e-11 palpha0 = 3.52220260299849e-17 ++ alpha1 = -8.11800850333335e-10 lalpha1 = 4.13409583032251e-16 walpha1 = 1.19776831742092e-15 palpha1 = -6.09963515646603e-22 ++ beta0 = 377.433352107628 lbeta0 = -0.000170531870181801 wbeta0 = -0.000472449140061111 pbeta0 = 2.44810211123769e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -2.15519195447563e-07 lagidl = 9.80230530280694e-14 wagidl = 6.19973272792139e-13 pagidl = -2.63099832948459e-19 ++ bgidl = 7385190946.50168 lbgidl = -2711.05471696148 wbgidl = -9446.37141546862 pbgidl = 0.0044252853060161 ++ cgidl = -3811.26191228474 lcgidl = 0.00198228752184901 wcgidl = 0.00986242242326289 pcgidl = -4.35770134848246e-9 ++ egidl = -14.8688587072428 legidl = 7.45018432349273e-06 wegidl = 2.7176353502586e-05 pegidl = -1.27483130514936e-11 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -1.01170926078667 lkt1 = 1.90509562391611e-07 wkt1 = 4.07782674810646e-07 pkt1 = -2.19586865632778e-13 ++ kt2 = -0.019032 ++ at = 5741.50281666659 lat = 0.0102499510266125 wat = 0.0364744964101606 pat = -3.04981757823301e-8 ++ ute = -2.90505813892767 lute = 6.79114726485216e-07 wute = 2.05619650504028e-06 pute = -8.74077717921583e-13 ++ ua1 = 5.52e-10 ++ ub1 = -9.16382311598535e-18 lub1 = 2.27045278607234e-24 wub1 = 1.25157438236401e-23 pub1 = -4.24290621483777e-30 ++ uc1 = 2.5099730656e-11 wuc1 = -1.98152000397473e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.56 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.968165261076+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 7.78041196153988e-9 ++ k1 = 0.57463991368 wk1 = 9.95938786049872e-9 ++ k2 = 0.02677868733568 wk2 = -1.5112939312937e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 375817.673048 wvsat = -0.28840199632798 ++ ua = 3.51442702460324e-09 wua = -1.23291312284281e-15 ++ ub = -1.059473464076e-18 wub = 1.27501177195708e-24 ++ uc = 1.8222228631144e-11 wuc = -6.17757859069069e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.02153075062268 wu0 = -2.85624305783072e-9 ++ a0 = 1.0637165987314 wa0 = -1.5342911941005e-7 ++ keta = -0.0124276434096 wketa = 4.14149342592068e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.17092428540856 wags = -3.25095720191583e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.082107614352132+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} wvoff = -2.49446080986503e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.64624739688+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -4.64579537770085e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.32078245010308 wpclm = -2.18231773413575e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.0029006459454072 wpdiblc2 = 6.18307179756727e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 205823461.64888 wpscbe1 = 18.4302214325066 ++ pscbe2 = 1.495870890448e-08 wpscbe2 = 3.90315894186015e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.5867466425788e-05 walpha0 = 1.26915383848055e-10 ++ alpha1 = 0.0 ++ beta0 = 34.405916560192 wbeta0 = 4.51978897221495e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.00990984e-08 wagidl = 2.93600451378864e-14 ++ bgidl = 2323927891.6 wbgidl = -783.798067749654 ++ cgidl = -1350.231344 wcgidl = 0.00268270216357943 ++ egidl = -0.33330798459196 wegidl = 1.80773865143749e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.67403568 wkt1 = 1.1513743191328e-7 ++ kt2 = -0.019032 ++ at = 713175.90816 wat = -0.54828445077104 ++ ute = -1.5561 ++ ua1 = 2.2096e-11 ++ ub1 = -5.0741587948e-18 wub1 = 1.94841319155248e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.57 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.968165261075999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 7.7804119615403e-9 ++ k1 = 0.57463991368 wk1 = 9.95938786049872e-9 ++ k2 = 0.02677868733568 wk2 = -1.51129393129372e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 375817.673048 wvsat = -0.28840199632798 ++ ua = 3.51442702460324e-09 wua = -1.23291312284281e-15 ++ ub = -1.059473464076e-18 wub = 1.27501177195708e-24 ++ uc = 1.8222228631144e-11 wuc = -6.17757859069069e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.02153075062268 wu0 = -2.85624305783072e-9 ++ a0 = 1.0637165987314 wa0 = -1.53429119410049e-7 ++ keta = -0.0124276434096 wketa = 4.14149342592068e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.17092428540856 wags = -3.25095720191583e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.082107614352132+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} wvoff = -2.49446080986503e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.64624739688+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -4.64579537770093e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.32078245010308 wpclm = -2.18231773413575e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.0029006459454072 wpdiblc2 = 6.18307179756727e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 205823461.64888 wpscbe1 = 18.4302214325066 ++ pscbe2 = 1.495870890448e-08 wpscbe2 = 3.90315894185889e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.5867466425788e-05 walpha0 = 1.26915383848055e-10 ++ alpha1 = 0.0 ++ beta0 = 34.405916560192 wbeta0 = 4.51978897221495e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.00990984e-08 wagidl = 2.93600451378864e-14 ++ bgidl = 2323927891.6 wbgidl = -783.798067749653 ++ cgidl = -1350.231344 wcgidl = 0.00268270216357943 ++ egidl = -0.33330798459196 wegidl = 1.80773865143749e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.67403568 wkt1 = 1.1513743191328e-7 ++ kt2 = -0.019032 ++ at = 713175.90816 wat = -0.54828445077104 ++ ute = -1.5561 ++ ua1 = 2.2096e-11 ++ ub1 = -5.0741587948e-18 wub1 = 1.94841319155248e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.58 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.941392346639715+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.11753673505192e-07 wvth0 = -3.17215775518198e-08 pvth0 = 3.12431110558542e-13 ++ k1 = 0.613249194219438 lk1 = -3.05370452106546e-07 wk1 = -4.70065206742921e-08 pk1 = 4.50557612078795e-13 ++ k2 = 0.0146578823728739 lk2 = 9.58664766520743e-08 wk2 = 1.63722992678587e-08 pk2 = -1.41445809510396e-13 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 452224.009262194 lvsat = -0.60431681470211 wvsat = -0.401135419469867 pvsat = 8.9163682698497e-7 ++ ua = 2.97337168670849e-09 lua = 4.27934193124408e-15 wua = -4.34615188767346e-16 pua = -6.31393793508637e-21 ++ ub = -8.09805836481051e-19 lub = -1.97468368355535e-24 wub = 9.06640669492621e-25 pub = 2.91353914216701e-30 ++ uc = 4.72154531599621e-11 luc = -2.29314661104555e-16 wuc = -1.04553723065053e-16 puc = 3.38341399468071e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0200931126121938 lu0 = 1.13706384344384e-08 wu0 = -7.35085805810822e-10 pu0 = -1.67767629955384e-14 ++ a0 = 0.989509847529271 la0 = 5.86919746945435e-07 wa0 = -4.39410651758736e-08 pa0 = -8.65968392951654e-13 ++ keta = -0.0155646236205401 lketa = 2.48111607333778e-08 wketa = 8.76993833023137e-09 pketa = -3.66075278594193e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.17158990940506 lags = -5.26458659431712e-09 wags = -3.34916642822981e-08 pags = 7.76761323223853e-15 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0618789272081338+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.59993743793667e-07 wvoff = -5.47909436305138e-08 pvoff = 2.36062129305392e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.7632764161151+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.25611770385173e-07 wnfactor = -2.19127952091352e-07 pnfactor = 1.36569018416772e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.453315568875e-05 lcit = -7.5400111631246e-11 wcit = -1.40656564283434e-11 pcit = 1.11248793105875e-16 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.90346002666485 lpclm = -1.25177926224212e-05 wpclm = -4.51747303376351e-06 ppclm = 1.84693270535809e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.00699621661092798 lpdiblc2 = 3.23928922862702e-08 wpdiblc2 = 1.22258651537272e-08 ppdiblc2 = -4.77939633522082e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 206473737.264721 lpscbe1 = -5.14319241458998 wpscbe1 = 17.4707748762166 ppscbe1 = 7.5885026753382e-6 ++ pscbe2 = 1.49752317699197e-08 lpscbe2 = -1.30683473479319e-16 wpscbe2 = 1.46529936969822e-17 ppscbe2 = 1.92816408211155e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.000188354295464569 lalpha0 = 9.68778952574979e-10 walpha0 = 3.07638085806009e-10 palpha0 = -1.42938103046094e-15 ++ alpha1 = 1.90663113775e-10 lalpha1 = -1.50800223262492e-15 walpha1 = -2.81313128566869e-16 palpha1 = 2.22497586211751e-21 ++ beta0 = -34.3080743828642 lbeta0 = 0.000543476132866367 wbeta0 = 0.000105903572053183 pbeta0 = -8.0186968633315e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.58310850734e-08 lagidl = -2.05088303636989e-13 wagidl = -8.89854034720774e-15 pagidl = 3.02596717247981e-19 ++ bgidl = 2232218933.87423 lbgidl = 725.34907389259 wbgidl = -648.48645290899 pbgidl = -0.00107021338967852 ++ cgidl = -3081.452417077 lcgidl = 0.0136926602722343 wcgidl = 0.00523702537096659 pcgidl = -2.0202780828027e-8 ++ egidl = 0.581998511225766 legidl = -7.23938790204636e-06 wegidl = 4.57253343409207e-07 pegidl = 1.06813259225227e-11 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.712168302754999 lkt1 = 3.01600446524982e-07 wkt1 = 1.71400057626653e-07 pkt1 = -4.449951724235e-13 ++ kt2 = -0.019032 ++ at = 843894.53896414 lat = -1.03388633068765 wat = -0.741152731716485 pat = 1.52544345106776e-6 ++ ute = -1.27830384322982 lute = -2.19715925293451e-06 wute = -4.09873228321928e-07 pute = 3.24178983110521e-12 ++ ua1 = 2.2096e-11 ++ ub1 = -3.78356017765702e-18 lub1 = -1.02076671126381e-23 wub1 = 4.42046242833467e-26 pub1 = 1.50608616106734e-29 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.59 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.977790579174184+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -6.94638829698129e-08 wvth0 = 2.15707677380099e-08 pvth0 = 1.04098009734267e-13 ++ k1 = 0.51349360807869 lk1 = 8.45990730141704e-08 wk1 = 1.00177459874729e-07 pk1 = -1.24821363882466e-13 ++ k2 = 0.0561869388909532 lk2 = -6.64809875412275e-08 wk2 = -4.19016219368717e-08 pk2 = 8.6361516959196e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 112957.541040318 lvsat = 0.721960626194257 wvsat = 0.0994339340022268 pvsat = -1.06521391807581e-6 ++ ua = 3.48178012635833e-09 lua = 2.29184623854293e-15 wua = -1.18428917506341e-15 pua = -3.38327490415848e-21 ++ ub = -1.16878759458449e-18 lub = -5.71334245689483e-25 wub = 1.32214013501967e-24 pub = 1.28924785655539e-30 ++ uc = 5.77342299868566e-11 luc = -2.70435189415092e-16 wuc = -1.01858918807805e-16 puc = 3.27806735925421e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0208987552637788 lu0 = 8.22117989872948e-09 wu0 = -2.13078901631789e-09 pu0 = -1.13206102198636e-14 ++ a0 = 1.56869513386951 la0 = -1.67726033368014e-06 wa0 = -7.57598195423818e-07 pa0 = 1.92389574347012e-12 ++ keta = -0.204865052880046 lketa = 7.64833863816103e-07 wketa = 2.88072499479453e-07 pketa = -1.12847106503201e-12 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.21059565856311 lags = -4.06699781149045e-06 wags = -1.56788754944372e-06 pags = 6.00610472729952e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0824821094867173+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -7.94507534711149e-08 wvoff = -2.43920607503068e-08 pvoff = 1.17225296405942e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.78664697705663+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.01697313574589e-06 wnfactor = -1.43930241698711e-07 pnfactor = 1.07172353481529e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.04421768137306e-05 lcit = 2.22347069540764e-11 wcit = 2.27840980111116e-11 pcit = -3.28061094365643e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.0689896220399989 leta0 = 5.8243767995987e-07 weta0 = 2.1982614188043e-07 peta0 = -8.5935534514607e-13 ++ etab = 0.0591591033464759 letab = -5.04915224757211e-07 wetab = -1.90567282396145e-07 petab = 7.44975148707128e-13 ++ dsub = 0.00128891735000058 ldsub = 2.18414129984951e-06 wdsub = 8.24348032051612e-07 pdsub = -3.22258254429777e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.54494780980401 lpclm = -7.20702873865796e-06 wpclm = -2.51306161744505e-06 ppclm = 1.06335817243379e-11 ++ pdiblc1 = 0.391691590921237 lpdiblc1 = -6.61285180884383e-09 wpdiblc1 = -2.49585105837482e-09 ppdiblc1 = 9.75690574995173e-15 ++ pdiblc2 = 0.00129 ++ pdiblcb = -0.025 ++ drout = 0.705265198091947 ldrout = -5.67877975640943e-07 wdrout = -2.1433095546397e-07 pdrout = 8.37873287647526e-13 ++ pscbe1 = 363168680.227529 lpscbe1 = -617.70289819195 wpscbe1 = -213.724151938488 ppscbe1 = 0.00091138727032572 ++ pscbe2 = 1.44958057655718e-08 lpscbe2 = 1.74351263401788e-15 wpscbe2 = 7.22020174108138e-16 ppscbe2 = -2.57245874181115e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000654987888843972 lalpha0 = -2.32805648143319e-09 walpha0 = -9.36667766663291e-10 palpha0 = 3.43492162330467e-15 ++ alpha1 = -3.8132622755e-10 lalpha1 = 7.28047099949837e-16 walpha1 = 5.62626257133737e-16 palpha1 = -1.07419418143259e-21 ++ beta0 = 202.198098885013 lbeta0 = -0.000381085624981083 wbeta0 = -0.000243048515270213 pbeta0 = 5.62271261035839e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -5.34454116469e-08 lagidl = 6.57308411668438e-14 wagidl = 7.89485137277221e-14 pagidl = -4.08193788944384e-20 ++ bgidl = 3206601147.8926 lbgidl = -3083.75459625875 wbgidl = -1815.84409636932 pbgidl = 0.00349327947801878 ++ cgidl = -992.757093792 lcgidl = 0.00552742807968238 wcgidl = 0.00112424794017403 pcgidl = -4.12490565670114e-9 ++ egidl = -2.28099851112266 legidl = 3.95278320756925e-06 wegidl = 4.68145084804511e-06 pegidl = -5.83211817247522e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.7245522523975 lkt1 = 3.50012401664926e-07 wkt1 = 1.81220920764382e-07 pkt1 = -4.83387381644664e-13 ++ kt2 = -0.019032 ++ at = 342754.941029028 lat = 0.925193642540192 wat = 0.0687751602206268 pat = -1.64076716048739e-6 ++ ute = -2.24017972938735 lute = 1.5630540550268e-06 wute = 8.03259496002821e-07 pute = -1.50064927146132e-12 ++ ua1 = -7.26361199255202e-11 lua1 = 3.70331539818839e-16 wua1 = -6.07159803873747e-16 pua1 = 2.37353946329345e-21 ++ ub1 = -5.7351885660459e-18 lub1 = -2.57826383532887e-24 wub1 = 3.62698979394673e-24 pub1 = 1.0548586861668e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.60 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.00959025086373+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -8.75035979654963e-09 wvth0 = 5.52858250712565e-08 pvth0 = 3.97275365207705e-14 ++ k1 = 0.56275275317729 lk1 = -9.44894976533098e-09 wk1 = 2.74982512755803e-08 pk1 = 1.39414151354583e-14 ++ k2 = 0.018509749003067 lk2 = 5.45418725221937e-09 wk2 = 6.0305628651484e-09 pk2 = -5.1530068740608e-15 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 615647.688167738 lvsat = -0.23780053720877 wvsat = -0.602011754551337 pvsat = 2.74021262795079e-7 ++ ua = 3.41067015273188e-09 lua = 2.42761295568923e-15 wua = -1.08099649533305e-15 pua = -3.58048645293367e-21 ++ ub = -3.71121533524489e-19 lub = -2.09427817276829e-24 wub = 3.48530814671479e-25 pub = 3.14811145143018e-30 ++ uc = -1.60221542248213e-10 luc = 1.45696868724714e-16 wuc = 1.35318318341354e-16 puc = -1.25023904101609e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0221967396338391 lu0 = 5.74300324019189e-09 wu0 = -3.41222135160667e-09 pu0 = -8.8740355337135e-15 ++ a0 = 0.564678600023194 la0 = 2.3965823356594e-07 wa0 = 4.37362208328509e-07 pa0 = -3.57582407394005e-13 ++ keta = 0.244413873626346 lketa = -9.29519266162268e-08 wketa = -3.74814295518698e-07 pketa = 1.37145548318205e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.872983012763503 lags = -8.89252332601019e-08 wags = 1.52691043448288e-06 pags = 9.73616764876726e-14 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.112542685953607+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -2.20575978517055e-08 wvoff = 1.99606965554598e-08 pvoff = 3.25447945199075e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.3686177624999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.18850857853443e-07 wnfactor = 3.52233253089067e-07 pnfactor = 1.24423382391728e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -2.24826912753876e-06 lcit = 6.59048870421462e-12 wcit = 1.06944296911506e-11 pcit = -9.72391019667864e-18 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.306212879403643 leta0 = -1.33917695921404e-07 weta0 = -3.33764888064586e-07 peta0 = 1.97588328776451e-13 ++ etab = -0.205543336899806 letab = 4.67909283002908e-10 wetab = 1.99986874255471e-07 petab = -6.90374879969534e-16 ++ dsub = 1.23025905219471 ldsub = -1.62269930102746e-07 wdsub = -9.88931037524474e-07 pdsub = 2.39420519290377e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.3572837250317 lpclm = 2.15255681922712e-06 wpclm = 4.71991929677254e-06 ppclm = -3.17598708613199e-12 ++ pdiblc1 = 0.271758261939298 lpdiblc1 = 2.22369856549922e-07 wpdiblc1 = 1.7445929945471e-07 ppdiblc1 = -3.28094715367156e-13 ++ pdiblc2 = 0.00257196283119567 lpdiblc2 = -2.44758753546034e-09 wpdiblc2 = -1.89146693143633e-09 ppdiblc2 = 3.61128323884481e-15 ++ pdiblcb = -0.025 ++ drout = 1.04996238088609 ldrout = -1.22599107189065e-06 wdrout = -7.22913035028851e-07 pdrout = 1.80888362305677e-12 ++ pscbe1 = 41649287.2565508 lpscbe1 = -3.84199716210901 wpscbe1 = 260.660350342971 ppscbe1 = 5.66865934484534e-6 ++ pscbe2 = 1.47890132863298e-08 lpscbe2 = 1.18370617501071e-15 wpscbe2 = 2.8940831043586e-16 ppscbe2 = -1.74649454109486e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.000999909737729803 lalpha0 = 8.31556812102793e-10 walpha0 = 1.50504431687448e-09 palpha0 = -1.22691717218982e-15 ++ alpha1 = 0.0 ++ beta0 = -6.96579482348511 lbeta0 = 1.82605390818674e-05 wbeta0 = 6.55615150464158e-05 pbeta0 = -2.69424393461849e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -6.57059399086385e-08 lagidl = 8.9139254750568e-14 wagidl = 1.36918570563341e-13 pagidl = -1.51498709907844e-19 ++ bgidl = 1527883643.4601 lbgidl = 121.336799079005 wbgidl = 131.329568767718 pbgidl = -0.000224361842144116 ++ cgidl = 2725.44660408318 lcgidl = -0.00157155233048581 wcgidl = -0.00141358734229611 pcgidl = 7.20456356354983e-10 ++ egidl = -2.61790291183535 legidl = 4.59601793462995e-06 wegidl = 5.17853509845904e-06 pegidl = -6.78117627757802e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.555195231163 lkt1 = 2.66675088729581e-08 wkt1 = -3.79370642064764e-08 pkt1 = -6.49599988390542e-14 ++ kt2 = -0.019032 ++ at = 1605982.98471986 lat = -1.48662449987654 wat = -1.56180254081974 pat = 1.47241331522392e-6 ++ ute = -1.554081257815 lute = 2.53120548177288e-07 wute = 1.87389768483609e-07 pute = -3.24799994195269e-13 ++ ua1 = -2.70618672148961e-10 lua1 = 7.48329727651442e-16 wua1 = 1.2143196077475e-15 pua1 = -1.10412010334441e-21 ++ ub1 = -1.0263146780052e-17 lub1 = 6.06674038476229e-24 wub1 = 7.97968895025961e-24 pub1 = -7.25553217802355e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.61 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.36716478697198+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.1637428715988e-07 wvth0 = 4.49980864834052e-07 pvth0 = -3.19148928383551e-13 ++ k1 = 0.465125555305598 lk1 = 7.93185798995032e-08 wk1 = 1.94721000538898e-07 pk1 = -1.38105869632214e-13 ++ k2 = -0.00487320855871004 lk2 = 2.67151414152651e-08 wk2 = 1.65141205537685e-09 pk2 = -1.17126400027602e-15 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1408317.12091373 lvsat = -0.958535218933063 wvsat = -1.36678962515362 pvsat = 9.69395541640203e-7 ++ ua = 2.27437497585534e-08 lua = -1.5150989675904e-14 wua = -2.28169125815762e-14 pua = 1.61828952484829e-20 ++ ub = -1.50983015356272e-17 lub = 1.12964102441436e-23 wub = 1.73250654733511e-23 pub = -1.22878026869743e-29 ++ uc = 6.77923030150765e-12 luc = -6.1485837161193e-18 wuc = -9.92861574866739e-18 puc = 7.04187071974235e-24 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0733522117613004 lu0 = -4.07701097917023e-08 wu0 = -5.98829889883094e-08 pu0 = 4.24720099399584e-14 ++ a0 = 0.942610790685141 la0 = -1.03976610793438e-07 wa0 = 2.00445902643454e-07 pa0 = -1.42166256449869e-13 ++ keta = 0.875554976142642 lketa = -6.66816974079169e-07 wketa = -1.01827174941085e-06 pketa = 7.22209238269648e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -7.02252933270158 lags = 5.5025497581436e-06 wags = 7.42852494520614e-06 pags = -5.26868131738746e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.325415190732614+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.71496727118606e-07 wvoff = 2.53470289314796e-07 pvoff = -1.79773802696519e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {-0.466920645252049+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.45011243939502e-06 wnfactor = 2.2234573388148e-06 pnfactor = -1.5769871175544e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.273125e-05 lcit = 1.61221390625e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.698331504131791 leta0 = -4.90451555455472e-07 weta0 = -5.29436978481369e-07 peta0 = 3.75503176987911e-13 ++ etab = -0.917591728340729 letab = 6.47897909200663e-07 wetab = 9.0573845268409e-07 petab = -6.42394997566191e-13 ++ dsub = 3.84122942635146 ldsub = -2.53629474280477e-06 wdsub = -3.29882513289375e-06 pdsub = 2.3396917255049e-12 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.04171203503977 lpclm = 3.68412326010196e-06 wpclm = 5.57799767229219e-06 ppclm = -3.95619484907323e-12 ++ pdiblc1 = 0.736857725441143 lpdiblc1 = -2.00521830639131e-07 wpdiblc1 = -8.47337986689803e-07 ppdiblc1 = 6.00974467059743e-13 ++ pdiblc2 = -0.0239630975856034 lpdiblc2 = 2.16794161485142e-08 wpdiblc2 = 9.45733465718165e-09 ppdiblc2 = -6.70761460560609e-15 ++ pdiblcb = -0.025 ++ drout = -3.29316526542489 ldrout = 2.7229977405176e-06 wdrout = 5.75787472978396e-06 pdrout = -4.08377265209927e-12 ++ pscbe1 = -1138144250.84545 lpscbe1 = 1068.88527735714 wpscbe1 = 1213.37041447096 ppscbe1 = -0.000860582966463527 ++ pscbe2 = 2.53341744242803e-08 lpscbe2 = -8.40448158967084e-15 wpscbe2 = -7.41675017415522e-15 ppscbe2 = 5.2603300610196e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.000503151529201403 lalpha0 = 3.79879410998345e-10 walpha0 = 7.07721864641514e-10 palpha0 = -5.01951732496994e-16 ++ alpha1 = 0.0 ++ beta0 = -108.626614991932 lbeta0 = 0.000110695639820028 wbeta0 = 0.000163346841048844 pbeta0 = -1.15853747013892e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.19478766443192e-07 lagidl = -7.9239939499834e-14 wagidl = -1.3502749811563e-13 pagidl = 9.57682530385105e-20 ++ bgidl = 1210002259.4755 lbgidl = 410.370447467001 wbgidl = -524.752158710337 pbgidl = 0.000372180468565308 ++ cgidl = 2724.2124713841 lcgidl = -0.00157043019532917 wcgidl = -0.00282423967313879 pcgidl = 2.00309198817368e-9 ++ egidl = 10.5035421773808 legidl = -7.33465601273982e-06 wegidl = -1.03629661965207e-05 pegidl = 7.3499337748823e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.11934565031 lkt1 = -3.69628722517633e-07 wkt1 = -4.97271372343963e-07 pkt1 = 3.52689720834956e-13 ++ kt2 = -0.019032 ++ at = -202847.3551 lat = 0.158054486604675 wat = 0.261721774917875 pat = -1.85626168860503e-7 ++ ute = -0.551898427455004 lute = -6.58114190327542e-07 wute = -7.72079236007731e-07 pute = 5.47597198138482e-13 ++ ua1 = 5.524e-10 ++ ub1 = -3.5909e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.62 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.933968312249998+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.12968746331289e-9 ++ k1 = 0.4486544625 lk1 = 9.10007024718747e-8 ++ k2 = 0.0545935165462499 lk2 = -1.54616333654278e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 19390.418875 lvsat = 0.0265610444879062 ++ ua = 1.84212674625e-09 lua = -3.26513554427813e-16 ++ ub = 7.85113574999999e-19 lub = 3.10980769312502e-26 ++ uc = 3.10172318250001e-13 luc = -1.56040434149381e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.01860092625 lu0 = -1.93776054281249e-9 ++ a0 = 0.678882499999999 la0 = 8.30726793750007e-8 ++ keta = -0.0980569012499999 lketa = 2.37172499615625e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.770199644674999 lags = -2.44432690607436e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0929023073512499+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 6.58696458037406e-9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.29743873375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.98740549837812e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.021321538249999 leta0 = 1.99623648538125e-8 ++ etab = -0.014520120625 letab = 7.39437142828125e-9 ++ dsub = 0.24836583915 ldsub = 1.19437564178627e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.28714489775 lpclm = -9.53685194791875e-8 ++ pdiblc1 = 0.595650715625 lpdiblc1 = -1.00370758927032e-7 ++ pdiblc2 = 0.00343496727237499 lpdiblc2 = 2.24733864799303e-9 ++ pdiblcb = -0.025 ++ drout = 0.3011788447375 ldrout = 1.73709180384928e-7 ++ pscbe1 = 446324488.6375 lpscbe1 = -54.8991761211469 ++ pscbe2 = 1.06047692275e-08 lpscbe2 = 2.04234904614563e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.958638137625e-05 lalpha0 = -5.05745207885529e-12 ++ alpha1 = 0.0 ++ beta0 = 45.59527842 lbeta0 = 1.31376191761501e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -9.2171872e-08 lagidl = 7.0873275816e-14 wagidl = 2.52435489670724e-29 pagidl = 6.01853107621011e-36 ++ bgidl = 2399190750 lbgidl = -433.061489437501 ++ cgidl = -651.090000000001 lcgidl = 0.0008235030825 ++ egidl = -2.5142010616625 legidl = 1.89817837955163e-06 wegidl = -2.11758236813575e-22 pegidl = -4.03896783473158e-28 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.607449675 lkt1 = -2.34409430062503e-8 ++ kt2 = -0.019032 ++ at = 45462.5 lat = -0.018059278125 ++ ute = -1.83271025 lute = 2.503015948125e-7 ++ ua1 = 5.53418499999999e-10 lua1 = -7.22371125000165e-19 ++ ub1 = -7.97376012499999e-18 lub1 = 3.10854354365625e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.63 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.840657815464368+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.83886830247707e-08 wvth0 = 5.41502971168777e-08 pvth0 = -2.757603880677e-14 ++ k1 = 0.529206660873701 lk1 = 4.99794954500678e-08 wk1 = 3.66711353386794e-07 pk1 = -1.86747756712225e-13 ++ k2 = -0.00411231214659974 lk2 = 1.4434309896406e-08 wk2 = -6.22977210412471e-08 pk2 = 3.17251144402551e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 324236.512453956 lvsat = -0.128681828667177 wvsat = -0.419551714670178 pvsat = 2.13656710695788e-7 ++ ua = -5.19344031931497e-09 lua = 3.25634897371115e-15 wua = 5.90928546486223e-15 pua = -3.00930362298109e-21 ++ ub = 9.5181654609053e-18 lub = -4.41620859596602e-24 wub = -9.58927218109383e-24 pub = 4.88333685822203e-30 ++ uc = -1.72065167409975e-11 luc = 7.35996956192797e-18 wuc = 2.68541239805337e-17 puc = -1.36754626370868e-23 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00694536733226694 lu0 = 3.99783283604309e-09 wu0 = 4.21500126422512e-09 pu0 = -2.14648939380665e-15 ++ a0 = 0.194539743947901 la0 = 3.29724227894531e-07 wa0 = 1.11568365818385e-06 pa0 = -5.68161902930124e-13 ++ keta = 0.251095277846505 lketa = -1.54088497243332e-07 wketa = -4.66788330665864e-07 pketa = 2.37711957391591e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -5.61837645448228 lags = 3.2289391094351e-06 wags = 8.60571710911492e-06 pags = -4.38246143781678e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.203861609677007+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 6.30929892897659e-08 wvoff = 2.53445678264156e-07 pvoff = -1.29067211656021e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {-0.141244938127194+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 9.31390209741275e-07 wnfactor = 2.08176133538432e-06 pnfactor = -1.06013696004447e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -2.992012755e-05 lcit = 2.03293249548375e-11 wcit = 5.88999925131373e-11 pcit = -2.99948211873152e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.62395555151589 leta0 = -3.08644993109466e-07 weta0 = -1.14429727454673e-06 peta0 = 5.82733387062922e-13 ++ etab = 0.08125553551469 letab = -4.13793814608559e-08 wetab = -3.55638154794323e-08 petab = 1.81108730329009e-14 ++ dsub = 0.300894506263302 ldsub = -1.48064673095866e-08 wdsub = -5.38311769574418e-08 pdsub = 2.74135268655772e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.78215644629306 lpclm = 1.46767318997474e-06 wpclm = 4.64399452989518e-06 ppclm = -2.36495421434912e-12 ++ pdiblc1 = 0.831833650779935 lpdiblc1 = -2.20646918654682e-07 wpdiblc1 = 6.38200266877447e-07 ppdiblc1 = -3.2500348590734e-13 ++ pdiblc2 = 0.00966171079169956 lpdiblc2 = -9.23630489222993e-10 wpdiblc2 = 2.12646984590136e-08 ppdiblc2 = -1.08290476902527e-14 ++ pdiblcb = -1.621805102 lpdiblcb = 8.13172998193499e-07 wpdiblcb = 2.35599970052549e-06 ppdiblcb = -1.19979284749261e-12 ++ drout = -0.821654929325002 ldrout = 7.45512279826257e-7 ++ pscbe1 = 3992032757.91542 lpscbe1 = -1860.55111225093 wpscbe1 = -5406.035918431 ppscbe1 = 0.00275302379146099 ++ pscbe2 = -5.830437739442e-08 lpscbe2 = 3.71343319633584e-14 wpscbe2 = 1.06772234846028e-13 ppscbe2 = -5.43737605953396e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -3.67097169415412e-05 lalpha0 = 3.37963359894799e-11 walpha0 = 1.67150301467285e-10 palpha0 = -8.51212910222148e-17 ++ alpha1 = 0.0 ++ beta0 = -5.64479187731126 lbeta0 = 2.74077677165207e-05 wbeta0 = 9.2761975168892e-05 pbeta0 = -4.72390358547583e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.36033469245627e-07 lagidl = -9.62652942133356e-14 wagidl = -4.62683001187699e-14 pagidl = 2.35621318354836e-20 ++ bgidl = 3850669213.192 lbgidl = -1172.22689681802 wbgidl = -4231.37546214378 pbgidl = 0.00215482795409672 ++ cgidl = 1276.299898 lcgidl = -0.000158020223056503 wcgidl = 0.00235599970052549 pcgidl = -1.19979284749261e-9 ++ egidl = -0.497156799849904 legidl = 8.70998589223562e-07 wegidl = 5.97168341013074e-06 pegidl = -3.04107977660908e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.495809234699999 lkt1 = -8.02938372290253e-08 wkt1 = -3.53399955078825e-07 pkt1 = 1.79968927123891e-13 ++ kt2 = -0.019032 ++ at = -1473.60203999997 lat = 0.00584293183886997 wat = 0.0471199940105098 pat = -2.39958569498521e-8 ++ ute = -0.882306789812006 lute = -2.33691367288239e-07 wute = -9.28263882007044e-07 pute = 4.72718381912087e-13 ++ ua1 = 5.52e-10 ++ ub1 = -6.81138000000002e-19 lub1 = -6.05224273500002e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.64 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.991641436775999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 3.06801536434019e-8 ++ k1 = 0.62498167272 wk1 = -3.9146279628033e-8 ++ k2 = 0.016196245398984 wk2 = 8.81130672608864e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -210585.346096 wvsat = 0.283602483083959 ++ ua = 2.00680665770416e-09 wua = 2.37689133567428e-16 ++ ub = 6.90279836712e-19 wub = -4.31778086283373e-25 ++ uc = -8.1292615534288e-11 wuc = 3.52955707748871e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.01695872034616 wu0 = 1.60352558727963e-9 ++ a0 = 0.9927399307044 wa0 = -8.41952124897841e-8 ++ keta = -0.0095869438128 wketa = 1.37054436702051e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.17588942434192 wags = -3.73527969311484e-8 ++ b0 = -8.29213795839999e-09 wb0 = 8.08853280296944e-15 ++ b1 = -9.57066398879999e-11 wb1 = 9.335665905219e-17 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.10375224615976+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} wvoff = -3.83143858042676e-9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.4262540304+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.68133695582442e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -9.50891999999999e-06 wcit = 1.415266797832e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.56120909605 wpclm = 2.57980139159309e-6 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0096712918908144 wpdiblc2 = -6.08017467702374e-9 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 222156089.19184 wpscbe1 = 2.49862522623641 ++ pscbe2 = 1.5011313840776e-08 wpscbe2 = -1.22816852715827e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000195588957154592 walpha0 = -1.28121238707732e-10 ++ alpha1 = 0.0 ++ beta0 = 42.965495993128 wbeta0 = -3.82961854732472e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.13392672e-08 wagidl = 3.05697628331712e-14 ++ bgidl = 993726204.0 wbgidl = 513.741847613015 ++ cgidl = 1980.3568 wcgidl = -0.0005661067191328 ++ egidl = 2.7701879881744 wegidl = -1.21955408121356e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.526982160000001 wkt1 = -2.83053359566396e-8 ++ kt2 = -0.019032 ++ at = -430282.4244 wat = 0.567097405891282 ++ ute = -1.9788899288 wute = 4.12408744888244e-7 ++ ua1 = 2.2096e-11 ++ ub1 = -5.0409175896e-18 wub1 = 1.91598819090496e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.65 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.01237186890688+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.12727355901748e-07 wvth0 = 5.09015707437417e-08 pvth0 = -4.02593248404933e-13 ++ k1 = 0.651432625425055 lk1 = -5.26618630143116e-07 wk1 = -6.49477556403685e-08 pk1 = 5.13688036298582e-13 ++ k2 = 0.0102424878594144 lk2 = 1.18534847294677e-07 wk2 = 1.46188757030317e-08 pk2 = -1.15624342654203e-13 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -402214.178730148 lvsat = 3.81518633612142 wvsat = 0.470526061361608 pvsat = -3.7215082508243e-6 ++ ua = 1.84620125432388e-09 lua = 3.19753312724885e-15 wua = 3.9435103187311e-16 pua = -3.11902089884239e-21 ++ ub = 9.82030211150698e-19 lub = -5.80853114229366e-24 wub = -7.16364822028104e-25 pub = 5.66590846862578e-30 ++ uc = -1.05141662803544e-10 luc = 4.74816644345427e-16 wuc = 5.85590285374933e-17 puc = -4.63157996460169e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0158752258257738 lu0 = 2.15715632799983e-08 wu0 = 2.66041598321223e-09 pu0 = -2.10418951152213e-14 ++ a0 = 1.04963023049076 la0 = -1.13264320102151e-06 wa0 = -1.39688627855186e-07 pa0 = 1.10483227986363e-12 ++ keta = -0.0105130140441657 lketa = 1.84373637538176e-08 wketa = 2.27387586992525e-09 pketa = -1.79846527242063e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.201128529422031 lags = -5.02491652816196e-07 wags = -6.19721810251222e-08 pags = 4.90153472772948e-13 ++ b0 = -1.37575206373562e-08 lb0 = 1.0881167010101e-13 wb0 = 1.34197184756266e-14 pb0 = -1.0613990835335e-19 ++ b1 = -1.58787285015847e-10 lb1 = 1.25588833401159e-15 wb1 = 1.54888422019568e-16 pb1 = -1.22505125185827e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.101163361554475+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -5.15427508277677e-08 wvoff = -6.35675571311343e-09 pvoff = 5.02771701239424e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.3126469016451+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.26183272816351e-06 wnfactor = 2.78951314897893e-07 pnfactor = -2.20629568735617e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.90718096258333e-05 lcit = 1.90389960283122e-10 wcit = 2.34807504122806e-11 pcit = -1.8571512519833e-16 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -4.30436847788299 lpclm = 3.47049959227585e-05 wpclm = 4.28015923796455e-06 ppclm = -3.38528494528711e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0137796366517622 lpdiblc2 = -8.17940629319002e-08 wpdiblc2 = -1.00876431407112e-08 ppdiblc2 = 7.97856915106703e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 220467780.154178 lpscbe1 = 33.6129667080641 wpscbe1 = 4.14547952378734 ppscbe1 = -3.27876339235155e-5 ++ pscbe2 = 1.50196125163933e-08 lpscbe2 = -1.65220407533688e-16 wpscbe2 = -2.03765952077753e-17 ppscbe2 = 1.61163585647168e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000282159861408401 lalpha0 = -1.72356177551514e-09 walpha0 = -2.12566480978493e-10 palpha0 = 1.68124143967914e-15 ++ alpha1 = 0.0 ++ beta0 = 45.553150810807 lbeta0 = -5.15182666788749e-05 wbeta0 = -6.35373608861041e-06 pbeta0 = 5.02532871588419e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.19951087917999e-08 lagidl = 4.11242314211543e-13 wagidl = 5.07184208905261e-14 pagidl = -4.01144670428393e-19 ++ bgidl = 646593310.582251 lbgidl = 6911.15555827733 wbgidl = 852.351239965786 pbgidl = -0.00674145904469938 ++ cgidl = 2362.87238503333 lcgidl = -0.00761559841132489 wcgidl = -0.000939230016491224 pcgidl = 7.42860500793321e-9 ++ egidl = 3.59423481024676 legidl = -1.64061541923442e-05 wegidl = -2.02336725761676e-06 pegidl = 1.60033174823054e-11 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.507856380748335 lkt1 = -3.8077992056624e-07 wkt1 = -4.69615008245606e-08 pkt1 = 3.71430250396657e-13 ++ kt2 = -0.019032 ++ at = -813467.41170714 lat = 7.6289257085447 wat = 0.940873669020084 pat = -7.44160506669709e-6 ++ ute = -2.25755253249678 lute = 5.54796344265017e-06 wute = 6.84229067013856e-07 pute = -5.41173874827934e-12 ++ ua1 = 2.2096e-11 ++ ub1 = -6.33554158714531e-18 lub1 = 2.5774992823129e-23 wub1 = 3.17882399081455e-24 pub1 = -2.51421136493499e-29 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.66 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.982995969255926+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.80386021587439e-07 wvth0 = 8.86050971467371e-09 pvth0 = -7.00799864607857e-14 ++ k1 = 0.468410253525961 lk1 = 9.20951064799799e-07 wk1 = 9.42760446693979e-08 pk1 = -7.45652806301435e-13 ++ k2 = 0.0582991279433052 lk2 = -2.61557133288836e-07 wk2 = -2.61973791588362e-08 pk2 = 2.07201621112025e-13 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 211488.47937806 lvsat = -1.03874141252092 wvsat = -0.166310909786508 pvsat = 1.31539456322894e-6 ++ ua = 3.57073354363451e-09 lua = -1.04422238819813e-14 wua = -1.01730942265841e-15 pua = 8.04615455116106e-21 ++ ub = -6.84306541793995e-19 lub = 7.37094282093416e-24 wub = 7.84222884487311e-25 pub = -6.20261484913126e-30 ++ uc = -6.77319227841574e-11 luc = 1.78933658097097e-16 wuc = 7.57123501013417e-18 puc = -5.98827905039036e-23 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.023084479928291 lu0 = -3.54482297303358e-08 wu0 = -3.65300308882863e-09 pu0 = 2.88925146803179e-14 ++ a0 = 0.970482533749587 la0 = -5.06644280571427e-07 wa0 = -2.53809480587364e-08 pa0 = 2.0074426343356e-13 ++ keta = -0.000534772696822755 lketa = -6.04830416226547e-08 wketa = -5.890869633905e-09 pketa = 4.65923606519631e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.0988408611085875 lags = 3.06527087791905e-07 wags = 3.74711038823028e-08 pags = -2.96368328381104e-13 ++ b0 = 8.1040100784688e-09 lb0 = -6.40966417131294e-14 wb0 = -7.90502421500208e-15 pb0 = 6.25228127725052e-20 ++ b1 = 9.3535295495541e-11 lb1 = -7.39794035898108e-16 wb1 = -9.12386298499435e-17 pb1 = 7.21629133140666e-22 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.151976274263611+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 3.50349279016964e-07 wvoff = 3.30941531653632e-08 pvoff = -2.61749930923148e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.53301737819451+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.18867536515069e-07 wnfactor = 5.47730541213101e-09 pnfactor = -4.33213778308861e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.13437499999997e-07 lcit = 3.8649044453125e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.497086103674554 lpclm = 4.59224780455046e-06 wpclm = -2.24977913108458e-07 ppclm = 1.77940655925307e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.0055373989390125 lpdiblc2 = -1.66041443023346e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225920465.073143 lpscbe1 = -9.51368148725487 wpscbe1 = -1.49845797759735 ppscbe1 = 1.18516787593129e-5 ++ pscbe2 = 1.49533720830446e-08 lpscbe2 = 3.58691739929416e-16 wpscbe2 = 3.59759378205929e-17 ppscbe2 = -2.84542686207546e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000180849902470727 lalpha0 = -9.22275982787351e-10 walpha0 = -5.25006722531846e-11 palpha0 = 4.152409420185e-16 ++ alpha1 = -3.8132622755e-10 lalpha1 = 3.01600446524984e-15 walpha1 = 2.76631586471237e-16 palpha1 = -2.18794837529763e-21 ++ beta0 = 172.630513426203 lbeta0 = -0.0010566048969447 wbeta0 = -9.59538456708203e-05 pbeta0 = 7.58922953871935e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.23210937140001e-09 lagidl = 1.67930061045746e-13 wagidl = 7.74568442119467e-15 pagidl = -6.12625545083339e-20 ++ bgidl = 2218542799.7048 lbgidl = -5521.78593856518 wbgidl = -635.146122537961 pbgidl = 0.00502352946968337 ++ cgidl = 4295.252191054 lcgidl = -0.0228992733920939 wcgidl = -0.00195855163221636 pcgidl = 1.54906744971073e-8 ++ egidl = -1.53256546967815 legidl = 2.41429909216519e-05 wegidl = 2.51989632032601e-06 pegidl = -1.99304899715385e-11 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.508094252244999 lkt1 = -3.78898535431236e-07 wkt1 = -2.7663158647124e-08 pkt1 = 2.18794837529764e-13 ++ kt2 = -0.019032 ++ at = 457835.275913145 lat = -2.42612507351604 wat = -0.364572767810444 pat = 2.88349716380475e-6 ++ ute = -1.69849443125 lute = 1.12623315536406e-6 ++ ua1 = 2.2096e-11 ++ ub1 = -3.73824283125e-18 lub1 = 5.23230763806405e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.67 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.892852149367366+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.72008706311919e-07 wvth0 = -6.12820838633308e-08 pvth0 = 2.04124947484031e-13 ++ k1 = 0.71630439206244 lk1 = -4.81290962739339e-08 wk1 = -9.76535081190842e-08 pk1 = 4.64779793693757e-15 ++ k2 = -0.0128117804976345 lk2 = 1.64331855339072e-08 wk2 = 2.54029028958487e-08 pk2 = 5.48321848974813e-15 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -78637.0076552859 lvsat = 0.0954316476641868 wvsat = 0.286324070149159 pvsat = -4.54068732084569e-7 ++ ua = 1.23409434694359e-09 lua = -1.30771710231728e-15 wua = 1.00820692772358e-15 pua = 1.27904758430245e-22 ++ ub = -5.19012452076701e-19 lub = 6.72476690070682e-24 wub = 6.88319571361017e-25 pub = -5.8277048222923e-30 ++ uc = -4.79207386264372e-12 luc = -6.71139462993304e-17 wuc = -4.08678858230249e-17 puc = 1.29477842613124e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0113679083377098 lu0 = 1.0354777760144e-08 wu0 = 7.16603749432844e-09 pu0 = -1.3401819719389e-14 ++ a0 = 0.165933125620294 la0 = 2.63854049315802e-06 wa0 = 6.10720394474845e-07 pa0 = -2.28593490986585e-12 ++ keta = 0.293593566378887 lketa = -1.21030425115437e-06 wketa = -1.98146966842196e-07 pketa = 7.98169508663475e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -1.05579318418875 lags = 4.82028022937052e-06 wags = 6.42852381663216e-07 pags = -2.66295508854614e-12 ++ b0 = 1.68583618134721e-07 lb0 = -6.91451549507035e-13 wb0 = -1.64444215975041e-13 pb0 = 6.74473648160439e-19 ++ b1 = 8.93551485454018e-10 lb1 = -3.86725732649328e-15 wb1 = -8.7161122228018e-16 pb1 = 3.77230069009857e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0107594551459677+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -2.01702571118683e-07 wvoff = -9.43536370363738e-08 pvoff = 2.36475342922992e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.13365383494946+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.82917053205422e-06 wnfactor = -4.82416693202836e-07 pnfactor = 1.86397823625466e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.13754336274612e-05 lcit = -4.44694139081529e-11 wcit = -8.25226282330725e-12 pcit = 3.22601584420139e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.322576933060001 leta0 = -9.48293875564805e-07 weta0 = -1.62125888025645e-07 peta0 = 6.33790627764252e-13 ++ etab = -0.134410118122952 letab = 2.5179525427215e-07 wetab = -1.75095959067695e-09 petab = 6.84493877985391e-15 ++ dsub = 1.00139128243825 ldsub = -1.72550887087171e-06 wdsub = -1.51197819564257e-07 pdsub = 5.91070076131574e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.52252635445367 lpclm = 1.25102001049087e-05 wpclm = 2.42998578618345e-06 ppclm = -8.59951028220381e-12 ++ pdiblc1 = 0.820471817134348 lpdiblc1 = -1.68282195113245e-06 wpdiblc1 = -4.20747807597049e-07 ppdiblc1 = 1.64480836684876e-12 ++ pdiblc2 = 0.00334169882537969 lpdiblc2 = -8.02060363311553e-09 wpdiblc2 = -2.00132141242131e-09 ppdiblc2 = 7.82366573150801e-15 ++ pdiblcb = -0.025 ++ drout = -0.10216486022926 ldrout = 2.58856797985124e-06 wdrout = 5.73273465205218e-07 pdrout = -2.2410692938535e-12 ++ pscbe1 = 52996996.6449871 lpscbe1 = 666.487387465514 wpscbe1 = 88.8315761253693 ppscbe1 = -0.000341271007057711 ++ pscbe2 = 1.46063095159276e-08 lpscbe2 = 1.71544608043147e-15 wpscbe2 = 6.14229732838565e-16 ppscbe2 = -2.54508133438151e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.00129869453818571 lalpha0 = 4.86163312184881e-09 walpha0 = 9.69043942053101e-10 palpha0 = -3.57823234145835e-15 ++ alpha1 = 1.0396640102e-09 lalpha1 = -2.53900162167435e-15 walpha1 = -8.23472986318549e-16 palpha1 = 2.11263542588084e-21 ++ beta0 = -388.704512187547 lbeta0 = 0.00113779405193586 wbeta0 = 0.000333345073090071 pbeta0 = -9.19313844294081e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -6.71403790950143e-08 lagidl = 4.25581964462785e-13 wagidl = 9.23072149451154e-14 pagidl = -3.91834717708971e-19 ++ bgidl = -1555853333.8917 lbgidl = 9233.27214669693 wbgidl = 2829.67307806925 pbgidl = -0.00852131499029037 ++ cgidl = -1556.718474778 lcgidl = -2.24570666901049e-05 wcgidl = 0.0016743618134113 pcgidl = 1.28870760978731e-9 ++ egidl = 6.16859773506181 legidl = -5.96278133647779e-06 wegidl = -3.56067401191055e-06 pegidl = 3.83997959975729e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.686902433693 lkt1 = 3.20107347894359e-07 wkt1 = 1.44495555708352e-07 pkt1 = -4.54216616564381e-13 ++ kt2 = -0.019032 ++ at = -284475.971318125 lat = 0.475755169722804 wat = 0.680605044746009 pat = -1.20236419993156e-6 ++ ute = -1.544125840346 lute = 5.22767741372601e-07 wute = 1.24296514152994e-07 pute = -4.85906147952592e-13 ++ ua1 = -1.30716412814896e-09 lua1 = 5.19641015596632e-15 wua1 = 5.97055603635775e-16 pua1 = -2.33403961851315e-21 ++ ub1 = -4.20529119779e-18 lub1 = 7.05811646496056e-24 wub1 = 2.13465752567099e-24 pub1 = -8.34490993222931e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.68 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.01733155363709+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 6.56535962900519e-08 wvth0 = 6.283704789632e-08 pvth0 = -3.28495048280811e-14 ++ k1 = 0.68119793884542 lk1 = 1.8897899530662e-08 wk1 = -8.80386313036548e-08 pk1 = -1.37094056229206e-14 ++ k2 = -0.00342421692820261 lk2 = -1.49002021103062e-09 wk2 = 2.74259621969416e-08 pk2 = 1.62069251913654e-15 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -34113.59686402 lvsat = 0.0104253256109622 wvsat = 0.0317952918877518 pvsat = 3.1890337811023e-8 ++ ua = 3.08901387003978e-09 lua = -4.84922220178869e-15 wua = -7.67238161006176e-16 pua = 3.51767329408753e-21 ++ ub = 7.79453424105219e-19 lub = 4.24567092660649e-24 wub = -7.7379292544859e-25 pub = -3.03616653775855e-30 ++ uc = -7.57602766297423e-11 luc = 6.83820948337526e-17 wuc = 5.2930914638879e-17 puc = -4.96075171687665e-23 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0231230638400158 lu0 = -1.20887528826337e-08 wu0 = -4.31580059322486e-09 pu0 = 8.5198796492722e-15 ++ a0 = 1.80729777746693 la0 = -4.95234968380179e-07 wa0 = -7.74745697832276e-07 pa0 = 3.59266226871527e-13 ++ keta = -0.425642807490658 lketa = 1.62897795656057e-07 wketa = 2.78789813850159e-07 pketa = -1.12422039873405e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.446655722843 lags = 4.24796536201592e-08 wags = -7.35771891609539e-07 pags = -3.081669480013e-14 ++ b0 = -1.3225759820552e-07 lb0 = -1.17070457209429e-13 wb0 = 1.29010145139182e-13 pb0 = 1.14195909203109e-19 ++ b1 = 5.695485976798e-10 lb1 = -3.24865481301036e-15 wb1 = -5.5556390141237e-16 pb1 = 3.1688873427317e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.139510413282458+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 4.41151957034109e-08 wvoff = 4.62662583074777e-08 pvoff = -3.20031922622566e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.04080726125058+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.5734678878037e-07 wnfactor = 6.71994695290711e-07 pnfactor = -3.40081707226642e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 4.98761825507752e-06 lcit = -1.31809774084292e-11 wcit = 3.63621228732703e-12 pcit = 9.56208733703535e-18 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.377419941626961 leta0 = 3.88175157431277e-07 weta0 = 3.33082012678432e-07 peta0 = -3.11685056655008e-13 ++ etab = -0.0134909135360391 letab = 2.09302629145866e-08 wetab = 1.26501060949782e-08 petab = -2.06502958804833e-14 ++ dsub = -0.0723542883760557 ldsub = 3.24539860205493e-07 wdsub = 2.81697935081916e-07 pdsub = -2.35436143426634e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 6.28477320981786 lpclm = -4.3051365881767e-06 wpclm = -3.70994057209873e-06 ppclm = 3.12314411734643e-12 ++ pdiblc1 = 0.172006588362524 lpdiblc1 = -4.44739713099843e-07 wpdiblc1 = 2.7176167043848e-07 ppdiblc1 = 3.22634645909429e-13 ++ pdiblc2 = -0.00342314533019774 lpdiblc2 = 4.89517507092067e-09 wpdiblc2 = 3.95643734416223e-09 ppdiblc2 = -3.55118517449912e-15 ++ pdiblcb = -0.025 ++ drout = -0.0306258600616882 ldrout = 2.4519821437813e-06 wdrout = 3.31142442250692e-07 pdrout = -1.77878063827757e-12 ++ pscbe1 = 398055733.395698 lpscbe1 = 7.68399432421802 wpscbe1 = -86.9948919176757 ppscbe1 = -5.57432294652667e-6 ++ pscbe2 = 1.67447714410044e-08 lpscbe2 = -2.36741235002141e-15 wpscbe2 = -1.6183281585089e-15 ppscbe2 = 1.71742981967363e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.00211874516120117 lalpha0 = -1.66311362420558e-09 walpha0 = -1.53703512966814e-09 palpha0 = 1.20649912622544e-15 ++ alpha1 = -2.901784e-10 walpha1 = 2.830533595664e-16 ++ beta0 = 226.361681396106 lbeta0 = -3.65210781637347e-05 wbeta0 = -0.00016203683832208 pbeta0 = 2.64940700695686e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 2.90997468822477e-07 lagidl = -2.58192721673685e-13 wagidl = -2.1102634266979e-13 pagidl = 1.87304877167288e-19 ++ bgidl = 3502305384.9852 lbgidl = -424.017387318792 wbgidl = -1794.61222131597 pbgidl = 0.000307601717560869 ++ cgidl = -1649.65663064424 lcgidl = 0.000154985107397515 wcgidl = 0.00285408960760581 pcgidl = -9.63687681278556e-10 ++ egidl = 7.85997106636054 legidl = -9.1920358692599e-06 wegidl = -5.04206516207623e-06 pegidl = 6.66832565321111e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.437644094654001 lkt1 = -1.5578913591585e-07 wkt1 = -1.52601850109634e-07 pkt1 = 1.1301660549361e-13 ++ kt2 = -0.019032 ++ at = -94658.0785163279 lat = 0.113345357890971 wat = 0.097080981749756 pat = -8.82708826559657e-8 ++ ute = -1.107123869308 lute = -3.11578271831701e-07 wute = -2.48593028305989e-07 pute = 2.2603321098722e-13 ++ ua1 = 2.19843734429792e-09 lua1 = -1.49665945530288e-15 wua1 = -1.19411120727155e-15 pua1 = 1.08574561521166e-21 ++ ub1 = 2.29419007058e-18 lub1 = -5.35101814667486e-24 wub1 = -4.26931505134197e-24 pub1 = 3.88187471043269e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.69 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.880396735320149+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.88543872646269e-08 wvth0 = -2.48350840775213e-08 pvth0 = 4.68663811691329e-14 ++ k1 = 0.969168697278098 lk1 = -2.42939512574251e-07 wk1 = -2.96945866125607e-07 pk1 = 1.76239497638941e-13 ++ k2 = -0.0862168837171923 lk2 = 7.37892120668583e-08 wk2 = 8.09977746140178e-08 pk2 = -4.708947792109e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -143687.962826487 lvsat = 0.110055817862335 wvsat = 0.147107525760443 pvsat = -7.29573108377215e-8 ++ ua = -8.40116727511754e-09 lua = 5.59822500444561e-15 wua = 7.56327215925e-15 pua = -4.0568432146054e-21 ++ ub = 1.08019103888018e-17 lub = -4.86724806854387e-24 wub = -7.93919264748543e-24 pub = 3.47897315950345e-30 ++ uc = -5.14707066768781e-12 luc = 4.17703731275453e-18 wuc = 1.70484682653045e-18 puc = -3.03021501038853e-24 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = -0.00430654062596375 lu0 = 1.28516149780582e-08 wu0 = 1.58689303928379e-08 pu0 = -9.83308699980535e-15 ++ a0 = 2.33424232933645 la0 = -9.74359302167535e-07 wa0 = -1.15701551520781e-06 pa0 = 7.0684505832023e-13 ++ keta = -0.56219860296167 lketa = 2.87061152688074e-07 wketa = 3.84179228312131e-07 pketa = -2.08247364972953e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.05891232734278 lags = 3.95035335978732e-07 wags = -4.54484996317488e-07 pags = -2.86576804344426e-13 ++ b0 = -1.18662839188899e-06 lb0 = 8.41616186947265e-13 wb0 = 1.15749191835455e-12 pb0 = -8.20951143092962e-19 ++ b1 = -1.365396375285e-08 lb1 = 9.68407379170885e-15 wb1 = 1.33187043268625e-14 pb1 = -9.44629104382723e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0360545754317634+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -4.99520248623329e-08 wvoff = -2.87853654379571e-08 pvoff = 3.623749662828e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.00230406779479+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.16894182569953e-07 wnfactor = -1.85138030627881e-07 pnfactor = 4.39266223814845e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -7.86924275499999e-05 lcit = 6.29051042398374e-11 wcit = 6.43415667964372e-11 pcit = -4.56342562503731e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.502204453567878 leta0 = -4.11623323899631e-07 weta0 = -3.38125631517002e-07 peta0 = 2.98610493829691e-13 ++ etab = 0.0553048603729534 letab = -4.16222945121648e-08 wetab = -4.32696331903175e-08 petab = 3.01947270646719e-14 ++ dsub = 0.875511760505652 ldsub = -5.37307344740201e-07 wdsub = -4.05927698615124e-07 pdsub = 3.897874640124e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.14481128275993 lpclm = -1.45012620599927e-06 wpclm = -1.43206775196226e-06 ppclm = 1.05198825563735e-12 ++ pdiblc1 = -2.10046491111544 lpdiblc1 = 1.6215049978005e-06 wpdiblc1 = 1.92031702984877e-06 ppdiblc1 = -1.17631431463438e-12 ++ pdiblc2 = -0.0615620131490256 lpdiblc2 = 5.77579406351899e-08 wpdiblc2 = 4.61330464478596e-08 ppdiblc2 = -4.1900267002036e-14 ++ pdiblcb = -0.025 ++ drout = 8.9465792388557 ldrout = -5.71054159240934e-06 wdrout = -6.18133508793853e-06 pdrout = 4.14268955604698e-12 ++ pscbe1 = -394403560.362135 lpscbe1 = 728.227607173528 wpscbe1 = 487.891532901768 ppscbe1 = -0.000528289804713607 ++ pscbe2 = 2.70650785081174e-08 lpscbe2 = -1.17511515507938e-14 wpscbe2 = -9.10515363911769e-15 ppscbe2 = 8.52482588791718e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000867698582174087 lalpha0 = -5.25599522225207e-10 walpha0 = -6.29468393099262e-10 palpha0 = 3.81294071000188e-16 ++ alpha1 = -2.901784e-10 walpha1 = 2.830533595664e-16 ++ beta0 = 220.844423845692 lbeta0 = -3.15045117360209e-05 wbeta0 = -0.000158034365901162 pbeta0 = 2.28548220208494e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -7.28530449221919e-08 lagidl = 7.2638357948655e-14 wagidl = 5.25817979534884e-14 pagidl = -5.23808246944283e-20 ++ bgidl = -362326022.855995 lbgidl = 3089.89872026082 wbgidl = 1008.96917497679 pbgidl = -0.00224155466701833 ++ cgidl = -3552.2174621482 lcgidl = 0.00188488854344249 wcgidl = 0.00329807879980556 pcgidl = -1.36738485428618e-9 ++ egidl = -3.10898960757627 legidl = 7.81491623517151e-07 wegidl = 2.91532348298853e-06 pegidl = -5.66929972314023e-13 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.56924518047 lkt1 = -3.61308486376511e-08 wkt1 = -5.841867524751e-08 pkt1 = 2.73805537502234e-14 ++ kt2 = -0.019032 ++ at = -37442.0151 lat = 0.0613216522296749 wat = 0.100377797636235 pat = -9.12685125007462e-8 ++ ute = -1.3434125 lute = -9.67328343749982e-8 ++ ua1 = 5.524e-10 ++ ub1 = -3.5909e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.70 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-1.21960631549036+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.81730007471098e-07 wvth0 = 2.78624447708798e-07 pvth0 = -1.68362291750315e-13 ++ k1 = 0.2061850912346 lk1 = 2.98206610012101e-07 wk1 = 2.36515778323349e-07 pk1 = -2.02118173686482e-13 ++ k2 = 0.101930159822552 lk2 = -5.96540785637051e-08 wk2 = -4.61743393372954e-08 pk2 = 4.31073438988788e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -190179.209856701 lvsat = 0.143029734818515 wvsat = 0.204423856067823 pvsat = -1.13608918108231e-7 ++ ua = -6.69346222854139e-09 lua = 4.38703520016147e-15 wua = 8.32600612310437e-15 pua = -4.5978122784691e-21 ++ ub = 1.74328677397342e-17 lub = -9.57025456969272e-24 wub = -1.62389852089734e-23 pub = 9.36560103373877e-30 ++ uc = 8.97113270220401e-12 luc = -5.83629842734124e-18 wuc = -8.44829916268641e-18 puc = 4.17090378246353e-24 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0184673111754759 lu0 = -3.30073941211287e-09 wu0 = 1.30334289984253e-10 pu0 = 1.32951228614358e-15 ++ a0 = 1.0278216270047 la0 = -4.77804190387424e-08 wa0 = -3.40371275680225e-07 pa0 = 1.27640131435292e-13 ++ keta = -0.58747143159423 lketa = 3.04985906395718e-07 wketa = 4.77397445966158e-07 pketa = -2.74362385844071e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 5.60276787161849 lags = -2.82769420879882e-06 wags = -4.71390934669912e-06 pags = 2.73441991616374e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.375932389042172+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.91106314440849e-07 wvoff = 2.76080561065083e-07 pvoff = -1.79988661744001e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {-3.52694787457549+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.30472775755617e-06 wnfactor = 4.70592861954467e-06 pnfactor = -3.02972279782004e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -2.694333755e-05 lcit = 2.62020621573375e-11 wcit = 3.60362308397973e-11 pcit = -2.55586967231262e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.300492987665517 leta0 = 1.57689836295155e-07 weta0 = 2.72316673646569e-07 peta0 = -1.34345711107572e-13 ++ etab = -0.011986611463238 letab = 6.10418188765395e-09 wetab = -2.47130137780409e-09 petab = 1.25851022664674e-15 ++ dsub = -0.135768977023096 ldsub = 1.79943518352064e-07 wdsub = 3.74702769896781e-07 pdsub = -1.63874695779669e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.98380930214169 lpclm = -6.2668555124579e-07 wpclm = -6.79558506606253e-07 ppclm = 5.18271073368604e-13 ++ pdiblc1 = 1.12885723160216 lpdiblc1 = -6.68891731921964e-07 wpdiblc1 = -5.2011416318386e-07 ppdiblc1 = 5.54561509024015e-13 ++ pdiblc2 = 0.0260909508661457 lpdiblc2 = -4.40992409257034e-09 wpdiblc2 = -2.20996885726093e-08 ppdiblc2 = 6.49380031123158e-15 ++ pdiblcb = -0.025 ++ drout = 4.17525267587675 ldrout = -2.32647822761652e-06 wdrout = -3.77894982228946e-06 pdrout = 2.43879780638538e-12 ++ pscbe1 = 1834778071.64029 lpscbe1 = -852.819465324191 wpscbe1 = -1354.36149372574 ppscbe1 = 0.000778328154421953 ++ pscbe2 = -9.9097965701732e-10 lpscbe2 = 8.14760770282793e-15 wpscbe2 = 1.13110268664069e-14 ppscbe2 = -5.95535013562613e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000407647910317554 lalpha0 = -1.99308583210961e-10 walpha0 = -3.5902414615968e-10 palpha0 = 1.89481488858288e-16 ++ alpha1 = -1.029045151e-09 lalpha1 = 5.2404124314675e-16 walpha1 = 1.00377797636235e-15 palpha1 = -5.11173934462525e-22 ++ beta0 = 512.767903388725 lbeta0 = -0.000238551239601917 wbeta0 = -0.000455701668335243 pbeta0 = 2.33975356272221e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.47094219241048e-07 lagidl = 1.25293910834304e-13 wagidl = 5.35737839268913e-14 pagidl = -5.30843907460643e-20 ++ bgidl = 11638848237.92 lbgidl = -5421.93412419456 wbgidl = -9012.78693796161 pbgidl = 0.00486637585608324 ++ cgidl = -6858.8047645906 lcgidl = 0.00423008558769976 wcgidl = 0.00605529053626084 pcgidl = -3.32293727836709e-9 ++ egidl = -12.127467111179 legidl = 7.17784679294738e-06 wegidl = 9.37722191493666e-06 pegidl = -5.15003143517323e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.165983138929999 lkt1 = -3.22144451599898e-07 wkt1 = -4.30626766743336e-07 pkt1 = 2.91369142643639e-13 ++ kt2 = -0.019032 ++ at = 148367.0151 lat = -0.070463402439675 wat = -0.100377797636235 pat = 5.11173934462525e-8 ++ ute = -1.83271025 lute = 2.503015948125e-7 ++ ua1 = 5.53418499999999e-10 lua1 = -7.22371124999376e-19 ++ ub1 = -7.973760125e-18 lub1 = 3.10854354365625e-24 ++ uc1 = 4.2331604478072e-10 luc1 = -3.77687004760726e-16 wuc1 = -5.19440645817174e-16 puc1 = 3.68413278045831e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.71 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.278990944084825+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.97278370417174e-07 wvth0 = -4.93725405902813e-07 pvth0 = 2.24956871201399e-13 ++ k1 = 1.4190614479586 lk1 = -3.19450674649597e-07 wk1 = -5.01293939256023e-07 pk1 = 1.73611424990814e-13 ++ k2 = -0.140340584851328 lk2 = 6.3722298161468e-08 wk2 = 7.05856026554891e-08 pk2 = -1.63526565609466e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -456589.290233476 lvsat = 0.278699068250387 wvsat = 0.342101691258066 pvsat = -1.83721355678862e-7 ++ ua = 1.15293975258833e-08 lua = -4.89295612977933e-15 wua = -1.04029398198851e-14 pua = 4.93990344299828e-21 ++ ub = -2.38981375541509e-17 lub = 1.14775598762183e-23 wub = 2.30065269297307e-23 pub = -1.06201760228963e-29 ++ uc = 2.18911233286766e-11 luc = -1.24158036538724e-17 wuc = -1.12835126348696e-17 puc = 5.61473624317284e-24 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.00230154973459434 lu0 = 4.93167460165604e-09 wu0 = 8.74479456460438e-09 pu0 = -3.05740160870673e-15 ++ a0 = 1.3516778800476 la0 = -2.12704215900841e-07 wa0 = -1.30421081220629e-08 pa0 = -3.90522471437029e-14 ++ keta = -0.37406449461937 lketa = 1.9630842374127e-07 wketa = 1.43021268746883e-07 pketa = -1.04081317595155e-13 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 10.1494526938742 lags = -5.14309345453253e-06 wags = -6.77494876233279e-06 pags = 3.78400423857519e-12 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.625050838662064+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -3.18644394267533e-07 wvoff = -5.55113653818398e-07 pvoff = 2.43296992185412e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {11.1433240377456+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.16610821379337e-06 wnfactor = -8.92572633385493e-06 pnfactor = 3.91219748719871e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0001637269302 lcit = -7.089677169435e-11 wcit = -1.29992255380869e-10 pcit = 5.89913098847482e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -1.64136744488276 leta0 = 8.40530153633036e-07 weta0 = 1.06540298099835e-06 peta0 = -5.38224913126465e-13 ++ etab = 0.122862814740174 letab = -6.25678884064336e-08 wetab = -7.61494695708137e-08 petab = 3.87791173789369e-14 ++ dsub = -0.131795651435281 ldsub = 1.77920102296469e-07 wdsub = 3.6823470660901e-07 pdsub = -1.60580834550372e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 5.94487145956879 lpclm = -2.64385645491554e-06 wpclm = -2.89330393276614e-06 ppclm = 1.64562093164052e-12 ++ pdiblc1 = -0.918119203041084 lpdiblc1 = 3.73531017420109e-07 wpdiblc1 = 2.34518477832574e-06 ppdiblc1 = -9.04591976939751e-13 ++ pdiblc2 = 0.0995883021168162 lpdiblc2 = -4.18384502169743e-08 wpdiblc2 = -6.64538353427061e-08 ppdiblc2 = 2.90811495539034e-14 ++ pdiblcb = 3.168610204 lpdiblcb = -1.626345996387e-06 wpdiblcb = -2.31679174805098e-06 ppdiblcb = 1.17982619769496e-12 ++ drout = -6.09480802426281 ldrout = 2.90355018392956e-06 wdrout = 5.14367609384471e-06 pdrout = -2.10504944140595e-12 ++ pscbe1 = -7208807731.41374 lpscbe1 = 3752.62660488107 wpscbe1 = 5519.77913352317 ppscbe1 = -0.00272232796000456 ++ pscbe2 = 1.60686657065446e-07 lpscbe2 = -7.41867287980867e-14 wpscbe2 = -1.06841693753711e-13 ppscbe2 = 5.42139228401689e-20 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.00024980347787622 lalpha0 = -1.18926305990212e-10 walpha0 = -1.12327848364921e-10 palpha0 = 6.38513992063073e-17 ++ alpha1 = 0.0 ++ beta0 = 171.205652427656 lbeta0 = -6.46106632999923e-05 wbeta0 = -7.97460833266107e-05 pbeta0 = 4.25199746065753e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 7.00126258074e-07 lagidl = -3.06153117238385e-13 wagidl = -4.98965754610251e-13 pagidl = 2.28296369253975e-19 ++ bgidl = -6435571262.68 lbgidl = 3782.46400648599 wbgidl = 5802.29666508366 pbgidl = -0.00267820546876757 ++ cgidl = 12557.44016412 lcgidl = -0.00565763714224611 wcgidl = -0.00864814344750019 pcgidl = 4.16478647786322e-9 ++ egidl = 18.8958450671856 legidl = -8.62077493388478e-06 wegidl = -1.29451426890615e-05 pegidl = 6.21763273941286e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -2.1313715469 lkt1 = 6.78729595158824e-07 wkt1 = 1.24200276010741e-06 pkt1 = -5.60417443905107e-13 ++ kt2 = -0.019032 ++ at = 94334.70408 lat = -0.04294744805274 wat = -0.0463358349610197 pat = 2.35965239538993e-8 ++ ute = -3.601018991776 lute = 1.15081282156193e-06 wute = 1.72369306054993e-06 pute = -8.77790691085053e-13 ++ ua1 = 5.52e-10 ++ ub1 = -6.837423648768e-18 lub1 = 2.5298641931351e-24 wub1 = 6.00512421094815e-24 pub1 = -3.05810950442534e-30 ++ uc1 = -1.17423208956144e-09 luc1 = 4.35864382653019e-16 wuc1 = 1.03888129163435e-15 puc1 = -4.25162168601357e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.72 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.94935+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.57102 ++ k2 = 0.0283423 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 180350.0 ++ ua = 2.33445218e-9 ++ ub = 9.509e-20 ++ uc = -3.2639e-11 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.01916912 ++ a0 = 0.87668 ++ keta = -0.0076977 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1244 ++ b0 = 2.8576e-9 ++ b1 = 3.2982e-11 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.10903374+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.65802+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.99495 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00129 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 225600350.0 ++ pscbe2 = 1.4994384e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.8978653e-5 ++ alpha1 = 0.0 ++ beta0 = 37.686511 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.08e-8 ++ bgidl = 1701900000.0 ++ cgidl = 1200.0 ++ egidl = 1.0890786 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.566 ++ kt2 = -0.019032 ++ at = 351440.0 ++ ute = -1.4104 ++ ua1 = 2.2096e-11 ++ ub1 = -2.3998e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.73 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.9422059699375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.42232280521816e-7 ++ k1 = 0.561904589374999 lk1 = 1.81480988985786e-7 ++ k2 = 0.0303940576565207 lk2 = -4.08489561230881e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 246388.282875 lvsat = -1.3147726833291 wvsat = 4.44089209850063e-16 ++ ua = 2.38979930555458e-09 lua = -1.10191975944758e-15 ++ ub = -5.45172689583348e-21 lub = 2.00171037620087e-24 ++ uc = -2.44202465472083e-11 luc = -1.63629217179993e-16 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0195425091014583 lu0 = -7.43389696820933e-9 ++ a0 = 0.857074715876042 la0 = 3.90326502944948e-7 ++ keta = -0.00737856176249996 lketa = -6.35380295494683e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.115702210957083 lags = 1.73166456502688e-7 ++ b0 = 4.74105606666666e-09 lb0 = -3.74981976952833e-14 ++ b1 = 5.4720573625e-11 lb1 = -4.32798696943532e-16 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.109925909991041+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.77624353941467e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.6971707875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.79462816034371e-07 wnfactor = -3.3881317890172e-21 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.32955208333333e-05 lcit = -6.56113481510418e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.59566989570313 lpclm = -1.19598825835274e-5 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.0001257996463375 lpdiblc2 = 2.81875091088449e-08 ppdiblc2 = -2.52435489670724e-29 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 226182167.612082 lpscbe1 = -11.5835522933703 ++ pscbe2 = 1.49915241470208e-08 lpscbe2 = 5.6937527925576e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -1.085503009075e-05 lalpha0 = 5.93966255074515e-10 ++ alpha1 = 0.0 ++ beta0 = 36.7947648129375 lbeta0 = 1.77539977747748e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.7918325e-08 lagidl = -1.4172051200625e-13 ++ bgidl = 1821527406.25 lbgidl = -2381.69193788286 ++ cgidl = 1068.17916666666 lcgidl = 0.00262445392604166 ++ egidl = 0.805099219704164 legidl = 5.65381647715484e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.572591041666666 lkt1 = 1.31222696302076e-7 ++ kt2 = -0.019032 ++ at = 483491.519791665 lat = -2.62904672041225 ++ ute = -1.31436852291666 lute = -1.91191468512132e-6 ++ ua1 = 2.2096e-11 ++ ub1 = -1.95365238958332e-18 lub1 = -8.88246431268805e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.74 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.970782090187502+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.37833985654928e-8 ++ k1 = 0.598366231875001 lk1 = -1.0690325695735e-7 ++ k2 = 0.0221870270304375 lk2 = 2.4062500856262e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -17764.8486249996 lvsat = 0.774480471987284 ++ ua = 2.16841080333624e-09 lua = 6.49097251722745e-16 ++ ub = 3.967151806875e-19 lub = -1.17912823760261e-24 ++ uc = -5.72952603583749e-11 luc = 9.63874858059772e-17 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.018048952695625 lu0 = 4.3790140346282e-9 ++ a0 = 0.935495852371872 la0 = -2.29925870884749e-7 ++ keta = -0.00865511471249999 lketa = 3.74277346484057e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.15049336712875 lags = -1.0200549544807e-7 ++ b0 = -2.7927682e-09 lb0 = 2.208870188585e-14 ++ b1 = -3.2233720875e-11 lb1 = 2.54944556830594e-16 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.106357230026875+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.04631466124396e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.5405676375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.59150648103113e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.13437499999977e-07 lcit = 3.8649044453125e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.807209687109375 lpclm = 7.04509275683232e-06 wpclm = 6.35274710440725e-22 ppclm = 1.61558713389263e-27 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00553739893901251 lpdiblc2 = -1.66041443023347e-8 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 223854897.16375 lpscbe1 = 6.82341150011052 ++ pscbe2 = 1.50029635589375e-08 lpscbe2 = -3.35396407763159e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.00010847970227225 lalpha0 = -3.49881976867543e-10 ++ alpha1 = 0.0 ++ beta0 = 40.3617495611877 lbeta0 = -1.04581763453225e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 9.44502500000003e-09 lagidl = 8.348193601875e-14 ++ bgidl = 1343017781.25 lbgidl = 1402.96031364845 ++ cgidl = 1595.4625 lcgidl = -0.00154596177812499 ++ egidl = 1.9410167408875 legidl = -3.33043917726444e-6 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.546226875000002 lkt1 = -7.72980889062532e-8 ++ kt2 = -0.019032 ++ at = -44714.5593750002 lat = 1.54866721123672 ++ ute = -1.69849443125 lute = 1.12623315536405e-6 ++ ua1 = 2.2096e-11 ++ ub1 = -3.73824283125e-18 lub1 = 5.23230763806401e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.75 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.977327194874999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.09369849065099e-7 ++ k1 = 0.5816927075 lk1 = -4.17222817943735e-8 ++ k2 = 0.0222051647965 lk2 = 2.39915957942823e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 316049.94375 lvsat = -0.530485005104689 ++ ua = 2.6238696406575e-09 lua = -1.13140520807534e-15 ++ ub = 4.2981016375e-19 lub = -1.30850480013969e-24 pub = -1.40129846432482e-45 ++ uc = -6.11269159088126e-11 luc = 1.11366385266525e-16 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0212460212425001 lu0 = -8.11912618224306e-9 ++ a0 = 1.0077881975 la0 = -5.12534721076883e-7 ++ keta = 0.0204554322625 lketa = -1.10057632297178e-7 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.16964537764875 lags = 1.14949689257338e-6 ++ b0 = -5.80965427249999e-08 lb0 = 2.38284982447707e-13 ++ b1 = -3.0793177075e-10 lb1 = 1.33271715830444e-15 wb1 = 1.97215226305253e-31 pb1 = -1.88079096131566e-37 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.14082239165175+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.24269786469604e-7 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.46866058499999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.40253293088743e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.0990925000000008 leta0 = -7.46373556250004e-8 ++ etab = -0.13682375 letab = 2.61230744687501e-7 ++ dsub = 0.792970780341248 ldsub = -9.10741023049034e-7 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.827117569675 lpclm = 6.56098928248008e-7 ++ pdiblc1 = 0.240486804332502 lpdiblc1 = 5.84484460163175e-7 ++ pdiblc2 = 0.000582952602475005 lpdiblc2 = 2.7640250387746e-9 ++ pdiblcb = -0.025 ++ drout = 0.68807102942375 ldrout = -5.00661671774797e-7 ++ pscbe1 = 175447980.075 lpscbe1 = 196.058152129306 ++ pscbe2 = 1.5453002078625e-08 lpscbe2 = -1.79285272386483e-15 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.7096054157625e-05 lalpha0 = -7.08254504754455e-11 ++ alpha1 = -9.54625e-11 lalpha1 = 3.73186778125001e-16 ++ beta0 = 70.7991215633749 lbeta0 = -0.000129445472844874 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.01016415999999e-08 lagidl = -1.145474424248e-13 ++ bgidl = 2344744475.0 lbgidl = -2513.03976389374 ++ cgidl = 751.326250000006 lcgidl = 0.00175397785718749 ++ egidl = 1.2603426589975 legidl = -6.69514022635976e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.487720749999999 lkt1 = -3.06013158062503e-7 ++ kt2 = -0.019032 ++ at = 653712.460000001 lat = -1.181658614255 ++ ute = -1.372787775 lute = -1.47035590581247e-7 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = -1.97215226305253e-31 pua1 = 1.22251412485518e-36 ++ ub1 = -1.2627461625e-18 lub1 = -4.44502771424685e-24 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.76 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.930713048750004+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.0371790575936e-8 ++ k1 = 0.55984 ++ k2 = 0.0343814394499999 lk2 = 7.44043412087399e-10 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 9715.01600000006 lvsat = 0.054384955702 ++ ua = 2.03140494945e-09 lua = -2.41996387415246e-19 ++ ub = -2.87190992499999e-19 lub = 6.04296574306256e-26 ++ uc = -2.7970035e-12 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0171738952000001 lu0 = -3.44419535600007e-10 ++ a0 = 0.73934 ++ keta = -0.0413415447499998 lketa = 7.92824606393747e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.43242187 ++ b0 = 4.557803e-08 lb0 = 4.03443044725e-14 ++ b1 = -1.96275324999999e-10 lb1 = 1.11953708925626e-15 pb1 = -7.52316384526264e-37 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.075734118+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.96712665+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.11443041512496e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.081721073250001 leta0 = -4.14709591025627e-8 ++ etab = 0.003946781475 letab = -7.53539253114375e-9 ++ dsub = 0.31595571 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.1707598 ++ pdiblc1 = 0.54661982 ++ pdiblc2 = 0.0020306546 ++ pdiblcb = -0.025 ++ drout = 0.42584153 ++ pscbe1 = 278136550.0 ++ pscbe2 = 1.4513967e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.056e-10 ++ bgidl = 1028500000.0 ++ cgidl = 2284.598445 lcgidl = -0.00117342208111626 ++ egidl = 0.90967406 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.648 ++ kt2 = -0.019032 ++ at = 39164.4 lat = -0.00833273069999996 ++ ute = -1.4498 ++ ua1 = 5.524e-10 ++ ub1 = -3.5909e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.77 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.91463096375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.74915478968713e-9 ++ k1 = 0.55984 ++ k2 = 0.0254354992500003 lk2 = 8.87813953893724e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 59094.2232499998 lvsat = 0.00948691150993719 ++ ua = 2.02451866050001e-09 lua = 6.01936184037006e-18 ++ ub = -1.41967787499997e-19 lub = -7.16145417156231e-26 ++ uc = -2.7970035e-12 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0175681825 lu0 = -7.02925263125037e-10 ++ a0 = 0.73934 ++ keta = -0.032622 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.43242187 ++ b0 = 4.0893064125e-07 lb0 = -2.90034057306562e-13 ++ b1 = 4.70536875e-09 lb1 = -3.3372827859375e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.075734118+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.7470982625+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.1382230178129e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.0e-5 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.036111000000001 ++ etab = -0.0043407 ++ dsub = 0.31595571 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.1707598 ++ pdiblc1 = 0.54661982 ++ pdiblc2 = 0.0020306546 ++ pdiblcb = -0.025 ++ drout = 0.42584153 ++ pscbe1 = 278136550.0 ++ pscbe2 = 1.4513967e-8 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 3.0 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.71015999999999e-10 lagidl = 4.33363097999999e-16 ++ bgidl = 1028500000.0 ++ cgidl = 994.06 ++ egidl = 0.90967406 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.649773124999996 lkt1 = 1.61221390625099e-9 ++ kt2 = -0.019032 ++ at = 100925.0 lat = -0.0644885562499999 ++ ute = -1.34341249999999 lute = -9.67328343749914e-8 ++ ua1 = 5.524e-10 ++ ub1 = -3.5909e-18 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.78 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.835533003749994+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -5.03510733403118e-8 ++ k1 = 0.532213187500002 lk1 = 1.95943167656254e-8 ++ k2 = 0.0382805713799999 lk2 = -2.32227869265155e-10 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 91611.3797499994 lvsat = -0.0135758817376876 ++ ua = 4.78362376146251e-09 lua = -1.95087593101728e-15 ++ ub = -4.95196201875e-18 lub = 3.33987386679844e-24 pub = -1.40129846432482e-45 ++ uc = -2.67452963887499e-12 luc = -8.68645860029027e-20 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0186469720875 lu0 = -1.46805677805944e-9 ++ a0 = 0.558632637499997 la0 = 1.28166696853125e-7 ++ keta = 0.0706029749999999 lketa = -7.32123135187498e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.895178697387497 lags = 9.41600702419583e-7 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.00463427073+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -5.70012797067521e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {2.95999756250001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.71631058703122e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.273125e-05 lcit = -9.02963906250003e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.0748852950000013 leta0 = -2.75006687287499e-8 ++ etab = -0.015393207375 letab = 7.83899085571874e-9 ++ dsub = 0.380744684775001 ldsub = -4.59515803591676e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.04706348425 lpclm = 8.7731611945689e-8 ++ pdiblc1 = 0.411899438487506 lpdiblc1 = 9.5550430587743e-8 ++ pdiblc2 = -0.00437263784012498 lpdiblc2 = 4.54153516315867e-9 ++ pdiblcb = -0.025 ++ drout = -1.03388738732501 ldrout = 1.03531273461276e-6 ++ pscbe1 = -32158259.5625 lpscbe1 = 220.076593682203 ++ pscbe2 = 1.46008450500001e-08 lpscbe2 = -6.16182569624666e-17 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -8.7253358915e-05 lalpha0 = 6.18845157354639e-11 walpha0 = -2.90265314380597e-26 palpha0 = -3.29574761733266e-32 ++ alpha1 = 3.54625e-10 lalpha1 = -1.8059278125e-16 ++ beta0 = -115.39969052625 lbeta0 = 8.39749805057428e-05 wbeta0 = 6.7762635780344e-20 pbeta0 = -2.58493941422821e-26 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -7.32447750000001e-08 lagidl = 5.211907666875e-14 wagidl = 9.46633086265214e-30 pagidl = -1.95602259976829e-35 ++ bgidl = -784939250.0 lbgidl = 1286.1817880625 ++ cgidl = 1488.185275 lcgidl = -0.000350458351293749 ++ egidl = 0.798680272550001 legidl = 7.8722343748911e-8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.759585924999996 lkt1 = 7.94969423062481e-8 ++ kt2 = -0.019032 ++ at = 10000.0 ++ ute = -1.83271025000001 lute = 2.50301594812498e-7 ++ ua1 = 5.53418500000001e-10 lua1 = -7.22371125001742e-19 ++ ub1 = -7.97376012499999e-18 lub1 = 3.10854354365625e-24 ++ uc1 = -2.9271333e-10 luc1 = 1.301568293025e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.79 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.959572828749998+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.28162075409357e-8 ++ k1 = 0.728046624999998 lk1 = -8.01338612812541e-8 ++ k2 = -0.0430409889400005 lk2 = 4.11807767236951e-8 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 14985.0120000001 lvsat = 0.0254460960389999 ++ ua = -2.81066337442502e-09 lua = 1.91651479293344e-15 ++ ub = 7.8154936875e-18 lub = -3.16195295160938e-24 pub = -5.60519385729927e-45 ++ uc = 6.33722595400001e-12 luc = -4.67610112167453e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0143559198249998 lu0 = 7.1716158661875e-10 ++ a0 = 1.333699825 la0 = -2.66536268381248e-7 ++ keta = -0.17691506 lketa = 5.2836245805e-8 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.810440882749987 lags = 7.3013931234561e-8 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.140152434659999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.67313500131051e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {-1.16045368500001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.22670873908625e-6 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -1.54625000000001e-05 lcit = 1.0420528125e-11 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.172749545 leta0 = 9.86073735412505e-8 ++ etab = 0.01789363775 letab = -9.11233502418751e-9 ++ dsub = 0.375802028624996 ldsub = -4.34345327147821e-8 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.95656091299999 lpclm = -3.75429953645253e-7 ++ pdiblc1 = 2.314629724275 lpdiblc1 = -8.73414967449549e-07 ppdiblc1 = 1.61558713389263e-27 ++ pdiblc2 = 0.00798419189674998 lpdiblc2 = -1.75118038034497e-9 ++ pdiblcb = -0.025 ++ drout = 0.995555826174993 ldrout = 1.81877813788242e-9 ++ pscbe1 = 400000000.0 ++ pscbe2 = 1.34094045149998e-08 lpscbe2 = 5.45122835486235e-16 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 9.49637677324995e-05 lalpha0 = -3.09095560097756e-11 ++ alpha1 = 0.0 ++ beta0 = 61.2786787774994 lbeta0 = -5.99847906219216e-6 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.23207499999995e-08 lagidl = 8.54483306250009e-15 ++ bgidl = 1562676249.99998 lbgidl = 90.6585946875057 ++ cgidl = 636.300000000003 lcgidl = 8.33642250000037e-5 ++ egidl = 1.05145321849999 legidl = -5.00022789761254e-8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.419317499999998 lkt1 = -9.37847531249983e-8 ++ kt2 = -0.019032 ++ at = 30462.5000000001 lat = -0.0104205281250001 ++ ute = -1.22497299999999 lute = -5.91885997499944e-8 ++ ua1 = 5.52e-10 ++ ub1 = 1.44041400000003e-18 lub1 = -1.68562462950001e-24 ++ uc1 = 2.57826660000001e-10 luc1 = -1.50205660605e-16 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.80 pmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.908373320557143+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = -2.76775342229602e-8 ++ k1 = 0.526122755928571 wk1 = 3.03256639190702e-8 ++ k2 = 0.0374742384411143 wk2 = -6.16813129229688e-9 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 397324.158664286 wvsat = -0.146554327573157 ++ ua = 3.39404775977e-09 wua = -7.15699595973328e-16 ++ ub = -1.00436427687857e-18 wub = 7.42621993500524e-25 ++ uc = -1.98255285019357e-11 wuc = -8.65480806948153e-18 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0203424788973571 wu0 = -7.92540573784292e-10 ++ a0 = 0.837601461357143 wa0 = 2.63954426121635e-8 ++ keta = -0.0126323011571429 wketa = 3.33305661318751e-9 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.1478249358405 wags = -1.58222792137223e-8 ++ b0 = 3.13121993914286e-08 wb0 = -1.92195453405429e-14 ++ b1 = -1.5890781751e-09 wb1 = 1.09561405703059e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0904679739921428+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} wvoff = -1.25401723869431e-8 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.45312247971429+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.38397210486905e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 2.39933134797885e-05 wcit = -9.45172761666919e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.28215321075893 wpclm = -8.69436259894275e-7 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00129 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 226448228.593214 wpscbe1 = -0.572696204272233 ++ pscbe2 = 1.49864524830857e-08 wpscbe2 = 5.35731137369028e-18 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 4.57821989629929e-05 walpha0 = -1.81043479065197e-11 ++ alpha1 = -1.41230714285714e-10 walpha1 = 9.53937210414286e-17 ++ beta0 = 86.6745182460929 wbeta0 = -3.30887535423444e-5 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.01759885714286e-08 wagidl = -1.98418939766171e-14 ++ bgidl = 2345347134.28571 wbgidl = -434.613793064749 ++ cgidl = 917.538571428571 wcgidl = 0.000190787442082857 ++ egidl = -0.197422199801429 wegidl = 8.68961819222676e-7 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.580504394357143 wkt1 = 9.79693515095471e-9 ++ kt2 = -0.019032 ++ at = 781685.248 wat = -0.290607431780608 ++ ute = -1.36181663428571 wute = -3.28154400382512e-8 ++ ua1 = -9.44577396228572e-10 wua1 = 6.52935678789004e-16 ++ ub1 = -1.34734871714286e-18 wub1 = -7.10874009200726e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.81 pmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.891119433114599+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.43511958565483e-07 wvth0 = -3.45061969508818e-08 pvth0 = 1.35953553415872e-13 ++ k1 = 0.459936470600921 lk1 = 1.31771930115953e-06 wk1 = 6.88739579534765e-08 pk1 = -7.67467623004505e-13 ++ k2 = 0.0539776717677458 lk2 = -3.28570979958239e-07 wk2 = -1.59294578169705e-08 pk2 = 1.94340690111357e-13 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 606371.013573911 lvsat = -4.16196609610946 wvsat = -0.243148895519657 pvsat = 1.92312540188885e-6 ++ ua = 4.11353301065253e-09 lua = -1.43244117311331e-14 wua = -1.16428903617359e-15 pua = 8.93107931230704e-21 ++ ub = -1.79193843023888e-18 lub = 1.56800107127887e-23 wub = 1.20667529782625e-24 pub = -9.23895324914691e-30 ++ uc = 9.46346888351422e-12 luc = -5.83121971196268e-16 wuc = -2.28866200528198e-17 puc = 2.83344702729277e-22 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0230700625245941 lu0 = -5.43041443305667e-08 wu0 = -2.38267184944333e-09 pu0 = 3.16583210999148e-14 ++ a0 = 0.767641113755024 la0 = 1.39285805049748e-06 wa0 = 6.04075688182328e-08 pa0 = -6.77155923668189e-13 ++ keta = -0.0127459185818393 lketa = 2.26203771263703e-09 wketa = 3.62535969419543e-09 pketa = -5.81953511555689e-15 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.137358433727713 lags = 2.08380207189007e-07 wags = -1.46276090455306e-08 pags = -2.37849870460713e-14 ++ b0 = 5.7556755335655e-08 lb0 = -5.22509425432588e-13 wb0 = -3.5674152808441e-14 pb0 = 3.27598893730251e-19 ++ b1 = -2.21717868477166e-09 lb1 = 1.25050100721804e-14 wb1 = 1.53454526648699e-15 pb1 = -8.73879118186966e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.0972535891294481+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.35096508172395e-07 wvoff = -8.55946843667992e-09 pvoff = -7.92528301217766e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.45695653281176+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.63331216309987e-08 wnfactor = 1.6225175747215e-07 pnfactor = -4.7492613956599e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 3.41847417269476e-05 lcit = -2.02903692829753e-10 wcit = -1.41095406957082e-11 pcit = 9.2733565043857e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.7312738733056 lpclm = -2.88509055508072e-05 wpclm = -1.44248516425568e-06 ppclm = 1.14089738991573e-11 ++ pdiblc1 = 0.39 ++ pdiblc2 = 0.00192601428407009 lpdiblc2 = -1.26625673851222e-08 wpdiblc2 = -1.38588951203808e-09 ppdiblc2 = 2.75920207675441e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 227851751.374683 lpscbe1 = -27.9430859369559 wpscbe1 = -1.1277136741129 ppscbe1 = 1.10499815614254e-5 ++ pscbe2 = 1.49795536393166e-08 lpscbe2 = 1.3735080531124e-16 wpscbe2 = 8.08543154682739e-18 ppscbe2 = -5.43148265571155e-23 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.61858078545599e-05 lalpha0 = 1.43282903973236e-09 walpha0 = 1.03551125174543e-11 palpha0 = -5.66606512446003e-16 ++ alpha1 = -1.41230714285714e-10 walpha1 = 9.53937210414286e-17 ++ beta0 = 84.5233525494264 lbeta0 = 4.28280956463573e-05 wbeta0 = -3.22380836722605e-05 pbeta0 = -1.69361991109694e-11 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 1.33199026162322e-07 lagidl = -1.45383391115649e-12 wagidl = -6.43569684772854e-14 pagidl = 8.8626174700243e-19 ++ bgidl = 2683912229.82072 lbgidl = -6740.5771282802 wbgidl = -582.494379541545 pbgidl = 0.00294419156631316 ++ cgidl = 678.669123011161 lcgidl = 0.00475571156590434 wcgidl = 0.000263093000946937 pcgidl = -1.43954944781468e-9 ++ egidl = -0.882467687313214 legidl = 1.3638741872244e-05 wegidl = 1.13986031707726e-06 pegidl = -5.3933859184114e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.587346767554876 lkt1 = 1.36226518586976e-07 wkt1 = 9.96669602828784e-09 pkt1 = -3.37981174704251e-15 ++ kt2 = -0.019032 ++ at = 1197313.203437 lat = -8.2748408717841 wat = -0.482148000931506 pat = 3.81342907636752e-6 ++ ute = -1.2337636584294 lute = -2.54943870956723e-06 wute = -5.44442332984628e-08 pute = 4.3061305221587e-13 ++ ua1 = -1.58171585948864e-09 lua1 = 1.26849489496605e-14 wua1 = 1.08328830524417e-15 pua1 = -8.56799802825242e-21 ++ ub1 = -2.07526080981368e-19 lub1 = -2.26930138189981e-23 wub1 = -1.17941403063996e-24 pub1 = 9.32828042183913e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.82 pmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.963392409286723+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.28113078223897e-07 wvth0 = -4.99133040570597e-09 pvth0 = -9.74869048065576e-14 ++ k1 = 0.707649136572531 lk1 = -6.41502102176437e-07 wk1 = -7.38147008463289e-08 pk1 = 3.61092651607855e-13 ++ k2 = -0.0132742576234499 lk2 = 2.03341342579076e-07 wk2 = 2.39521828743296e-08 pk2 = -1.21093176526308e-13 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -100746.405949161 lvsat = 1.4308023542534 wvsat = 0.0560495609683751 pvsat = -4.43309990089121e-7 ++ ua = 1.96898556424438e-09 lua = 2.63735015937067e-15 wua = 1.34700980043648e-16 pua = -1.34295747345914e-21 ++ ub = 9.07325468770689e-19 lub = -5.66914228045273e-24 wub = -3.44889676644638e-25 pub = 3.03276202518694e-30 ++ uc = -8.8016583062436e-11 luc = 1.87872129657239e-16 wuc = 2.07505945351672e-17 puc = -6.1792936750759e-23 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.015611385368136 lu0 = 4.68839796914935e-09 wu0 = 1.64644510108313e-09 pu0 = -2.08972141036682e-16 ++ a0 = 0.91725446135687 la0 = 2.09528680977588e-07 wa0 = 1.23210745955212e-08 pa0 = -2.96827819237209e-13 ++ keta = -0.0134730941130139 lketa = 8.01345078258025e-09 wketa = 3.25428491415953e-09 pketa = -2.88461191155795e-15 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.188921082839435 lags = -1.99441675297882e-07 wags = -2.59558468659194e-08 pags = 6.58128779348392e-14 ++ b0 = -5.59252475015429e-09 lb0 = -2.30459819139011e-14 wb0 = 1.89108436277551e-15 pb0 = 3.04860416338067e-20 ++ b1 = 7.87936778220946e-09 lb1 = -6.73511000717901e-14 wb1 = -5.34385958885239e-15 pb1 = 4.56642324202233e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.103294200073153+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 1.82873210278897e-07 wvoff = -2.06891133012141e-09 pvoff = -1.30588268916825e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.693516460313813+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.96190527177344e-06 wnfactor = 5.72137329425702e-07 pnfactor = -3.71681359953962e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.93541795758929e-07 lcit = 6.59412052260009e-11 wcit = -5.41061261531853e-14 pcit = -1.84343808253959e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080000000000001 ++ etab = -0.07 ++ dsub = 0.56 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.391512794734925 lpclm = 3.75724490339227e-06 wpclm = -2.80780803166753e-07 ppclm = 2.22076368121467e-12 ++ pdiblc1 = 0.39 ++ pdiblc2 = -0.000618042852210232 lpdiblc2 = 7.45901652000288e-09 wpdiblc2 = 4.15766853611423e-09 ppdiblc2 = -1.62533657248046e-14 ++ pdiblcb = -0.025 ++ drout = 0.56 ++ pscbe1 = 222239647.83102 lpscbe1 = 16.4444440157649 wpscbe1 = 1.09101370079549 ppscbe1 = -6.49848792856839e-6 ++ pscbe2 = 1.50071641973129e-08 lpscbe2 = -8.10280005212059e-17 wpscbe2 = -2.83730438809909e-18 ppscbe2 = 3.20758226362267e-23 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.000292135247352967 lalpha0 = -1.08485176616777e-09 walpha0 = -1.2404940330259e-10 palpha0 = 4.96432404303683e-16 ++ alpha1 = -2.79257256741071e-10 lalpha1 = 1.09168643091503e-15 walpha1 = 1.8862319703673e-16 palpha1 = -7.37375233015836e-22 ++ beta0 = 140.006817433176 lbeta0 = -0.000396004498985439 wbeta0 = -6.73048625138631e-05 pbeta0 = 2.60415721441977e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -8.47728709027232e-08 lagidl = 2.70160315705221e-13 wagidl = 6.36391009159108e-14 pagidl = -1.26091164845708e-19 ++ bgidl = 1798581290.64695 lbgidl = 261.726602379891 wbgidl = -307.708550168132 pbgidl = 0.000770841745341491 ++ cgidl = 1358.09383177009 lcgidl = -0.000618028311847221 wcgidl = 0.00016032971748122 pcgidl = -6.26768948063461e-10 ++ egidl = 0.931783768626985 legidl = -7.10626455651027e-07 wegidl = 6.81682374181476e-07 pegidl = -1.76954202356291e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.574152600674107 lkt1 = 3.18705541852548e-08 wkt1 = 1.8862319703673e-08 pkt1 = -7.37375233015836e-14 ++ kt2 = -0.019032 ++ at = -226619.739676915 lat = 2.98740075853964 wat = 0.122867126414207 pat = -9.7178681959157e-7 ++ ute = -1.74266292483571 lute = 1.47557281325687e-06 wute = 2.98334323184961e-08 pute = -2.35960074565065e-13 ++ ua1 = 2.2096e-11 ++ ub1 = -3.73824283125e-18 lub1 = 5.23230763806406e-24 wub1 = 7.3468396926393e-40 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.83 pmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.970189346380019+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.54684004555864e-07 wvth0 = -4.82123121454114e-09 pvth0 = -9.81518650696182e-14 ++ k1 = 0.570536840417053 lk1 = -1.05495858430633e-07 wk1 = 7.53518579770808e-09 pk1 = 4.30756072446547e-14 ++ k2 = 0.0211236485151043 lk2 = 6.88713280069334e-08 wk2 = 7.30505846203609e-10 pk2 = -3.03138356041063e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 606925.984782384 lvsat = -1.33566593921389 wvsat = -0.19647105841116 pvsat = 5.43856241220326e-7 ++ ua = 3.130492997952e-09 lua = -1.90327277585086e-15 wua = -3.42196720191142e-16 pua = 5.21354861183709e-22 ++ ub = 4.48162819360829e-19 lub = -3.87416069324723e-24 wub = -1.23962278217115e-26 pub = 1.73296201037592e-30 ++ uc = -8.71629883022944e-11 luc = 1.84535214341155e-16 wuc = 1.75859609538878e-17 puc = -4.94215929231423e-23 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0216594220214879 lu0 = -1.89548893179665e-08 wu0 = -2.79229902564238e-10 pu0 = 7.31897286697178e-15 ++ a0 = 1.38150154103959 la0 = -1.60532921527209e-06 wa0 = -2.52423183040441e-07 pa0 = 7.38123669926179e-13 ++ keta = 0.0511785059911215 lketa = -2.44725816924511e-07 wketa = -2.07517772577025e-08 pketa = 9.09610866337937e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -0.591430739362616 lags = 2.85114868564549e-06 wags = 2.84893235428184e-07 pags = -1.14937389702339e-12 ++ b0 = -1.90440337582046e-07 lb0 = 6.99570330399172e-13 wb0 = 8.93910868610123e-14 pb0 = -3.11573343132425e-19 ++ b1 = -9.94368632850886e-09 lb1 = 2.32367421053554e-15 wb1 = 6.50843187301999e-15 pb1 = -6.69337977101286e-22 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.128678481183172+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 2.82106711208236e-07 wvoff = -8.2025557503591e-09 pvoff = -1.0661031946701e-13 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.81485248461204+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.57832241878558e-06 wnfactor = -2.33833933825357e-07 pnfactor = -5.66070438675421e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.70615357142857e-05 wcit = -4.76968605207143e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.678828693687502 leta0 = -2.34097107079786e-06 weta0 = -3.91580493081447e-07 peta0 = 1.53078604257865e-12 ++ etab = -1.56122209565313 letab = 5.82955997743198e-06 wetab = 9.62104164978021e-07 petab = -3.76110570694033e-12 ++ dsub = 0.7175299656192 ldsub = -6.15824018096858e-07 wdsub = 5.09561965407498e-08 pdsub = -1.99200511326927e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.942230264434945 lpclm = -1.45669015066754e-06 wpclm = -7.77524092248252e-08 ppclm = 1.42707493219719e-12 ++ pdiblc1 = 0.290083303113651 lpdiblc1 = 3.9059934730296e-07 wpdiblc1 = -3.34997567157334e-08 ppdiblc1 = 1.3095892394098e-13 ++ pdiblc2 = -0.000415615487388112 lpdiblc2 = 6.66767734407198e-09 wpdiblc2 = 6.7447882202568e-10 ppdiblc2 = -2.63670633500389e-15 ++ pdiblcb = -0.025 ++ drout = 0.532271120345961 ldrout = 1.08399122787553e-07 wdrout = 1.05234425386957e-07 pdrout = -4.11387677443959e-13 ++ pscbe1 = 196925193.479901 lpscbe1 = 115.404974687874 wpscbe1 = -14.5066978854868 ppscbe1 = 5.44768660901051e-5 ++ pscbe2 = 1.57444833774769e-08 lpscbe2 = -2.96339300557747e-15 wpscbe2 = -1.96879877384328e-16 ppscbe2 = 7.90636751121704e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 3.63671642285064e-05 lalpha0 = -8.49903872134746e-11 walpha0 = 4.92325787063427e-13 palpha0 = 9.56764985995477e-18 ++ alpha1 = -2.30284870625e-10 lalpha1 = 9.00241130490782e-16 walpha1 = 9.10652309491738e-17 palpha1 = -3.55996754088058e-22 ++ beta0 = 116.302829182779 lbeta0 = -0.000303339682917574 wbeta0 = -3.07352972966958e-05 pbeta0 = 1.17456148616765e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 6.1625950489745e-08 lagidl = -3.02149276823286e-13 wagidl = -1.02958834234271e-15 pagidl = 1.2671490863712e-19 ++ bgidl = 3393232968.29911 lbgidl = -5972.16546848183 wbgidl = -708.197358844914 pbgidl = 0.0023364526206612 ++ cgidl = 52.9463701624991 lcgidl = 0.00448411940244225 wcgidl = 0.00047171789631672 pcgidl = -1.84406318617614e-9 ++ egidl = 1.36123605950939 legidl = -2.38946282378308e-06 wegidl = -6.81480438021556e-08 pegidl = 1.1617325379396e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.406827327625 lkt1 = -6.22245769481969e-07 wkt1 = -5.46391385695045e-08 pkt1 = 2.13598052452835e-13 ++ kt2 = -0.019032 ++ at = 1218677.79757633 lat = -2.66262863896761 wat = -0.381603577404582 pat = 1.00031527931203e-6 ++ ute = -1.14989806194482 lute = -8.41693226999349e-07 wute = -1.50549965124268e-07 pute = 4.69203721888059e-13 ++ ua1 = -4.84145456e-10 lua1 = 1.979024411868e-15 wua1 = 1.23259516440783e-32 pua1 = 1.6456920911512e-37 ++ ub1 = -7.40579121069375e-19 lub1 = -6.48630922095955e-24 wub1 = -3.5269563946615e-25 pub1 = 1.37877542858305e-30 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.84 pmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.81503771242911+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.15392525649083e-08 wvth0 = -7.81324432165994e-08 pvth0 = 4.1817566445312e-14 ++ k1 = 0.503704709980127 lk1 = 2.21033866060673e-08 wk1 = 3.79163571027631e-08 pk1 = -1.49296440695218e-14 ++ k2 = 0.0642352303839229 lk2 = -1.34394596761085e-08 wk2 = -2.01646236711545e-08 pk2 = 9.58019042690965e-15 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -214379.234045022 lvsat = 0.232411049832332 wvsat = 0.15136356481591 pvsat = -1.20247013175956e-7 ++ ua = 1.87853865551165e-09 lua = 4.87021052453392e-16 wua = 1.03252926775485e-16 pua = -3.29119877287325e-22 ++ ub = -2.25827341519289e-18 lub = 1.29310268757446e-24 wub = 1.33135973807822e-24 pub = -8.32604067518531e-31 ++ uc = 1.87376118191254e-11 luc = -1.76555064406657e-17 wuc = -1.45454697788419e-17 puc = 1.19253412033219e-23 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0090222293922905 lu0 = 5.1726707093286e-09 wu0 = 5.50601006315415e-09 pu0 = -3.72649653757605e-15 ++ a0 = 0.223987006477121 la0 = 6.04655409841307e-07 wa0 = 3.48093118063055e-07 pa0 = -4.08412077955671e-13 ++ keta = -0.0916345537048743 lketa = 2.79400173000688e-08 wketa = 3.3970211726534e-08 pketa = -1.35168708343599e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 0.938020096526634 lags = -6.89553227760645e-08 wags = -3.41504299714509e-07 pags = 4.65755969478017e-14 ++ b0 = 1.13084654792293e-07 lb0 = 1.20065238708465e-13 wb0 = -4.5597079689455e-14 pb0 = -5.38471861459456e-20 ++ b1 = 4.90008365631265e-08 lb1 = -1.10216156120319e-13 wb1 = -3.32299924363875e-14 pb1 = 7.52012486356349e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.0777396112438548+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.11997031758065e-07 wvoff = -1.03663216522845e-07 pvoff = 7.56479471128578e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {3.54793671240198+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.73056864312236e-06 wnfactor = -1.06775183340917e-06 pnfactor = 1.02608731110497e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.70615357142857e-05 wcit = -4.76968605207143e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -0.75500962429593 leta0 = 3.96584737812006e-07 weta0 = 5.65166402734609e-07 peta0 = -2.95882968258157e-13 ++ etab = 2.85732551640859 letab = -2.60655205089684e-06 wetab = -1.92730325299595e-06 petab = 1.75549540582648e-12 ++ dsub = 0.405659522968226 ldsub = -2.03853754654855e-08 wdsub = -6.05900816541365e-08 pdsub = 1.37692203166602e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.976434170096058 lpclm = 2.20651992096078e-06 wpclm = 1.4503135783255e-06 ppclm = -1.49038505453327e-12 ++ pdiblc1 = 0.285578880888783 lpdiblc1 = 3.9919941543579e-07 wpdiblc1 = 1.76319058158915e-07 ppdiblc1 = -2.69637648358442e-13 ++ pdiblc2 = 0.00292590661234337 lpdiblc2 = 2.8787627515964e-10 wpdiblc2 = -6.04694390729282e-10 ppdiblc2 = -1.94444878551478e-16 ++ pdiblcb = -0.025 ++ drout = 1.31540614772142 ldrout = -1.38680142822903e-06 wdrout = -6.00852862781459e-07 pdrout = 9.36709477491588e-13 ++ pscbe1 = 278095801.623538 lpscbe1 = -39.5700089103636 wpscbe1 = 0.0275233278881046 ppscbe1 = 2.67274042384695e-5 ++ pscbe2 = 1.43747816404678e-08 lpscbe2 = -3.48289964192794e-16 wpscbe2 = 9.40121943545987e-17 ppscbe2 = 2.35251063154164e-22 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -2.02943896600239e-06 lalpha0 = -1.16816725643586e-11 walpha0 = 1.37084397643045e-12 palpha0 = 7.89033900690577e-18 ++ alpha1 = 2.41230714285714e-10 walpha1 = -9.53937210414286e-17 ++ beta0 = -36.2326700435501 lbeta0 = -1.21112810197054e-05 wbeta0 = 2.64995500502357e-05 pbeta0 = 8.18051631963596e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -1.20514539381072e-07 lagidl = 4.56024534625707e-14 wagidl = 8.14723906643872e-14 pagidl = -3.08019947814795e-20 ++ bgidl = 542491006.726049 lbgidl = -529.386378348453 wbgidl = 328.272830470918 pbgidl = 0.000357571911709949 ++ cgidl = 3688.98599787668 lcgidl = -0.00245798925677105 wcgidl = -0.000948587955040342 pcgidl = 8.67655760527332e-10 ++ egidl = -0.805065380093374 legidl = 1.74654819977851e-06 wegidl = 1.15821389585331e-06 pegidl = -1.17969899534759e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.799513722592857 lkt1 = 1.27490730110413e-07 wkt1 = 1.02339337870455e-07 pkt1 = -8.61131036901578e-14 ++ kt2 = -0.019032 ++ at = -388828.359337858 lat = 0.406502491120804 wat = 0.289085997323718 pat = -2.80198791237975e-7 ++ ute = -1.7189054517675 lute = 2.44684132019599e-07 wute = 1.81766200974551e-07 pute = -1.6527091823611e-13 ++ ua1 = 5.524e-10 ++ ub1 = -3.74275659545947e-18 lub1 = -7.54401877980269e-25 wub1 = 1.02570929976714e-25 pub1 = 5.0955773087426e-31 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.85 pmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.882405752694314+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.9715138046229e-08 wvth0 = -2.17663899067177e-08 pvth0 = -9.43326752669798e-15 ++ k1 = 0.243980432776477 lk1 = 2.58257685653486e-07 wk1 = 2.13346081242859e-07 pk1 = -1.74439120743904e-13 ++ k2 = 0.119077892628627 lk2 = -6.33051503221058e-08 wk2 = -6.32503800380201e-08 pk2 = 4.87559144034822e-14 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -50339.2695440225 lvsat = 0.0832577121097989 wvsat = 0.0739164149737513 pvsat = -4.98281921819741e-8 ++ ua = 1.42235618029067e-09 lua = 9.01804968048055e-16 wua = 4.06728238607467e-16 pua = -6.05054804570556e-22 ++ ub = 1.32430037293605e-18 lub = -1.96435252928178e-24 wub = -9.90384963893887e-25 pub = 1.27844230274961e-30 ++ uc = -9.77788698200028e-13 luc = 2.7072147971244e-19 wuc = -1.22878136101658e-18 puc = -1.82857740585849e-25 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.016015799993073 lu0 = -1.18623335943289e-09 wu0 = 1.0485505547738e-09 pu0 = 3.26448520418783e-16 ++ a0 = 1.54962366890774 la0 = -6.0067972547373e-07 wa0 = -5.47302863029054e-07 pa0 = 4.05726717852329e-13 ++ keta = -0.146203127647765 lketa = 7.75564931576423e-08 wketa = 7.67179183451723e-08 pketa = -5.23852230773568e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 2.25366146975215 lags = -1.26520224138137e-06 wags = -1.23014900269419e-06 pags = 8.5457579313208e-13 ++ b0 = 1.11443730539179e-06 lb0 = -7.90414658849124e-13 wb0 = -4.76531654267912e-13 pb0 = 3.37980075789517e-19 ++ b1 = -3.28310727376482e-07 lb1 = 2.3285438339177e-13 wb1 = 2.24934390064248e-13 pb1 = -1.59534716153068e-19 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.109982260050812+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = 5.86890797166114e-08 wvoff = 2.3132770555653e-08 pvoff = -3.96413041382663e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.0753734492448+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.1760950390331e-07 wnfactor = 4.53713838213974e-07 pnfactor = -3.5730535081837e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 1.70615357142857e-05 wcit = -4.76968605207143e-12 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.69565758833 leta0 = -9.22434425268122e-07 weta0 = -4.45488104901144e-07 peta0 = 6.23054642809652e-13 ++ etab = -0.00554228387326099 letab = -3.4895034905717e-09 wetab = 8.1160502085864e-10 petab = 2.35697117469269e-15 ++ dsub = 1.38934213887555 ldsub = -9.14798793979221e-07 wdsub = -7.25014569838275e-07 pdsub = 6.17897186198088e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.56027446049933 lpclm = -9.99824014080783e-08 wpclm = -2.63096119375627e-07 ppclm = 6.75327131014804e-14 ++ pdiblc1 = 0.629864740670508 lpdiblc1 = 8.61574974292555e-08 wpdiblc1 = -5.62274486872123e-08 ppdiblc1 = -5.81947370086009e-14 ++ pdiblc2 = 0.0298828119730369 lpdiblc2 = -2.4222689924051e-08 wpdiblc2 = -1.88126282889883e-08 ppdiblc2 = 1.63611190184405e-14 ++ pdiblcb = -0.025 ++ drout = -2.03835735853155 ldrout = 1.66260803983147e-06 wdrout = 1.66443328246308e-06 pdrout = -1.12300195007201e-12 ++ pscbe1 = 234237890.351731 lpscbe1 = 0.307796913526545 wpscbe1 = 29.6511740647848 ppscbe1 = -2.07900194053637e-7 ++ pscbe2 = 1.17729860389986e-08 lpscbe2 = 2.01739268644305e-15 wpscbe2 = 1.85138462618454e-15 ppscbe2 = -1.36263982048721e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -6.76350543459814e-05 lalpha0 = 4.79702332198873e-11 walpha0 = 4.56838944623757e-11 palpha0 = -3.240130214744e-17 ++ alpha1 = 7.42070134821428e-10 lalpha1 = -4.55388243122098e-16 walpha1 = -4.33683704284594e-16 palpha1 = 3.07590167263849e-22 ++ beta0 = -235.917931284017 lbeta0 = 0.000169452542763189 wbeta0 = 0.000161376161014064 pbeta0 = -1.14456042199225e-10 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.09579813377808e-06 lagidl = -2.87882984460739e-12 wagidl = -2.09129506754101e-12 pagidl = 1.94478681659178e-18 ++ bgidl = -992180950.639868 lbgidl = 866.014098886511 wbgidl = 1364.8608653859 pbgidl = -0.000584945759036497 ++ cgidl = 24766.5282614482 lcgidl = -0.0216227445599235 wcgidl = -0.0160570185973221 pcgidl = 1.46049963220221e-8 ++ egidl = 7.30756299921459 legidl = -5.62985915410727e-06 wegidl = -4.32142849243674e-06 pegidl = 3.80266584620514e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.550886909625 lkt1 = -9.85731995806115e-08 wkt1 = -6.67922986301821e-08 pkt1 = 6.76698367980469e-14 ++ kt2 = -0.019032 ++ at = 229339.026964286 lat = -0.15556620487442 wat = -0.0867367408569188 pat = 6.15180334527697e-8 ++ ute = -1.3434125 lute = -9.67328343749999e-8 ++ ua1 = 5.524e-10 ++ ub1 = -4.57245346428571e-18 wub1 = 6.6298636123793e-25 ++ uc1 = -1.092e-10 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.86 pmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.68916604973855+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.17340121275148e-07 wvth0 = -9.88629736192174e-08 pvth0 = 4.52474844713923e-14 ++ k1 = 0.57315661187618 lk1 = 2.47894806270226e-08 wk1 = -2.7655072221193e-08 pk1 = -3.50905264952504e-15 ++ k2 = 0.0291050296470879 lk2 = 5.08102747550879e-10 wk2 = 6.19758296132856e-09 pk2 = -5.00053353805815e-16 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 134531.170295577 lvsat = -0.0478616473464371 wvsat = -0.0289900008448478 pvsat = 2.31581832373675e-8 ++ ua = 7.91066440498004e-09 lua = -3.70002764031288e-15 wua = -2.11214709450135e-15 pua = 1.18145752543688e-21 ++ ub = -1.12137909662958e-17 lub = 6.92828875306842e-24 wub = 4.22952731530404e-24 pub = -2.42378048127152e-30 ++ uc = -1.39893300407136e-12 luc = 5.69418078651683e-19 wuc = -8.61596644591578e-19 puc = -4.43283500710283e-25 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0146135247201412 lu0 = -1.91669622105965e-10 wu0 = 2.72437589049307e-09 pu0 = -8.62130598940115e-16 ++ a0 = -0.207347301027521 la0 = 6.4545193495285e-07 wa0 = 5.1737808555866e-07 pa0 = -3.49398244933507e-13 ++ keta = 0.250220191756393 lketa = -2.03606746129757e-07 wketa = -1.21321730589239e-07 pketa = 8.80743979293742e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -2.63759873785583 lags = 2.20392406086459e-06 wags = 1.17691064665417e-06 pags = -8.52631263168248e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {0.162013812530353+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -1.3422413476158e-07 wvoff = -1.06301381990881e-07 pvoff = 5.21598685553632e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {3.66727165665033+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.32069429969906e-06 wnfactor = -4.77725457797464e-07 pnfactor = 3.03317969877743e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 6.57536563392857e-05 lcit = -3.45348865532813e-11 wcit = -2.90593122722452e-11 pcit = 1.72274173966582e-17 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -1.93379884945137 leta0 = 9.42507553228316e-07 weta0 = 1.3567576706331e-06 peta0 = -6.55188173488013e-13 ++ etab = -0.166187245348266 letab = 1.10447935435576e-07 wetab = 1.01853229772891e-07 petab = -6.9306801180686e-14 ++ dsub = -0.373468212660117 ldsub = 3.35474447847452e-07 wdsub = 5.0943008472096e-07 pdsub = -2.57632685048049e-13 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.49841646511415 lpclm = -5.61096181811415e-08 wpclm = -3.04864565512767e-07 ppclm = 9.71569835242463e-14 ++ pdiblc1 = 0.255403744084621 lpdiblc1 = 3.51743959257796e-07 wpdiblc1 = 1.05704390801647e-07 ppdiblc1 = -1.73044894166074e-13 ++ pdiblc2 = -0.0314101311255173 lpdiblc2 = 1.92493299685986e-08 wpdiblc2 = 1.82623666896451e-08 ppdiblc2 = -9.93432117015519e-15 ++ pdiblcb = -0.025 ++ drout = -2.47060246773729 ldrout = 1.96917788353565e-06 wdrout = 9.70423454204159e-07 pdrout = -6.3077547937937e-13 ++ pscbe1 = -240203514.278644 lpscbe1 = 336.80536314762 wpscbe1 = 140.523335117 ppscbe1 = -7.88439804203377e-5 ++ pscbe2 = 1.25399295264151e-08 lpscbe2 = 1.47343801799291e-15 wpscbe2 = 1.39203714674332e-15 ppscbe2 = -1.03684762069354e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = -0.000139105122503964 lalpha0 = 9.86603790609363e-11 walpha0 = 3.50230663091112e-11 palpha0 = -2.48401097797371e-17 ++ alpha1 = 3.54625e-10 lalpha1 = -1.8059278125e-16 ++ beta0 = -131.260013154095 lbeta0 = 9.52239143295422e-05 wbeta0 = 1.07127914776877e-05 pbeta0 = -7.59804735555001e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.30673013464518e-06 lagidl = 1.66216332977181e-12 wagidl = 2.1840447522309e-12 pagidl = -1.08749795058145e-18 ++ bgidl = -4194888246.26064 lbgidl = 3137.53424830554 wbgidl = 2303.23640972826 pbgidl = -0.00125048861386132 ++ cgidl = -18337.2382697941 lcgidl = 0.00894860185236016 wcgidl = 0.013391003031637 pcgidl = -6.28101301831722e-9 ++ egidl = -3.27482114499542 legidl = 1.87569680017369e-06 wegidl = 2.75143023847538e-06 pegidl = -1.21375920869429e-12 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.909837751160714 lkt1 = 1.56012684778593e-07 wkt1 = 1.0148699497295e-07 pkt1 = -5.16822521899745e-14 ++ kt2 = -0.019032 ++ at = 10000.0 ++ ute = -1.47310154375 lute = -4.75088009531244e-09 wute = -2.42896262201738e-07 pute = 1.72274173966582e-13 ++ ua1 = 5.534185e-10 lua1 = -7.2237112499977e-19 ++ ub1 = -1.14545940977232e-17 lub1 = 4.88115824426555e-24 wub1 = 2.35111538354e-24 pub1 = -1.19730550906775e-30 ++ uc1 = -5.518905167685e-10 luc1 = 3.13978249018059e-16 wuc1 = 1.75060194094036e-16 puc1 = -1.24161442661195e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__pfet_g5v0d10v5__model.87 pmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 4.5375e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 1.2277e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.175e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.175e-08*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {-0.770148187042567+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.60999678530761e-08 wvth0 = -1.27946116542717e-07 pvth0 = 6.00580750051858e-14 ++ k1 = 0.827591155466809 lk1 = -1.04781310696505e-07 wk1 = -6.72369549256842e-08 pk1 = 1.66480211177369e-14 ++ k2 = -0.0693941075387678 lk2 = 5.06687883594479e-08 wk2 = 1.78001085450632e-08 pk2 = -6.40863950732271e-15 ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -47730.1097866783 lvsat = 0.0449549095354516 wvsat = 0.0423606781503248 pvsat = -1.31771500409242e-8 ++ ua = -6.66406354576978e-10 lua = 6.67845643991538e-16 wua = -1.44832982702827e-15 pua = 8.4340858197621e-22 ++ ub = 1.9512301539758e-18 lub = 2.24001747570101e-25 wub = 3.9609933466648e-24 pub = -2.28702955774198e-30 ++ uc = 8.08078287234235e-12 luc = -4.258127231412e-18 wuc = -1.17767854626666e-18 puc = -2.82318792282247e-25 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = 0.0104895406796788 lu0 = 1.9084692504995e-09 wu0 = 2.61153032819063e-09 pu0 = -8.04663996337597e-16 ++ a0 = -1.20302893458061 la0 = 1.15250280683976e-06 wa0 = 1.71342329374369e-06 pa0 = -9.58484267201732e-13 ++ keta = -0.34016648876305 lketa = 9.70476709247695e-08 wketa = 1.10267524552287e-07 pketa = -2.98624302514478e-14 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = -1.01617130966482 lags = 1.37821214305832e-06 wags = 1.23377789891782e-06 pags = -8.81590911383512e-13 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.00825819193925859+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} lvoff = -4.75131164854306e-08 wvoff = -8.90874386687541e-08 pvoff = 4.33936679185699e-14 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {-0.762301349319044+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 9.3506575359084e-07 wnfactor = -2.68930402526362e-07 pnfactor = 1.96989087980934e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -5.1423370625e-05 lcit = 2.51375144282813e-11 wcit = 2.42896262201738e-11 pcit = -9.94052953060611e-18 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = -1.07137221781493 leta0 = 5.03316791067456e-07 weta0 = 6.06971089862151e-07 peta0 = -2.73359357230406e-13 ++ etab = 0.177976056575859 letab = -6.48172260692852e-08 wetab = -1.08127029466251e-07 petab = 3.76256458368471e-14 ++ dsub = 0.408476767069836 ldsub = -6.27310330800269e-08 wdsub = -2.2070021383611e-08 pdsub = 1.30337439857038e-14 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.12299661683596 lpclm = -8.83427060445474e-07 wpclm = -7.87864330413184e-07 ppclm = 3.43124613799784e-13 ++ pdiblc1 = 5.28230638979032 lpdiblc1 = -2.20820621306783e-06 wpdiblc1 = -2.00450533301566e-06 ppdiblc1 = 9.01579407687891e-13 ++ pdiblc2 = 0.0362915903430902 lpdiblc2 = -1.52277716892897e-08 wpdiblc2 = -1.91201190509867e-08 ppdiblc2 = 9.10270969326154e-15 ++ pdiblcb = -0.025 ++ drout = 2.0153149999156 ldrout = -3.1527558686659e-07 wdrout = -6.88792254866395e-07 pdrout = 2.1418012046481e-13 ++ pscbe1 = 289922781.035108 lpscbe1 = 66.8385472590919 wpscbe1 = 74.3512172409605 ppscbe1 = -4.51458293919644e-5 ++ pscbe2 = 1.8026849855846e-08 lpscbe2 = -1.32077615976978e-15 wpscbe2 = -3.11883498569308e-15 ppscbe2 = 1.26031401274971e-21 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 0.00014978930646844 lalpha0 = -4.84591088932604e-11 walpha0 = -3.70316908370358e-11 palpha0 = 1.18537752969382e-17 ++ alpha1 = 0.0 ++ beta0 = 74.5449543620496 lbeta0 = -9.5822653780546e-06 wbeta0 = -8.9606527784816e-06 pbeta0 = 2.42065413190422e-12 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = -3.06134809029643e-07 lagidl = 1.34110160202096e-13 wagidl = 2.15099533524336e-13 pagidl = -8.48125979551313e-20 ++ bgidl = 2056754646.59452 lbgidl = -46.1148948809478 wbgidl = -333.72327666618 pbgidl = 9.23831064350506e-5 ++ cgidl = -7750.50678138839 lcgidl = 0.00355730884188954 wcgidl = 0.00566483509326166 pcgidl = -2.34646199569957e-9 ++ egidl = -0.432008666666309 legidl = 4.27994545584585e-07 wegidl = 1.00199839648804e-06 pegidl = -3.22861043162234e-13 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.425097366982143 lkt1 = -9.08413558643436e-08 wkt1 = 3.90398803362058e-09 pkt1 = -1.98810590612126e-15 ++ kt2 = -0.019032 ++ at = 105600.770767857 lat = -0.0486846925135312 wat = -0.050751844437066 pat = 2.58453767795759e-8 ++ ute = -1.90257537022857 lute = 2.13958666038901e-07 wute = 4.57683810561407e-07 pute = -1.8449622808805e-13 ++ ua1 = 5.52e-10 ++ ub1 = 1.94274678650001e-19 lub1 = -1.05102818010251e-24 wub1 = 8.41699820048573e-25 pub1 = -4.28635633359736e-31 ++ uc1 = 1.167501147696e-09 luc1 = -5.61621956110488e-16 wuc1 = -6.14435994016312e-16 puc1 = 2.77889491134e-22 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = 1.94170991e-10 ++ cgso = 1.94170991e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 9.8259105e-12 ++ cgdl = 9.8259105e-12 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 4.4983e-8 ++ dwc = 0.0 ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00077934735 ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = 9.9605453e-11 ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = 1.47314e-10 ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__pfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__pfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__pfet_g5v0d10v5 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_g5v0d10v5__toxe_slope= 1.20e-2 +.param sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1= 2.00e-2 +.param sky130_fd_pr__pfet_g5v0d10v5__toxe_slope2= 2.30e-2 +.param sky130_fd_pr__pfet_g5v0d10v5__toxe_slope3= 1.40e-2 +.param sky130_fd_pr__pfet_g5v0d10v5__vth0_slope=0.00e-2 ; All devices +.param sky130_fd_pr__pfet_g5v0d10v5__voff_slope=0.009 ; All devices +.param sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope=0.02 ; All devices +.param sky130_fd_pr__pfet_g5v0d10v5__lint_slope=0.0 ; All devices +.param sky130_fd_pr__pfet_g5v0d10v5__wint_slope=0.0 ; All devices + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 5 +.param ++ sky130_fd_pr__pfet_g5v0d16v0__toxe_mult = 1.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rshp_mult = 1.0 ++ sky130_fd_pr__pfet_g5v0d16v0__soverlap_mult = 1.0 ++ sky130_fd_pr__pfet_g5v0d16v0__doverlap_mult = 1.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ajunction_mult = 1.0050e+0 ++ sky130_fd_pr__pfet_g5v0d16v0__pjunction_mult = 1.0090e+0 ++ sky130_fd_pr__pfet_g5v0d16v0__wint_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__lint_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__dlc_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__dwc_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__cf_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__cjswgs_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigc_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdiff_mult = 1.0411 +* +* sky130_fd_pr__pfet_g5v0d16v0__base, Bin 000, W = 5.00, L = 0.66 +* -------------------------------------- ++ sky130_fd_pr__pfet_g5v0d16v0__aigbinv_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ua_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__kt1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigbacc_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nigbacc_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__dsub_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__bigsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pclm_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__vsat_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pdits_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__a0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__eta0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__lpe0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigc_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__keta_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ub_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__k2_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ags_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__vth0_diff_0 = -3.1440e-2 ++ sky130_fd_pr__pfet_g5v0d16v0__u0_diff_0 = -2.6814e-3 ++ sky130_fd_pr__pfet_g5v0d16v0__nigbinv_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__b1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__agidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__b0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__voff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__jtssws_diff_0 = -4.02e-12 +* +* sky130_fd_pr__pfet_g5v0d16v0__base, Bin 001, W = 20.000, L = 2.16 +* ---------------------------------------- ++ sky130_fd_pr__pfet_g5v0d16v0__agidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__b0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__voff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigbinv_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ua_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__kt1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigbacc_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nigbacc_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__dsub_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__bigsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pclm_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__vsat_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pdits_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__a0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__eta0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__lpe0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__keta_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ub_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__k2_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ags_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__vth0_diff_1 = -5.8588e-2 ++ sky130_fd_pr__pfet_g5v0d16v0__u0_diff_1 = -9.2238e-4 ++ sky130_fd_pr__pfet_g5v0d16v0__nigbinv_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__b1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__jtssws_diff_1 = -4.02e-12 +* +* w/l's to match MRGA +* , Bin 002, W =20.0, L = 0.66 +* , Bin 003, W =50.0, L = 0.66 +* , Bin 004, W = 5.0, L = 2.16 +* ---------------------------- + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 0 +.param ++ sky130_fd_pr__nfet_g5v0d10v5__ajunction_mult = 9.9505e-1 ++ sky130_fd_pr__nfet_g5v0d10v5__pjunction_mult = 1.0144e+0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__nfet_g5v0d10v5 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__nfet_g5v0d10v5 d g s b sky130_fd_pr__nfet_g5v0d10v5__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__nfet_g5v0d10v5__model.0 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78882+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.039667 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 105660.0 ++ ua = -5.92431e-11 ++ ub = 1.71671e-18 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0421082 ++ a0 = 0.9425989 ++ keta = -0.02132 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1494178 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96538+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.33405 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 24.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 160000.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.1 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78882+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.039667 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 105660.0 ++ ua = -5.92431e-11 ++ ub = 1.71671e-18 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0421082 ++ a0 = 0.9425989 ++ keta = -0.02132 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1494178 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96538+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.33405 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 24.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 160000.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.2 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.783366897345+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.2790420190348e-8 ++ k1 = 0.88325 ++ k2 = -0.04100161561805 lk2 = 1.04727100702197e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 110468.7325 lvsat = -0.037734056605245 ++ ua = -1.0160370546575e-10 lua = 3.32403078041264e-16 ++ ub = 1.752361942755e-18 lub = -2.79760295671284e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0415573116048 lu0 = 4.32281352469685e-9 ++ a0 = 1.03361118331195 la0 = -7.14172112976905e-7 ++ keta = -0.0172681619955 lketa = -3.17947160955794e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1520662575117 lags = -2.07824090159046e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.012092027505+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.6654862586335e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.259908962315 lpclm = 5.81783684739667e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 21.4994591 lbeta0 = 1.96217094347274e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.414270958 lkt1 = 9.05617358525876e-8 ++ kt2 = -0.019151 ++ at = 236939.72 lat = -0.60374490568392 ++ ute = -1.33706986 lute = 3.0187245284196e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.3 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.799231213062+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.82393802725314e-8 ++ k1 = 0.88325 ++ k2 = -0.0425688326357 lk2 = 1.6501771996081e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 93779.97715 lvsat = 0.0264673515836301 ++ ua = 1.059936792802e-10 lua = -4.6622115471302e-16 pua = -5.64237288394698e-37 ++ ub = 1.54968606504e-18 lub = 4.99930968436031e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0427638373221 lu0 = -3.18674018396179e-10 ++ a0 = 0.4765335596815 la0 = 1.42889770604271e-6 ++ keta = -0.039268111389 lketa = 5.28387812219236e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.119434808895 lags = 1.04750316972259e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96133159753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.71273962395545e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.60098245601 lpclm = -7.30321270476086e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 23.859072776 lbeta0 = 1.05443086577469e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.39479635577642e-8 ++ kt2 = -0.019151 ++ at = 139103.552 lat = -0.227370537094272 ++ ute = -1.22166028 lute = -1.42106585683921e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.4 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.77849763948+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.00552398633929e-8 ++ k1 = 0.88325 ++ k2 = -0.040704192142 lk2 = 1.3057807109184e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 119885.646358 lvsat = -0.021749453964177 ++ ua = -1.424027673504e-10 lua = -7.43639533655408e-18 ++ ub = 1.763188445e-18 lub = 1.05595061683231e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0411635356998 lu0 = 2.6370606737692e-9 ++ a0 = 1.7025972264586 la0 = -8.35624721603264e-7 ++ keta = 0.003322044888 lketa = -2.58246411595076e-08 pketa = -5.04870979341448e-29 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.152949206474 lags = 4.28496938454126e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.9020982963+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.18708842899521e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.0967492387200001 lpclm = 2.00990422593502e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 27.084637048 lbeta0 = 4.58673660526266e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.38119986 lkt1 = 1.564371284196e-8 ++ kt2 = -0.019151 ++ at = 9224.11200000001 lat = 0.012514970273568 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -4.0067651972e-18 lub1 = 4.69624259515638e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.5 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78843401736+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.16392669083229e-8 ++ k1 = 0.88325 ++ k2 = -0.036128620909 lk2 = 9.18236233283029e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 85126.2279969999 lvsat = 0.007691286755733 ++ ua = -1.60784842719e-10 lua = 8.13296515159496e-18 ++ ub = 1.6018274894e-18 lub = 2.42265532023053e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.045631465191 lu0 = -1.1472130542643e-9 ++ a0 = -0.59798198177 la0 = 1.11293365965745e-6 ++ keta = -0.08057022444 lketa = 4.52309364695378e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.3435801197 lags = -1.18612020824224e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.8523738453+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.97549704352654e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.9101826875 lpclm = 1.90083366705488e-06 ppclm = 3.23117426778526e-27 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 5.10834751e-06 lalpha0 = 7.92664763789513e-12 ++ alpha1 = 0.0 ++ beta0 = 22.85343874 lbeta0 = 8.17050233536235e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.33685056 lkt1 = -2.19195233678399e-8 ++ kt2 = -0.019151 ++ at = 7825.35000000001 lat = 0.0136997021049 ++ ute = -1.465198895 lute = 1.4110693168047e-7 ++ ua1 = 6.215715011e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -9.939305129e-18 lub1 = 5.4944025261912e-24 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.6 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.7757384111+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.98531464200556e-8 ++ k1 = 0.88325 ++ k2 = -0.012073800896 lk2 = -6.38076944810054e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 83539.70703 lvsat = 0.0087177436100884 ++ ua = -2.9722602282e-10 lua = 9.64084985004205e-17 ++ ub = 1.1918419193e-18 lub = 5.07520456079771e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.037431758785 lu0 = 4.15788219452798e-9 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.8407922064+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.22618122099105e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.031822874 lpclm = -2.60274315776379e-9 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.67118703e-05 lalpha0 = 4.19330842084195e-13 ++ alpha1 = 0.0 ++ beta0 = 32.17877346 lbeta0 = 2.13714132620844e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.658 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909599e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.670493e-18 lub1 = 1.44596842098e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.7 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 2.0e-05 wmax = 1.0e-4 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.86273265206+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.90320613696912e-8 ++ k1 = 0.88325 ++ k2 = 0.000971042710000009 lk2 = -1.22116319121721e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 102046.556208 lvsat = 0.000445441123410911 ++ ua = 1.47858737334e-10 lua = -1.02538158101775e-16 ++ ub = -9.44912075800003e-19 lub = 1.46261957733354e-24 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.038101135306 lu0 = 3.8586802609123e-9 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.1695064304+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.59192468338775e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.128000231999999 lpclm = 4.01393324299248e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.869456438e-05 lalpha0 = -4.93676565395868e-12 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.4401272 lkt1 = 3.10195768392001e-8 ++ kt2 = -0.019151 ++ at = -462.432000000059 lat = 0.017370963029952 ++ ute = -1.30083493 lute = 7.75489420979589e-10 ++ ua1 = -1.432830022e-09 lua1 = 1.53965669641369e-15 ++ ub1 = 5.78529723000001e-18 lub1 = -3.18803700964878e-24 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.8 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78882+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.039667 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 105660.0 ++ ua = -5.92431e-11 ++ ub = 1.71671e-18 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0421082 ++ a0 = 0.9425989 ++ keta = -0.02132 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1494178 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96538+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.33405 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 24.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 160000.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.9 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78882+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.039667 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 105660.0 ++ ua = -5.92431e-11 ++ ub = 1.71671e-18 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0421082 ++ a0 = 0.9425989 ++ keta = -0.02132 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1494178 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96538+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.33405 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 24.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 160000.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.10 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.783366897344999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.27904201903497e-8 ++ k1 = 0.88325 ++ k2 = -0.04100161561805 lk2 = 1.04727100702197e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 110468.7325 lvsat = -0.0377340566052449 ++ ua = -1.0160370546575e-10 lua = 3.32403078041264e-16 wua = 7.88860905221012e-31 pua = -3.00926553810506e-36 ++ ub = 1.752361942755e-18 lub = -2.7976029567129e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0415573116048 lu0 = 4.32281352469664e-9 ++ a0 = 1.03361118331195 la0 = -7.14172112976903e-07 wa0 = 1.35525271560688e-20 ++ keta = -0.0172681619955 lketa = -3.17947160955796e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1520662575117 lags = -2.07824090159046e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.012092027505+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.66548625863353e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.259908962315 lpclm = 5.81783684739668e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 21.4994591 lbeta0 = 1.96217094347274e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.414270958 lkt1 = 9.05617358525889e-8 ++ kt2 = -0.019151 ++ at = 236939.72 lat = -0.60374490568392 ++ ute = -1.33706986 lute = 3.01872452841955e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.11 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.799231213061999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.82393802725322e-8 ++ k1 = 0.88325 ++ k2 = -0.0425688326357 lk2 = 1.6501771996081e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 93779.97715 lvsat = 0.02646735158363 ++ ua = 1.059936792802e-10 lua = -4.66221154713019e-16 wua = -1.97215226305253e-31 pua = -3.76158192263132e-37 ++ ub = 1.54968606504e-18 lub = 4.99930968436029e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0427638373220999 lu0 = -3.18674018396285e-10 ++ a0 = 0.4765335596815 la0 = 1.42889770604271e-6 ++ keta = -0.039268111389 lketa = 5.28387812219235e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.119434808895 lags = 1.04750316972259e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96133159753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.71273962395545e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.60098245601 lpclm = -7.30321270476086e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 23.859072776 lbeta0 = 1.05443086577468e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.39479635577635e-8 ++ kt2 = -0.019151 ++ at = 139103.552 lat = -0.227370537094272 ++ ute = -1.22166028 lute = -1.42106585683916e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.12 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.779602344057306+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.80148659749727e-08 wvth0 = -2.20469294982996e-08 pvth0 = 4.07203701263428e-14 ++ k1 = 0.88325 ++ k2 = -0.0400796286596472 lk2 = 1.19042471011671e-08 wk2 = -1.24646057828678e-08 pk2 = 2.30219523764757e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 127486.824642213 lvsat = -0.0357887238386221 wvsat = -0.151699056180947 pvsat = 2.80186032979422e-7 ++ ua = -1.49239013726612e-10 lua = 5.19005601285932e-18 wua = 1.36433074493939e-16 pua = -2.51989978527262e-22 ++ ub = 1.6593816868986e-18 lub = 2.97324690601902e-25 wub = 2.0717034439111e-24 pub = -3.82640725705565e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0405271650538457 lu0 = 3.81242834765779e-09 wu0 = 1.27002449834683e-08 pu0 = -2.34571746810378e-14 ++ a0 = 1.75957160491531 la0 = -9.40855600971506e-07 wa0 = -1.13705521896909e-06 pa0 = 2.10012507066282e-12 ++ keta = 0.003322044888 lketa = -2.58246411595076e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.0384105981257299 lags = 2.54400899924151e-07 wags = 2.2858822846978e-06 pags = -4.22199257748485e-12 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.903339822086421+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.41639650361122e-08 wnfactor = -2.47775125095605e-08 pnfactor = 4.57637187199855e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.09674923872 lpclm = 2.00990422593502e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 27.1479802003684 lbeta0 = 4.46974268964232e-06 wbeta0 = -1.26415880150749e-06 pbeta0 = 2.33488360816158e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.38119986 lkt1 = 1.56437128419601e-8 ++ kt2 = -0.019151 ++ at = 9224.11200000001 lat = 0.012514970273568 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -4.0067651972e-18 lub1 = 4.69624259515637e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.13 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.782910494473471+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.5212908886587e-08 wvth0 = 1.10234647491484e-07 pvth0 = -7.13202736419374e-14 ++ k1 = 0.88325 ++ k2 = -0.0392514383207641 lk2 = 1.12027814787979e-08 wk2 = 6.23230289143385e-08 pk2 = -4.03221271851721e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 47120.3365759356 lvsat = 0.0322805664226813 wvsat = 0.758495280904732 pvsat = -4.90735827811429e-7 ++ ua = -1.26603610837942e-10 lua = -1.39818133382031e-17 wua = -6.82165372469693e-16 pua = 4.41351445672674e-22 ++ ub = 2.120861279907e-18 lub = -9.35420639619084e-26 wub = -1.03585172195557e-23 pub = 6.70181562181144e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0488133184207715 lu0 = -3.20582754798129e-09 wu0 = -6.3501224917344e-08 pu0 = 4.10844035043731e-14 ++ a0 = -0.88285387405354 la0 = 1.2972417857584e-06 wa0 = 5.6852760948454e-06 pa0 = -3.67829403949965e-12 ++ keta = -0.08057022444 lketa = 4.52309364695379e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.91627316144135 lags = -4.89136401128293e-07 wags = -1.1429411423489e-05 pags = 7.39466917923744e-12 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.846166216367891+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.57387214229954e-08 wnfactor = 1.23887562547803e-07 pnfactor = -8.01535185425356e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.9101826875 lpclm = 1.90083366705488e-06 ppclm = -6.46234853557053e-27 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 5.10834751e-06 lalpha0 = 7.92664763789514e-12 ++ alpha1 = 0.0 ++ beta0 = 22.5367229781579 lbeta0 = 8.37541299925358e-06 wbeta0 = 6.32079400753917e-06 pbeta0 = -4.08946523176166e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.33685056 lkt1 = -2.19195233678405e-8 ++ kt2 = -0.019151 ++ at = 7825.34999999998 lat = 0.0136997021049 ++ ute = -1.465198895 lute = 1.41106931680471e-7 ++ ua1 = 6.215715011e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -9.93930512899999e-18 lub1 = 5.49440252619119e-24 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.14 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.775738411099999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.98531464200552e-8 ++ k1 = 0.88325 ++ k2 = -0.012073800896 lk2 = -6.38076944810054e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 83539.7070299999 lvsat = 0.00871774361008848 ++ ua = -2.9722602282e-10 lua = 9.64084985004205e-17 ++ ub = 1.1918419193e-18 lub = 5.07520456079771e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.037431758785 lu0 = 4.157882194528e-9 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.8407922064+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.22618122099107e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.031822874 lpclm = -2.60274315776336e-9 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.67118703e-05 lalpha0 = 4.19330842084195e-13 ++ alpha1 = 0.0 ++ beta0 = 32.1787734599999 lbeta0 = 2.13714132620842e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.658 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909594e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.670493e-18 lub1 = 1.44596842098e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.15 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 1.5e-05 wmax = 2.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.895377604079501+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.36238978930782e-08 wvth0 = -6.51505362098337e-07 pvth0 = 2.91213775782881e-13 ++ k1 = 0.88325 ++ k2 = 0.00535553483497164 lk2 = -1.41714385091447e-08 wk2 = -8.75026597616334e-08 pk2 = 3.91124638762137e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123736.609686367 lvsat = -0.00924970912067014 wvsat = -0.43287507780423 pvsat = 1.93489099527402e-7 ++ ua = 9.04067357655688e-11 lua = -7.68579177287086e-17 wua = 1.14658729051767e-15 pua = -5.12508466639332e-22 ++ ub = -2.99298059184676e-18 lub = 2.37807753104722e-24 wub = 4.08739341798481e-23 pub = -1.82700763433136e-29 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0436741320434463 lu0 = 1.3676287412282e-09 wu0 = -1.11222012372209e-07 pu0 = 4.97146824222045e-14 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.47405424987601+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.95321079975078e-07 wnfactor = -6.07795463401117e-06 pnfactor = 2.71676063003812e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.00611809398051 lpclm = 1.35531433835597e-06 wpclm = 4.25912567400374e-05 ppclm = -1.90376954852024e-11 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.869456438e-05 lalpha0 = -4.93676565395869e-12 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.440127200000001 lkt1 = 3.10195768391997e-8 ++ kt2 = -0.019151 ++ at = -82837.738590376 lat = 0.0541915718215578 wat = 1.64398936521856 pat = -7.34840230401585e-7 ++ ute = -1.30083493 lute = 7.75489420978319e-10 ++ ua1 = -1.432830022e-09 lua1 = 1.53965669641369e-15 ++ ub1 = 2.1523136984184e-18 lub1 = -1.56414423280125e-24 wub1 = 7.25045712987018e-23 pub1 = -3.24085283065215e-29 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.16 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78882+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.039667 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 105660.0 ++ ua = -5.92431e-11 ++ ub = 1.71671e-18 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0421082 ++ a0 = 0.9425989 ++ keta = -0.02132 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1494178 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96538+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.33405 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 24.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 160000.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.17 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78882+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.039667 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 105660.0 ++ ua = -5.92431e-11 ++ ub = 1.71671e-18 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0421082 ++ a0 = 0.9425989 ++ keta = -0.02132 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1494178 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96538+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.33405 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 24.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 160000.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.18 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.783366897344999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.27904201903497e-8 ++ k1 = 0.88325 ++ k2 = -0.04100161561805 lk2 = 1.04727100702197e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 110468.7325 lvsat = -0.0377340566052453 ++ ua = -1.0160370546575e-10 lua = 3.32403078041264e-16 ++ ub = 1.752361942755e-18 lub = -2.7976029567129e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0415573116048 lu0 = 4.32281352469664e-9 ++ a0 = 1.03361118331195 la0 = -7.14172112976906e-7 ++ keta = -0.0172681619955 lketa = -3.17947160955795e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1520662575117 lags = -2.07824090159046e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.012092027505+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.66548625863353e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.259908962315 lpclm = 5.81783684739669e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 21.4994591 lbeta0 = 1.96217094347273e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.414270958 lkt1 = 9.05617358525872e-8 ++ kt2 = -0.019151 ++ at = 236939.72 lat = -0.60374490568392 ++ ute = -1.33706986 lute = 3.01872452841962e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.19 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.799231213062001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.82393802725305e-8 ++ k1 = 0.88325 ++ k2 = -0.0425688326357 lk2 = 1.6501771996081e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 93779.97715 lvsat = 0.0264673515836302 ++ ua = 1.059936792802e-10 lua = -4.66221154713019e-16 wua = -9.86076131526265e-32 pua = 3.76158192263132e-37 ++ ub = 1.54968606504e-18 lub = 4.99930968436029e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0427638373221 lu0 = -3.18674018396179e-10 ++ a0 = 0.4765335596815 la0 = 1.4288977060427e-6 ++ keta = -0.039268111389 lketa = 5.28387812219235e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.119434808895 lags = 1.0475031697226e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96133159753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.71273962395544e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.60098245601 lpclm = -7.30321270476086e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 23.859072776 lbeta0 = 1.05443086577468e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.39479635577635e-8 ++ kt2 = -0.019151 ++ at = 139103.552 lat = -0.227370537094272 ++ ute = -1.22166028 lute = -1.42106585683919e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.20 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.770338987826502+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.51241552462811e-08 wvth0 = 1.16507942759553e-07 pvth0 = -2.15188539165701e-13 ++ k1 = 0.88325 ++ k2 = -0.0391759692414392 lk2 = 1.02352008069689e-08 wk2 = -2.5980918028105e-08 pk2 = 4.79863918650578e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 124467.791778077 lvsat = -0.0302126124050231 wvsat = -0.106542451769943 pvsat = 1.96782416824761e-7 ++ ua = -1.31344104495032e-10 lua = -2.78615908091391e-17 wua = -1.31226594514844e-16 pua = 2.42373682896592e-22 ++ ub = 1.74833303743661e-18 lub = 1.33032791477108e-25 wub = 7.41230696898164e-25 pub = -1.36904271994115e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0409342713291349 lu0 = 3.06050875668634e-09 wu0 = 6.61103103523484e-09 pu0 = -1.22104817676439e-14 ++ a0 = 2.54892884320106 la0 = -2.39878736908395e-06 wa0 = -1.29437145540385e-05 pa0 = 2.39068595693053e-11 ++ keta = 0.0311667503719283 lketa = -7.72534223624464e-08 wketa = -4.16481836092405e-07 pketa = 7.69236120516966e-13 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.203781496858135 lags = -5.10368348420196e-08 wags = -1.87621181879597e-07 pags = 3.46533696235071e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.89692666609284+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.23189557001504e-08 wnfactor = 7.11460369384894e-08 pnfactor = -1.31405734180871e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.746886340835661 lpclm = -9.99803703094693e-07 wpclm = -9.72430087857138e-06 ppclm = 1.79606475825091e-11 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.25154417103886e-05 lalpha0 = 3.60450083909622e-12 walpha0 = 2.9190058417671e-11 palpha0 = -5.39136292366204e-17 ++ alpha1 = 0.0 ++ beta0 = 27.5273159240528 lbeta0 = 3.76911491869737e-06 wbeta0 = -6.93800005605819e-06 pbeta0 = 1.2814388971539e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.398067260947376 lkt1 = 4.67975662481504e-08 wkt1 = 2.52290911129394e-07 pkt1 = -4.65977780783238e-13 ++ kt2 = -0.019151 ++ at = 9224.11199999999 lat = 0.012514970273568 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -4.51312457364023e-18 lub1 = 1.40486293876947e-24 wub1 = 7.57377315210441e-24 pub1 = -1.39886529791127e-29 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.21 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.82922727562749+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.47534000851283e-08 wvth0 = -5.82539713797772e-07 pvth0 = 3.76895039271176e-13 ++ k1 = 0.88325 ++ k2 = -0.0437697354118039 lk2 = 1.41260564405414e-08 wk2 = 1.29904590140525e-07 pk2 = -8.4046451156658e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 62215.5008966157 lvsat = 0.0225142064395023 wvsat = 0.532712258849717 pvsat = -3.44657373504143e-7 ++ ua = -2.16078156995841e-10 lua = 4.39069653823111e-17 wua = 6.56132972574215e-16 pua = -4.24508847393901e-22 ++ ub = 1.67610452721695e-18 lub = 1.9420932843401e-25 wub = -3.70615348449081e-24 pub = 2.39782941831678e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0467777870443251 lu0 = -1.88886724485975e-09 wu0 = -3.30551551761733e-08 pu0 = 2.13862226268112e-14 ++ a0 = -4.8296400654823 la0 = 3.85075719660613e-06 wa0 = 6.47185727701923e-05 pa0 = -4.18720105222957e-11 ++ keta = -0.219793751859642 lketa = 1.35306609580662e-07 wketa = 2.08240918046202e-06 pketa = -1.3472895860304e-12 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.0894186677793243 lags = 4.5826880308126e-08 wags = 9.38105909397985e-07 pags = -6.06941389897766e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.878231996335801+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.64848321413152e-08 wnfactor = -3.55730184692447e-07 pnfactor = 2.30152449273436e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -5.1608681980783 lpclm = 4.00398168280189e-06 wpclm = 4.86215043928569e-05 ppclm = -3.14574326411169e-11 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4866138958057e-05 lalpha0 = 1.61349318008252e-12 walpha0 = -1.45950292088355e-10 palpha0 = 9.44277956770762e-17 ++ alpha1 = 0.0 ++ beta0 = 20.6400443597358 lbeta0 = 9.60253751187192e-06 wbeta0 = 3.46900002802918e-05 pbeta0 = -2.24439445213448e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.252513555263119 lkt1 = -7.64843847145351e-08 wkt1 = -1.26145455564697e-06 pkt1 = 8.16143437139815e-13 ++ kt2 = -0.019151 ++ at = 7825.34999999992 lat = 0.0136997021049 ++ ute = -1.465198895 lute = 1.41106931680469e-7 ++ ua1 = 6.215715011e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -7.40750824679887e-18 lub1 = 3.85636538856341e-24 wub1 = -3.78688657605221e-23 pub1 = 2.45006259829371e-29 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.22 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.775738411100001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.98531464200552e-8 ++ k1 = 0.88325 ++ k2 = -0.012073800896 lk2 = -6.38076944810054e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 83539.70703 lvsat = 0.00871774361008837 ++ ua = -2.9722602282e-10 lua = 9.64084985004205e-17 ++ ub = 1.1918419193e-18 lub = 5.07520456079769e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.037431758785 lu0 = 4.157882194528e-9 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.840792206400001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.22618122099103e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.031822874 lpclm = -2.60274315776506e-9 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.67118703e-05 lalpha0 = 4.19330842084208e-13 ++ alpha1 = 0.0 ++ beta0 = 32.17877346 lbeta0 = 2.13714132620842e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.658 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909603e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.670493e-18 lub1 = 1.44596842098e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.23 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 1.0e-05 wmax = 1.5e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.861044916444746+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.82776671779717e-08 wvth0 = -1.37980778677581e-07 pvth0 = 6.16754763379804e-14 ++ k1 = 0.88325 ++ k2 = 0.00333149231096208 lk2 = -1.32667198375077e-08 wk2 = -5.72284323249251e-08 pk2 = 2.5580308051189e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 87996.9925015471 lvsat = 0.0067253994063034 wvsat = 0.101693384231204 pvsat = -4.54555190439686e-8 ++ ua = 1.62670628352059e-10 lua = -1.09158866020373e-16 wua = 6.57139918226254e-17 pua = -2.93732343488285e-23 ++ ub = -6.41434726177268e-19 lub = 1.32696945073507e-24 wub = 5.70113839090281e-24 pub = -2.54832904479609e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0346170596665455 lu0 = 5.41601329468948e-09 wu0 = 2.42474087473852e-08 pu0 = -1.0838252246359e-14 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.13148089590428+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.4219558677667e-07 wnfactor = -9.53979466062946e-07 pnfactor = 4.26415465617612e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.26211855798051 lpclm = -5.52527689757474e-07 wpclm = -2.12500734802323e-05 ppclm = 9.49848534463512e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.869456438e-05 lalpha0 = -4.93676565395868e-12 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.4401272 lkt1 = 3.10195768391999e-8 ++ kt2 = -0.019151 ++ at = 81912.8745903759 lat = -0.0194496457616538 wat = -0.820236299314803 pat = 3.66634142485526e-7 ++ ute = -1.30083493 lute = 7.7548942098086e-10 ++ ua1 = -1.43283002200001e-09 lua1 = 1.53965669641369e-15 ++ ub1 = 6.99974823000001e-18 lub1 = -3.73087960433478e-24 pub1 = -1.12103877145985e-44 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.24 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78882+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.039667 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 105660.0 ++ ua = -5.92431e-11 ++ ub = 1.71671e-18 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0421082 ++ a0 = 0.9425989 ++ keta = -0.02132 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1494178 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96538+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.33405 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 24.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 160000.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.25 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.78882+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.039667 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 105660.0 ++ ua = -5.92431e-11 ++ ub = 1.71671e-18 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0421082 ++ a0 = 0.9425989 ++ keta = -0.02132 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1494178 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96538+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.33405 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 24.0 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 160000.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.26 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.783366897344999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.27904201903463e-8 ++ k1 = 0.88325 ++ k2 = -0.04100161561805 lk2 = 1.04727100702197e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 110468.7325 lvsat = -0.0377340566052453 ++ ua = -1.0160370546575e-10 lua = 3.32403078041264e-16 pua = -1.50463276905253e-36 ++ ub = 1.752361942755e-18 lub = -2.79760295671284e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0415573116048 lu0 = 4.32281352469685e-9 ++ a0 = 1.03361118331195 la0 = -7.14172112976903e-7 ++ keta = -0.0172681619955 lketa = -3.17947160955795e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1520662575117 lags = -2.07824090159046e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.012092027505+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.6654862586335e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.259908962315 lpclm = 5.81783684739666e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 21.4994591 lbeta0 = 1.96217094347275e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.414270958 lkt1 = 9.05617358525889e-8 ++ kt2 = -0.019151 ++ at = 236939.72 lat = -0.60374490568392 ++ ute = -1.33706986 lute = 3.01872452841955e-07 wute = 6.7762635780344e-21 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.27 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.799231213062001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.82393802725305e-8 ++ k1 = 0.88325 ++ k2 = -0.0425688326357 lk2 = 1.6501771996081e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 93779.9771499999 lvsat = 0.0264673515836302 ++ ua = 1.059936792802e-10 lua = -4.66221154713019e-16 wua = -9.86076131526265e-32 ++ ub = 1.54968606504e-18 lub = 4.99930968436029e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0427638373221 lu0 = -3.18674018396179e-10 ++ a0 = 0.4765335596815 la0 = 1.4288977060427e-6 ++ keta = -0.039268111389 lketa = 5.28387812219235e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.119434808895 lags = 1.04750316972259e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.96133159753+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.71273962395544e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.60098245601 lpclm = -7.30321270476086e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 23.859072776 lbeta0 = 1.05443086577468e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.39479635577644e-8 ++ kt2 = -0.019151 ++ at = 139103.552 lat = -0.227370537094272 ++ ute = -1.22166028 lute = -1.42106585683921e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.28 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.782039734932+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.35130391528847e-8 ++ k1 = 0.88325 ++ k2 = -0.0417852003738 lk2 = 1.50544141792034e-08 wk2 = -2.11758236813575e-22 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 113767.86648 lvsat = -0.0104500001784292 ++ ua = -1.445230274042e-10 lua = -3.52030470082623e-18 ++ ub = 1.8227739101e-18 lub = -4.45845815995806e-27 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.041598208915 lu0 = 1.83422533071982e-9 ++ a0 = 1.249007764729 la0 = 2.14866395884254e-9 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1849389360094 lags = -1.62348887502576e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.90407177368+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.55158693821291e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.22971304512 lpclm = 8.03961690374008e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.5446962802e-05 lalpha0 = -1.80997757581477e-12 ++ alpha1 = 0.0 ++ beta0 = 26.830541248 lbeta0 = 5.05604799052144e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37273 ++ kt2 = -0.019151 ++ at = 9224.11200000001 lat = 0.012514970273568 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.29 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.770723540100001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.30976977488612e-8 ++ k1 = 0.88325 ++ k2 = -0.0307235797500001 lk2 = 5.68537637353348e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 115715.127387 lvsat = -0.0120993029050055 ++ ua = -1.5018354245e-10 lua = 1.27407229575541e-18 ++ ub = 1.3039001639e-18 lub = 4.35020340638995e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0434580991150001 lu0 = 2.58924369782601e-10 ++ a0 = 1.669965326878 la0 = -3.5439649777549e-7 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.183631472023 lags = -1.51274850582728e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.842506458400003+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.33709092543818e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.2778712683 lpclm = 8.44751031192344e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.08533500000005e-07 lalpha0 = 1.1096758704969e-11 ++ alpha1 = 0.0 ++ beta0 = 24.12391774 lbeta0 = 7.34852020906833e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.379199860000001 lkt1 = 5.47988084196013e-9 ++ kt2 = -0.019151 ++ at = 7825.35000000003 lat = 0.0136997021049 ++ ute = -1.465198895 lute = 1.41106931680469e-7 ++ ua1 = 6.21571501100001e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -1.1210631115e-17 lub1 = 6.31693264056939e-24 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.30 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.7757384111+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.98531464200552e-8 ++ k1 = 0.88325 ++ k2 = -0.012073800896 lk2 = -6.38076944810055e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 83539.70703 lvsat = 0.00871774361008848 ++ ua = -2.9722602282e-10 lua = 9.64084985004204e-17 ++ ub = 1.1918419193e-18 lub = 5.07520456079771e-25 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.037431758785 lu0 = 4.15788219452797e-9 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.8407922064+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.22618122099107e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.031822874 lpclm = -2.60274315776506e-9 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.67118703e-05 lalpha0 = 4.19330842084195e-13 ++ alpha1 = 0.0 ++ beta0 = 32.17877346 lbeta0 = 2.13714132620845e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.658 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909594e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.670493e-18 lub1 = 1.44596842098e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.31 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 7e-06 wmax = 1.0e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.75208868731985+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.0424241853649e-08 wvth0 = 9.46929953237586e-07 pvth0 = -4.23264432077852e-13 ++ k1 = 0.88325 ++ k2 = -0.00110262350631363 lk2 = -1.12847321448069e-08 wk2 = -1.30765754246381e-08 pk2 = 5.84504614275744e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 101675.677888443 lvsat = 0.000611218539956715 wvsat = -0.03450949920121 pvsat = 1.54252630099524e-8 ++ ua = 1.98141252128225e-10 lua = -1.25013738259587e-16 wua = -2.87477934068784e-16 pua = 1.28498611837669e-22 ++ ub = -5.32864326242839e-19 lub = 1.27844000194998e-24 wub = 4.62006947907257e-24 pub = -2.06510637617271e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.033122060592836 lu0 = 6.08425695065059e-09 wu0 = 3.91335749840272e-08 pu0 = -1.74921601478105e-14 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.21029299646343+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.77423492357203e-07 wnfactor = -1.73873582545741e-06 pnfactor = 7.77190571677904e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.128000232 lpclm = 4.01393324299248e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.869456438e-05 lalpha0 = -4.9367656539587e-12 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.4401272 lkt1 = 3.10195768392001e-8 ++ kt2 = -0.019151 ++ at = -77713.2631580164 lat = 0.0519010030459491 wat = 0.769210319096364 pat = -3.43826243691608e-7 ++ ute = -1.30083493 lute = 7.75489420979166e-10 ++ ua1 = -1.432830022e-09 lua1 = 1.53965669641369e-15 ++ ub1 = 8.60914054579202e-18 lub1 = -4.45025543800139e-24 wub1 = -1.60252149811743e-23 pub1 = 7.16304674357515e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.32 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.773930725422+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.03589269135717e-7 ++ k1 = 0.88325 ++ k2 = -0.039005199382 wk2 = -4.60435073401634e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 119218.23738 wvsat = -0.0943288333897736 ++ ua = -3.776291806848e-10 wua = 2.215110026237e-15 ++ ub = 2.15421721754e-18 wub = -3.04387246464878e-24 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0412944578918 wu0 = 5.66145447931683e-9 ++ a0 = 1.2299227366222 wa0 = -1.99900042712233e-6 ++ keta = -0.017029449926 wketa = -2.98506783542409e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1698880119244 wags = -1.42417569183323e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.90891626188+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 3.92835616932181e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.6534245679 wpclm = -2.22198723624721e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.3789217694e-05 walpha0 = -6.48575397402078e-11 ++ alpha1 = 0.0 ++ beta0 = 26.68933959 wbeta0 = -1.87105638442238e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 179829.232 wat = -0.137958074427456 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.33 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.773930725422+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.03589269135713e-7 ++ k1 = 0.88325 ++ k2 = -0.039005199382 wk2 = -4.60435073401613e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 119218.23738 wvsat = -0.0943288333897732 ++ ua = -3.776291806848e-10 wua = 2.215110026237e-15 ++ ub = 2.15421721754e-18 wub = -3.04387246464878e-24 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0412944578918 wu0 = 5.66145447931683e-9 ++ a0 = 1.2299227366222 wa0 = -1.99900042712233e-6 ++ keta = -0.017029449926 wketa = -2.98506783542408e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1698880119244 wags = -1.42417569183323e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.90891626188+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 3.92835616932178e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.6534245679 wpclm = -2.22198723624721e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.3789217694e-05 walpha0 = -6.48575397402078e-11 ++ alpha1 = 0.0 ++ beta0 = 26.68933959 wbeta0 = -1.87105638442237e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 179829.232 wat = -0.137958074427456 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.34 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.762108010812788+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.27726760204819e-08 wvth0 = 1.47904621341652e-07 pvth0 = -3.47741948345032e-13 ++ k1 = 0.88325 ++ k2 = -0.0397195406622933 lk2 = 5.60542602568351e-09 wk2 = -8.91979034628553e-09 pk2 = 3.38631942213221e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 142215.64473428 lvsat = -0.180460333545331 wvsat = -0.220873046462854 pvsat = 9.92990668365479e-7 ++ ua = -5.2574203144813e-10 lua = 1.16223946635994e-15 wua = 2.95086096846382e-15 pua = -5.77342734314064e-21 ++ ub = 2.32055109417595e-18 lub = -1.305219601288e-24 wub = -3.95306692869417e-24 pub = 7.13443623064162e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0401955254141624 lu0 = 8.62330776696754e-09 wu0 = 9.47436595841243e-09 pu0 = -2.9919862995704e-14 ++ a0 = 1.5409259695701 la0 = -2.44043801489692e-06 wa0 = -3.52954522095213e-06 pa0 = 1.20101635695554e-11 ++ keta = -0.00293450744429405 lketa = -1.10602816324752e-07 wketa = -9.97236494783415e-08 pketa = 5.48292226189223e-13 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.202417148688517 lags = -2.55255680780112e-07 wags = -3.50306657991598e-07 pags = 1.63130276943129e-12 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.928619418336639+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.54610392871056e-07 wnfactor = 5.80744651547907e-07 pnfactor = -1.47451956390316e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.395513550592924 lpclm = 2.02382414205438e-06 wpclm = -9.43442886862708e-07 ppclm = -1.00327196099993e-11 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 3.55129271216997e-05 lalpha0 = -9.19957837472276e-11 walpha0 = -1.46422997131218e-10 palpha0 = 6.40043002230856e-16 ++ alpha1 = 0.0 ++ beta0 = 26.8014838328953 lbeta0 = -8.79994303979608e-07 wbeta0 = -3.688781909037e-05 pbeta0 = 1.42636667434937e-10 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.414270958 lkt1 = 9.05617358525872e-8 ++ kt2 = -0.019151 ++ at = 275839.646473688 lat = -0.753392378229227 wat = -0.270638769654801 pat = 1.04114355791924e-6 ++ ute = -1.33706986 lute = 3.01872452841962e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.35 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.794535772576738+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.19764694967695e-08 wvth0 = 3.26676256516338e-08 pvth0 = 9.55731607565246e-14 ++ k1 = 0.88325 ++ k2 = -0.0432634050859056 lk2 = 1.9238622849218e-08 wk2 = 4.83235446439469e-09 pk2 = -1.9041114335337e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 73043.8726742042 lvsat = 0.085642505164971 wvsat = 0.14426746555829 pvsat = -4.11699769412692e-7 ++ ua = 1.21573265364366e-10 lua = -1.32797341806358e-15 wua = -1.0839197890006e-16 pua = 5.99547591582695e-21 ++ ub = 1.55831895914198e-18 lub = 1.62707675093776e-24 wub = -6.00617031988865e-26 pub = -7.84190036976555e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0438512315785742 lu0 = -5.44014266763837e-09 wu0 = -7.56533675972225e-09 pu0 = 3.56316348051216e-14 ++ a0 = 0.277442505170145 la0 = 2.42016518388121e-06 wa0 = 1.38513778628029e-06 pa0 = -6.89655315370565e-12 ++ keta = -0.0511014773099234 lketa = 7.46948424107462e-08 wketa = 8.23283713885678e-08 pketa = -1.52059349357485e-13 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.114212514707311 lags = 8.40663112807102e-08 wags = 3.63331091303589e-08 pags = 1.43904998269862e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.943205817120531+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.10724064783106e-07 wnfactor = 1.26106637049043e-07 pnfactor = 2.74466512941796e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.58199022372901 lpclm = -2.54053500882672e-06 wpclm = -6.82517319041362e-06 ppclm = 1.25942145235368e-11 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 8.95080162348575e-06 lalpha0 = 1.01883411746446e-11 walpha0 = 3.83778910945096e-11 palpha0 = -7.0883427561084e-17 ++ alpha1 = 0.0 ++ beta0 = 23.8066283661974 lbeta0 = 1.06411727484306e-05 wbeta0 = 3.64871911875259e-07 pbeta0 = -6.73913313026689e-13 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.39479635577644e-8 ++ kt2 = -0.019151 ++ at = 148259.630473688 lat = -0.262593842797451 wat = -0.0637016580136174 pat = 2.45059386555174e-7 ++ ute = -1.22166028 lute = -1.42106585683919e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.36 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.76915514368721+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.49011967333842e-08 wvth0 = 8.9642069744115e-08 pvth0 = -9.6578398400634e-15 ++ k1 = 0.88325 ++ k2 = -0.0405000056852206 lk2 = 1.41346628437445e-08 wk2 = -8.94149528841101e-09 pk2 = 6.39899332419866e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 125778.021544817 lvsat = -0.0117567295209657 wvsat = -0.0835583479136885 pvsat = 9.09131850866372e-9 ++ ua = -9.79958183545329e-10 lua = 7.06539746632345e-16 wua = 5.81237969530193e-15 pua = -4.94010647562068e-21 ++ ub = 2.91296841672006e-18 lub = -8.74941832116534e-25 wub = -7.58481896246377e-24 pub = 6.05622094149507e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0374132654117264 lu0 = 6.45069071100329e-09 wu0 = 2.91159409148736e-08 pu0 = -3.21181715219691e-14 ++ a0 = 1.57815040322507 la0 = 1.77759060843328e-08 wa0 = -2.28994671194983e-06 pa0 = -1.08723536657611e-13 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.187569564345236 lags = -5.14231324018407e-08 wags = -1.83020915659361e-08 pags = 2.44815449063109e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.861752645390261+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -6.02811969417008e-08 wnfactor = 2.94427209803226e-07 pnfactor = -3.64192284471693e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.149597251948763 lpclm = 1.05074756549794e-07 wpclm = -2.63897856427888e-06 ppclm = 4.86237165579067e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 4.82477577424507e-05 lalpha0 = -6.2392586619698e-11 walpha0 = -2.28205233045557e-10 palpha0 = 4.21491870561881e-16 ++ alpha1 = 0.0 ++ beta0 = 32.7088199111632 lbeta0 = -5.8010504044397e-06 wbeta0 = -4.08969951694548e-05 pbeta0 = 7.55361775200506e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37273 ++ kt2 = -0.019151 ++ at = 3508.266473688 lat = 0.0047598999914529 wat = 0.0397668978069747 pat = 5.39544125143218e-8 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.1226844289468e-18 lub1 = -1.16326054231727e-24 wub1 = -4.381820911013e-24 pub1 = 8.09316187714825e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.37 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.739664736334792+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.98791588951777e-08 wvth0 = 2.16085663906103e-07 pvth0 = -1.16753793884966e-13 ++ k1 = 0.88325 ++ k2 = -0.028041037072947 lk2 = 3.58209085470939e-09 wk2 = -1.86632756274018e-08 pk2 = 1.4633205166399e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 188420.07137475 lvsat = -0.0648136687382219 wvsat = -0.505830688445526 pvsat = 3.66750079126362e-7 ++ ua = -1.32405787925235e-10 lua = -1.13252667243359e-17 wua = -1.23685313777182e-16 pua = 8.76574821591959e-23 ++ ub = 1.3607074664663e-18 lub = 4.39801461095092e-25 wub = -3.95225900602975e-25 pub = -3.32637275981646e-32 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.044859423527802 lu0 = 1.4389903290078e-10 wu0 = -9.74944554778361e-09 pu0 = 8.00266696491525e-16 ++ a0 = 3.14199757155161 la0 = -1.3067807516279e-06 wa0 = -1.02413817121257e-05 pa0 = 6.62603058840136e-12 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.0188307806233641 lags = 9.14962550676118e-08 wags = 1.14656916868022e-06 pags = -7.41814200167738e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.822003313839229+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -2.66140696086177e-08 wnfactor = 1.42646691677815e-07 pnfactor = 9.21367454778074e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -3.7727349440515 lpclm = 3.42723521391127e-06 wpclm = 2.43148430102153e-05 ppclm = -1.79671378643039e-11 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -0.000140590561501121 lalpha0 = 9.75508260431375e-11 walpha0 = 9.79582670044057e-10 palpha0 = -6.01487574324379e-16 ++ alpha1 = 0.0 ++ beta0 = -5.26747557581609 lbeta0 = 2.63643402048949e-05 wbeta0 = 0.000204484975847273 pbeta0 = -1.32298916583524e-10 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.379199859999999 lkt1 = 5.47988084196013e-9 ++ kt2 = -0.019151 ++ at = -55156.2071053201 lat = 0.0544478878102426 wat = 0.4381820911013 pat = -2.83497678393265e-7 ++ ute = -1.465198895 lute = 1.41106931680469e-7 ++ ua1 = 6.215715011e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -1.28362372552642e-17 lub1 = 7.063982711834e-24 wub1 = 1.13098426045092e-23 pub1 = -5.19745743720988e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.38 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.759172660767401+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.72578048982221e-08 wvth0 = 1.15253027314997e-07 pvth0 = -5.15164896674177e-14 ++ k1 = 0.88325 ++ k2 = -0.0139123907078416 lk2 = -5.55894554246468e-09 wk2 = 1.27916356066445e-08 pk2 = -5.71768203327165e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 55163.2823182108 lvsat = 0.0214016081863121 wvsat = 0.197423526658728 pvsat = -8.82455524870782e-8 ++ ua = -3.02712926475661e-10 lua = 9.88610676178501e-17 wua = 3.81740786987641e-17 pua = -1.70632787412462e-23 ++ ub = 1.3995151688713e-18 lub = 4.14693420946893e-25 wub = -1.44484676062839e-24 pub = 6.45826274146237e-31 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0413898184832965 lu0 = 2.38868492222523e-09 wu0 = -2.75374404034359e-08 pu0 = 1.23088503361703e-14 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.708250167194842+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.69826237262451e-08 wnfactor = 9.22135789698349e-07 pnfactor = -4.12181788094103e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.63860443699584 lpclm = -7.20811606875026e-07 wpclm = -1.11788742224835e-05 ppclm = 4.99680027321101e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -6.4930094011327e-06 lalpha0 = 1.07915872001747e-11 walpha0 = 1.61443495183728e-10 palpha0 = -7.21629821381938e-17 ++ alpha1 = 0.0 ++ beta0 = 32.1787734599999 lbeta0 = 2.13714132620842e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.65799999994 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909594e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -3.1939647150018e-18 lub1 = 8.25567370099795e-25 wub1 = 1.05992619505558e-23 pub1 = -4.73772170223111e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.39 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 5.0e-06 wmax = 7.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.93076736746378+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -4.94426266691647e-08 wvth0 = -2.96192657557197e-07 pvth0 = 1.32393971230865e-13 ++ k1 = 0.88325 ++ k2 = 0.00859077484697279 lk2 = -1.5617545501149e-08 wk2 = -8.05165333351456e-08 pk2 = 3.59897631693434e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 104476.749537704 lvsat = -0.000640821272260061 wvsat = -0.0539974173951911 pvsat = 2.41360896118067e-8 ++ ua = 1.66630621568595e-10 lua = -1.1092892754826e-16 wua = -6.82487719912298e-17 pua = 3.05062455972718e-23 ++ ub = -2.11537601382334e-19 lub = 1.13481145451148e-24 wub = 2.38450048558677e-24 pub = -1.06583833405049e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0333998941935409 lu0 = 5.96006922080595e-09 wu0 = 3.72006010511741e-08 pu0 = -1.66281478614601e-14 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.2277700740348+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.8523550135252e-07 wnfactor = -1.86032923706131e-06 pnfactor = 8.31541124357086e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.128000232000002 lpclm = 4.01393324299249e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.869456438e-05 lalpha0 = -4.93676565395868e-12 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.440127200000002 lkt1 = 3.10195768392001e-8 ++ kt2 = -0.019151 ++ at = 115413.814737024 lat = -0.0344240969940434 wat = -0.574434244959424 pat = 2.56764065417433e-7 ++ ute = -1.30083493 lute = 7.75489420980013e-10 ++ ua1 = -1.432830022e-09 lua1 = 1.53965669641369e-15 ++ ub1 = 6.30577623000001e-18 lub1 = -3.42068383594278e-24 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.40 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.793874746824+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 4.72061228741093e-9 ++ k1 = 0.88325 ++ k2 = -0.042245136202 wk2 = 1.14570139832642e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 132965.844564 wvsat = -0.162479956463874 ++ ua = 3.903402534698e-10 wua = -1.59195099345307e-15 ++ ub = 1.192566965946e-18 wub = 1.72332402078016e-24 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.04544146501842 wu0 = -1.48965371255337e-8 ++ a0 = 0.6263333686358 wa0 = 9.93177975511599e-7 ++ keta = -0.012287877534 wketa = -5.33561131056816e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1077879014702 wags = 1.65431805172166e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.98447815154+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.82520568255446e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.821222460640001 wpclm = 5.08829227551036e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 5.14478230599999e-06 walpha0 = 2.75686689642077e-11 ++ alpha1 = 0.0 ++ beta0 = 21.60639121 wbeta0 = 6.48717682353732e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 208780.3136 wat = -0.281477502851789 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.41 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.793874746824+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 4.72061228741009e-9 ++ k1 = 0.88325 ++ k2 = -0.042245136202 wk2 = 1.14570139832642e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 132965.844564 wvsat = -0.162479956463874 ++ ua = 3.903402534698e-10 wua = -1.59195099345307e-15 ++ ub = 1.192566965946e-18 wub = 1.72332402078017e-24 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.04544146501842 wu0 = -1.48965371255337e-8 ++ a0 = 0.6263333686358 wa0 = 9.93177975511599e-7 ++ keta = -0.012287877534 wketa = -5.33561131056815e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1077879014702 wags = 1.65431805172166e-7 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.98447815154+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.82520568255446e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.82122246064 wpclm = 5.08829227551036e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 5.14478230599999e-06 walpha0 = 2.75686689642078e-11 ++ alpha1 = 0.0 ++ beta0 = 21.60639121 wbeta0 = 6.48717682353737e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.40273 ++ kt2 = -0.019151 ++ at = 208780.3136 wat = -0.281477502851789 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.42 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.790910371669375+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.32614103370918e-08 wvth0 = 5.1224474484065e-09 pvth0 = -3.15319488263207e-15 ++ k1 = 0.88325 ++ k2 = -0.0437345759996019 lk2 = 1.16876132396251e-08 wk2 = 1.0983976451637e-08 pk2 = 3.71191888815319e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 138118.583119358 lvsat = -0.0404334673055531 wvsat = -0.200562650142707 pvsat = 2.98834364140097e-7 ++ ua = 3.91171012000758e-10 lua = -6.51895056180834e-18 wua = -1.5945593971297e-15 pua = 2.04681071328815e-23 ++ ub = 1.16541618945931e-18 lub = 2.13051762980167e-25 wub = 1.77329257553685e-24 pub = -3.92102549615882e-31 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0447425074992988 lu0 = 5.48470986713865e-09 wu0 = -1.3066424708091e-08 pu0 = -1.43608665180989e-14 ++ a0 = 0.628541395664266 la0 = -1.73263571799924e-08 wa0 = 9.93426126347864e-07 pa0 = -1.94723613805361e-15 ++ keta = -0.012287877534 wketa = -5.33561131056816e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.101060657688965 lags = 5.27885877699355e-08 wags = 1.52148685692407e-07 pags = 1.04232452593997e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.05049132061744+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.18004413566281e-07 wnfactor = -2.34119046039087e-08 pnfactor = 3.26936522041468e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.821222460640001 wpclm = 5.08829227551036e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -7.40231741073288e-06 lalpha0 = 9.84569158178068e-11 walpha0 = 6.6321087911366e-11 palpha0 = -3.04089688944485e-16 ++ alpha1 = 0.0 ++ beta0 = 16.919792259868 lbeta0 = 3.67756763493001e-05 wbeta0 = 1.20987695981303e-05 pbeta0 = -4.40340899399332e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.414270958 lkt1 = 9.0561735852588e-8 ++ kt2 = -0.019151 ++ at = 304192.523289482 lat = -0.748698273662433 wat = -0.411192712716754 pat = 1.01787343579744e-6 ++ ute = -1.33706986 lute = 3.01872452841962e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.43 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.802025951054856+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.95000679407443e-08 wvth0 = -4.46349603936867e-09 pvth0 = 3.37237955116176e-14 ++ k1 = 0.88325 ++ k2 = -0.04433723689548 lk2 = 1.40060412688157e-08 wk2 = 1.01556694846526e-08 pk2 = 6.89840419384426e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 145114.62716367 lvsat = -0.0673471507994073 wvsat = -0.213009462238378 pvsat = 3.46717076016769e-7 ++ ua = 4.64929971962164e-10 lua = -2.90268636907897e-16 wua = -1.81051692737097e-15 pua = 8.51253702565642e-22 ++ ub = 1.1578599726666e-18 lub = 2.42120423194712e-25 wub = 1.92513683412744e-24 pub = -9.76245286594306e-31 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0461561968407622 lu0 = 4.62667621799507e-11 wu0 = -1.89917594936885e-08 pu0 = 8.43381344740794e-15 ++ a0 = 0.177918923741793 la0 = 1.71621198359115e-06 wa0 = 1.87850683268371e-06 pa0 = -3.40684032228215e-12 ++ keta = -0.040651119625867 lketa = 1.09112995242023e-07 wketa = 3.05227296385335e-08 pketa = -3.22680733733197e-13 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.0254356709520466 lags = 3.43716852997047e-07 wags = 4.76427266893084e-07 pags = -1.14326270938487e-12 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.956988350196316+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.58299795397814e-07 wnfactor = 5.778237557219e-08 pnfactor = 1.45832629239406e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.821222460640001 wpclm = 5.08829227551036e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.16299789545806e-05 lalpha0 = -1.3229921847405e-11 walpha0 = -2.44766961223455e-11 palpha0 = 4.52081150642265e-17 ++ alpha1 = 0.0 ++ beta0 = 24.0804539612766 lbeta0 = 9.22871103324548e-06 wbeta0 = -9.92565901215652e-07 pbeta0 = 6.32809444735423e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.39479635577635e-8 ++ kt2 = -0.019151 ++ at = 178637.92618422 lat = -0.265691496362848 wat = -0.214296226365803 pat = 2.60415409356143e-7 ++ ute = -1.22166028 lute = -1.42106585683919e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.44 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.786287389175503+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 9.56883551055378e-09 wvth0 = 4.7122521270288e-09 pvth0 = 1.67763171087581e-14 ++ k1 = 0.88325 ++ k2 = -0.0468283046933552 lk2 = 1.86070086165421e-08 wk2 = 2.24298320110069e-08 pk2 = -1.57718021540568e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 123220.156094145 lvsat = -0.0269083692565896 wvsat = -0.070878221052153 pvsat = 8.42026633831897e-8 ++ ua = 6.97983662872098e-10 lua = -7.20715541266872e-16 wua = -2.50569484347796e-15 pua = 2.13523758112441e-21 ++ ub = 8.1643827159928e-19 lub = 8.72721525162231e-25 wub = 2.80832669818462e-24 pub = -2.6074846008498e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0472776665684575 lu0 = -2.02507212429715e-09 wu0 = -1.97849338545987e-08 pu0 = 9.89879538756831e-15 ++ a0 = 1.11100586904119 la0 = -7.18654115959784e-09 wa0 = 2.58326245162077e-08 pa0 = 1.50230027643049e-14 ++ keta = 0.0430598171687215 lketa = -4.54999330644667e-08 wketa = -2.6630567940904e-07 pketa = 2.25557182179946e-13 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.219173898878115 lags = -1.41149416472101e-08 wags = -1.74974511980456e-07 pags = 5.98672565696521e-14 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.940233413235312+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.27353661399958e-07 wnfactor = -9.46261284811848e-08 pnfactor = 2.96079636191467e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.27853171664763 lpclm = 2.69162979351651e-06 wpclm = 9.39800459677578e-06 ppclm = -7.95997832140473e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -1.04693935335192e-05 lalpha0 = 4.60571697469005e-11 walpha0 = 6.28737707120187e-11 palpha0 = -1.16126974272308e-16 ++ alpha1 = 0.0 ++ beta0 = 21.5521891921279 lbeta0 = 1.38983806661562e-05 wbeta0 = 1.44098595470647e-05 pbeta0 = -2.21199697216632e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37273 ++ kt2 = -0.019151 ++ at = 31326.276947376 lat = 0.0063910574145138 wat = -0.0981355480583226 pat = 4.58682627717225e-8 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -4.0065958e-18 lub1 = 4.69311385258803e-25 wub1 = 5.87747175411144e-39 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.45 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.762307674893783+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.98793177911709e-08 wvth0 = 1.03837643444115e-07 pvth0 = -6.71815015813312e-14 ++ k1 = 0.88325 ++ k2 = -0.0350595707883207 lk2 = 8.63905576125245e-09 wk2 = 1.61297577080897e-08 pk2 = -1.04357274205261e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 62004.7466297314 lvsat = 0.0249402255440365 wvsat = 0.120849012235553 pvsat = -7.81876190302315e-8 ++ ua = -1.70416530027428e-10 lua = 1.48072645163254e-17 wua = 6.47456421319527e-17 pua = -4.18895240203832e-23 ++ ub = 1.51182378195509e-18 lub = 2.83739733288006e-25 wub = -1.14435602030604e-24 pub = 7.40382324153725e-31 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.049810575936172 lu0 = -4.17041089802012e-09 wu0 = -3.42938329910145e-08 pu0 = 2.21876298315246e-14 ++ a0 = 1.03886093318061 la0 = 5.39192094852069e-08 wa0 = 1.8451437036396e-07 pa0 = -1.19378214424296e-13 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.339213878839846 lags = -1.15787124115076e-07 wags = -4.4166852717313e-07 pags = 2.85753353721635e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.632986117612829+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.32880496530146e-07 wnfactor = 1.07966315066851e-06 pnfactor = -6.98526943198419e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.1321132135 lpclm = -1.97138713289512e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 0.000120428427757596 lalpha0 = -6.48114523171761e-11 walpha0 = -3.14368853560094e-10 palpha0 = 2.03392247089431e-16 ++ alpha1 = 0.0 ++ beta0 = 45.9821296461993 lbeta0 = -6.79343687927597e-06 wbeta0 = -4.95751021166654e-05 pbeta0 = 3.20743970180529e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37919986 lkt1 = 5.47988084196013e-9 ++ kt2 = -0.019151 ++ at = 70806.9071053201 lat = -0.0270484836004426 wat = -0.18625586268002 pat = 1.20504935571895e-7 ++ ute = -1.465198895 lute = 1.41106931680469e-7 ++ ua1 = 6.215715011e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -1.0554788815e-17 lub1 = 6.01553919426159e-24 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.46 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.792920770841267+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.00730732964922e-08 wvth0 = -5.20467487390611e-08 pvth0 = 3.36735177796929e-14 ++ k1 = 0.88325 ++ k2 = -0.0058062623341657 lk2 = -1.02874252622675e-08 wk2 = -2.73929394292063e-08 pk2 = 1.77228483095444e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 91765.2953348487 lvsat = 0.00568556717950763 wvsat = 0.0159760747152458 pvsat = -1.03362966757179e-8 ++ ua = -5.10383846218894e-10 lua = 2.34761358549777e-16 wua = 1.06766279050925e-15 pua = -6.90762878180416e-22 ++ ub = 1.28756789446683e-18 lub = 4.28830152910481e-25 wub = -8.89889641644923e-25 pub = 5.75746139689285e-31 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.03089042582787 lu0 = 8.07066133994969e-09 wu0 = 2.45112828024508e-08 pu0 = -1.58584568152265e-14 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.975593975513654+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.87819910216772e-08 wnfactor = -4.03169810030958e-07 pnfactor = 2.60845222712689e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.23882855337614 lpclm = -2.66182044174613e-07 wpclm = -4.23975403640844e-06 ppclm = 2.74306150499975e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.79838674904791e-05 lalpha0 = -5.00111604819516e-12 walpha0 = -9.46900244607457e-12 palpha0 = 6.12631201657597e-18 ++ alpha1 = 0.0 ++ beta0 = 32.17877346 lbeta0 = 2.13714132620845e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.658 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909594e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.60443453858052e-18 lub1 = 2.24785282378057e-25 wub1 = 2.71947129074112e-24 pub1 = -1.75945985251143e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.47 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 3.0e-06 wmax = 5.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.876998027230725+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.75082832280058e-08 wvth0 = -2.96414770651607e-08 pvth0 = 2.36586750152608e-14 ++ k1 = 0.88325 ++ k2 = -0.0114940857020103 lk2 = -7.74504784636807e-09 wk2 = 1.90503065432129e-08 pk2 = -3.03663243468337e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 110240.376059935 lvsat = -0.00257253525347589 wvsat = -0.0825694892628603 pvsat = 3.37121907845996e-8 ++ ua = 5.95321779863884e-10 lua = -2.59473576430459e-16 wua = -2.19340288053773e-15 pua = 7.66887821858189e-22 ++ ub = -6.2489136925669e-19 lub = 1.28367266936521e-24 wub = 4.43362242590048e-24 pub = -1.80378922533456e-30 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0438080717040657 lu0 = 2.29665448033251e-09 wu0 = -1.43959405871703e-08 pu0 = 1.53252733880667e-15 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.03657748603187+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.16040766454171e-07 wnfactor = -9.12528691013654e-07 pnfactor = 4.88521511487622e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.58250637215227 lpclm = 9.94915168847628e-07 wpclm = 8.4795080728169e-06 ppclm = -2.94227058815444e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.48743448390417e-05 lalpha0 = -3.61120295631972e-12 walpha0 = 1.8938004892149e-11 palpha0 = -6.57122256550721e-18 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.4401272 lkt1 = 3.10195768392001e-8 ++ kt2 = -0.019151 ++ at = -462.432000000088 lat = 0.017370963029952 ++ ute = -1.30083493 lute = 7.75489420980013e-10 ++ ua1 = -1.432830022e-09 lua1 = 1.53965669641369e-15 ++ ub1 = 7.40293270716105e-18 lub1 = -3.80138177332698e-24 wub1 = -5.43894258148224e-24 pub1 = 1.8872369305782e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.48 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.788888825533334+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.94655172076689e-8 ++ k1 = 0.88325 ++ k2 = -0.041005812864 wk2 = 7.7919531612101e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 40953.9706346667 wvsat = 0.109627494402335 ++ ua = -1.47719457254667e-10 wua = -7.42706449916182e-19 ++ ub = 1.78259239769333e-18 wub = -2.15629087296765e-26 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0416061119076 wu0 = -3.55421668808073e-9 ++ a0 = 0.809344787270934 wa0 = 4.51956843090571e-7 ++ keta = -0.0350448771493333 wketa = 1.39433439127407e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.158104925658933 wags = 1.66288670026311e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.996488947386667+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -1.72675658181688e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.34623864050667 wpclm = -1.32155777859949e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 20.9825378666667 wbeta0 = 8.33210330660372e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.414388464 wkt1 = 3.4477668854912e-8 ++ kt2 = -0.019151 ++ at = 155959.085866667 wat = -0.12526886350618 ++ ute = -1.410812716 wute = 3.31847562728527e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.49 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.788888825533333+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 1.94655172076689e-8 ++ k1 = 0.88325 ++ k2 = -0.041005812864 wk2 = 7.79195316121013e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 40953.9706346667 wvsat = 0.109627494402335 ++ ua = -1.47719457254667e-10 wua = -7.4270644991628e-19 ++ ub = 1.78259239769333e-18 wub = -2.15629087296765e-26 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0416061119076 wu0 = -3.55421668808075e-9 ++ a0 = 0.809344787270934 wa0 = 4.51956843090571e-7 ++ keta = -0.0350448771493333 wketa = 1.39433439127407e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.158104925658933 wags = 1.66288670026311e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.996488947386667+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -1.72675658181684e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.34623864050667 wpclm = -1.32155777859949e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.4467e-5 ++ alpha1 = 0.0 ++ beta0 = 20.9825378666667 wbeta0 = 8.33210330660375e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.414388464 wkt1 = 3.44776688549118e-8 ++ kt2 = -0.019151 ++ at = 155959.085866667 wat = -0.12526886350618 ++ ute = -1.410812716 wute = 3.31847562728527e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.50 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.783312335400814+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.37586399990177e-08 wvth0 = 2.75921808897094e-08 pvth0 = -6.37698161396889e-14 ++ k1 = 0.88325 ++ k2 = -0.0442576593710625 lk2 = 2.55171940150683e-08 wk2 = 1.25308950907243e-08 pk2 = -3.71864109757111e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 25724.331483223 lvsat = 0.119506765206431 wvsat = 0.131821769374847 pvsat = -1.74158164989453e-7 ++ ua = -1.47820520472641e-10 lua = 7.93041656561362e-19 wua = -5.95426213856153e-19 pua = -1.15570595043995e-24 ++ ub = 1.76237596185759e-18 lub = 1.58638088972977e-25 wub = 7.89866494523938e-27 pub = -2.31184556165031e-31 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.041448165077257 lu0 = 1.23940656644618e-09 wu0 = -3.3240395086472e-09 pu0 = -1.80619710453454e-15 ++ a0 = 0.81386343182544 la0 = -3.54577405581959e-08 wa0 = 4.4537178623213e-07 pa0 = 5.16728489773854e-14 ++ keta = -0.0350448771493333 wketa = 1.39433439127407e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.13598649143763 lags = 1.73563043676485e-07 wags = 4.88622381408097e-08 pags = -2.52934812054092e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.09886048844574+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.03308049488942e-07 wnfactor = -1.66454431575879e-07 pnfactor = 1.17066724698463e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.34623864050667 wpclm = -1.32155777859949e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.55648537187109e-05 lalpha0 = -8.61484276077233e-12 walpha0 = -1.59991100710713e-12 palpha0 = 1.25544792740156e-17 ++ alpha1 = 0.0 ++ beta0 = 15.4837886710508 lbeta0 = 4.3148607955509e-05 wbeta0 = 1.63454744993683e-05 pbeta0 = -6.28808115624268e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.437141908947376 lkt1 = 1.78545963953829e-07 wkt1 = 6.76364462042822e-08 pkt1 = -2.60196461637628e-13 ++ kt2 = -0.019151 ++ at = 257591.139964946 lat = -0.797505305660442 wat = -0.273378069000036 pat = 1.16221086198141e-6 ++ ute = -1.48665753249125 lute = 5.95153213179435e-07 wute = 4.42376820559764e-07 pute = -8.67321538792096e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.51 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.799451986452162+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.83303716404023e-08 wvth0 = 3.14851007189787e-09 pvth0 = 3.02646432850429e-14 ++ k1 = 0.88325 ++ k2 = -0.0426496486975625 lk2 = 1.93311994662632e-08 wk2 = 5.16495140624559e-09 pk2 = -8.84972874473287e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 26688.5218524671 lvsat = 0.115797538354613 wvsat = 0.137213006407287 pvsat = -1.9489817837593e-7 ++ ua = -1.46539998021917e-10 lua = -4.13311028406069e-18 wua = -2.21189337729186e-18 pua = 5.06282059675614e-24 ++ ub = 1.83196617111776e-18 lub = -1.09074471787974e-25 wub = -6.84028194017736e-26 pub = 6.23461858971499e-32 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0411916969960788 lu0 = 2.22603568418526e-09 wu0 = -4.31020438700775e-09 pu0 = 1.98756537621032e-15 ++ a0 = 0.511662757202588 la0 = 1.12710402390647e-06 wa0 = 8.91523524039429e-07 pa0 = -1.66466664024296e-12 ++ keta = -0.0272983732800593 lketa = -2.98006919340432e-08 wketa = -8.96545395189446e-09 pketa = 8.81298246620812e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.209181391132396 lags = -1.08016710720681e-07 wags = -6.69654213620244e-08 pags = 1.92652572466079e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.938436254583346+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.86158267759599e-07 wnfactor = 1.12646636345191e-07 pnfactor = 9.69693461072252e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.34623864050667 wpclm = -1.32155777859949e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.12332215668925e-05 lalpha0 = 8.04888548442276e-12 walpha0 = 6.26971767432347e-12 palpha0 = -1.77198720886464e-17 ++ alpha1 = 0.0 ++ beta0 = 22.7839113918934 lbeta0 = 1.50651380501456e-05 wbeta0 = 2.84170981156178e-06 pbeta0 = -1.09320178611407e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.3947963557764e-8 ++ kt2 = -0.019151 ++ at = 96459.2633333333 lat = -0.1776332321049 wat = 0.0287313907124267 ++ ute = -1.26953070924909 lute = -2.40130636057655e-07 wute = 1.41567603381759e-07 pute = 2.8988730836265e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.52 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.780343001364874+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.69636562900265e-08 wvth0 = 2.22916377545046e-08 pvth0 = -5.09244554094127e-15 ++ k1 = 0.88325 ++ k2 = -0.0387719097183175 lk2 = 1.21690698599434e-08 wk2 = -1.39540929983206e-09 pk2 = 3.26716563434259e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 81147.4832575212 lvsat = 0.0152125990649383 wvsat = 0.0535436309089786 pvsat = -4.03620132018112e-8 ++ ua = -1.49607582925674e-10 lua = 1.53267608699014e-18 wua = 8.93528449761271e-19 pua = -6.72850041905429e-25 ++ ub = 1.75526190927161e-18 lub = 3.25972259821927e-26 wub = 3.19360439071176e-26 pub = -1.22978289890285e-31 ++ uc = 6.6204e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0419217928954986 lu0 = 8.77558779299509e-10 wu0 = -3.94596579456816e-09 pu0 = 1.31482179531473e-15 ++ a0 = 1.12748943513215 la0 = -1.03192286559339e-08 wa0 = -2.29143573531125e-08 pa0 = 2.42873245587211e-14 ++ keta = -0.0711915344450867 lketa = 5.12693622335062e-08 wketa = 7.15707567292875e-08 pketa = -6.06194289591123e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.128832671522715 lags = 4.03862495163244e-08 wags = 9.21923224074878e-08 pags = -1.01309552067798e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.862817868854418+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.64921679756705e-08 wnfactor = 1.34315480240787e-07 pnfactor = 5.69472947958753e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.93616928976111 lpclm = -1.08959365014387e-06 wpclm = -3.06616440708485e-06 ppclm = 3.22226401831966e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.02484532040256e-05 lalpha0 = -8.60212113611905e-12 walpha0 = -2.79683631876964e-11 palpha0 = 4.55173839303722e-17 ++ alpha1 = 0.0 ++ beta0 = 29.5636774592696 lbeta0 = 2.54300504042671e-06 wbeta0 = -9.28257879725952e-06 pbeta0 = 1.14613734593116e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37273 ++ kt2 = -0.019151 ++ at = -19801.98715792 lat = 0.037099669894938 wat = 0.0530664764063821 pat = -4.4946562585536e-8 ++ ute = -1.48504006301079 lute = 1.57912123209256e-07 wute = 5.5136068986231e-07 pute = -4.66994785263718e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -4.2534596947376e-18 lub1 = 9.2526554274462e-25 wub1 = 7.30052570818664e-25 pub1 = -1.34839687756608e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.53 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.802984306331764+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.21321203866009e-09 wvth0 = -1.64556841204789e-08 pvth0 = 2.77259936246626e-14 ++ k1 = 0.88325 ++ k2 = -0.0251924467891824 lk2 = 6.67454871446972e-10 wk2 = -1.30503670315539e-08 pk2 = 1.31387516637028e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 116468.503797368 lvsat = -0.0147038108380241 wvsat = -0.040217092546355 pvsat = 3.90520069147275e-8 ++ ua = -1.37880000893315e-10 lua = -8.40042170826954e-18 wua = -3.14748957685915e-17 pua = 2.67427521131004e-23 ++ ub = 8.33320036528148e-19 lub = 8.1346908500969e-25 wub = 8.62188534075005e-25 pub = -8.26190525527626e-31 ++ uc = 6.49562847471529e-11 luc = 1.05679735114796e-18 wuc = 3.6898782989667e-18 puc = -3.12527526092865e-24 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0360892389134166 lu0 = 5.81765034636724e-09 wu0 = 6.28438675707609e-09 pu0 = -7.35014359099224e-15 ++ a0 = 1.09300424424452 la0 = 1.88892452332168e-08 wa0 = 2.43959234081893e-08 pa0 = -1.57838209021718e-14 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.229131060693314 lags = -4.45650819337243e-08 wags = -1.16119728405847e-07 pags = 7.51278386023854e-14 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.19404169184913+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.27034108918671e-07 wnfactor = -5.79550987465098e-07 pnfactor = 6.61582198812212e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.70475735929648 lpclm = -4.66049848073503e-08 wpclm = 1.26382288648291e-06 ppclm = -4.45174599510122e-13 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.54370765068824e-05 lalpha0 = -4.52695243291255e-12 walpha0 = -3.87709057554809e-12 palpha0 = 2.51124133056992e-17 ++ alpha1 = 0.0 ++ beta0 = 27.778489982421 lbeta0 = 4.05503384069277e-06 wbeta0 = 4.25866709014364e-06 pbeta0 = -7.8722298763821e-15 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37919986 lkt1 = 5.47988084196013e-9 ++ kt2 = -0.019151 ++ at = 7825.35000000001 lat = 0.0136997021049 ++ ute = -1.465198895 lute = 1.41106931680469e-7 ++ ua1 = 6.215715011e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -1.1030813524182e-17 lub1 = 6.66558935333044e-24 wub1 = 1.4077516806617e-24 pub1 = -1.92239853581559e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.54 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.762223799128151+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.41582654749769e-08 wvth0 = 3.8733651283908e-08 pvth0 = -7.98073373127966e-15 ++ k1 = 0.88325 ++ k2 = -0.0125117238921877 lk2 = -7.53679531278807e-09 wk2 = -7.5628243199754e-09 pk2 = 9.58838835490944e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 78561.0039800616 lvsat = 0.00982181083877542 wvsat = 0.0550252311730881 pvsat = -2.25684431392198e-8 ++ ua = -1.54523843871962e-10 lua = 2.3679116851131e-18 wua = 1.52751586886452e-17 pua = -3.50387861996937e-24 ++ ub = 9.83392559704077e-19 lub = 7.16374263530187e-25 wub = 9.65050925163861e-27 pub = -2.74610358999256e-31 ++ uc = 5.25021707717075e-11 luc = 9.11443473566546e-18 wuc = 4.05205291914631e-17 puc = -2.69541907592613e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0424830326312896 lu0 = 1.68095532401546e-09 wu0 = -9.77162603815612e-09 pu0 = 3.03787190334382e-15 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.702012659859722+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -8.69821362797095e-09 wnfactor = 4.0589440340294e-07 pnfactor = 2.40128271560625e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.179613658207871 lpclm = 2.93155637785162e-07 wpclm = -1.10732935320818e-06 ppclm = 1.08892770343866e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -1.02933029400651e-05 lalpha0 = 1.21202428439503e-11 walpha0 = 1.03728379885537e-10 palpha0 = -4.45068196060368e-17 ++ alpha1 = 0.0 ++ beta0 = 27.5336270022971 lbeta0 = 4.21345676075122e-06 wbeta0 = 1.37371287805364e-05 pbeta0 = -6.14030424509686e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.65800000002 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909594e-8 ++ ua1 = 2.0117e-9 ++ ub1 = 3.99711575947093e-19 lub1 = -7.29800359101699e-25 wub1 = -3.20740604692031e-24 pub1 = 1.06354390172178e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.55 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 1.5e-06 wmax = 3.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.860278806730389+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.96709501531172e-08 wvth0 = 1.9802407474242e-08 pvth0 = 4.81267214227858e-16 ++ k1 = 0.88325 ++ k2 = -0.0130754449860965 lk2 = -7.28481987590616e-09 wk2 = 2.37268730049153e-08 pk2 = -4.39766829355417e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 86583.0210305767 lvsat = 0.00623608152543387 wvsat = -0.0126074039756978 pvsat = 7.66239791539551e-9 ++ ua = -1.52594375186884e-10 lua = 1.50546619544497e-18 wua = 1.84155481231457e-17 pua = -4.90758873173895e-24 ++ ub = 1.0726955610575e-18 lub = 6.7645707216723e-25 wub = -5.8666498381313e-25 pub = -8.06568201620602e-33 ++ uc = 9.61030889622792e-11 luc = -1.03745652826654e-17 wuc = -8.842081498086e-17 puc = 3.06807849069487e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0384543125386977 lu0 = 3.48173680332279e-09 wu0 = 1.43677422264578e-09 pu0 = -1.97212609563094e-15 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.497451206703296+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.27378920726075e-08 wnfactor = 6.81833767854961e-07 pnfactor = -9.93282056028892e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.723671843871333 lpclm = 6.96911611217538e-07 wpclm = 5.93966985165545e-06 ppclm = -2.06098228314652e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.49943407398231e-05 lalpha0 = -3.65283985394826e-12 walpha0 = 1.8583140054801e-11 palpha0 = -6.44808943505516e-18 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.4401272 lkt1 = 3.10195768391999e-8 ++ kt2 = -0.019151 ++ at = -462.43200000003 lat = 0.017370963029952 ++ ute = -1.30083493 lute = 7.75489420979166e-10 ++ ua1 = -1.43283002200001e-09 lua1 = 1.53965669641369e-15 ++ ub1 = 6.14110821226189e-18 lub1 = -3.2961242759815e-24 wub1 = -1.70733890812099e-24 pub1 = 3.93034891618429e-31 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.56 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.7976509216+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 6.69644451294716e-9 ++ k1 = 0.88325 ++ k2 = -0.033177657664 wk2 = -3.61608003699151e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 124374.55648 wvsat = -0.0119419927147558 ++ ua = -1.477422131512e-10 wua = -7.09544099851084e-19 ++ ub = 1.738915932256e-18 wub = 4.20871537638739e-26 ++ uc = 9.2162363728e-11 wuc = -3.78293311277242e-17 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.04034103277728 wu0 = -1.71060675083235e-9 ++ a0 = 1.11509733491568 wa0 = 6.38120938750018e-9 ++ keta = -0.032444287624 wketa = 1.01534839927562e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1897184365704 wags = -2.94417553567364e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.794767531280001+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 2.76702667645406e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.68718920056 wpclm = 1.64177288160969e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -1.6833142368e-05 walpha0 = 4.56139478740254e-11 ++ alpha1 = 0.0 ++ beta0 = 26.190712144 wbeta0 = 7.42189266851649e-7 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.386900768 wkt1 = -5.58037042745575e-9 ++ kt2 = -0.019151 ++ at = -83169.28 wat = 0.22321481709824 ++ ute = -1.2106704704 wute = 4.01786670776829e-8 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.57 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.7976509216+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 6.69644451294716e-9 ++ k1 = 0.88325 ++ k2 = -0.033177657664 wk2 = -3.61608003699151e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 124374.55648 wvsat = -0.0119419927147558 ++ ua = -1.477422131512e-10 wua = -7.09544099851084e-19 ++ ub = 1.738915932256e-18 wub = 4.20871537638739e-26 ++ uc = 9.2162363728e-11 wuc = -3.78293311277242e-17 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.04034103277728 wu0 = -1.71060675083235e-9 ++ a0 = 1.11509733491568 wa0 = 6.38120938749934e-9 ++ keta = -0.032444287624 wketa = 1.01534839927562e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1897184365704 wags = -2.94417553567364e-8 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.79476753128+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 2.76702667645406e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.687189200560001 wpclm = 1.64177288160969e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -1.6833142368e-05 walpha0 = 4.56139478740254e-11 ++ alpha1 = 0.0 ++ beta0 = 26.190712144 wbeta0 = 7.42189266851649e-7 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.386900768 wkt1 = -5.58037042745618e-9 ++ kt2 = -0.019151 ++ at = -83169.2800000001 wat = 0.22321481709824 ++ ute = -1.2106704704 wute = 4.01786670776829e-8 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.58 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.800700238992214+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.39279508862563e-08 wvth0 = 2.25264988273585e-09 pvth0 = 3.48703942501473e-14 ++ k1 = 0.88325 ++ k2 = -0.0295205023061041 lk2 = -2.8697646893234e-08 wk2 = -8.94568179729601e-09 pk2 = 4.1821310398685e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 124374.55648 wvsat = -0.0119419927147558 ++ ua = -1.45758867883595e-10 lua = -1.55632825480606e-17 wua = -3.59988902509347e-18 pua = 2.26804961635488e-23 ++ ub = 1.81457804073792e-18 lub = -5.93719505988109e-25 wub = -6.81758422236983e-26 pub = 8.65232185832515e-31 ++ uc = 9.2162363728e-11 wuc = -3.78293311277242e-17 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.040769927738821 lu0 = -3.36553275868228e-09 wu0 = -2.33563880944566e-09 pu0 = 4.90461781348973e-15 ++ a0 = 1.10970722449489 la0 = 4.22961210104272e-08 wa0 = 1.42362604246071e-08 pa0 = -6.16384755174637e-14 ++ keta = -0.032444287624 wketa = 1.01534839927562e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.209586691238423 lags = -1.55905916224412e-07 wags = -5.83959218304837e-08 pags = 2.27202938961165e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.670272151516978+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 9.76913502065122e-07 wnfactor = 4.58130780537098e-07 pnfactor = -1.42366386186752e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.68718920056 wpclm = 1.64177288160969e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -3.36154362765962e-05 lalpha0 = 1.3169042534864e-10 walpha0 = 7.00709190453739e-11 palpha0 = -1.91913510383976e-16 ++ alpha1 = 0.0 ++ beta0 = 28.2604179102127 lbeta0 = -1.624095217159e-05 wbeta0 = -2.27400950389618e-06 pbeta0 = 2.36680695272754e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.383218017526312 lkt1 = -2.88984914085244e-08 wkt1 = -1.09472721547649e-08 pkt1 = 4.21140027175722e-14 ++ kt2 = -0.019151 ++ at = -285720.55605284 lat = 1.58941702746877 wat = 0.518394412100252 pat = -2.31627014946646e-6 ++ ute = -1.2106704704 wute = 4.01786670776838e-8 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.59 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.790209748693623+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.64288184255533e-08 wvth0 = 1.6617297095317e-08 pvth0 = -2.03902024715924e-14 ++ k1 = 0.88325 ++ k2 = -0.0404658281494685 lk2 = 1.34088683916272e-08 wk2 = 1.98245225094388e-09 pk2 = -2.19068291017239e-16 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 134607.819722117 lvsat = -0.0393672204267395 wvsat = -0.0200586497325369 pvsat = 3.12246659142059e-8 ++ ua = -1.48757911528824e-10 lua = -4.02600363147475e-18 wua = 1.02028971963273e-18 pua = 4.90673321508917e-24 ++ ub = 1.58737260741686e-18 lub = 2.80336615121946e-25 wub = 2.88045337728062e-25 pub = -5.05145706345376e-31 ++ uc = 1.15432781536208e-10 luc = -8.95209715223262e-17 wuc = -7.17414971629679e-17 puc = 1.30459627967258e-22 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0397551260167555 lu0 = 5.38395258879452e-10 wu0 = -2.21667800627198e-09 pu0 = 4.44697726913188e-15 ++ a0 = 1.13201101245189 la0 = -4.35062390071515e-08 wa0 = -1.25149511214264e-08 pa0 = 4.12730607831689e-14 ++ keta = -0.0556837992318222 lketa = 8.94020758021295e-08 wketa = 3.24008543710173e-08 pketa = -8.55853223819851e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.13707813714414 lags = 1.2303347625654e-07 wags = 3.81112275010932e-08 pags = -1.44058713417321e-13 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.972141368539509+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.8437314965152e-07 wnfactor = 6.35279041359614e-08 pnfactor = 9.43678792073784e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.687189200560001 wpclm = 1.64177288160969e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -8.03605407933667e-06 lalpha0 = 3.3286900147133e-11 walpha0 = 3.43509872277784e-11 palpha0 = -5.44994327607313e-17 ++ alpha1 = 0.0 ++ beta0 = 20.9215116206291 lbeta0 = 1.19917175797499e-05 wbeta0 = 5.55579989742336e-06 pbeta0 = -6.45309762226925e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.39479635577635e-8 ++ kt2 = -0.019151 ++ at = 226657.16421064 lat = -0.381692889096754 wat = -0.16100705181928 pat = 2.97377770611484e-7 ++ ute = -1.17944759170522 lute = -1.20113977218519e-07 wute = 1.02887555201389e-08 pute = 1.14986071303107e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.60 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.7907304906401+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.54670153407995e-08 wvth0 = 7.1538665338034e-09 pvth0 = -2.91137871250495e-15 ++ k1 = 0.88325 ++ k2 = -0.041801873307151 lk2 = 1.58765250932345e-08 wk2 = 3.02018087788382e-09 pk2 = -2.13573853677456e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 129101.287806358 lvsat = -0.0291967330697793 wvsat = -0.0163398320904778 pvsat = 2.43560617927695e-8 ++ ua = -1.5584808691025e-10 lua = 9.06945103556243e-18 wua = 9.98786483051538e-18 pua = -1.16562524686593e-23 ++ ub = 1.74451631587589e-18 lub = -9.90561438997265e-27 wub = 4.75956831274481e-26 pub = -6.10385605932045e-32 ++ uc = 3.54002495842735e-11 luc = 5.82979945374493e-17 wuc = 4.48905519108415e-17 puc = -8.49581338233811e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0392238305150842 lu0 = 1.51969061232927e-09 wu0 = -1.42036338911726e-11 pu0 = 3.79037937985717e-16 ++ a0 = 1.0924620965587 la0 = 2.95400549627539e-08 wa0 = 2.81312633686823e-08 pa0 = -3.37999283330622e-14 ++ keta = -0.00441625104585688 lketa = -5.28836795167387e-09 wketa = -2.57413979706773e-08 pketa = 2.18026037015921e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.250695725391704 lags = -8.68166185904764e-08 wags = -8.53996809002204e-08 pags = 8.40642052471876e-14 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.867688878150487+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 8.54913776214061e-09 wnfactor = 1.27216919425553e-07 pnfactor = -2.32648403862836e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -2.45698114832333 lpclm = 3.2687809504316e-06 wpclm = 3.33600887153911e-06 ppclm = -3.12923015409577e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -3.20605689453068e-06 lalpha0 = 2.43659629667569e-11 walpha0 = 6.21208201501044e-12 palpha0 = -2.52726877742178e-18 ++ alpha1 = 0.0 ++ beta0 = 20.5806993898891 lbeta0 = 1.26211929985554e-05 wbeta0 = 3.8083870070732e-06 pbeta0 = -3.22565047757289e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37273 ++ kt2 = -0.019151 ++ at = 16612.056 lat = 0.00625748513678401 ++ ute = -0.861661664767044 lute = -7.07060135270355e-07 wute = -3.57093636925486e-07 pute = 7.93536206796685e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -3.02226967779659e-18 lub1 = -1.34872518188519e-24 wub1 = -1.06417049038961e-24 pub1 = 1.96550799736275e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.61 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.780892260890475+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.37998582035147e-08 wvth0 = 1.57392304374755e-08 pvth0 = -1.018306174382e-14 ++ k1 = 0.88325 ++ k2 = -0.0355965130214804 lk2 = 1.06206718063155e-08 wk2 = 2.11156192130382e-09 pk2 = -1.3661510012167e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 52789.8878868839 lvsat = 0.0354379543024166 wvsat = 0.0525822638489204 pvsat = -3.40199885585576e-8 ++ ua = -1.48510246409012e-10 lua = 2.85440286078083e-18 wua = -1.59833539366026e-17 pua = 1.03410062300267e-23 ++ ub = 1.49606048034243e-18 lub = 2.00532999925169e-25 wub = -1.03628416619107e-25 pub = 6.70461347547319e-32 ++ uc = 2.28526513149174e-10 luc = -1.05277246934331e-16 wuc = -2.34682324113125e-16 puc = 1.51836178148655e-22 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.039142367327192 lu0 = 1.58868879198937e-09 wu0 = 1.83503829465383e-09 pu0 = -1.18724408610492e-15 ++ a0 = 1.14396233539311 la0 = -1.40799263266437e-08 wa0 = -4.98657104873773e-08 pa0 = 3.22624165653866e-14 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.109198222880707 lags = 3.30297850713033e-08 wags = 5.86593556011677e-08 pags = -3.79517818429769e-14 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.50648522875654+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.14483571947721e-07 wnfactor = 4.22430546651439e-07 pnfactor = -2.73306649655828e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.61390052368908 lpclm = -1.0261848334195e-06 wpclm = -1.51838672013175e-06 ppclm = 9.82374950511158e-13 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 3.39536728942978e-06 lalpha0 = 1.87746491028809e-11 walpha0 = 1.36713886007194e-11 palpha0 = -8.84519702522499e-18 ++ alpha1 = 0.0 ++ beta0 = 30.7007734600001 lbeta0 = 4.04963194220847e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37919986 lkt1 = 5.4798808419597e-9 ++ kt2 = -0.019151 ++ at = 7825.35000000003 lat = 0.0136997021049 ++ ute = -3.15009630732366 lute = 1.23121196889011e-06 wute = 2.45541447815858e-06 pute = -1.58861879156591e-12 ++ ua1 = 6.215715011e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -1.37159705200171e-17 lub1 = 7.70868971966376e-24 wub1 = 5.32085245194806e-24 pub1 = -3.44251704447607e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.62 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.788802704399999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.86819119990614e-8 ++ k1 = 0.88325 ++ k2 = -0.0177013092921 lk2 = -9.57274473741399e-10 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 116319.138275 lvsat = -0.00566458128918917 ++ ua = -1.44042079764e-10 lua = -3.64384042086863e-20 ++ ub = 9.900147077e-19 lub = 5.27937530184008e-25 ++ uc = 8.03072258399999e-11 luc = -9.38144311531821e-18 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0357777746911999 lu0 = 3.7655331231793e-9 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.980536076599998+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 7.7793101048727e-9 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.580232134999999 lpclm = 1.04037427889511e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 6.088477327e-05 lalpha0 = -1.84201917148642e-11 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.65799999994 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909594e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.8012e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.63 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 1e-06 wmax = 1.5e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.859982344799839+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.3134390744702e-08 wvth0 = 2.0234443817324e-08 pvth0 = -9.04451310413095e-15 ++ k1 = 0.88325 ++ k2 = 0.0235275055354845 lk2 = -1.93859774982641e-08 wk2 = -2.96148996137889e-08 pk2 = 1.3237445518769e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 96358.1329724207 lvsat = 0.00325770862698954 wvsat = -0.0268527528094429 pvsat = 1.20028045672816e-8 ++ ua = -1.01298124906295e-10 lua = -1.91423878102345e-17 wua = -5.63388877807578e-17 pua = 2.51826940935698e-23 ++ ub = -3.61931914548622e-19 lub = 1.13223874307643e-24 wub = 1.50402911340747e-24 pub = -6.72279957285548e-31 ++ uc = -9.65165360983478e-11 luc = 6.9656302938456e-17 wuc = 1.92285305576992e-16 puc = -8.59488395986373e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.038996373468258 lu0 = 2.32686453021719e-09 wu0 = 6.46824493509839e-10 pu0 = -2.89121493055981e-16 ++ a0 = 1.1222 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.23750544093995+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.07082398183983e-07 wnfactor = -3.96653188131988e-07 pnfactor = 1.77298421950364e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.35211025 lpclm = -7.17327714406501e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 3.774603088e-05 lalpha0 = -8.0774978089277e-12 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.4401272 lkt1 = 3.10195768392003e-8 ++ kt2 = -0.019151 ++ at = -58287.0162196074 lat = 0.0432177426319374 wat = 0.0842682291799074 pat = -3.766671868821e-8 ++ ute = -0.265785501988187 lute = -4.61877114208307e-07 wute = -1.50838581183703e-06 pute = 6.74227340489789e-13 ++ ua1 = -1.43283002200001e-09 lua1 = 1.53965669641369e-15 ++ ub1 = 1.82105969641511e-17 lub1 = -8.94499307781804e-24 wub1 = -1.92963014221591e-23 pub1 = 8.62517658748523e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.64 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.7743732176+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = 2.89803767737794e-8 ++ k1 = 0.88325 ++ k2 = -0.038262105184 wk2 = 1.25130224948469e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 142738.6288 wvsat = -0.0295220660592704 ++ ua = -1.552993028112e-10 wua = 6.52491828838429e-18 ++ ub = 1.5408392024e-18 wub = 2.3170759186886e-25 ++ uc = 4.0321865408e-11 wuc = 1.17979926379984e-17 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0376285567512 wu0 = 8.86068248742244e-10 ++ a0 = 2.00952836416384 wa0 = -8.49864770359997e-7 ++ keta = -0.026319503488 wketa = 4.29017914109029e-9 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.1553244588784 wags = 3.48387463963672e-9 ++ b0 = 1.52898095264e-07 wb0 = -1.14843545416989e-13 ++ b1 = -9.68050021119999e-10 wb1 = 9.26722029618344e-16 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.12537141808+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = -3.97870780193284e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.35407942736 wpclm = -2.22696590604715e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 3.8592558768e-05 walpha0 = -7.44551922907654e-12 ++ alpha1 = 0.0 ++ beta0 = 21.98655168 wbeta0 = 4.76686571232254e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.398388464 wkt1 = 5.41689285491168e-9 ++ kt2 = -0.019151 ++ at = 404630.88 wat = -0.24376017847104 ++ ute = -1.270552352 wute = 9.75040713884158e-8 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.65 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.754577375960513+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 3.92887791877122e-07 wvth0 = 4.79310943419933e-08 pvth0 = -3.76114626266302e-13 ++ k1 = 0.88325 ++ k2 = -0.039116841523948 lk2 = 1.69639401726383e-08 wk2 = 2.0695481856076e-09 pk2 = -1.62397156387881e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 162904.486171066 lvsat = -0.400231488921552 wvsat = -0.0488270026474512 pvsat = 3.83144806196513e-7 ++ ua = -1.59756327305937e-10 lua = 8.84585027487079e-17 wua = 1.07916634933922e-17 pua = -8.46820323493598e-23 ++ ub = 1.382564973217e-18 lub = 3.14126641075585e-24 wub = 3.83224777659582e-25 pub = -3.00715946514786e-30 ++ uc = 3.2262922774205e-11 luc = 1.59945721627732e-16 wuc = 1.95128828928713e-17 puc = -1.53117318880001e-22 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0370233035182693 lu0 = 1.20124524404295e-08 wu0 = 1.46548201065263e-09 pu0 = -1.1499616820843e-14 ++ a0 = 2.59005181768386 la0 = -1.15216408546835e-05 wa0 = -1.40560451660234e-06 pa0 = 1.10297589633153e-11 ++ keta = -0.0292500280821072 lketa = 5.81620805919024e-08 wketa = 7.09559377922594e-09 pketa = -5.56790250472731e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.152944702700748 lags = 4.72309875412651e-08 wags = 5.76203426655188e-09 pags = -4.5214602221153e-14 ++ b0 = 2.31345130516106e-07 lb0 = -1.55693721039006e-12 wb0 = -1.89941519840113e-13 pb0 = 1.49046844700409e-18 ++ b1 = -1.60107293470569e-09 lb1 = 1.25635969036145e-14 wb1 = 1.53271992897724e-15 pb1 = -1.20272318246054e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.15254907356449+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.39394547913535e-07 wnfactor = -6.58044650358768e-08 pnfactor = 5.16366715874011e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 4.87526960224183 lpclm = -3.01910401042172e-05 wpclm = -3.68321342998292e-06 ppclm = 2.8902124220088e-11 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 4.36784249985561e-05 lalpha0 = -1.0093911587572e-10 walpha0 = -1.23142596585178e-11 palpha0 = 9.66298231407536e-17 ++ alpha1 = 0.0 ++ beta0 = 18.7304132421031 lbeta0 = 6.46245339910028e-05 wbeta0 = 7.8839930880288e-06 pbeta0 = -6.18655833858588e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.402088621315792 lkt1 = 7.34369704443231e-08 wkt1 = 8.95908305457852e-09 pkt1 = -7.03017993021124e-14 ++ kt2 = -0.019151 ++ at = 571137.95921064 lat = -3.30466366999446 wat = -0.403158737456019 pat = 3.16358096859506e-6 ++ ute = -1.33715518368426 lute = 1.32186546799778e-06 wute = 1.61263494982408e-07 pute = -1.26543238743803e-12 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.66 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.827662107734035+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.8060707516346e-07 wvth0 = -2.355816275876e-08 pvth0 = 1.84860573353712e-13 ++ k1 = 0.88325 ++ k2 = -0.0430122068799479 lk2 = 4.75308175860547e-08 wk2 = 3.97003492488122e-09 pk2 = -3.1152808475054e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 82241.0566868009 lvsat = 0.232733312953468 wvsat = 0.0283927437052721 pvsat = -2.22797462356858e-7 ++ ua = -1.45894919862197e-10 lua = -2.03117674026134e-17 wua = -3.46964537756165e-18 pua = 2.72262587026913e-23 ++ ub = 1.86433767298517e-18 lub = -6.39197219507186e-25 wub = -1.15811136251045e-25 pub = 9.08768364806048e-31 ++ uc = 6.4498693309385e-11 luc = -9.30079184610372e-17 wuc = -1.13466781266206e-17 puc = 8.90372244060986e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0385865265269101 lu0 = -2.54136623252653e-10 wu0 = -2.4545136207377e-10 pu0 = 1.92605340187379e-15 ++ a0 = 0.278738224445371 la0 = 6.61520455306864e-06 wa0 = 8.09729531924008e-07 pa0 = -6.35393630079425e-12 ++ keta = -0.0175279297056782 lketa = -3.38210612585589e-08 wketa = -4.12606477331661e-09 pketa = 3.23771725113085e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.122727218075309 lags = 2.84347166352306e-07 wags = 2.47553467043509e-08 pags = -1.94254859014187e-13 ++ b0 = -8.24430104923184e-08 lb0 = 9.05353939069076e-13 wb0 = 1.1045037785238e-13 pb0 = -8.66702568702339e-19 ++ b1 = 9.31018719637085e-10 lb1 = -7.30569085873013e-15 wb1 = -8.91271668458339e-16 pb1 = 6.99379630458923e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.29282921115257+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.64017082364525e-06 wnfactor = -1.37848073108532e-07 pnfactor = 1.08169189980963e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.20949109728548 lpclm = 1.75559919183238e-05 wpclm = 2.14177666576017e-06 ppclm = -1.68064915113467e-11 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 5.68995478935241e-05 lalpha0 = -2.04685082136813e-10 walpha0 = -1.65797994205557e-11 palpha0 = 1.30101453935908e-16 ++ alpha1 = 0.0 ++ beta0 = 27.6155554612656 lbeta0 = -5.09705261077438e-06 wbeta0 = -1.65667752261952e-06 pbeta0 = 1.29999253265102e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.394653493 lkt1 = 1.50936226420967e-8 ++ kt2 = -0.019151 ++ at = 310212.19447376 lat = -1.25718284706487 wat = -0.0520967774408662 pat = 4.08802683223593e-7 ++ ute = -1.07074385694723 lute = -7.68660483149066e-07 wute = -9.37741993935594e-08 pute = 7.35844829802469e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.67 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.783127543335898+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -9.28322940773043e-09 wvth0 = 2.33971489419115e-08 pvth0 = 4.22414661559106e-15 ++ k1 = 0.88325 ++ k2 = -0.0335159618089194 lk2 = 1.09988957452391e-08 wk2 = -4.67071039579452e-09 pk2 = 2.08801780315119e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 175585.299273774 lvsat = -0.126360681459223 wvsat = -0.0592867187271747 pvsat = 1.14504202108291e-7 ++ ua = -1.50332461453423e-10 lua = -3.24060702675122e-18 wua = 2.52761895885033e-18 pua = 4.15486676221488e-24 ++ ub = 1.7341011811515e-18 lub = -1.38179258733952e-25 wub = 1.47580900263301e-25 pub = -1.04497112176135e-31 ++ uc = -6.21897020841541e-12 luc = 1.79041943044652e-16 wuc = 4.47166979961739e-17 puc = -1.26637798651026e-22 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0376550051046129 lu0 = 3.32941324702509e-09 wu0 = -2.06215456110547e-10 pu0 = 1.77511342093609e-15 ++ a0 = 1.64687370831383 la0 = 1.35200650052344e-06 wa0 = -5.05397128771628e-07 pa0 = -1.29466244887138e-12 ++ keta = -0.026319503488 wketa = 4.29017914109029e-9 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.223964471257101 lags = -1.05111129316503e-07 wags = -4.50657552359174e-08 pags = 7.43459426545973e-14 ++ b0 = 2.43480424583578e-07 lb0 = -3.48468952739807e-13 wb0 = -2.01558733933256e-13 pb0 = 3.3359211620944e-19 ++ b1 = 3.95488682281792e-12 lb1 = -3.73928927278731e-15 wb1 = -3.78604479457858e-18 pb1 = 3.57965153515347e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.85938566910202+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.72804144136232e-08 wnfactor = 1.71469837253066e-07 pnfactor = -1.08249770900697e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.35407942736 wpclm = -2.22696590604715e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.72268081647873e-05 lalpha0 = -9.05344678187187e-11 walpha0 = 5.93567098580651e-13 palpha0 = 6.40357533639223e-17 ++ alpha1 = 0.0 ++ beta0 = 27.5907172603235 lbeta0 = -5.00150039948517e-06 wbeta0 = -8.28684015101206e-07 pbeta0 = 9.8146458949964e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352873999999 lkt1 = 6.39479635577644e-8 ++ kt2 = -0.019151 ++ at = -50370.2989475199 lat = 0.129972956971884 wat = 0.104193554881732 pat = -1.92444037156791e-7 ++ ute = -1.36461228610554 lute = 3.61847249664919e-07 wute = 1.87548398787119e-07 pute = -3.46399266882225e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.68 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.748498513430697+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 5.46761040207576e-08 wvth0 = 4.75828761721818e-08 pvth0 = -4.04465529785376e-14 ++ k1 = 0.88325 ++ k2 = -0.0310810156928808 lk2 = 6.50158435816149e-09 wk2 = -7.24298188311797e-09 pk2 = 6.83896722843677e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 104171.262621021 lvsat = 0.00554004444189937 wvsat = 0.00752588045964708 pvsat = -8.89773321338037e-9 ++ ua = -1.42038588355899e-10 lua = -1.85592745236559e-17 wua = -3.23207861155341e-18 pua = 1.47929475389847e-23 ++ ub = 1.75208311881612e-18 lub = -1.71391645853385e-25 wub = 4.03519221383422e-26 pub = 9.35533092149692e-32 ++ uc = 1.53846093695453e-10 luc = -1.16595989074899e-16 wuc = -6.84986022235435e-17 puc = 8.24692758405883e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0390291042895199 lu0 = 7.91471289890553e-10 wu0 = 1.72209339651345e-10 pu0 = 1.07616812111094e-15 ++ a0 = 3.46649745652067 la0 = -2.00881308768212e-06 wa0 = -2.24455177900579e-06 pa0 = 1.91753184194601e-12 ++ keta = -0.0395828837092872 lketa = 2.44972775813943e-08 wketa = 7.92390081108583e-09 pketa = -6.71143305237834e-15 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.164988942332243 lags = 3.8158469503038e-09 wags = -3.35189182313404e-09 pags = -2.69897907472542e-15 ++ b0 = -1.16418536551211e-09 lb0 = 1.03386216811623e-13 wb0 = 3.26415083278876e-14 pb0 = -9.89724524435009e-20 ++ b1 = -3.3665937946422e-09 lb1 = 2.48606695419703e-15 wb1 = 3.22286717236133e-15 pb1 = -2.37993178378845e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.846788179556614+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 5.054780123913e-08 wnfactor = 1.47225325395055e-07 pnfactor = -6.34704969221129e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 5.9402597473732 lpclm = -4.7766388445399e-06 wpclm = -4.70273701583834e-06 ppclm = 4.5727145789888e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -6.68336329063158e-05 lalpha0 = 8.31938499934336e-11 walpha0 = 6.71232695517004e-11 palpha0 = -5.88436756511555e-17 ++ alpha1 = 0.0 ++ beta0 = 15.9054108582907 lbeta0 = 1.65810969307798e-05 wbeta0 = 8.28407812068064e-06 pbeta0 = -7.01649819112279e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37273 ++ kt2 = -0.019151 ++ at = 16612.056 lat = 0.00625748513678401 ++ ute = -1.73263548352947 lute = 1.04158094298215e-06 wute = 4.76696567566331e-07 pute = -8.80451886543067e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -4.49406467598122e-18 lub1 = 1.36965957463186e-24 wub1 = 3.44790635732529e-25 pub1 = -6.36823477129079e-31 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.69 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.760572682771906+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 4.44494516271253e-08 wvth0 = 3.51913251270082e-08 pvth0 = -2.99510827249885e-14 ++ k1 = 0.88325 ++ k2 = -0.0381123220051035 lk2 = 1.24570023663257e-08 wk2 = 4.51996598779804e-09 pk2 = -3.12408493695884e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 145884.657563996 lvsat = -0.029790617087271 wvsat = -0.0365381039211359 pvsat = 2.84238446613614e-8 ++ ua = -2.24590293099842e-10 lua = 5.13608636705981e-17 wua = 5.68486834009032e-17 pua = -3.60946167548978e-23 ++ ub = 1.20227278027029e-18 lub = 2.94290013550194e-25 wub = 1.77616898961558e-25 pub = -2.2708204444615e-32 ++ uc = -1.97096944797034e-10 luc = 1.80647851325699e-16 wuc = 1.72770417166443e-16 puc = -1.21882205816459e-22 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0400720309300254 lu0 = -9.1872973644637e-11 wu0 = 9.45063890352703e-10 pu0 = 4.21571136630647e-16 ++ a0 = 1.00607018913251 la0 = 7.51343618139148e-08 wa0 = 8.21395442650628e-08 pa0 = -5.31431351858763e-14 ++ keta = 0.0459272576167633 lketa = -4.79286149797919e-08 wketa = -5.41714344145884e-08 pketa = 4.58824465490746e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.199398323802604 lags = -2.53284174237516e-08 wags = -2.76899226121721e-08 pags = 1.79149922711589e-14 ++ b0 = 4.05464890838146e-07 lb0 = -2.41022917925809e-13 wb0 = -3.56627759354484e-13 pb0 = 2.3073316751372e-19 ++ b1 = -1.82695428775892e-09 lb1 = 1.18201384681999e-15 wb1 = 1.74895795530592e-15 pb1 = -1.13155131167156e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.918095599563536+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -9.84858520285322e-09 wnfactor = 2.83926457949319e-08 pnfactor = 3.71791190416726e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.34582353252942 lpclm = 1.3945716883717e-06 wpclm = 2.27228879667868e-06 ppclm = -1.33503463385174e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.699649258132e-05 lalpha0 = 3.72104732716281e-12 walpha0 = -8.92215745020952e-12 palpha0 = 5.5657363834842e-18 ++ alpha1 = 0.0 ++ beta0 = 30.7007734599999 lbeta0 = 4.04963194220842e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37919986 lkt1 = 5.47988084196013e-9 ++ kt2 = -0.019151 ++ at = 7825.35000000003 lat = 0.0136997021049 ++ ute = 1.90459592964732 lute = -2.0391031427388e-06 wute = -2.38348283783165e-06 pute = 1.54208002731735e-12 ++ ua1 = 6.21571501100001e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -6.35699552909388e-18 lub1 = 2.94753592618633e-24 wub1 = -1.72395317866264e-24 pub1 = 1.11537357125023e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.70 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.784585564548125+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.89134532982541e-08 wvth0 = 4.03710171731757e-09 pvth0 = -9.79473633804753e-15 ++ k1 = 0.88325 ++ k2 = -0.00486654972190897 lk2 = -9.05254686008918e-09 wk2 = -1.22868180146204e-08 pk2 = 7.74966901762981e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 158428.664364397 lvsat = -0.0379064138710359 wvsat = -0.0403117862015888 pvsat = 3.08653642652625e-8 ++ ua = -1.381368010052e-10 lua = -4.57333536574588e-18 wua = -5.65317059802917e-18 pua = 4.34320775645533e-24 ++ ub = 3.5381646889732e-19 lub = 8.4322936862015e-25 wub = 6.09037663591721e-25 pub = -3.01831399269625e-31 ++ uc = 1.68012270954224e-10 luc = -5.55726997363444e-17 wuc = -8.39607413282077e-17 puc = 4.42192594933613e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0312457234593309 lu0 = 5.61862439159009e-09 wu0 = 4.33856890067818e-09 pu0 = -1.77397909597983e-15 ++ a0 = 1.1222 ++ keta = -0.0281525756096 wketa = 1.6745782571675e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.986644924627466+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -5.41990388286629e-08 wnfactor = -5.84804908747577e-09 pnfactor = 5.93323692608651e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -5.34786189557032 lpclm = 3.98383448072208e-06 wpclm = 4.56409011083205e-06 ppclm = -2.81779799889057e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 6.00867813961969e-05 lalpha0 = -1.76879062720192e-11 walpha0 = 7.63924004726584e-13 palpha0 = -7.01022712719134e-19 ++ alpha1 = 0.0 ++ beta0 = 34.6836712294464 lbeta0 = 1.47275284594539e-06 wbeta0 = 2.17914774268109e-06 pbeta0 = -1.40987808144629e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.658 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909594e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.8012e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.71 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 7.5e-07 wmax = 1.0e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.918523003111869+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.09547066155973e-08 wvth0 = -3.58069967100407e-08 pvth0 = 8.01501784160418e-15 ++ k1 = 0.88325 ++ k2 = -0.00466861939409946 lk2 = -9.14101894559545e-09 wk2 = -2.62252364969873e-09 pk2 = 3.42986473663092e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = -42309.1992762547 lvsat = 0.0518206008462448 wvsat = 0.105894593690872 pvsat = -3.44868406573491e-8 ++ ua = -1.60323066114063e-10 lua = 5.34361453020438e-18 wua = 1.66160636967771e-19 pua = 1.742048165049e-24 ++ ub = 2.3376039370374e-18 lub = -4.34958566139143e-26 wub = -1.08025815360265e-24 pub = 4.53260180874815e-31 ++ uc = -4.74331287962072e-11 luc = 4.0728377716502e-17 wuc = 1.45297367099394e-16 puc = -5.82559053602589e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0350017808810013 lu0 = 3.93971930890731e-09 wu0 = 4.47087993403154e-09 pu0 = -1.83312027553427e-15 ++ a0 = 1.1222 ++ keta = -0.0888493640143266 lketa = 2.71306146618751e-08 wketa = 7.4851303685827e-08 pketa = -2.59723544607304e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.423001150890602+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.97741837018881e-07 wnfactor = 3.83078284766574e-07 pnfactor = -1.14512257003221e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 11.4760747066868 lpclm = -3.53622964537445e-06 wpclm = -7.77713616610197e-06 ppclm = 2.69855736973106e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 4.15019733514135e-05 lalpha0 = -9.38075726331355e-12 walpha0 = -3.59559377542387e-12 palpha0 = 1.24762070175923e-18 ++ alpha1 = 0.0 ++ beta0 = 41.5126575411072 lbeta0 = -1.57970842955862e-06 wbeta0 = -4.35829548536229e-06 pbeta0 = 1.51226751728388e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.4401272 lkt1 = 3.10195768392001e-8 ++ kt2 = -0.019151 ++ at = 29739.22944 lat = 0.00387124318953216 ++ ute = -1.841439118 lute = 2.42417992998348e-7 ++ ua1 = -1.432830022e-09 lua1 = 1.53965669641369e-15 ++ ub1 = -4.30134779151005e-18 lub1 = 1.11753106073591e-24 wub1 = 2.25456338799332e-24 pub1 = -1.00775827054558e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.72 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.815346+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.88325 ++ k2 = -0.036493 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 101000.0 ++ ua = -1.460743e-10 ++ ub = 1.86843e-18 ++ uc = 5.7002e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.03888129 ++ a0 = 0.80798 ++ keta = -0.020254 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = -9.469e-9 ++ b1 = 3.4216e-10 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.06912+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.20557 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.8066e-5 ++ alpha1 = 0.0 ++ beta0 = 28.726 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.39073 ++ kt2 = -0.019151 ++ at = 60000.0 ++ ute = -1.1327 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.73 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.822342895849999+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.38867293978393e-7 ++ k1 = 0.88325 ++ k2 = -0.036190891039 lk2 = -5.9959523194417e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 93872.3210499999 lvsat = 0.141462944333146 ++ ua = -1.4449895216895e-10 lua = -3.12659063479796e-17 ++ ub = 1.924372471025e-18 lub = -1.11028943923858e-24 ++ uc = 5.98504559179999e-11 luc = -5.65332647261631e-17 wuc = 9.86076131526265e-32 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.039095218455825 lu0 = -4.24583506776034e-9 ++ a0 = 0.602792354193635 la0 = 4.07235633369179e-6 ++ keta = -0.019218197848 lketa = -2.05575508095147e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16109113150765 lags = -1.66939252564876e-8 ++ b0 = -3.7196325031e-08 lb0 = 5.50303831707707e-13 pb0 = -3.85185988877447e-34 ++ b1 = 5.65903727479999e-10 lb1 = -4.44063862688337e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.059513981305+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.90650518555374e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.332098941565 lpclm = 1.06711079558754e-05 ppclm = 6.46234853557053e-27 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.62683862904999e-05 lalpha0 = 3.56772141258551e-11 ++ alpha1 = 0.0 ++ beta0 = 29.87689128 lbeta0 = -2.28417231216815e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.389422169000001 lkt1 = -2.59565035473609e-8 ++ kt2 = -0.019151 ++ at = 1147.60499999998 lat = 1.16804265963147 pat = -8.470329472543e-22 ++ ute = -1.109159042 lute = -4.67217063852604e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.74 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.794355312449998+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 8.07508811352095e-8 ++ k1 = 0.88325 ++ k2 = -0.0373993268830001 lk2 = 3.48662683032398e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 122383.03685 lvsat = -0.0822602433994337 ++ ua = -1.50800343493151e-10 lua = 1.81810231535397e-17 ++ ub = 1.70060258692499e-18 lub = 6.45629708515729e-25 ++ uc = 4.84566322459998e-11 luc = 3.28739101144899e-17 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.038239504632525 lu0 = 2.46893932368227e-9 ++ a0 = 1.42354293741908 la0 = -2.36806200237006e-6 ++ keta = -0.0233614064559999 lketa = 1.19541491325417e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.15772660547705 lags = 9.70746340226924e-9 ++ b0 = 7.37129750930001e-08 lb0 = -3.1999989363512e-13 ++ b1 = -3.29071182440001e-10 lb1 = 2.58221696161013e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.097938056085+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.10862658306209e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.818576824695 lpclm = -6.20521467250612e-6 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 3.34588411285001e-05 lalpha0 = -2.07461843215636e-11 ++ alpha1 = 0.0 ++ beta0 = 25.2733261600001 lbeta0 = 1.32823879250466e-5 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.394653493 lkt1 = 1.50936226421018e-8 ++ kt2 = -0.019151 ++ at = 236557.185 lat = -0.67921301889441 ++ ute = -1.203322874 lute = 2.71685207557778e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.75 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.816206695475998+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.3110834464373e-9 ++ k1 = 0.88325 ++ k2 = -0.0401194646617 lk2 = 1.39509587830546e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 91765.0699999998 lvsat = 0.0355266464209798 ++ ua = -1.467588853609e-10 lua = 2.63359029918728e-18 ++ ub = 1.94275271663999e-18 lub = -2.85918450396042e-25 ++ uc = 5.7002e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0373634553750601 lu0 = 5.83908855245938e-9 ++ a0 = 0.932337567379999 la0 = -4.78401820704915e-7 ++ keta = -0.020254 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = -4.14855788170001e-08 lb0 = 1.23167330476895e-13 ++ b1 = -1.39786586000016e-12 lb1 = 1.3216623001533e-15 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.1018116522+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.25764328330265e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.20557 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.8066e-5 ++ alpha1 = 0.0 ++ beta0 = 26.419114486 lbeta0 = 8.8745562759607e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874000001 lkt1 = 6.39479635577652e-8 ++ kt2 = -0.019151 ++ at = 96939.72 lat = -0.142106585683921 ++ ute = -1.099454252 lute = -1.2789592711553e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.76 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.815771718557997+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -2.50768716856465e-9 ++ k1 = 0.88325 ++ k2 = -0.0413212248848001 lk2 = 1.61705930904771e-8 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 114811.437 lvsat = -0.00703967077888157 ++ ua = -1.46608137423e-10 lua = 2.35516096835672e-18 ++ ub = 1.80913311986001e-18 lub = -3.91249258177438e-26 ++ uc = 5.7002e-11 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0392725757894201 lu0 = 2.3129698748224e-9 ++ a0 = 0.293124924320004 la0 = 7.02214982049902e-7 ++ keta = -0.028379983684 lketa = 1.50085781005764e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 4.4984745974e-08 lb0 = -3.65421488275344e-14 ++ b1 = 1.1899320362e-09 lb1 = -8.78707350332889e-16 wb1 = 1.57772181044202e-30 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.0549369993+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -3.9187500669109e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.70852270078 lpclm = 1.68831642104285e-06 wpclm = -4.2351647362715e-22 ppclm = -8.07793566946316e-28 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 2.8066e-5 ++ alpha1 = 0.0 ++ beta0 = 27.6175336120001 lbeta0 = 6.66109292810665e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37273 ++ kt2 = -0.019151 ++ at = 16612.056 lat = 0.00625748513678404 ++ ute = -1.0586765186 lute = -2.03211829817062e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -4.0065958e-18 lub1 = 4.69311385258809e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.77 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.810326573760008+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.10427424330385e-9 ++ k1 = 0.88325 ++ k2 = -0.0317219432906002 lk2 = 8.04013597013201e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 94226.6755800014 lvsat = 0.0103953339571978 ++ ua = -1.44216985572001e-10 lua = 3.29888826685926e-19 ++ ub = 1.45338954830003e-18 lub = 2.62184898883581e-25 ++ uc = 4.71678128000005e-11 luc = 8.32941887977963e-18 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0414081728800006 lu0 = 5.04149037460191e-10 ++ a0 = 1.1222 ++ keta = -0.030660925204 lketa = 1.69405036348352e-8 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = -9.87394435599997e-08 lb0 = 8.51902275691101e-14 wb0 = -2.52435489670724e-29 pb0 = -2.40741243048404e-35 ++ b1 = 6.457421264e-10 lb1 = -4.17786115391031e-16 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.9582374413+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.27156711630829e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.86676390700001 lpclm = -4.92915281734296e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.43822461000003e-05 lalpha0 = 1.15899479807454e-11 ++ alpha1 = 0.0 ++ beta0 = 30.7007734600006 lbeta0 = 4.04963194220831e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37919986 lkt1 = 5.47988084195843e-9 ++ kt2 = -0.019151 ++ at = 7825.35000000033 lat = 0.0136997021049 ++ ute = -1.465198895 lute = 1.41106931680474e-7 ++ ua1 = 6.21571501100003e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -8.79433990900001e-18 lub1 = 4.52446361716427e-24 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.78 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.790293264329996+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.50655449781897e-8 ++ k1 = 0.88325 ++ k2 = -0.0222377911253999 lk2 = 1.90402229737804e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 101435.407959001 lvsat = 0.00573138503023829 ++ ua = -1.46129317133999e-10 lua = 1.56714057465822e-18 ++ ub = 1.21488076280001e-18 lub = 4.16496743979082e-25 ++ uc = 4.93076312099999e-11 luc = 6.94498632596707e-18 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0373796409332998 lu0 = 3.11055280752794e-9 ++ a0 = 1.1222 ++ keta = -0.0044772 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.978376887099991+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.96857316827161e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.1049 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 6.11668257400001e-05 lalpha0 = -1.86790200622196e-11 ++ alpha1 = 0.0 ++ beta0 = 37.7645748 lbeta0 = -5.20548631552788e-7 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.65799999982 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909577e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.8012e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.79 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 7e-07 wmax = 7.5e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.867898668719995+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.96229843084794e-8 ++ k1 = 0.88325 ++ k2 = -0.00837637280519976 lk2 = -4.29183763189488e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 107405.767457999 lvsat = 0.00306271791921864 ++ ua = -1.60088146337999e-10 lua = 7.80654180523744e-18 ++ ub = 8.10322818199962e-19 lub = 5.97328481404056e-25 ++ uc = 1.5798992184e-10 luc = -4.16344760335743e-17 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0413227611809996 lu0 = 1.34803326048948e-9 ++ a0 = 1.1222 ++ keta = 0.016976250408 lketa = -9.58939198407031e-9 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.964601535399993+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.58431210376995e-8 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.480672185999993 lpclm = 2.79021093668606e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 3.641848246e-05 lalpha0 = -7.61685709286556e-12 ++ alpha1 = 0.0 ++ beta0 = 35.3508503999997 lbeta0 = 5.58352383105555e-7 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.440127199999999 lkt1 = 3.10195768392005e-8 ++ kt2 = -0.019151 ++ at = 29739.2294399999 lat = 0.00387124318953214 ++ ute = -1.841439118 lute = 2.42417992998347e-7 ++ ua1 = -1.43283002200002e-09 lua1 = 1.53965669641369e-15 ++ ub1 = -1.11382073399998e-18 lub1 = -3.07248908592274e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.80 nmos +* DC IV MOS Parameters ++ lmin = 2.0e-05 lmax = 1.0e-04 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.832257483571428+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} wvth0 = -1.11160534433686e-8 ++ k1 = 0.88325 ++ k2 = -0.0330442701271429 wk2 = -2.26687773526798e-9 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 63673.4585714286 wvsat = 0.0245350342933314 ++ ua = -3.52541684444286e-10 wua = 1.35712663534305e-16 ++ ub = 1.81650702908571e-18 wub = 3.41293841657275e-26 ++ uc = 2.85462391571429e-11 wuc = 1.87041992480967e-17 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0352246229932857 wu0 = 2.40355647684935e-9 ++ a0 = 0.409084592285715 wa0 = 2.62197142653862e-7 ++ keta = -0.0274821432571429 wketa = 4.75111638806606e-9 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = -6.46794016171429e-08 wb0 = 3.62902386661609e-14 ++ b1 = 1.68862402385714e-09 wb1 = -8.85041574593491e-16 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.797916399714286+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} wnfactor = 1.78264296096602e-7 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.191142411428571 wpclm = 2.60762241731291e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 1.54585226857143e-05 walpha0 = 8.28699569849852e-12 ++ alpha1 = 0.0 ++ beta0 = 34.7332823714286 wbeta0 = -3.94863476099897e-6 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.394772585714286 wkt1 = 2.65722393068575e-9 ++ kt2 = -0.019151 ++ at = -209505.714285714 wat = 0.177148262045714 ++ ute = -1.25909818 wute = 8.308253489944e-8 ++ ua1 = 3.0044e-9 ++ ub1 = -4.15702807714286e-18 wub1 = 2.65899541330616e-25 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.81 nmos +* DC IV MOS Parameters ++ lmin = 8e-06 lmax = 2.0e-05 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.851973184596813+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -3.91297242230995e-07 wvth0 = -1.94762258355904e-08 pvth0 = 1.65924224426014e-13 ++ k1 = 0.88325 ++ k2 = -0.0327055045016496 lk2 = -6.72347662644641e-09 wk2 = -2.29097245409273e-09 pk2 = 4.78207547188775e-16 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 46941.0285883336 lvsat = 0.332088303620467 wvsat = 0.030848313985393 pvsat = -1.25299573662431e-7 ++ ua = -3.47629082155654e-10 lua = -9.75003488460429e-17 wua = 1.335190594813e-16 pua = 4.35364289295166e-23 ++ ub = 1.95948264318767e-18 lub = -2.83763501142286e-24 wub = -2.30781970438986e-26 pub = 1.13539806336131e-30 ++ uc = 3.52330708091027e-11 luc = -1.32713454180803e-16 wuc = 1.61812041711591e-17 puc = 5.00738479700505e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0356938704043253 lu0 = -9.31314679743948e-09 wu0 = 2.23573328503515e-09 pu0 = 3.33078453841184e-15 ++ a0 = -0.248004933028505 la0 = 1.30412466096579e-05 wa0 = 5.59235863269414e-07 pa0 = -5.89532332951477e-12 ++ keta = -0.0250505681109757 lketa = -4.82594378839284e-08 wketa = 3.833663632816e-09 pketa = 1.82086719891092e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.162224580246537 lags = -3.91894665088992e-08 wags = -7.45024923660423e-10 pags = 1.478649922954e-14 ++ b0 = -1.27051504209426e-07 lb0 = 1.23789824693961e-12 wb0 = 5.9062528115413e-14 pb0 = -4.51961309887254e-19 ++ b1 = 3.09867786769911e-09 lb1 = -2.79853188979778e-14 wb1 = -1.66481270455914e-15 pb1 = 1.54761066996325e-20 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.678137718480949+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 2.37724580953649e-06 wnfactor = 2.50681668564351e-07 pnfactor = -1.4372665775242e-12 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.45333561372217 lpclm = 2.50507308152162e-05 wpclm = 7.36997834502284e-07 ppclm = -9.45184114242759e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -3.41704329648427e-06 lalpha0 = 3.74623093790771e-10 walpha0 = 1.95124703509615e-11 palpha0 = -2.22791838270787e-16 ++ alpha1 = 0.0 ++ beta0 = 38.3258952214016 lbeta0 = -7.13025369368351e-05 wbeta0 = -5.55359788271481e-06 pbeta0 = 3.18536806072107e-11 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.404919962368436 lkt1 = 2.01394842391642e-07 wkt1 = 1.01868235634197e-08 pkt1 = -1.49439858496477e-13 ++ kt2 = -0.019151 ++ at = -407582.940774614 lat = 3.93123594104403 wat = 0.26866185758202 pat = -1.81626904941873e-6 ++ ute = -1.27247823743915 lute = 2.65553812673907e-07 wute = 1.07351013715714e-07 pute = -4.81656159307878e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -4.42155525697177e-18 lub1 = 5.25006723468385e-24 wub1 = 4.39775372849598e-25 pub1 = -3.45091119389558e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.82 nmos +* DC IV MOS Parameters ++ lmin = 4e-06 lmax = 8e-06 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.779993334987307+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 1.73527629936902e-07 wvth0 = 9.44024268204759e-09 pvth0 = -6.09828992013334e-14 ++ k1 = 0.88325 ++ k2 = -0.0309894810951741 lk2 = -2.01890882727317e-08 wk2 = -4.21324291510426e-09 pk2 = 1.55622369429599e-14 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 106094.863197385 lvsat = -0.132091008403075 wvsat = 0.0107063468472531 pvsat = 3.27541604830133e-8 ++ ua = -3.64924305243833e-10 lua = 3.8215024593779e-17 wua = 1.40745393050418e-16 pua = -1.31685094186813e-23 ++ ub = 1.37602910913205e-18 lub = 1.74071670196208e-24 wub = 2.13344743541129e-25 pub = -7.1980944148823e-31 ++ uc = -2.34122333759176e-11 luc = 3.27475426724792e-16 wuc = 4.72399803242114e-17 puc = -1.93643933680085e-22 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.034091110315903 lu0 = 3.2636891777697e-09 wu0 = 2.72677277147021e-09 pu0 = -5.22395437091304e-16 ++ a0 = 2.12237521281808 la0 = -5.55909320947811e-06 wa0 = -4.59348045277962e-07 pa0 = 2.09749034068177e-12 ++ keta = -0.0422805980326565 lketa = 8.69443656910825e-08 wketa = 1.24357359768689e-08 pketa = -4.92916692656614e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.154326259260389 lags = 2.2788547692915e-08 wags = 2.23507477098132e-09 pags = -8.59830135291833e-15 ++ b0 = 1.0295623985535e-07 lb0 = -5.66969300628268e-13 wb0 = -1.92218318744106e-14 pb0 = 1.62334966971852e-19 ++ b1 = -1.72586787294579e-09 lb1 = 9.87282398522246e-15 wb1 = 9.18125639042982e-16 pb1 = -4.79217432147657e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.918766040547808+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 4.89038735074364e-07 wnfactor = 1.17771199188721e-07 pnfactor = -3.94319985080197e-13 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 3.59543719545222 lpclm = -1.45669187355559e-05 wpclm = -1.16794453658169e-06 ppclm = 5.49621497427513e-12 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 4.25614800405186e-05 lalpha0 = 1.38302648646363e-11 walpha0 = -5.9832373779811e-12 palpha0 = -2.27273766616827e-17 ++ alpha1 = 0.0 ++ beta0 = 26.6303483727345 lbeta0 = 2.04722554470001e-05 wbeta0 = -8.91981556608063e-07 pbeta0 = -4.72595744112048e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.3682183984135 lkt1 = -8.66018161408421e-08 wkt1 = -1.73759991524631e-08 pkt1 = 6.68452254755371e-14 ++ kt2 = -0.019151 ++ at = 151449.405481129 lat = -0.455483053571939 wat = 0.0559420243399903 pat = -1.47059496046183e-7 ++ ute = -1.34052101490394 lute = 7.99484534841229e-07 wute = 9.01814356012838e-08 pute = -3.46926720218041e-13 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.83 nmos +* DC IV MOS Parameters ++ lmin = 2e-06 lmax = 4e-06 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.817508020056495+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.92091616813264e-08 wvth0 = -8.55371057356266e-10 pvth0 = -2.1375817284439e-14 ++ k1 = 0.88325 ++ k2 = -0.0386788995053266 lk2 = 9.39199669926725e-09 wk2 = -9.46895001805469e-10 pk2 = 2.99664224937016e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 47590.5015638286 lvsat = 0.0929744517401534 wvsat = 0.029036297229643 pvsat = -3.77609020187352e-8 ++ ua = -4.4989353570134e-10 lua = 3.65090464594581e-16 wua = 1.99252830745974e-16 pua = -2.38245803129357e-22 ++ ub = 1.96540041386479e-18 lub = -5.26586456146503e-25 wub = -1.48865125674326e-26 pub = 1.58193005523819e-31 ++ uc = 7.07923445349065e-11 luc = -3.49282656340574e-17 wuc = -9.06450378555032e-18 puc = 2.2958628427391e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.033705531424903 lu0 = 4.7470057733419e-09 wu0 = 2.40438267582977e-09 pu0 = 7.17834747376131e-16 ++ a0 = 0.848596328847925 la0 = -6.58883675749321e-07 wa0 = 5.50437860170416e-08 pa0 = 1.18632167175526e-13 ++ keta = -0.0196799528285714 wketa = -3.77325798157371e-10 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = -1.13154982748388e-07 lb0 = 2.64407547171193e-13 wb0 = 4.71088725593325e-14 pb0 = -9.28383243548954e-20 ++ b1 = 2.98189469930341e-09 lb1 = -8.23787272154421e-15 wb1 = -1.96094206942243e-15 pb1 = 6.28355884604194e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.04780542651803+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -7.37397620167237e-09 wnfactor = 3.54987241905654e-08 pnfactor = -7.78189255769431e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.191142411428572 wpclm = 2.60762241731291e-7 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 4.77407345388657e-05 lalpha0 = -6.09425468094231e-12 walpha0 = -1.29323604102728e-11 palpha0 = 4.00580235582084e-18 ++ alpha1 = 0.0 ++ beta0 = 31.0052633768946 lbeta0 = 3.6420186748061e-06 wbeta0 = -3.01451235517615e-06 pbeta0 = 3.43938882553978e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.407352874 lkt1 = 6.3947963557764e-8 ++ kt2 = -0.019151 ++ at = 45100.4845111428 lat = -0.0463602434852978 wat = 0.0340743442007097 pat = -6.2934836697892e-8 ++ ute = -1.099454252 lute = -1.27895927115529e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -3.7525e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.84 nmos +* DC IV MOS Parameters ++ lmin = 1e-06 lmax = 2e-06 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.829085401407077+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 7.82590041014192e-09 wvth0 = -8.75119024616069e-09 pvth0 = -6.79234978418559e-15 ++ k1 = 0.88325 ++ k2 = -0.0417413366630875 lk2 = 1.50482752555314e-08 wk2 = 2.76142832762627e-10 pk2 = 7.3770849145257e-16 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 110357.510508373 lvsat = -0.0229553350422947 wvsat = 0.00292760151435845 pvsat = 1.04614934456553e-8 ++ ua = -2.52104809188851e-10 lua = -2.22544231815205e-19 wua = 6.93438063250681e-17 pua = 1.69434624971485e-24 ++ ub = 1.62893314065499e-18 lub = 9.48638869301743e-26 wub = 1.18446887931287e-25 pub = -8.8071918529708e-32 ++ uc = 5.18813914285715e-11 wuc = 3.36581697886857e-18 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0353558109903611 lu0 = 1.69896251985489e-09 wu0 = 2.57452083653984e-09 pu0 = 4.03591946478937e-16 ++ a0 = -0.0420258259158071 la0 = 9.86082975389126e-07 wa0 = 2.20297269335998e-07 pa0 = -1.8658870296582e-13 ++ keta = -0.0273197265950318 lketa = 1.41105551898196e-08 wketa = -6.96915466635492e-10 pketa = 5.9027764342373e-16 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 1.34440158804117e-07 lb0 = -1.928972129443e-13 wb0 = -5.87997584965383e-14 pb0 = 1.02773434484463e-19 ++ b1 = -2.38066650860852e-09 lb1 = 1.66670275361221e-15 wb1 = 2.346982988291e-15 pb1 = -1.67311842460395e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.05322855509024+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -1.73904187507408e-08 wnfactor = 1.12297404663148e-09 pnfactor = -1.43273963215992e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -1.61073363915291 lpclm = 2.62196512332967e-06 wpclm = 5.93030467480022e-07 ppclm = -6.13694761202744e-13 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 4.27896513533338e-05 lalpha0 = 3.05032664757061e-12 walpha0 = -9.67797382375713e-12 palpha0 = -2.00500410806135e-18 ++ alpha1 = 0.0 ++ beta0 = 27.480612829331 lbeta0 = 1.01519988910485e-05 wbeta0 = 8.99991258146129e-08 pbeta0 = -2.29460041668942e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37273 ++ kt2 = -0.019151 ++ at = 16612.056 lat = 0.00625748513678399 ++ ute = -1.0586765186 lute = -2.0321182981706e-7 ++ ua1 = 3.0044e-9 ++ ub1 = -4.34899715038e-18 lub1 = 1.10172188579175e-24 wub1 = 2.25063146815577e-25 pub1 = -4.15688481284316e-31 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.81e-6 ++ sbref = 2.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.85 nmos +* DC IV MOS Parameters ++ lmin = 8.0e-07 lmax = 1e-06 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.846873715214004+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -7.24055234793259e-09 wvth0 = -2.40227284548495e-08 pvth0 = 6.14242927703873e-15 ++ k1 = 0.88325 ++ k2 = -0.0329816042356541 lk2 = 7.62890452574929e-09 wk2 = 8.27985216471629e-10 pk2 = 2.70305718244409e-16 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 29396.7233320462 lvsat = 0.0456173182450336 wvsat = 0.042613246252198 pvsat = -2.31516920482685e-8 ++ ua = -3.96643780399752e-10 lua = 1.22199940838221e-16 wua = 1.6592215165464e-16 pua = -8.01061601475981e-23 ++ ub = 1.18042753089611e-18 lub = 4.74741859317412e-25 wub = 1.79420117735719e-25 pub = -1.39715390548845e-31 ++ uc = -8.30981904078168e-12 luc = 5.09811125905954e-17 wuc = 3.64658912300005e-17 puc = -2.80352994896692e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.036405537465047 lu0 = 8.09858891966543e-10 wu0 = 3.28827227933191e-09 pu0 = -2.00945533045761e-16 ++ a0 = 1.1222 ++ keta = -0.0576127433713958 lketa = 3.9768316297165e-08 wketa = 1.77156456959746e-08 pketa = -1.50049038854507e-14 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = -5.01677352687184e-07 lb0 = 3.4588541364367e-13 wb0 = 2.64854311172571e-13 pb0 = -1.71357031368297e-19 ++ b1 = -1.74844224924042e-09 lb1 = 1.13121765706706e-15 wb1 = 1.57371654358345e-15 pb1 = -1.01817257166688e-21 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.994077200958829+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 3.2709950079602e-08 wnfactor = -2.35577607418257e-08 pnfactor = 6.57684051393712e-15 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.85108489207984 lpclm = -1.15713270716504e-06 wpclm = -6.47002058060861e-07 ppclm = 4.36595427475026e-13 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = -5.9122602907048e-06 lalpha0 = 4.43001639833082e-11 walpha0 = 1.33397414066613e-11 palpha0 = -2.15006866602126e-17 ++ alpha1 = 0.0 ++ beta0 = 28.2425760765917 lbeta0 = 9.50662668810411e-06 wbeta0 = 1.61579280569333e-06 pbeta0 = -3.58692630243518e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37919986 lkt1 = 5.4798808419597e-9 ++ kt2 = -0.019151 ++ at = 7825.34999999998 lat = 0.0136997021049 ++ ute = -1.465198895 lute = 1.41106931680469e-7 ++ ua1 = 6.215715011e-09 lua1 = -2.71993885590685e-15 ++ ub1 = -7.0823331571e-18 lub1 = 3.4168192167795e-24 wub1 = -1.12531573407788e-24 pub1 = 7.28063525528113e-31 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.41e-6 ++ sbref = 2.41e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.86 nmos +* DC IV MOS Parameters ++ lmin = 6e-07 lmax = 8.0e-07 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.789731232828288+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = 2.97298337608724e-08 wvth0 = 3.69427802327166e-10 pvth0 = -9.63895433116691e-15 ++ k1 = 0.88325 ++ k2 = -0.0158332461660316 lk2 = -3.46584306828355e-09 wk2 = -4.20975863815254e-09 pk2 = 3.52965546377229e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 70665.8444667377 lvsat = 0.0189167746385841 wvsat = 0.020225080239972 pvsat = -8.66686207268245e-9 ++ ua = -2.08629357527063e-10 lua = 5.57241441511128e-19 wua = 4.10817765506832e-17 pua = 6.63814779410589e-25 ++ ub = 1.04177962103697e-18 lub = 5.64445115925539e-25 wub = 1.13780765289976e-25 pub = -9.72476484673829e-32 ++ uc = 4.52888040549381e-11 luc = 1.63035538283881e-17 wuc = 2.6416072396394e-18 puc = -6.15146128788146e-24 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0310799145172798 lu0 = 4.25546238045066e-09 wu0 = 4.14086057106141e-09 pu0 = -7.52558221558657e-16 ++ a0 = 1.1222 ++ keta = 0.00385429965142857 wketa = -5.47636137288122e-9 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {0.876388909715295+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = 1.08852626878092e-07 wnfactor = 6.70375134387851e-08 pnfactor = -5.20370335470799e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 2.36301031347283 lpclm = -8.41355287850399e-07 wpclm = -8.26965973928197e-07 ppclm = 5.5302956154637e-13 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 0.000130334423973957 lalpha0 = -4.38495332823481e-11 walpha0 = -4.54644156599657e-11 palpha0 = 1.65447797036962e-17 ++ alpha1 = 0.0 ++ beta0 = 48.7431848163531 lbeta0 = -3.7569801579991e-06 wbeta0 = -7.21632819262896e-06 pbeta0 = 2.12733233378536e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.37073 ++ kt2 = -0.019151 ++ at = 7991.658 lat = 0.013592103157212 ++ ute = -1.13088364 lute = -7.51903578909594e-8 ++ ua1 = 2.0117e-9 ++ ub1 = -1.8012e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 2.02e-6 ++ sbref = 2.01e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.model sky130_fd_pr__nfet_g5v0d10v5__model.87 nmos +* DC IV MOS Parameters ++ lmin = 5e-07 lmax = 6e-07 wmin = 4.2e-07 wmax = 7.0e-7 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = 7.6507e-8 ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = 2.1346e-8 ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__toxe_slope_spectre) ++ toxe = {1.16e-08+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*(sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7000000000000000e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__vth0_slope_spectre) ++ vth0 = {0.894214847305843+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} lvth0 = -1.69728791399921e-08 wvth0 = -1.72978347139033e-08 pvth0 = -1.74193532808731e-15 ++ k1 = 0.88325 ++ k2 = -0.000736395436463061 lk2 = -1.02139239884904e-08 wk2 = -5.02181824428971e-09 pk2 = 3.89263473888111e-15 ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 116726.587359479 lvsat = -0.00167173258407105 wvsat = -0.00612664948780173 pvsat = 3.11199219141619e-9 ++ ua = -4.95477239471713e-10 lua = 1.28774228800423e-16 wua = 2.20453934029535e-16 pua = -7.95130284034315e-23 ++ ub = 5.86438938249096e-19 lub = 7.67976026362156e-25 wub = 1.47160665362765e-25 pub = -1.12167996481319e-31 ++ uc = 2.33612002116887e-10 luc = -6.78742791805303e-17 wuc = -4.97069983426402e-17 puc = 1.72476325269194e-23 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.0354333618312083 lu0 = 2.30953237938698e-09 wu0 = 3.87114930781284e-09 pu0 = -6.32001062844237e-16 ++ a0 = 1.1222 ++ keta = 0.0542168874399346 lketa = -2.25113716652331e-08 wketa = -2.44785686461868e-08 pketa = 8.49372062026579e-15 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.16025 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__voff_slope_spectre) ++ voff = {-0.20613+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope_spectre) ++ nfactor = {1.21328955460352+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope/sqrt(l*w*mult))} lnfactor = -4.17372447779159e-08 wnfactor = -1.63464624526627e-07 pnfactor = 5.09941950935281e-14 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -8.0e-4 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.032 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = -0.266942465191544 lpclm = 3.34196784873673e-07 wpclm = 4.91413091145411e-07 ppclm = -3.62674232346212e-14 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 2.0e-4 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 937310000.0 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 9.38828309007499e-05 lalpha0 = -2.75561815009276e-11 walpha0 = -3.77717759448924e-11 palpha0 = 1.31062774480145e-17 ++ alpha1 = 0.0 ++ beta0 = 52.0593849032326 lbeta0 = -5.23927517003306e-06 wbeta0 = -1.09826533972508e-05 pbeta0 = 3.81082697169847e-12 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.369991167667143 lkt1 = -3.30247709134448e-10 wkt1 = -4.61009751406457e-08 pkt1 = 2.06064904742167e-14 ++ kt2 = -0.019151 ++ at = 70436.8311350127 lat = -0.0143200150017147 wat = -0.0267508591749454 pat = 1.19572595391721e-8 ++ ute = -2.56991870716394 lute = 5.68038170640383e-07 wute = 4.78835461794173e-07 pute = -2.14032747725531e-13 ++ ua1 = -1.43283002200001e-09 lua1 = 1.5396566964137e-15 ++ ub1 = -9.31132019306436e-18 lub1 = 3.35691858461706e-24 wub1 = 5.38828197443867e-24 pub1 = -2.40848660662645e-30 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = 2.75467857e-10 ++ cgso = 2.75467857e-10 ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = 4.49025e-11 ++ cgdl = 4.49025e-11 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = 6.5995e-8 ++ dwc = 0.0 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = 0.00084698656 ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = 8.64309376e-11 ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = 5.47776e-11 ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = {0+sky130_fd_pr__nfet_g5v0d10v5__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_g5v0d10v5__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_g5v0d10v5 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__nfet_g5v0d10v5__toxe_slope= .80e-2 +.param sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1= 2.05e-2 ; All W with L=0.5um +.param sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2= 1.00e-2 ; W=3 L=1 um All W with L=0.8um & L=0.6um +.param sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3= 0.67e-2 ; All W with L=4.0um +.param sky130_fd_pr__nfet_g5v0d10v5__vth0_slope=0.000 ; All devices +.param sky130_fd_pr__nfet_g5v0d10v5__voff_slope=0.13 ; All devices +.param sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope=0.12 ; All devices +.param sky130_fd_pr__nfet_g5v0d10v5__lint_slope=0.0 ; All devices +.param sky130_fd_pr__nfet_g5v0d10v5__wint_slope=0.0 ; All devices + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 05 +.param ++ sky130_fd_pr__nfet_g5v0d16v0__toxe_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__toxp_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__overlap_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ajunction_mult = 9.9505e-1 ++ sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult = 1.0144e+0 ++ sky130_fd_pr__nfet_g5v0d16v0__cjs_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cjsws_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cjswgs_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgdo_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgso_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgdl_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgsl_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cf_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d16v0__rdiff_mult = 1.0588 ++ sky130_fd_pr__nfet_g5v0d16v0__lint_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dlc_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__wint_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dwc_diff = 0.0 +* +* sky130_fd_pr__nfet_g5v0d16v0, Bin 000, W = 20.0, L = 0.7 +* -------------------------------- ++ sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_0 = 1.0521e-2 ++ sky130_fd_pr__nfet_g5v0d16v0__u0_diff_0 = -1.4914e-3 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_0 = 0.0 +* +* sky130_fd_pr__nfet_g5v0d16v0, Bin 001, W = 5.0, L = 0.7 +* -------------------------------- ++ sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_1 = 9.8261e-4 ++ sky130_fd_pr__nfet_g5v0d16v0__u0_diff_1 = -5.0931e-3 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_1 = 0.0 +* +* sky130_fd_pr__nfet_g5v0d16v0, Bin 002, W = 50.0, L = 0.7 +* -------------------------------- ++ sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_2 = 8.6130e-3 ++ sky130_fd_pr__nfet_g5v0d16v0__u0_diff_2 = -1.9057e-3 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_2 = 0.0 +* +* sky130_fd_pr__nfet_g5v0d16v0, Bin 003, W = 20.0, L = 2.2 +* -------------------------------- ++ sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_3 = 1.0853e-2 ++ sky130_fd_pr__nfet_g5v0d16v0__u0_diff_3 = -1.3899e-3 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_3 = 0.0 +* +* sky130_fd_pr__nfet_g5v0d16v0, Bin 004, W = 5.0, L = 2.2 +* ------------------------------- ++ sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_4 = 1.7461e-2 ++ sky130_fd_pr__nfet_g5v0d16v0__u0_diff_4 = -2.9881e-3 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_4 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__nfet_g5v0d16v0 d g s b w=5.0 l=0.7 nf=1 ad=0 as=0 pd=0 ps=0 nrd=0 nrs=0 delvto=0 m=1 sa=0.28 sb=2.41 sd=0 mult=1 +.param sky130_fd_pr__nfet_g5v0d16v0__rdiff=5.906500e+003 sky130_fd_pr__nfet_g5v0d16v0__rdiff_tc1=1.483000e-003 sky130_fd_pr__nfet_g5v0d16v0__rdiff_tc2=7.824000e-006 +*.param sb_cadfixedvalue_nvhv=2.41 +.param sb_cadfixedvalue_nvhv=1.585 +xmain1 d1 g s b sky130_fd_pr__nfet_g5v0d16v0__base w=w l=l nf=nf ad=0 as=as pd=0 ps=ps nrd=nrd nrs=nrs delvto=delvto m=m mult=mult sa=sa sb=sb_cadfixedvalue_nvhv +rldd_nvhv d d1 R='(1/w)*sky130_fd_pr__nfet_g5v0d16v0__rdiff*sky130_fd_pr__nfet_g5v0d16v0__rdiff_mult' tc1 = 'sky130_fd_pr__nfet_g5v0d16v0__rdiff_tc1' tc2 = 'sky130_fd_pr__nfet_g5v0d16v0__rdiff_tc2' +dnw1 b d sky130_fd_pr__model__parasitic__diode_ps2nw area='ad/2' pj='pd/2' +dnw2 b d1 sky130_fd_pr__model__parasitic__diode_ps2nw area='ad/2' pj='pd/2' +.ends sky130_fd_pr__nfet_g5v0d16v0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__nfet_g5v0d16v0__mm_mult = 0.8 +.param sky130_fd_pr__nfet_g5v0d16v0__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_g5v0d16v0__wint_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_g5v0d16v0__lint_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_g5v0d16v0__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_g5v0d16v0__voff_slope_spectre = 0.0 +.param sky130_fd_pr__nfet_g5v0d16v0__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__nfet_g5v0d16v0__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_g5v0d16v0__wint_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_g5v0d16v0__lint_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_g5v0d16v0__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_g5v0d16v0__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__nfet_g5v0d16v0__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__nfet_g5v0d16v0__base d g s b ++ +.param l = 1 w = 1 nf = 1 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 delvto = 0.0 +msky130_fd_pr__nfet_g5v0d16v0__base d g s b sky130_fd_pr__nfet_g5v0d16v0__model_base l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__nfet_g5v0d16v0__model_base.0 nmos +* Model Flag Parameters ++ lmin = 6.95e-007 lmax = 7.05e-007 wmin = 1.9995e-005 wmax = 2.00005e-5 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 1.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ toxm = 1.16e-8 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__wint_slope_spectre) ++ wint = {2.1346e-008+sky130_fd_pr__nfet_g5v0d16v0__wint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__wint_slope/sqrt(l))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__lint_slope_spectre) ++ lint = {7.6507e-008+sky130_fd_pr__nfet_g5v0d16v0__lint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__lint_slope/sqrt(w))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__vth0_slope_spectre) ++ vth0 = {0.77216+sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.82559 ++ k2 = {-0.047197+1.7195e-02+sky130_fd_pr__nfet_g5v0d16v0__k2_diff_0} ++ k3 = -0.884 ++ k3b = 0.43 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ dsub = {0.504+sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_0} ++ minv = 0.0 ++ voffl = -4.257949e-7 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -0.0008 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__voff_slope_spectre) ++ voff = {-0.20613+sky130_fd_pr__nfet_g5v0d16v0__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__nfactor_slope_spectre) ++ nfactor = {0.83837+sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope+0.16)/sqrt(l*w*mult))} ++ eta0 = {0.016128+sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_0} ++ etab = -0.02983 ++ u0 = {0.041428+sky130_fd_pr__nfet_g5v0d16v0__u0_diff_0} ++ ua = {2.3635e-009+sky130_fd_pr__nfet_g5v0d16v0__ua_diff_0} ++ ub = {1.1377e-018+sky130_fd_pr__nfet_g5v0d16v0__ub_diff_0} ++ uc = 2.241e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = {73440+sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_0} ++ a0 = {0.73473+sky130_fd_pr__nfet_g5v0d16v0__a0_diff_0} ++ ags = {1.0424+sky130_fd_pr__nfet_g5v0d16v0__ags_diff_0} ++ a1 = 0.0 ++ a2 = 0.6597262 ++ b0 = {3.2933e-008+sky130_fd_pr__nfet_g5v0d16v0__b0_diff_0} ++ b1 = {0+sky130_fd_pr__nfet_g5v0d16v0__b1_diff_0} ++ keta = {-0.19104+sky130_fd_pr__nfet_g5v0d16v0__keta_diff_0} ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 ++ pclm = 0.82741 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36652 ++ pscbe1 = 9.3731e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.005 ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 724.62 ++ rsw = 0.0 ++ rdw = 1.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0279e-5 ++ alpha1 = 1.1218 ++ beta0 = 75.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {0.00e-011+sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_0} ++ bgidl = {1.058e+009+sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_0} ++ cgidl = {4000+sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_0} ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 1.16e-8 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 +* Charge AND Capacitance Model Parameters ++ dlc = {-3.5995e-008+sky130_fd_pr__nfet_g5v0d16v0__dlc_diff} ++ dwc = {0+sky130_fd_pr__nfet_g5v0d16v0__dwc_diff} ++ xpart = 0.0 ++ cgso = {1.5674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgdo = {3.0674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgbo = 0.0 ++ cgdl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgsl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = {0*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.2104 +* High-Speed/RF Model Parameters +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.89 ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ lintnoi = 0.0 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 ++ rnoia = 0.794 ++ rnoib = 0.38 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ pbs = 0.72468 ++ cjs = {0.0008512*sky130_fd_pr__nfet_g5v0d16v0__ajunction_mult} ++ mjs = 0.295 ++ pbsws = 0.29067 ++ cjsws = {8.5204e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjsws = 0.037586 ++ pbswgs = 0.54958 ++ cjswgs = {5.4e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjswgs = 0.78692 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = {-0.37073+sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_0} ++ kt2 = {-0.019151+sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_0} ++ at = 29000.0 ++ ute = {-1.2471+sky130_fd_pr__nfet_g5v0d16v0__ute_diff_0} ++ ua1 = 2.0117e-9 ++ ub1 = -2.2981e-18 ++ uc1 = -5.5992e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_0} ++ njs = 1.0773 ++ tpb = 0.001344 ++ tcj = 0.00067434 ++ tpbsw = 0.00099005 ++ tcjsw = 0.0002493 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 0.76 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = .28e-6 ++ sbref = 1.585e-6 ++ kvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvth0_diff} ++ lkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lkvth0_diff} ++ wkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 1.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__ku0_diff} ++ lku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lku0_diff} ++ wku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wku0_diff} ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 1.0 ++ wlodku0 = 1.0 ++ kvsat = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvsat_diff} ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_g5v0d16v0__model_base.1 nmos +* Model Flag Parameters ++ lmin = 6.95e-007 lmax = 7.05e-007 wmin = 4.995e-006 wmax = 5.0005e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 1.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ toxm = 1.16e-8 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__wint_slope_spectre) ++ wint = {2.1346e-008+sky130_fd_pr__nfet_g5v0d16v0__wint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__wint_slope/sqrt(l))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__lint_slope_spectre) ++ lint = {7.6507e-008+sky130_fd_pr__nfet_g5v0d16v0__lint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__lint_slope/sqrt(w))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__vth0_slope_spectre) ++ vth0 = {0.78433+sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.89738 ++ k2 = {-0.047197+sky130_fd_pr__nfet_g5v0d16v0__k2_diff_1} ++ k3 = -0.884 ++ k3b = 0.43 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ dsub = {0.504+sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_1} ++ minv = 0.0 ++ voffl = -4.257949e-7 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -0.0008 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__voff_slope_spectre) ++ voff = {-0.20613+sky130_fd_pr__nfet_g5v0d16v0__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__nfactor_slope_spectre) ++ nfactor = {0.83837+sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope+0.16)/sqrt(l*w*mult))} ++ eta0 = {0.016128+sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_1} ++ etab = -0.02983 ++ u0 = {0.034999+sky130_fd_pr__nfet_g5v0d16v0__u0_diff_1} ++ ua = {6.84e-010+sky130_fd_pr__nfet_g5v0d16v0__ua_diff_1} ++ ub = {1.5447e-018+sky130_fd_pr__nfet_g5v0d16v0__ub_diff_1} ++ uc = -1.5747e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = {73440+sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_1} ++ a0 = {0.73473+sky130_fd_pr__nfet_g5v0d16v0__a0_diff_1} ++ ags = {1.0424+sky130_fd_pr__nfet_g5v0d16v0__ags_diff_1} ++ a1 = 0.0 ++ a2 = 0.6597262 ++ b0 = {3.2933e-008+sky130_fd_pr__nfet_g5v0d16v0__b0_diff_1} ++ b1 = {0+sky130_fd_pr__nfet_g5v0d16v0__b1_diff_1} ++ keta = {-0.19104+sky130_fd_pr__nfet_g5v0d16v0__keta_diff_1} ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 ++ pclm = 0.82741 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36652 ++ pscbe1 = 9.3731e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.005 ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 724.62 ++ rsw = 0.0 ++ rdw = 1.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0279e-5 ++ alpha1 = 1.1218 ++ beta0 = 75.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {0.00e-011+sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_1} ++ bgidl = {1.058e+009+sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_1} ++ cgidl = {4000+sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_1} ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 1.16e-8 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 +* Charge AND Capacitance Model Parameters ++ dlc = {-3.5995e-008+sky130_fd_pr__nfet_g5v0d16v0__dlc_diff} ++ dwc = {0+sky130_fd_pr__nfet_g5v0d16v0__dwc_diff} ++ xpart = 0.0 ++ cgso = {1.5674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgdo = {3.0674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgbo = 0.0 ++ cgdl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgsl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = {0*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.2104 +* High-Speed/RF Model Parameters +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.89 ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ lintnoi = 0.0 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 ++ rnoia = 0.794 ++ rnoib = 0.38 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ pbs = 0.72468 ++ cjs = {0.0008512*sky130_fd_pr__nfet_g5v0d16v0__ajunction_mult} ++ mjs = 0.295 ++ pbsws = 0.29067 ++ cjsws = {8.5204e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjsws = 0.037586 ++ pbswgs = 0.54958 ++ cjswgs = {5.4e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjswgs = 0.78692 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = {-0.37073+sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_1} ++ kt2 = {-0.019151+sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_1} ++ at = 29000.0 ++ ute = {-1.2471+sky130_fd_pr__nfet_g5v0d16v0__ute_diff_1} ++ ua1 = 2.0117e-9 ++ ub1 = -2.2981e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_1} ++ njs = 1.0773 ++ tpb = 0.001344 ++ tcj = 0.00067434 ++ tpbsw = 0.00099005 ++ tcjsw = 0.0002493 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 0.76 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = .28e-6 ++ sbref = 1.585e-6 ++ kvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvth0_diff} ++ lkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lkvth0_diff} ++ wkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 1.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__ku0_diff} ++ lku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lku0_diff} ++ wku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wku0_diff} ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 1.0 ++ wlodku0 = 1.0 ++ kvsat = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvsat_diff} ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_g5v0d16v0__model_base.2 nmos +* Model Flag Parameters ++ lmin = 6.95e-007 lmax = 7.05e-007 wmin = 4.995e-005 wmax = 6.0005e-5 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 1.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1/sqrt(l*w*mult)))} ++ toxm = 1.16e-8 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__wint_slope_spectre) ++ wint = {2.1346e-008+sky130_fd_pr__nfet_g5v0d16v0__wint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__wint_slope/sqrt(l))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__lint_slope_spectre) ++ lint = {7.6507e-008+sky130_fd_pr__nfet_g5v0d16v0__lint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__lint_slope/sqrt(w))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__vth0_slope_spectre) ++ vth0 = {0.77216+sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.82559 ++ k2 = {-0.047197+1.6900e-02+sky130_fd_pr__nfet_g5v0d16v0__k2_diff_2} ++ k3 = -0.884 ++ k3b = 0.43 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ dsub = {0.504+sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_2} ++ minv = 0.0 ++ voffl = -4.257949e-7 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -0.0008 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__voff_slope_spectre) ++ voff = {-0.20613+sky130_fd_pr__nfet_g5v0d16v0__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__nfactor_slope_spectre) ++ nfactor = {0.83837+sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope+0.16)/sqrt(l*w*mult))} ++ eta0 = {0.016128+sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_2} ++ etab = -0.02983 ++ u0 = {0.041428+sky130_fd_pr__nfet_g5v0d16v0__u0_diff_2} ++ ua = {2.9307e-009+sky130_fd_pr__nfet_g5v0d16v0__ua_diff_2} ++ ub = {7.5689e-019+sky130_fd_pr__nfet_g5v0d16v0__ub_diff_2} ++ uc = 3.33389e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = {73440+sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_2} ++ a0 = {0.73473+sky130_fd_pr__nfet_g5v0d16v0__a0_diff_2} ++ ags = {1.0424+sky130_fd_pr__nfet_g5v0d16v0__ags_diff_2} ++ a1 = 0.0 ++ a2 = 0.6597262 ++ b0 = {3.2933e-008+sky130_fd_pr__nfet_g5v0d16v0__b0_diff_2} ++ b1 = {0+sky130_fd_pr__nfet_g5v0d16v0__b1_diff_2} ++ keta = {-0.19104+sky130_fd_pr__nfet_g5v0d16v0__keta_diff_2} ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 ++ pclm = 0.82741 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36652 ++ pscbe1 = 9.3731e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.005 ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 724.62 ++ rsw = 0.0 ++ rdw = 1.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0279e-5 ++ alpha1 = 1.1218 ++ beta0 = 75.0 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {0.00e-011+sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_2} ++ bgidl = {1.058e+009+sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_2} ++ cgidl = {4000+sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_2} ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 1.16e-8 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 +* Charge AND Capacitance Model Parameters ++ dlc = {-3.5995e-008+sky130_fd_pr__nfet_g5v0d16v0__dlc_diff} ++ dwc = {0+sky130_fd_pr__nfet_g5v0d16v0__dwc_diff} ++ xpart = 0.0 ++ cgso = {1.5674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgdo = {3.0674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgbo = 0.0 ++ cgdl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgsl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = {0*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.2104 +* High-Speed/RF Model Parameters +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.89 ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ lintnoi = 0.0 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 ++ rnoia = 0.794 ++ rnoib = 0.38 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ pbs = 0.72468 ++ cjs = {0.0008512*sky130_fd_pr__nfet_g5v0d16v0__ajunction_mult} ++ mjs = 0.295 ++ pbsws = 0.29067 ++ cjsws = {8.5204e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjsws = 0.037586 ++ pbswgs = 0.54958 ++ cjswgs = {5.4e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjswgs = 0.78692 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = {-0.37073+sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_2} ++ kt2 = {-0.062945+sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_2} ++ at = 29000.0 ++ ute = {-1.4726+sky130_fd_pr__nfet_g5v0d16v0__ute_diff_2} ++ ua1 = 2.0117e-9 ++ ub1 = -2.2981e-18 ++ uc1 = -2.2901e-12 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_2} ++ njs = 1.0773 ++ tpb = 0.001344 ++ tcj = 0.00067434 ++ tpbsw = 0.00099005 ++ tcjsw = 0.0002493 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 0.76 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = .28e-6 ++ sbref = 1.585e-6 ++ kvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvth0_diff} ++ lkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lkvth0_diff} ++ wkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 1.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__ku0_diff} ++ lku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lku0_diff} ++ wku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wku0_diff} ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 1.0 ++ wlodku0 = 1.0 ++ kvsat = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvsat_diff} ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_g5v0d16v0__model_base.3 nmos +* Model Flag Parameters ++ lmin = 2.195e-006 lmax = 2.25e-006 wmin = 1.9995e-005 wmax = 2.0005e-5 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 1.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ toxm = 1.16e-8 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__wint_slope_spectre) ++ wint = {2.1346e-008+sky130_fd_pr__nfet_g5v0d16v0__wint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__wint_slope/sqrt(l))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__lint_slope_spectre) ++ lint = {7.6507e-008+sky130_fd_pr__nfet_g5v0d16v0__lint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__lint_slope/sqrt(w))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__vth0_slope_spectre) ++ vth0 = {0.78433+sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.89738 ++ k2 = {-0.044197+sky130_fd_pr__nfet_g5v0d16v0__k2_diff_3} ++ k3 = -0.884 ++ k3b = 0.43 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ dsub = {0.504+sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_3} ++ minv = 0.0 ++ voffl = -4.257949e-7 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -0.0008 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__voff_slope_spectre) ++ voff = {-0.20613+sky130_fd_pr__nfet_g5v0d16v0__voff_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__nfactor_slope_spectre) ++ nfactor = {0.83837+sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope+0.16)/sqrt(l*w*mult))} ++ eta0 = {0.016128+sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_3} ++ etab = -0.02983 ++ u0 = {0.036814+sky130_fd_pr__nfet_g5v0d16v0__u0_diff_3} ++ ua = {8e-011+sky130_fd_pr__nfet_g5v0d16v0__ua_diff_3} ++ ub = {2.1405e-018+sky130_fd_pr__nfet_g5v0d16v0__ub_diff_3} ++ uc = 6.0747e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = {100550+sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_3} ++ a0 = {0.3+sky130_fd_pr__nfet_g5v0d16v0__a0_diff_3} ++ ags = {0.13326+sky130_fd_pr__nfet_g5v0d16v0__ags_diff_3} ++ a1 = 0.0 ++ a2 = 0.6597262 ++ b0 = {3.2933e-008+sky130_fd_pr__nfet_g5v0d16v0__b0_diff_3} ++ b1 = {0+sky130_fd_pr__nfet_g5v0d16v0__b1_diff_3} ++ keta = {-0.05+sky130_fd_pr__nfet_g5v0d16v0__keta_diff_3} ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 ++ pclm = 0.16548 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36652 ++ pscbe1 = 9.3731e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.001 ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 724.62 ++ rsw = 0.0 ++ rdw = 1.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0448e-7 ++ alpha1 = 0.72 ++ beta0 = 37.72 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {0.00e-011+sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_3} ++ bgidl = {1.058e+009+sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_3} ++ cgidl = {4000+sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_3} ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 1.16e-8 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 +* Charge AND Capacitance Model Parameters ++ dlc = {-3.5995e-008+sky130_fd_pr__nfet_g5v0d16v0__dlc_diff} ++ dwc = {0+sky130_fd_pr__nfet_g5v0d16v0__dwc_diff} ++ xpart = 0.0 ++ cgso = {1.5674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgdo = {3.0674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgbo = 0.0 ++ cgdl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgsl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = {0*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.2104 +* High-Speed/RF Model Parameters +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.89 ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ lintnoi = 0.0 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 ++ rnoia = 0.794 ++ rnoib = 0.38 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ pbs = 0.72468 ++ cjs = {0.0008512*sky130_fd_pr__nfet_g5v0d16v0__ajunction_mult} ++ mjs = 0.295 ++ pbsws = 0.29067 ++ cjsws = {8.5204e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjsws = 0.037586 ++ pbswgs = 0.54958 ++ cjswgs = {5.4e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjswgs = 0.78692 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = {-0.37073+sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_3} ++ kt2 = {-0.019151+sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_3} ++ at = 29000.0 ++ ute = {-1.4324+sky130_fd_pr__nfet_g5v0d16v0__ute_diff_3} ++ ua1 = 2.0117e-9 ++ ub1 = -2.9862e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_3} ++ njs = 1.0773 ++ tpb = 0.001344 ++ tcj = 0.00067434 ++ tpbsw = 0.00099005 ++ tcjsw = 0.0002493 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 0.76 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = .28e-6 ++ sbref = 1.585e-6 ++ kvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvth0_diff} ++ lkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lkvth0_diff} ++ wkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 1.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__ku0_diff} ++ lku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lku0_diff} ++ wku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wku0_diff} ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 1.0 ++ wlodku0 = 1.0 ++ kvsat = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvsat_diff} ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__nfet_g5v0d16v0__model_base.4 nmos +* Model Flag Parameters ++ lmin = 2.195e-006 lmax = 2.25e-006 wmin = 4.995e-006 wmax = 5.005e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 1.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__toxe_slope_spectre) ++ toxe = {1.16e-008*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__nfet_g5v0d16v0__toxe_mult*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2/sqrt(l*w*mult)))} ++ toxm = 1.16e-8 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = 1.0 ++ rshg = 0.1 +* Basic Model Parameters +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__wint_slope_spectre) ++ wint = {2.1346e-008+sky130_fd_pr__nfet_g5v0d16v0__wint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__wint_slope/sqrt(l))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__lint_slope_spectre) ++ lint = {7.6507e-008+sky130_fd_pr__nfet_g5v0d16v0__lint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__lint_slope/sqrt(w))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__vth0_slope_spectre) ++ vth0 = {0.78433+sky130_fd_pr__nfet_g5v0d16v0__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.89738 ++ k2 = {-0.044197+sky130_fd_pr__nfet_g5v0d16v0__k2_diff_4} ++ k3 = -0.884 ++ k3b = 0.43 ++ w0 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ dsub = {0.504+sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_4} ++ minv = 0.0 ++ voffl = -4.257949e-7 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ cit = -0.0008 +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__voff_slope_spectre) ++ voff = {-0.20613+sky130_fd_pr__nfet_g5v0d16v0__voff_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*sky130_fd_pr__nfet_g5v0d10v5__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_g5v0d16v0__nfactor_slope_spectre) ++ nfactor = {0.83837+sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_g5v0d16v0__mm_mult*(sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope+0.16)/sqrt(l*w*mult))} ++ eta0 = {0.016128+sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_4} ++ etab = -0.02983 ++ u0 = {0.036814+sky130_fd_pr__nfet_g5v0d16v0__u0_diff_4} ++ ua = {8e-011+sky130_fd_pr__nfet_g5v0d16v0__ua_diff_4} ++ ub = {1.7638e-018+sky130_fd_pr__nfet_g5v0d16v0__ub_diff_4} ++ uc = 2.6729e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = {100550+sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_4} ++ a0 = {0.3+sky130_fd_pr__nfet_g5v0d16v0__a0_diff_4} ++ ags = {0.13326+sky130_fd_pr__nfet_g5v0d16v0__ags_diff_4} ++ a1 = 0.0 ++ a2 = 0.6597262 ++ b0 = {3.2933e-008+sky130_fd_pr__nfet_g5v0d16v0__b0_diff_4} ++ b1 = {0+sky130_fd_pr__nfet_g5v0d16v0__b1_diff_4} ++ keta = {-0.05+sky130_fd_pr__nfet_g5v0d16v0__keta_diff_4} ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 ++ pclm = 0.16548 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36652 ++ pscbe1 = 9.3731e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.001 ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 724.62 ++ rsw = 0.0 ++ rdw = 1.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 3.0448e-7 ++ alpha1 = 0.72 ++ beta0 = 37.72 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {0.00e-011+sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_4} ++ bgidl = {1.058e+009+sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_4} ++ cgidl = {4000+sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_4} ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 1.16e-8 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 +* Charge AND Capacitance Model Parameters ++ dlc = {-3.5995e-008+sky130_fd_pr__nfet_g5v0d16v0__dlc_diff} ++ dwc = {0+sky130_fd_pr__nfet_g5v0d16v0__dwc_diff} ++ xpart = 0.0 ++ cgso = {1.5674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgdo = {3.0674e-010*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgbo = 0.0 ++ cgdl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ cgsl = {4.49025e-011*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = {0*sky130_fd_pr__nfet_g5v0d16v0__overlap_mult} ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.2104 +* High-Speed/RF Model Parameters +* Flicker AND Thermal Noise Model Parameters ++ ef = 0.89 ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ lintnoi = 0.0 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 ++ rnoia = 0.794 ++ rnoib = 0.38 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ pbs = 0.72468 ++ cjs = {0.0008512*sky130_fd_pr__nfet_g5v0d16v0__ajunction_mult} ++ mjs = 0.295 ++ pbsws = 0.29067 ++ cjsws = {8.5204e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjsws = 0.037586 ++ pbswgs = 0.54958 ++ cjswgs = {5.4e-011*sky130_fd_pr__nfet_g5v0d16v0__pjunction_mult} ++ mjswgs = 0.78692 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = {-0.37073+sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_4} ++ kt2 = {-0.019151+sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_4} ++ at = 29000.0 ++ ute = {-1.4324+sky130_fd_pr__nfet_g5v0d16v0__ute_diff_4} ++ ua1 = 2.0117e-9 ++ ub1 = -2.9309e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_4} ++ njs = 1.0773 ++ tpb = 0.001344 ++ tcj = 0.00067434 ++ tpbsw = 0.00099005 ++ tcjsw = 0.0002493 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 0.76 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = .28e-6 ++ sbref = 1.585e-6 ++ kvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvth0_diff} ++ lkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lkvth0_diff} ++ wkvth0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 1.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__ku0_diff} ++ lku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__lku0_diff} ++ wku0 = {0.0+sky130_fd_pr__nfet_g5v0d16v0__wku0_diff} ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 1.0 ++ wlodku0 = 1.0 ++ kvsat = {0.0+sky130_fd_pr__nfet_g5v0d16v0__kvsat_diff} ++ steta0 = 0.0 +.ends sky130_fd_pr__nfet_g5v0d16v0__base +* Well Proximity Effect Parameters + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 11 +.param ++ sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult = 1.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult = 1.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult = 0.89805 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult = 9.9505e-1 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult = 1.0144e+0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff = 0.0 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 000, W = 17.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_0 = 0.23362 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_0 = 0.010406 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_0 = 0.001245 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_0 = 0.012769 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_0 = -1672.2 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_0 = 2.4153e-19 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_0 = -8.8395e-12 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_0 = 0.0 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 001, W = 19.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_1 = 0.23014 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_1 = 0.010327 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_1 = 0.0029959 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_1 = 0.014049 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_1 = -1443.7 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_1 = 3.4221e-19 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_1 = -2.42e-11 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_1 = 0.0 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 002, W = 21.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_2 = 0.23391 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_2 = 0.010304 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_2 = 0.0012741 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_2 = 0.013326 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_2 = -43.451 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_2 = 3.291e-19 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_2 = -7.333e-12 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 003, W = 23.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_3 = -1.7201e-12 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_3 = 0.23086 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_3 = 0.010034 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_3 = 0.0010628 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_3 = 0.01319 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_3 = 33.54 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_3 = 3.183e-19 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 004, W = 26.5, L = 0.55 +* ----------------------------------- ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_4 = 2.7249e-19 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_4 = -7.6527e-12 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_4 = 0.22609 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_4 = 0.0099796 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_4 = 0.0013927 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_4 = 0.011469 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_4 = -907.07 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_4 = 0.0 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 005, W = 30.25, L = 1.0 +* ----------------------------------- ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_5 = -6.1783e-19 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_5 = 8.2128e-11 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_5 = 0.27056 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_5 = -0.021325 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_5 = -0.26694 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_5 = 0.047533 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_5 = -0.0063931 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_5 = 0.010431 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_5 = 0.0 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 006, W = 30.25, L = 0.55 +* ------------------------------------ ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_6 = 6.0666e-19 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_6 = -7.0128e-12 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_6 = 0.22669 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_6 = 0.010019 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_6 = 0.0016874 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_6 = 0.011396 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_6 = 1500.0 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 007, W = 40.31, L = 0.55 +* ------------------------------------ ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_7 = 3019.2 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_7 = 9.7977e-19 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_7 = 3.2228e-12 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_7 = 0.19932 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_7 = 0.010207 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_7 = 0.0014468 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_7 = 0.011899 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 008, W = 50.99, L = 1.0 +* ----------------------------------- ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_8 = -0.0088519 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_8 = -8.0124e-5 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_8 = -6.7671e-19 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_8 = 5.5348e-11 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_8 = 0.25888 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_8 = 0.0071088 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_8 = 0.0024548 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_8 = 0.010977 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 009, W = 50.99, L = 0.55 +* ------------------------------------ ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_9 = 0.0014488 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_9 = 0.0037985 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_9 = 0.002943 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_9 = -191.5 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_9 = 1.3051e-18 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_9 = -1.3283e-11 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_9 = 0.20721 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_9 = 0.0 +* +* sky130_fd_pr__esd_nfet_g5v0d10v5, Bin 010, W = 5.4, L = 0.6 +* --------------------------------- ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_10 = 2.8803e-12 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_10 = -2502.2 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_10 = 8.2506e-20 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_10 = 0.0031768 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_10 = 0.29591 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_10 = -0.00045045 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_10 = 0.013543 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_10 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__esd_nfet_g5v0d10v5 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__esd_nfet_g5v0d10v5 d g s b sky130_fd_pr__esd_nfet_g5v0d10v5__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.0 nmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 1.7495e-05 wmax = 1.7505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.814+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_0} ++ k1 = 0.76281 ++ k2 = {-0.081731+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_0} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {109000+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_0} ++ ua = {1.3637e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_0} ++ ub = {1.4129e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_0} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_0} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.066871+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_0} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_0} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_0} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_0} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_0} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_0} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_0} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_0} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_0} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_0} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 5.088e+8 ++ pscbe2 = 2.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.6845e-5 ++ alpha1 = 0.37039 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_0} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_0} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_0} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_0} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_0} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_0} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.1 nmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 1.9495e-05 wmax = 1.9505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.814+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_1} ++ k1 = 0.76281 ++ k2 = {-0.081731+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_1} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {109850+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_1} ++ ua = {1.6364e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_1} ++ ub = {1.0455e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_1} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_1} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.066871+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_1} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_1} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_1} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_1} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_1} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_1} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_1} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_1} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_1} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_1} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 5.088e+8 ++ pscbe2 = 2.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.6845e-5 ++ alpha1 = 0.37039 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_1} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_1} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_1} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_1} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_1} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_1} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.2 nmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 2.1495e-05 wmax = 2.1505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.814+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_2} ++ k1 = 0.76281 ++ k2 = {-0.081731+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_2} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {107440+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_2} ++ ua = {1.3637e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_2} ++ ub = {1.4129e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_2} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_2} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.066871+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_2} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_2} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_2} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_2} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_2} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_2} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_2} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_2} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_2} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_2} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 5.088e+8 ++ pscbe2 = 2.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.6845e-5 ++ alpha1 = 0.37039 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_2} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_2} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_2} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_2} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_2} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_2} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.3 nmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 2.3495e-05 wmax = 2.3505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.814+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_3} ++ k1 = 0.76281 ++ k2 = {-0.081731+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_3} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {108170+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_3} ++ ua = {1.3637e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_3} ++ ub = {1.4129e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_3} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_3} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.066871+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_3} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_3} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_3} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_3} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_3} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_3} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_3} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_3} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_3} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_3} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 5.088e+8 ++ pscbe2 = 2.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.6845e-5 ++ alpha1 = 0.37039 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_3} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_3} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_3} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_3} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_3} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_3} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.4 nmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 2.6495e-05 wmax = 2.6505e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.814+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_4} ++ k1 = 0.76281 ++ k2 = {-0.081731+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_4} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {108170+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_4} ++ ua = {1.3637e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_4} ++ ub = {1.5358e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_4} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_4} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.066871+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_4} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_4} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_4} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_4} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_4} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_4} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_4} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_4} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_4} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_4} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 5.088e+8 ++ pscbe2 = 2.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.6845e-5 ++ alpha1 = 0.37039 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_4} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_4} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_4} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_4} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_4} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_4} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.5 nmos +* DC IV MOS Parameters ++ lmin = 9.95e-07 lmax = 1.005e-06 wmin = 3.0245e-05 wmax = 3.0255e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.798+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_5} ++ k1 = 0.76281 ++ k2 = {-0.071923+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_5} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {89500+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_5} ++ ua = {1.0364e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_5} ++ ub = {1.5358e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_5} ++ uc = 5.215e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_5} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.060184+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_5} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_5} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_5} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_5} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_5} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_5} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_5} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_5} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_5} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_5} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 5.088e+8 ++ pscbe2 = 2.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.6845e-5 ++ alpha1 = 0.37039 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_5} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_5} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_5} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_5} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_5} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_5} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.6 nmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 3.0245e-05 wmax = 3.0255e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.814+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_6} ++ k1 = 0.76281 ++ k2 = {-0.081731+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_6} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {108170+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_6} ++ ua = {1.3637e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_6} ++ ub = {1.5358e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_6} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_6} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.066871+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_6} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_6} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_6} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_6} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_6} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_6} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_6} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_6} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_6} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_6} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 5.088e+8 ++ pscbe2 = 2.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.6845e-5 ++ alpha1 = 0.37039 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_6} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_6} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_6} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_6} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_6} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_6} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.7 nmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 4.0305e-05 wmax = 4.0315e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.805+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_7} ++ k1 = 0.76281 ++ k2 = {-0.081731+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_7} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {108170+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_7} ++ ua = {1.1962e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_7} ++ ub = {1.8283e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_7} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_7} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.066871+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_7} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_7} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_7} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_7} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_7} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_7} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_7} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_7} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_7} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_7} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 5.088e+8 ++ pscbe2 = 2.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.6845e-5 ++ alpha1 = 0.37039 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_7} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_7} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_7} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_7} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_7} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_7} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.8 nmos +* DC IV MOS Parameters ++ lmin = 9.95e-07 lmax = 1.005e-06 wmin = 5.0985e-05 wmax = 5.0995e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.807+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_8} ++ k1 = 0.75481 ++ k2 = {-0.033568+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_8} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {91046+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_8} ++ ua = {1.1962e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_8} ++ ub = {1.7552e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_8} ++ uc = 8.4519e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_8} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.064299+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_8} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_8} ++ keta = {-0.041308+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_8} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_8} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_8} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_8} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_8} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_8} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_8} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_8} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0018 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 4.2400001e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 4.9691e-5 ++ alpha1 = 0.8052 ++ beta0 = 38.234 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_8} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_8} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_8} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_8} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_8} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_8} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.9 nmos +* DC IV MOS Parameters ++ lmin = 5.45e-07 lmax = 5.55e-07 wmin = 5.0985e-05 wmax = 5.0995e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.815+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_9} ++ k1 = 0.76281 ++ k2 = {-0.072974+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_9} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {109170+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_9} ++ ua = {1.1962e-009+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_9} ++ ub = {1.8283e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_9} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_9} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.064299+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_9} ++ a0 = {0.1054+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_9} ++ keta = {-0.057372+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_9} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {0.48+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_9} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_9} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_9} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_9} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_9} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_9} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.21835+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_9} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_9} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 4.2400001e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 2.8558e-5 ++ alpha1 = 0.8052 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_9} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_9} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_9} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_9} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_9} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_9} ++ kt2 = -0.015814 ++ at = 38574.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 4.7889e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.model sky130_fd_pr__esd_nfet_g5v0d10v5__model.10 nmos +* DC IV MOS Parameters ++ lmin = 5.95e-07 lmax = 6.05e-07 wmin = 5.395e-06 wmax = 5.405e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {3.6e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.8413e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 3.3727471e-12 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.5164 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = {1.16e-008*sky130_fd_pr__esd_nfet_g5v0d10v5__toxe_mult} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult} +* Threshold Voltage Parameters ++ vth0 = {0.82+sky130_fd_pr__esd_nfet_g5v0d10v5__vth0_diff_10} ++ k1 = 0.76281 ++ k2 = {-0.061842+sky130_fd_pr__esd_nfet_g5v0d10v5__k2_diff_10} ++ k3 = 0.0 ++ dvt0 = 0.0 ++ dvt1 = 0.5 ++ dvt2 = -0.001152 ++ dvt0w = 0.0 ++ dvt1w = 5215200.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {108590+sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_10} ++ ua = {6e-010+sky130_fd_pr__esd_nfet_g5v0d10v5__ua_diff_10} ++ ub = {1.6038e-018+sky130_fd_pr__esd_nfet_g5v0d10v5__ub_diff_10} ++ uc = 4.4957e-11 ++ rdsw = {566.95+sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_10} ++ prwb = 0.015804 ++ prwg = 5.4e-13 ++ wr = 1.0 ++ u0 = {0.057246+sky130_fd_pr__esd_nfet_g5v0d10v5__u0_diff_10} ++ a0 = {0.00+sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_10} ++ keta = {-0.045533+sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_10} ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = {1+sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_10} ++ b0 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_10} ++ b1 = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_10} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_10} ++ nfactor = {0.114+sky130_fd_pr__esd_nfet_g5v0d10v5__nfactor_diff_10} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_10} ++ cit = -0.0007128 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 4.0e-12 ++ eta0 = {0.059173+sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_10} ++ etab = -0.0031079 ++ dsub = 0.5 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.23915+sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_10} ++ pdiblc1 = 0.09332 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.26831 ++ drout = 0.2822 ++ pscbe1 = 4.2400001e+9 ++ pscbe2 = 1.0e-8 ++ pvag = 1.9901676 ++ delta = 0.0445 ++ alpha0 = 4.6061e-5 ++ alpha1 = 0.8052 ++ beta0 = 39.827 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_10} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_10} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = {5.4829e-007+sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_10} ++ bgidl = {2.4214e+009+sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_10} ++ cgidl = {10120+sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_10} ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.34313+sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_10} ++ kt2 = -0.015814289 ++ at = 30614.0 ++ ute = -1.4571 ++ ua1 = 3.4582e-9 ++ ub1 = -3.4538e-18 ++ uc1 = 1.6097e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 2.0 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {6.5995e-008+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_diff+sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__esd_nfet_g5v0d10v5__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008512*sky130_fd_pr__esd_nfet_g5v0d10v5__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = {8.5204e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = {5.4e-011*sky130_fd_pr__esd_nfet_g5v0d10v5__pjunction_mult} ++ mjswgs = 0.78692 ++ pbswgs = 0.54958 +.ends sky130_fd_pr__esd_nfet_g5v0d10v5 + + +* SKY130 Spice File. +* Typical Varactor Parameters +.param ++ cnwvc_tox='41.6503*1.024' ++ cnwvc_cdepmult=1 ++ cnwvc_cintmult=1 ++ cnwvc_vt1=0.3333 ++ cnwvc_vt2=0.2380952 ++ cnwvc_vtr=0.16 ++ cnwvc_dwc=0.0 ++ cnwvc_dlc=0.0 ++ cnwvc_dld=0.0 ++ cnwvc2_tox='41.7642*1.017' ++ cnwvc2_cdepmult=1 ++ cnwvc2_cintmult=1 ++ cnwvc2_vt1=0.2 ++ cnwvc2_vt2=0.33 ++ cnwvc2_vtr=0.14 ++ cnwvc2_dwc=0.0 ++ cnwvc2_dlc=0.0 ++ cnwvc2_dld=0.0 +* sky130_fd_pr__model__parasitic__diode_ps2nw Parameters +.param ++ sky130_fd_pr__model__parasitic__diode_ps2nw__ajunction_mult = 9.8286e-01 ; Units: farad/meter^2 ++ sky130_fd_pr__model__parasitic__diode_ps2nw__pjunction_mult = 9.8954e-01 ; Units: farad/meter^2 +* sky130_fd_pr__model__parasitic__diode_ps2dn Parameters ++ sky130_fd_pr__model__parasitic__diode_ps2dn__ajunction_mult = 9.8580e-01 ; Units: farad/meter ++ sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult = 1.0116e+0 ; Units: farad/meter +* sky130_fd_pr__model__parasitic__diode_pw2dn Parameters ++ sky130_fd_pr__model__parasitic__diode_pw2dn__ajunction_mult = 9.8200e-01 ; Units: farad/meter ++ sky130_fd_pr__model__parasitic__diode_pw2dn__pjunction_mult = 9.6304e-01 ; Units: farad/meter +* sky130_fd_pr__diode_pw2nd_05v5 Parameters ++ sky130_fd_pr__nfet_01v8__ajunction_mult = 9.9543e-1 ++ sky130_fd_pr__nfet_01v8__pjunction_mult = 1.0204e+0 +* sky130_fd_pr__diode_pd2nw_05v5_hvt Parameters ++ sky130_fd_pr__pfet_01v8_hvt__ajunction_mult = 9.8366e-1 ++ sky130_fd_pr__pfet_01v8_hvt__pjunction_mult = 1.0286e+0 ++ dkispp=9.2840e-01 dkbfpp=9.5154e-01 dknfpp=1.000 ++ dkispp5x=1.0046e+00 dkbfpp5x=1.1288e+00 dknfpp5x=1.0009e+00 dkisepp5x=0.745 ++ cvpp2_nhvnative10x4_cor=1.00 ++ cvpp2_nhvnative10x4_sub=4.82e-15 ++ cvpp2_phv5x4_cor=1.00 ++ cvpp2_phv5x4_sub=4.82e-15 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__nfet_20v0__toxe_mult = 1.0 ++ sky130_fd_pr__nfet_20v0__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_20v0__overlap_mult = 0.89805 ++ sky130_fd_pr__nfet_20v0__ajunction_mult = 9.9505e-1 ++ sky130_fd_pr__nfet_20v0__pjunction_mult = 1.0144 ++ sky130_fd_pr__nfet_20v0__lint_diff = 0.0 ++ sky130_fd_pr__nfet_20v0__wint_diff = 0.0 ++ sky130_fd_pr__nfet_20v0__dlc_diff = 0.0 ++ sky130_fd_pr__nfet_20v0__dwc_diff = 0.0 +* +* sky130_fd_pr__nfet_20v0, Bin 000, W = 30.0, L = 1.0 +* ----------------------------------- +.param ++ sky130_fd_pr__nfet_20v0__rdrift_mult = 9.6982e-1 ++ sky130_fd_pr__nfet_20v0__hvvsat_mult = 9.2197e-1 ++ sky130_fd_pr__nfet_20v0__vth0_diff = 3.4824e-2 ++ sky130_fd_pr__nfet_20v0__k2_diff = -2.7400e-2 +* +* sky130_fd_pr__nfet_20v0_iso, Bin 000, W = 30.0, L = 1.0 +* -------------------------------------- +.param ++ sky130_fd_pr__nfet_20v0_iso__rdrift_mult = 9.1661e-1 ++ sky130_fd_pr__nfet_20v0_iso__hvvsat_mult = 8.5177e-1 ++ sky130_fd_pr__nfet_20v0_iso__vth0_diff = -1.2392e-3 ++ sky130_fd_pr__nfet_20v0_iso__k2_diff = -1.9873e-2 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__nfet_20v0__nwgatejunction_mult=7.5058e-01 ++ sky130_fd_pr__nfet_20v0__nwgatemjswjunction_mult = 8.3390e-1 ++ sky130_fd_pr__nfet_20v0__nwgatepbswjunction_mult = 1.0204e+0 ++ sky130_fd_pr__nfet_20v0__nwgatectjunction_mult = 1.0 ++ sky130_fd_pr__nfet_20v0_iso__vb = 26.0 +.model sky130_fd_pr__model__parasitic__diode_pw2dn__extended_drain d ++ level = 3.0 ++ tlevc = 1.0 ++ scalm = 1.0e-6 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.00038945*sky130_fd_pr__nfet_20v0__nwgatejunction_mult*1e-12*sky130_fd_pr__model__parasitic__diode_pw2dn__ajunction_mult' ; Units: farad/meter^2 ++ mj = '0.63982*sky130_fd_pr__nfet_20v0__nwgatemjswjunction_mult' ++ pb = '0.58758*sky130_fd_pr__nfet_20v0__nwgatepbswjunction_mult' ; Units: volt ++ cjsw = '3.743e-010*sky130_fd_pr__nfet_20v0__nwgatejunction_mult*1e-6*sky130_fd_pr__model__parasitic__diode_pw2dn__pjunction_mult' ; Units: farad/meter ++ mjsw = '0.80357*sky130_fd_pr__nfet_20v0__nwgatemjswjunction_mult' ++ php = '0.2500*sky130_fd_pr__nfet_20v0__nwgatepbswjunction_mult' ; Units: volt ++ cta = '0.0016157*sky130_fd_pr__nfet_20v0__nwgatectjunction_mult' ; Units: 1/coulomb ++ ctp = '0.0008*sky130_fd_pr__nfet_20v0__nwgatectjunction_mult' ; Units: 1/coulomb ++ tpb = 0.0010003 ; Units: volt/coulomb ++ tphp = 0.000675 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 1.4693e-017 ; Units: amper/meter^2 ++ jsw = 7.41e-018 ; Units: amper/meter ++ n = 1.0791 ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 'sky130_fd_pr__nfet_20v0_iso__vb' ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ xti = 3.0 ++ eg = 1.50 ; Units: electron-volt ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ ndiodedefet_pb_mult = 2.7281 +.model sky130_fd_pr__diode_pw2nd_05v5__extended_drain d ++ level = 3.0 ++ tlevc = 1.0 ++ scalm = 1.0e-6 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.0013459*1e-12*sky130_fd_pr__nfet_01v8__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.44 ++ pb = '0.729*ndiodedefet_pb_mult' ; Units: volt ++ cjsw = '3.6001e-011*1e-6*sky130_fd_pr__nfet_01v8__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.0009 ++ php = 0.2 ; Units: volt ++ cta = 0.000792 ; Units: 1/coulomb ++ ctp = 1e-005 ; Units: 1/coulomb ++ tpb = 0.0012287 ; Units: volt/coulomb ++ tphp = 0 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 2.75e-015 ; Units: amper/meter^2 ++ jsw = 6e-016 ; Units: amper/meter ++ n = 1.2928 ++ rs = 981 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '1.3e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 11.7 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.05 ; Units: electron-volt ++ xti = 2.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +.subckt sky130_fd_pr__nfet_20v0 d g s b w=60u l=2u m=1 t=30 ++ ad=0 as=0 pd=0 ps=0 nrd=2 nrs=2 mf=1 sa=0 sb=0 +.PARAM rdrift_tnom=1.934600e+004 vgdep_tnom=6.000000e-002 vth_tnom=7.000000e-001 vbdep_tnom=-1.224000 ++ vth2=+1.048000e-001 hvvsat_tnom=3.893600 avsat_tnom=9.407600e-001 deltaw=9.000000e-001 hvnel_n20vhv1=2.95 hvvbdep=-2.490600e-002 +.param ++ sky130_fd_pr__nfet_20v0__vgdep_mult=1 ++ n20vhv1res_vth0_diff=0.0 ++ sky130_fd_pr__nfet_20v0__vbdep_mult=1 ++ sky130_fd_pr__nfet_20v0__avsat_mult=1 +.param ++ sky130_fd_pr__nfet_20v0__pgatejunction_mult = 4.6689e-1 ++ sky130_fd_pr__nfet_20v0__mjswgatejunction_mult = 1.0 ++ sky130_fd_pr__nfet_20v0__pbswgatejunction_mult = 1.0 +.param ++ w_n20vhv1 = 29.41 ++ nrd_n20vhv1 = 2.0 ++ nrs_n20vhv1 = 2.0 ++ ad_n20vhv1 = '294.5*0.5' ++ as_n20vhv1 = 8.7 ++ pd_n20vhv1 = '91.5*0.5' ++ ps_n20vhv1 = 60.58 ++ delvto_n20vhv1 = 0.0 +.param tc1_rdrift=0.00671814786081909 +.param tc1_vgdep=0.00067352380952381 +.param tc1_vth=0.00573669467787115 +.param tc1_vbdep=-0.000337318979879533 +.param tc1_hvvsat=0.0070429485950945 +.param tc1_avsat=0.00120508503584265 +.param tc2_rdrift=1.77312980397369E-05 +.param tc2_vgdep=7.92380952380953E-06 +.param tc2_vth=-0.000036750700280112 +.param tc2_vbdep=-1.67576573112905E-05 +.param tc2_hvvsat=-6.16729946550226E-06 +.param tc2_avsat=-1.16883863966948E-05 +.param ++ rdrift='rdrift_tnom*((w_n20vhv1-deltaw)/w_n20vhv1)*(1+tc1_rdrift*(temper-30)+tc2_rdrift*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__rdrift_mult' ++ vgdep='vgdep_tnom*(1+tc1_vgdep*(temper-30)+tc2_vgdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__vgdep_mult' ++ vth='vth_tnom*(1+tc1_vth*(temper-30)+tc2_vth*(temper-30)*(temper-30))+n20vhv1res_vth0_diff' ++ vbdep='vbdep_tnom*(1+tc1_vbdep*(temper-30)+tc2_vbdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__vbdep_mult' ++ hvvsat='hvvsat_tnom*(1+tc1_hvvsat*(temper-30)+tc2_hvvsat*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__hvvsat_mult' ++ avsat='avsat_tnom*(1+tc1_avsat*(temper-30)+tc2_avsat*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__avsat_mult' +m1 d1 g s b sky130_fd_pr__nfet_20v0__base w=w_n20vhv1 l=hvnel_n20vhv1 ad=0 as=0 pd=0 ps=0 nrd=nrd_n20vhv1 nrs=nrs_n20vhv1 delvto=delvto_n20vhv1 m=m +rldd d d1 r='abs((1/w_n20vhv1)*(rdrift/(1+vgdep*(v(g,s)-vth-vbdep*v(b,s))))*(1+pwr((abs(v(d,s)+vth2-min(v(d1,s),60))/(hvvsat*(1+hvvbdep*v(b,s)))),avsat)))' tc1 = 0 tc2 = 0 m = {m} +dNDrain1 b d sky130_fd_pr__model__parasitic__diode_ps2dn__extended_drain area='0.5*ad_n20vhv1' pj='0.5*pd_n20vhv1' m=m +dNDrain2 b d1 sky130_fd_pr__model__parasitic__diode_ps2dn__extended_drain area='0.5*ad_n20vhv1' pj='0.5*pd_n20vhv1' m=m +dNSrc b s sky130_fd_pr__diode_pw2nd_05v5__extended_drain area='as_n20vhv1' pj='ps_n20vhv1-w_n20vhv1' m=m +.model sky130_fd_pr__nfet_20v0__base.0 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 3.05e-06 wmin = 1.9995e-05 wmax = 6.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = '7.6507e-08+sky130_fd_pr__nfet_20v0__lint_diff' ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = '2.1346e-08+sky130_fd_pr__nfet_20v0__wint_diff' ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = '1.16e-08*sky130_fd_pr__nfet_20v0__toxe_mult' ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = '1.0*sky130_fd_pr__nfet_20v0__rshn_mult' +* Threshold Voltage Parameters ++ vth0 = '0.80788+sky130_fd_pr__nfet_20v0__vth0_diff' ++ k1 = 0.88325 ++ k2 = '-0.022723 + sky130_fd_pr__nfet_20v0__k2_diff' ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6909100.0 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 1.1160e+5 ++ ua = -1.321700e-10 ++ ub = 9.6801e-19 ++ uc = 1.0857e-10 ++ rdsw = 724.62 ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = 0.17559 ++ a0 = 2.1951 ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.65972622 ++ ags = 0.18589 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = -0.20613 ++ nfactor = 0.2786 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.056336 ++ etab = -0.01932 ++ dsub = 0.504 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 1.2848 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 2.2576e+9 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.0246 ++ alpha0 = 9.8435e-9 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-16 ++ bgidl = 1058000000.0 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.35073 ++ kt2 = -0.019151 ++ at = 49600.0 ++ ute = -1.2986 ++ ua1 = 3.0044e-9 ++ ub1 = -3.4025e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = '2.90e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgso = '2.90e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgdl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = '7.20e-07+sky130_fd_pr__nfet_20v0__dlc_diff' ++ dwc = '0.0+sky130_fd_pr__nfet_20v0__dwc_diff' ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = '0.0008512*sky130_fd_pr__nfet_20v0__ajunction_mult' ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = '8.5204e-011*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = '5.4e-011*sky130_fd_pr__nfet_20v0__pgatejunction_mult*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjswgs = '0.78692*sky130_fd_pr__nfet_20v0__mjswgatejunction_mult' ++ pbswgs = '0.54958*sky130_fd_pr__nfet_20v0__pbswgatejunction_mult' +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = 0.0 ++ kvth0 = 1.1e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 6.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.0e-7 ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.3 ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_20v0 +*.END + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__nfet_20v0__cjdnwpsubjunction_mult = 7.0510e-1 ++ sky130_fd_pr__nfet_20v0__mjdnwpsubjunction_mult = 1.6541 ++ sky130_fd_pr__nfet_20v0__pbdnwpsubjunction_mult = 1.4206 ++ sky130_fd_pr__nfet_20v0__vb = 40.0 +.model sky130_fd_pr__model__parasitic__diode_ps2dn__extended_drain d ++ level = 3.0 ++ tlevc = 1.0 ++ scalm = 1.0e-6 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '7.8544e-005*sky130_fd_pr__nfet_20v0__cjdnwpsubjunction_mult*1e-12*sky130_fd_pr__model__parasitic__diode_ps2dn__ajunction_mult' ; Units: farad/meter^2 ++ mj = '0.49*sky130_fd_pr__nfet_20v0__mjdnwpsubjunction_mult' ++ pb = '0.5348*sky130_fd_pr__nfet_20v0__pbdnwpsubjunction_mult' ; Units: volt ++ cjsw = '8.1664e-010*sky130_fd_pr__nfet_20v0__cjdnwpsubjunction_mult*1e-6*sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult' ; Units: farad/meter ++ mjsw = '0.20024*sky130_fd_pr__nfet_20v0__mjdnwpsubjunction_mult' ++ php = '0.5348*sky130_fd_pr__nfet_20v0__pbdnwpsubjunction_mult' ; Units: volt ++ cta = 0.0016157 ; Units: 1/coulomb ++ ctp = 0.0008 ; Units: 1/coulomb ++ tpb = 0.0025003 ; Units: volt/coulomb ++ tphp = 0.001675 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 6.1049e-017 ; Units: amper/meter^2 ++ jsw = 8.1115e-016 ; Units: amper/meter ++ n = 1.0791 ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 'sky130_fd_pr__nfet_20v0__vb' ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.17 ; Units: electron-volt ++ xti = 1.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +*.include "sky130_fd_pr__model__parasitic__diode_ps2dn__extended_drain.mod" +.subckt sky130_fd_pr__nfet_20v0_reverse_iso d g s b sub w=60u l=2u m=1 t=30 ++ ad=0 as=0 pd=0 ps=0 nrd=2 nrs=2 mf=1 sa=0 sb=0 +.PARAM rdrift_tnom=6314.8 vgdep_tnom=0.029842 vth_tnom=7.000000e-001 vbdep_tnom=0.38232 + + vth2= 0.00 hvvsat_tnom=0.10534 avsat_tnom=0.35104 deltaw=9.000000e-001 hvnel_n20vhviso1=1.50 hvvbdep=-0.0045798 +.param ++ sky130_fd_pr__nfet_20v0__pgatejunction_mult = 1.7357 ++ sky130_fd_pr__nfet_20v0__mjswgatejunction_mult = 5.3981e-1 ++ sky130_fd_pr__nfet_20v0__pbswgatejunction_mult = 3.4999e+0 +.param ++ sky130_fd_pr__nfet_20v0__vgdep_mult=1 ++ n20vhv1res_vth0_diff=0.0 ++ sky130_fd_pr__nfet_20v0__vbdep_mult=1 ++ sky130_fd_pr__nfet_20v0__avsat_mult=1 +.param ++ w_n20vhv1 = 30.00 ++ nrd_n20vhv1 = 2.0 ++ nrs_n20vhv1 = 2.0 ++ ad_n20vhviso1 = 125.8 ++ as_n20vhv1 = 8.7 ++ pd_n20vhviso1 = 75.4 ++ ps_n20vhv1 = 60.58 ++ delvto_n20vhv1 = 0.0 +.param ++ ad_n20vhv1isopsub = 403.5 ++ pd_n20vhv1isopsub = 60.16 +.param tc1_rdrift=0.0043882 +.param tc1_vgdep=0 +.param tc1_vth=0 +.param tc1_vbdep=0 +.param tc1_hvvsat=0.0061411164700097 +.param tc1_avsat=-0.000120490754051872 +.param tc2_rdrift=0.000021055807983754 +.param tc2_vgdep=0 +.param tc2_vth=0 +.param tc2_vbdep=0 +.param tc2_hvvsat=3.61396725197052E-05 +.param tc2_avsat=3.0122688512968E-06 +.param ++ rdrift='rdrift_tnom*((w_n20vhv1-deltaw)/w_n20vhv1)*(1+tc1_rdrift*(temper-30)+tc2_rdrift*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_iso__rdrift_mult' ++ vgdep='vgdep_tnom*(1+tc1_vgdep*(temper-30)+tc2_vgdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__vgdep_mult' ++ vth='vth_tnom*(1+tc1_vth*(temper-30)+tc2_vth*(temper-30)*(temper-30))+n20vhv1res_vth0_diff' ++ vbdep='vbdep_tnom*(1+tc1_vbdep*(temper-30)+tc2_vbdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__vbdep_mult' ++ hvvsat='hvvsat_tnom*(1+tc1_hvvsat*(temper-30)+tc2_hvvsat*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_iso__hvvsat_mult' ++ avsat='avsat_tnom*(1+tc1_avsat*(temper-30)+tc2_avsat*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__avsat_mult' +m1 d1 g s b sky130_fd_pr__nfet_20v0__base w=w_n20vhv1 l=hvnel_n20vhviso1 ad=0 as=0 pd=0 ps=0 nrd=nrd_n20vhv1 nrs=nrs_n20vhv1 delvto=delvto_n20vhv1 m=m +rldd d d1 r='abs((1/w_n20vhv1*1)*(rdrift/(1+vgdep*(v(g,s)-vth-vbdep*v(b,s))))*(1+pwr((abs(v(d,s)+vth2-min(v(d1,s),60))/(hvvsat*(1+hvvbdep*v(b,s)))),avsat)))' tc1 = 0 tc2 = 0 m = {m} +dNDrain1 b d sky130_fd_pr__model__parasitic__diode_pw2dn__extended_drain area='0.5*ad_n20vhviso1' pj='0.5*pd_n20vhviso1' m=m +dNDrain2 b d1 sky130_fd_pr__model__parasitic__diode_pw2dn__extended_drain area='0.5*ad_n20vhviso1' pj='0.5*pd_n20vhviso1' m=m +dNSrc b s sky130_fd_pr__diode_pw2nd_05v5 area=as_n20vhv1 pj='ps_n20vhv1-w_n20vhv1' m=m +dDrnPsub sub d sky130_fd_pr__model__parasitic__diode_ps2dn__extended_drain area='0.5*ad_n20vhv1isopsub' pj='0.5*pd_n20vhv1isopsub' m=m +.model sky130_fd_pr__nfet_20v0__base nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 3.05e-06 wmin = 1.9995e-05 wmax = 6.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = '7.6507e-08 + sky130_fd_pr__nfet_20v0__lint_diff' ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = '2.1346e-08 + sky130_fd_pr__nfet_20v0__wint_diff' ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = '1.16e-08*sky130_fd_pr__nfet_20v0__toxe_mult' ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = '1.0*sky130_fd_pr__nfet_20v0__rshn_mult' +* Threshold Voltage Parameters ++ vth0 = '0.84689 + sky130_fd_pr__nfet_20v0_iso__vth0_diff' ++ k1 = 1.019 ++ k2 = '-0.055829 + sky130_fd_pr__nfet_20v0_iso__k2_diff' ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6.9091e+6 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 9.7104e+4 ++ ua = -1.131400e-10 ++ ub = 4.1888e-18 ++ uc = 7.0353e-11 ++ rdsw = 5237.3 ++ prwb = 0.65788 ++ prwg = -0.26 ++ wr = 1.0 ++ u0 = 0.075279 ++ a0 = 0.96953 ++ keta = 0.086165 ++ a1 = 0.37848 ++ a2 = 0.54362 ++ ags = 0.60228 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = -0.20613 ++ nfactor = 0.2786 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.038662 ++ etab = -0.028284 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 4.0572e+9 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.14671 ++ alpha0 = 5.0000e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-16 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.34636 ++ kt2 = -0.042078 ++ at = 69440.0 ++ ute = -0.67527 ++ ua1 = 3.0525e-9 ++ ub1 = -1.5515e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 9000.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = -0.005 ++ cgdo = '4.3400e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgso = '4.3400e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgdl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = '6.5995e-08+sky130_fd_pr__nfet_20v0__dlc_diff' ++ dwc = '0.0+sky130_fd_pr__nfet_20v0__dwc_diff' ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = '0.0008512*sky130_fd_pr__nfet_20v0__ajunction_mult' ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = '1.5204e-011*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = '5.4e-011*sky130_fd_pr__nfet_20v0__pgatejunction_mult*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjswgs = '0.78692*sky130_fd_pr__nfet_20v0__mjswgatejunction_mult' ++ pbswgs = '0.54958*sky130_fd_pr__nfet_20v0__pbswgatejunction_mult' +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = 0.0 ++ kvth0 = 1.1e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 6.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.0e-7 ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.3 ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_20v0_reverse_iso +*.END + +.subckt sky130_fd_pr__nfet_20v0_iso d g s b sub w=60u l=2u m=1 t=30 ++ ad=0 as=0 pd=0 ps=0 nrd=2 nrs=2 mf=1 sa=0 sb=0 +.PARAM rdrift_tnom=1.648600e+004 vgdep_tnom=1.102900e-001 vth_tnom=7.000000e-001 vbdep_tnom=-5.260300e-001 ++ vth2=+1.048000e-001 hvvsat_tnom=1.878600 avsat_tnom=7.467500e-001 deltaw=9.000000e-001 hvnel_n20vhviso1=1.50 hvvbdep=-2.490600e-002 +.param ++ sky130_fd_pr__nfet_20v0__pgatejunction_mult = 1.7357 ++ sky130_fd_pr__nfet_20v0__mjswgatejunction_mult = 5.3981e-1 ++ sky130_fd_pr__nfet_20v0__pbswgatejunction_mult = 3.4999e+0 +.param ++ sky130_fd_pr__nfet_20v0__vgdep_mult=1 ++ n20vhv1res_vth0_diff=0.0 ++ sky130_fd_pr__nfet_20v0__vbdep_mult=1 ++ sky130_fd_pr__nfet_20v0__avsat_mult=1 +.param ++ w_n20vhv1 = 30.00 ++ nrd_n20vhv1 = 2.0 ++ nrs_n20vhv1 = 2.0 ++ ad_n20vhviso1 = 125.8 ++ as_n20vhv1 = 8.7 ++ pd_n20vhviso1 = 75.4 ++ ps_n20vhv1 = 60.58 ++ delvto_n20vhv1 = 0.0 +.param ++ ad_n20vhv1isopsub = 403.5 ++ pd_n20vhv1isopsub = 60.16 +.param tc1_rdrift=0.00621917042930238 +.param tc1_vgdep=0 +.param tc1_vth=0 +.param tc1_vbdep=0 +.param tc1_hvvsat=0.0061411164700097 +.param tc1_avsat=-0.000120490754051872 +.param tc2_rdrift=0.000021055807983754 +.param tc2_vgdep=0 +.param tc2_vth=0 +.param tc2_vbdep=0 +.param tc2_hvvsat=3.61396725197052E-05 +.param tc2_avsat=3.0122688512968E-06 +.param ++ rdrift='rdrift_tnom*((w_n20vhv1-deltaw)/w_n20vhv1)*(1+tc1_rdrift*(temper-30)+tc2_rdrift*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_iso__rdrift_mult' ++ vgdep='vgdep_tnom*(1+tc1_vgdep*(temper-30)+tc2_vgdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__vgdep_mult' ++ vth='vth_tnom*(1+tc1_vth*(temper-30)+tc2_vth*(temper-30)*(temper-30))+n20vhv1res_vth0_diff' ++ vbdep='vbdep_tnom*(1+tc1_vbdep*(temper-30)+tc2_vbdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__vbdep_mult' ++ hvvsat='hvvsat_tnom*(1+tc1_hvvsat*(temper-30)+tc2_hvvsat*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_iso__hvvsat_mult' ++ avsat='avsat_tnom*(1+tc1_avsat*(temper-30)+tc2_avsat*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__avsat_mult' +m1 d1 g s b sky130_fd_pr__nfet_20v0__base w=w_n20vhv1 l=hvnel_n20vhviso1 ad=0 as=0 pd=0 ps=0 nrd=nrd_n20vhv1 nrs=nrs_n20vhv1 delvto=delvto_n20vhv1 m=m +rldd d d1 r='abs((1/w_n20vhv1)*(rdrift/(1+vgdep*(v(g,s)-vth-vbdep*v(b,s))))*(1+pwr((abs(v(d,s)+vth2-min(v(d1,s),60))/(hvvsat*(1+hvvbdep*v(b,s)))),avsat)))' tc1 = 0 tc2 = 0 m = {m} +dNDrain1 b d sky130_fd_pr__model__parasitic__diode_pw2dn__extended_drain area='0.5*ad_n20vhviso1' pj='0.5*pd_n20vhviso1' m=m +dNDrain2 b d1 sky130_fd_pr__model__parasitic__diode_pw2dn__extended_drain area='0.5*ad_n20vhviso1' pj='0.5*pd_n20vhviso1' m=m +dNSrc b s sky130_fd_pr__diode_pw2nd_05v5 area=as_n20vhv1 pj='ps_n20vhv1-w_n20vhv1' m=m +dDrnPsub sub d sky130_fd_pr__model__parasitic__diode_ps2dn__extended_drain area='0.5*ad_n20vhv1isopsub' pj='0.5*pd_n20vhv1isopsub' m=m +.model sky130_fd_pr__nfet_20v0__base.0 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 3.05e-06 wmin = 1.9995e-05 wmax = 6.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = '7.6507e-08 + sky130_fd_pr__nfet_20v0__lint_diff' ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = '2.1346e-08 + sky130_fd_pr__nfet_20v0__wint_diff' ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = '1.16e-08*sky130_fd_pr__nfet_20v0__toxe_mult' ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = '1.0*sky130_fd_pr__nfet_20v0__rshn_mult' +* Threshold Voltage Parameters ++ vth0 = '0.84689 + sky130_fd_pr__nfet_20v0_iso__vth0_diff' ++ k1 = 1.019 ++ k2 = '-0.055829 + sky130_fd_pr__nfet_20v0_iso__k2_diff' ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6.9091e+6 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.2883e+5 ++ ua = -1.131400e-10 ++ ub = 4.1888e-18 ++ uc = 7.0353e-11 ++ rdsw = 3856.7 ++ prwb = 0.36549 ++ prwg = 0.002801 ++ wr = 1.0 ++ u0 = 0.10816 ++ a0 = 0.96953 ++ keta = -0.18204 ++ a1 = 0.37848 ++ a2 = 0.54362 ++ ags = 0.60228 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = -0.20613 ++ nfactor = 0.2786 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.038662 ++ etab = -0.028284 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 4.0572e+9 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.14671 ++ alpha0 = 1.6301e-8 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-16 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.34636 ++ kt2 = -0.042078 ++ at = 69440.0 ++ ute = -0.67527 ++ ua1 = 3.0525e-9 ++ ub1 = -1.5515e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = -0.005 ++ cgdo = '4.3400e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgso = '4.3400e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgdl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = '6.5995e-08+sky130_fd_pr__nfet_20v0__dlc_diff' ++ dwc = '0.0+sky130_fd_pr__nfet_20v0__dwc_diff' ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = '0.0008512*sky130_fd_pr__nfet_20v0__ajunction_mult' ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = '1.5204e-011*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = '5.4e-011*sky130_fd_pr__nfet_20v0__pgatejunction_mult*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjswgs = '0.78692*sky130_fd_pr__nfet_20v0__mjswgatejunction_mult' ++ pbswgs = '0.54958*sky130_fd_pr__nfet_20v0__pbswgatejunction_mult' +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = 0.0 ++ kvth0 = 1.1e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 6.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.0e-7 ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.3 ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_20v0_iso +*.END + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* +* model corner +* , Bin 000, W = 30.0, L = 1.0 +* ---------------------------- +.param ++ sky130_fd_pr__nfet_20v0_zvt__rdrift_mult = 1.0 ++ sky130_fd_pr__nfet_20v0_zvt__hvvsat_mult = 1.0 ++ sky130_fd_pr__nfet_20v0_zvt__vth0_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__k2_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__lint_diff= 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__u0_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__agidl_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__vsat_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__ags_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__keta_diff = 0.0 ++ n20zvtvh1defet_js_mult_pmc = 1.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__nfet_20v0_zvt__cjdnwpsubjunction_mult = 7.0510e-1 ++ sky130_fd_pr__nfet_20v0_zvt__mjdnwpsubjunction_mult = 1.6541 ++ sky130_fd_pr__nfet_20v0_zvt__pbdnwpsubjunction_mult = 1.4206 ++ sky130_fd_pr__nfet_20v0_zvt__vb = 30.0 ++ n20zvtvh1defet_js_mult = 'max(0.1,n20zvtvh1defet_js_mult_pmc)' +.model sky130_fd_pr__nfet_20v0_zvt__parasitic__diode_ps2dn__extended_drain d ++ level = 3.0 ++ tlevc = 1.0 ++ scalm = 1.0e-6 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '1.6920e-004*sky130_fd_pr__nfet_20v0_zvt__cjdnwpsubjunction_mult*1e-12*sky130_fd_pr__model__parasitic__diode_ps2dn__ajunction_mult' ; Units: farad/meter^2 ++ mj = '0.56056*sky130_fd_pr__nfet_20v0_zvt__mjdnwpsubjunction_mult' ++ pb = '0.21294*sky130_fd_pr__nfet_20v0_zvt__pbdnwpsubjunction_mult' ; Units: volt ++ cjsw = '8.1380e-010*sky130_fd_pr__nfet_20v0_zvt__cjdnwpsubjunction_mult*1e-6*sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult' ; Units: farad/meter ++ mjsw = '0.18742*sky130_fd_pr__nfet_20v0_zvt__mjdnwpsubjunction_mult' ++ php = '0.21294*sky130_fd_pr__nfet_20v0_zvt__pbdnwpsubjunction_mult' ; Units: volt ++ cta = 0.0031223 ; Units: 1/coulomb ++ ctp = 0.0014703 ; Units: 1/coulomb ++ tpb = 0.0016859 ; Units: volt/coulomb ++ tphp = 0.0016859 ; Units: volt/coulomb +* Diode IV Parameters ++ js = '6.1049e-017*n20zvtvh1defet_js_mult' ; Units: amper/meter^2 ++ jsw = '8.1115e-016*n20zvtvh1defet_js_mult' ; Units: amper/meter ++ n = 0.9891 ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 'sky130_fd_pr__nfet_20v0_zvt__vb' ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.17 ; Units: electron-volt ++ xti = 1.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +.subckt sky130_fd_pr__nfet_20v0_zvt d g s b w=60u l=2u m=1 t=30 ++ ad=0 as=0 pd=0 ps=0 nrd=2 nrs=2 mf=1 sa=0 sb=0 +.param rdrift_tnom=4.73057453e+003 vgdep_tnom=0.020646 vth_tnom=7.000000e-001 vbdep_tnom=-5.260300e-001 ++ vth2=0.5 hvvsat_tnom=1.236813882 avsat_tnom=7.467500e-001 deltaw=9.000000e-001 hvnel_n20zvtvhv1=5.00 hvvbdep=-2.490600e-002 +.param ++ sky130_fd_pr__nfet_20v0_zvt__pgatejunction_mult = 1.7357 ++ sky130_fd_pr__nfet_20v0_zvt__mjswgatejunction_mult = 5.3981e-1 ++ sky130_fd_pr__nfet_20v0_zvt__pbswgatejunction_mult = 3.4999e+0 +.param ++ sky130_fd_pr__nfet_20v0_zvt__vgdep_mult=1 ++ n20zvtvhv1res_vth0_diff=0.0 ++ sky130_fd_pr__nfet_20v0_zvt__vbdep_mult=1 ++ sky130_fd_pr__nfet_20v0_zvt__avsat_mult=0.984 +.param ++ w_n20zvtvhv1 = 30.00 ++ nrd_n20zvtvhv1 = 2.0 ++ nrs_n20zvtvhv1 = 2.0 ++ ad_n20zvtvhv1 = 103.5 ++ as_n20zvtvhv1 = 8.7 ++ pd_n20zvtvhv1 = 41.75 ++ ps_n20zvtvhv1 = 60.58 ++ delvto_n20zvtvhv1 = 0.0 +.param tc1_vgdep=0 +.param tc1_vth=0 +.param tc1_vbdep=0 +.param tc1_hvvsat_n20zvtvhv1=0.0061411164700097 +.param tc2_rdrift_n20zvtvhv1=5.0768e-005 +.param tc2_vgdep=0 +.param tc2_vth=0 +.param tc2_vbdep=0 +.param tc2_hvvsat_n20zvtvhv1=3.61396725197052E-05 +.param tc2_avsat_n20zvtvhv1=3.0122688512968E-06 +.param tc1_rdrift_n20zvtvhv1=0.012359 +.param tc1_avsat_n20zvtvhv1=-7.4563e-04 +.param ++ rdrift='rdrift_tnom*((w_n20zvtvhv1-deltaw)/w_n20zvtvhv1)*(1+tc1_rdrift_n20zvtvhv1*(temper-30)+tc2_rdrift_n20zvtvhv1*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_zvt__rdrift_mult' ++ vgdep='vgdep_tnom*(1+tc1_vgdep*(temper-30)+tc2_vgdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_zvt__vgdep_mult' ++ vth='vth_tnom*(1+tc1_vth*(temper-30)+tc2_vth*(temper-30)*(temper-30))+n20zvtvhv1res_vth0_diff' ++ vbdep='vbdep_tnom*(1+tc1_vbdep*(temper-30)+tc2_vbdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_zvt__vbdep_mult' ++ hvvsat='hvvsat_tnom*(1+tc1_hvvsat_n20zvtvhv1*(temper-30)+tc2_hvvsat_n20zvtvhv1*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_zvt__hvvsat_mult' ++ avsat='avsat_tnom*(1+tc1_avsat_n20zvtvhv1*(temper-30)+tc2_avsat_n20zvtvhv1*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_zvt__avsat_mult' +m1 d1 g s b sky130_fd_pr__nfet_20v0_zvt__base w=w_n20zvtvhv1 l=hvnel_n20zvtvhv1 ad=0 as=0 pd=0 ps=0 nrd=nrd_n20zvtvhv1 nrs=nrs_n20zvtvhv1 delvto=delvto_n20zvtvhv1 m=m +rldd d d1 r='abs((1/w_n20zvtvhv1)*(rdrift/(1+vgdep*(v(g,s)-vth-vbdep*v(b,s))))*(1+pwr((abs(v(d,s)+vth2-min(v(d1,s),60))/(hvvsat*(1+hvvbdep*v(b,s)))),avsat)))' tc1 = 0 tc2 = 0 m = {m} +dNDrain1 b d sky130_fd_pr__nfet_20v0_zvt__parasitic__diode_ps2dn__extended_drain area='0.5*ad_n20zvtvhv1' pj='0.5*pd_n20zvtvhv1' m=m +dNDrain2 b d1 sky130_fd_pr__nfet_20v0_zvt__parasitic__diode_ps2dn__extended_drain area='0.5*ad_n20zvtvhv1' pj='0.5*pd_n20zvtvhv1' m=m +dNSrc b s sky130_fd_pr__diode_pw2nd_05v5 area=as_n20zvtvhv1 pj='ps_n20zvtvhv1-w_n20zvtvhv1' m=m +.model sky130_fd_pr__nfet_20v0_zvt__base.0 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 6.05e-06 wmin = 1.9995e-05 wmax = 6.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = '3.36507e-07 + sky130_fd_pr__nfet_20v0__lint_diff + sky130_fd_pr__nfet_20v0_zvt__lint_diff' ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = '2.1346e-08 + sky130_fd_pr__nfet_20v0__wint_diff' ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = '1.16e-08*sky130_fd_pr__nfet_20v0__toxe_mult' ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = '1.0*sky130_fd_pr__nfet_20v0__rshn_mult' +* Threshold Voltage Parameters ++ vth0 = '-0.11887 + sky130_fd_pr__nfet_20v0_zvt__vth0_diff' ++ k1 = 1.019 ++ k2 = '-0.3395 + sky130_fd_pr__nfet_20v0_zvt__k2_diff' ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6.9091e+6 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = '8.2379e+004 + sky130_fd_pr__nfet_20v0_zvt__vsat_diff' ++ ua = -8.598600e-11 ++ ub = 8.3776e-19 ++ uc = 6.9552e-10 ++ rdsw = 10554.0 ++ prwb = 0.36549 ++ prwg = 0.0208 ++ wr = 1.0 ++ u0 = '0.070088 + sky130_fd_pr__nfet_20v0_zvt__u0_diff' ++ a0 = -0.39335 ++ keta = '0.044964 + sky130_fd_pr__nfet_20v0_zvt__keta_diff' ++ a1 = 0.37848 ++ a2 = 0.54362 ++ ags = '0.17085 + sky130_fd_pr__nfet_20v0_zvt__ags_diff' ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = -0.20613 ++ nfactor = 0.0 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.11256 ++ etab = -0.028284 ++ dsub = 0.084 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 4.0572e+9 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.14671 ++ alpha0 = 3.2602e-9 ++ alpha1 = 0.0 ++ beta0 = 58.234 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = '5.06e-016 + sky130_fd_pr__nfet_20v0_zvt__agidl_diff' ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.20782 ++ kt2 = -0.042078 ++ at = 169440.0 ++ ute = -1.42 ++ ua1 = 6.3160e-9 ++ ub1 = -6.6715e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = -0.005 ++ cgdo = '4.3400e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgso = '4.3400e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgdl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = '6.5995e-08+sky130_fd_pr__nfet_20v0__dlc_diff-0.5e-6' ++ dwc = '0.0+sky130_fd_pr__nfet_20v0__dwc_diff' ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = '0.0008512*sky130_fd_pr__nfet_20v0__ajunction_mult' ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = '1.5204e-011*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = '5.4e-011*sky130_fd_pr__nfet_20v0_zvt__pgatejunction_mult*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjswgs = '0.78692*sky130_fd_pr__nfet_20v0_zvt__mjswgatejunction_mult' ++ pbswgs = '0.54958*sky130_fd_pr__nfet_20v0_zvt__pbswgatejunction_mult' ++ cjd = 0.0 ++ cjswgd = 0.0 ++ cjswd = 0.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = 0.0 ++ kvth0 = 1.1e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 6.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.0e-7 ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.3 ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_20v0_zvt +*.END + + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__pfet_20v0__toxe_mult = 1.0 ++ sky130_fd_pr__pfet_20v0__rshn_mult = 1.0 ++ sky130_fd_pr__pfet_20v0__overlap_mult = 1.0 ++ sky130_fd_pr__pfet_20v0__ajunction_mult = 1.0 ++ sky130_fd_pr__pfet_20v0__pjunction_mult = 1.0 ++ sky130_fd_pr__pfet_20v0__lint_diff = 0.0 ++ sky130_fd_pr__pfet_20v0__wint_diff = 0.0 ++ sky130_fd_pr__pfet_20v0__dlc_diff = 0.0 ++ sky130_fd_pr__pfet_20v0__dwc_diff = 0.0 +* +* sky130_fd_pr__pfet_20v0, Bin 000, W = 30.0, L = 1.0 +* ----------------------------------- +.param ++ sky130_fd_pr__pfet_20v0__rdrift_mult = 9.1777e-1 ++ sky130_fd_pr__pfet_20v0__vth0_diff = 8.3176e-2 ++ sky130_fd_pr__pfet_20v0__u0_diff = -1.2404e-3 ++ sky130_fd_pr__pfet_20v0__k2_diff = 0.0 ++ sky130_fd_pr__pfet_20v0__agidl_diff = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__pfet_20v0__pwdrainjunction_mult=7.5058e-01 ++ sky130_fd_pr__pfet_20v0__pwdrainmjswjunction_mult = 8.3390e-1 ++ sky130_fd_pr__pfet_20v0__pwdrainpbswjunction_mult = 1.0204e+0 ++ sky130_fd_pr__pfet_20v0__pwdrainctjunction_mult = 1.0 ++ sky130_fd_pr__pfet_20v0__vb = 31.0 ++ sky130_fd_pr__model__parasitic__diode_pw2dn__cj_mult = 1.199716e+0 ++ sky130_fd_pr__model__parasitic__diode_pw2dn__mj_mult = 3.8681e-1 ++ sky130_fd_pr__model__parasitic__diode_pw2dn__php_mult = 1.0 ++ sky130_fd_pr__model__parasitic__diode_pw2dn__tphp_mult = 1.25 ++ sky130_fd_pr__model__parasitic__diode_pw2dn__n_mult = 1.0 ++ sky130_fd_pr__model__parasitic__diode_pw2dn__js_mult = 1.0 +.model sky130_fd_pr__pfet_20v0__parasitic__diode_pw2dn d ++ level = 3.0 ++ tlevc = 1.0 ++ scalm = 1.0e-6 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.00038945*sky130_fd_pr__pfet_20v0__pwdrainjunction_mult*1e-12*sky130_fd_pr__model__parasitic__diode_pw2dn__ajunction_mult*sky130_fd_pr__model__parasitic__diode_pw2dn__cj_mult' ; Units: farad/meter^2 ++ mj = '0.63982*sky130_fd_pr__pfet_20v0__pwdrainmjswjunction_mult*sky130_fd_pr__model__parasitic__diode_pw2dn__mj_mult' ++ pb = '0.58758*sky130_fd_pr__pfet_20v0__pwdrainpbswjunction_mult' ; Units: volt ++ cjsw = '3.743e-010*sky130_fd_pr__pfet_20v0__pwdrainjunction_mult*1e-6*sky130_fd_pr__model__parasitic__diode_pw2dn__pjunction_mult*sky130_fd_pr__model__parasitic__diode_pw2dn__cj_mult' ; Units: farad/meter ++ mjsw = '0.80357*sky130_fd_pr__pfet_20v0__pwdrainmjswjunction_mult' ++ php = '0.2500*sky130_fd_pr__pfet_20v0__pwdrainpbswjunction_mult*sky130_fd_pr__model__parasitic__diode_pw2dn__php_mult' ; Units: volt ++ cta = '0.0016157*sky130_fd_pr__pfet_20v0__pwdrainctjunction_mult' ; Units: 1/coulomb ++ ctp = '0.0008*sky130_fd_pr__pfet_20v0__pwdrainctjunction_mult' ; Units: 1/coulomb ++ tpb = 0.0010003 ; Units: volt/coulomb ++ tphp = '0.000675*sky130_fd_pr__model__parasitic__diode_pw2dn__tphp_mult' ; Units: volt/coulomb +* Diode IV Parameters ++ js = '1.4693e-017*150' ; Units: amper/meter^2 ++ jsw = '7.41e-018*150' ; Units: amper/meter ++ n = '1.0791*sky130_fd_pr__model__parasitic__diode_pw2dn__n_mult' ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 'sky130_fd_pr__pfet_20v0__vb' ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ xti = 3.0 ++ eg = 0.65 ; Units: electron-volt ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +.subckt sky130_fd_pr__pfet_20v0 d g s b w=50u l=2u m=1 t=30 ad=0 as=0 pd=0 ps=0 nrd=0 nrs=0 +.param rdrift_tnom_p20vhv1=1.595800e+004 vgdep=1.102900e-001 vth=7.000000e-001 vbdep=-5.260300e-001 ++ vth2=+1.048000e-001 hvvsat=1.878600e+000 avsat=7.467500e-001 dw_p20vhv1=9.000000e-007 l_p20vhv1=0.50 hvvbdep=-2.490600e-002 +.param ++ w_p20vhv1 = 30.0 ++ fetw_p20vhv1 = 30.0 ++ nrd_p20vhv1 = 2.0 ++ nrs_p20vhv1 = 2.0 ++ ad_p20vhv1 = '294.5*0.5' ++ as_p20vhv1 = 8.7 ++ pd_p20vhv1 = '91.5*0.5' ++ ps_p20vhv1 = 60.58 ++ delvto_p20vhv1 = 0.0 +.param tc1_rdrift_p20vhv1=0.00621917042930238 +.param tc2_rdrift_p20vhv1=0.000021055807983754 +.param ++ rdrift_p20vhv1='rdrift_tnom_p20vhv1*((w_p20vhv1-dw_p20vhv1)/w_p20vhv1)*(1+tc1_rdrift_p20vhv1*(temper-30)+tc2_rdrift_p20vhv1*(temper-30)*(temper-30))*sky130_fd_pr__pfet_20v0__rdrift_mult' +m1 d1 g s b sky130_fd_pr__pfet_20v0__base w=w_p20vhv1 l=l_p20vhv1 ad=0 as=as_p20vhv1 pd=0 ps=ps_p20vhv1 nrd=nrd_p20vhv1 nrs=nrs_p20vhv1 m=m +rldd d d1 r='abs( (1/fetw_p20vhv1)*(rdrift_p20vhv1 /(1+0*(0-0-0 )) )* (1+0*pwr((abs(v(s,d)+vth2-min(v(s,d1),60))/(hvvsat*(1+hvvbdep*v(s,b)))),avsat)) )' tc1 = 0 tc2 = 0 m = {m} +dnw1 d b sky130_fd_pr__pfet_20v0__parasitic__diode_pw2dn area='ad_p20vhv1/2' pj='pd_p20vhv1/2' m=m +dnw2 d1 b sky130_fd_pr__pfet_20v0__parasitic__diode_pw2dn area='ad_p20vhv1/2' pj='pd_p20vhv1/2' m=m +.model sky130_fd_pr__pfet_20v0__base.0 pmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 5.05e-07 wmin = 1.9995e-05 wmax = 3.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.62 ++ toxm = 1.175e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = '4.5375e-08+sky130_fd_pr__pfet_20v0__lint_diff' ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = '1.2277e-08+sky130_fd_pr__pfet_20v0__wint_diff' ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.7338e-9 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.577 ++ rnoib = 0.37 ++ tnoia = 1.5 ++ tnoib = 3.5 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = '1.175e-08*sky130_fd_pr__pfet_20v0__toxe_mult' ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = '1.0*sky130_fd_pr__pfet_20v0__rshn_mult' +* Threshold Voltage Parameters ++ vth0 = '-1.2314+sky130_fd_pr__pfet_20v0__vth0_diff' ++ k1 = 0.66502 ++ k2 = '0.038291+sky130_fd_pr__pfet_20v0__k2_diff' ++ k3 = -2.2405 ++ dvt0 = 4.657 ++ dvt1 = 0.34864 ++ dvt2 = -0.030206 ++ dvt0w = -2.2 ++ dvt1w = 1016300.0 ++ dvt2w = 0.0 ++ w0 = 0.0 ++ k3b = -0.172 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 49870.0 ++ ua = 2.1601000e-9 ++ ub = 7.8839e-18 ++ uc = -5.2815e-12 ++ rdsw = 788.47 ++ prwb = 0.053538 ++ prwg = 0.375 ++ wr = 1.0 ++ u0 = '0.020636+sky130_fd_pr__pfet_20v0__u0_diff' ++ a0 = 0.4683 ++ keta = -0.15457 ++ a1 = 0.0 ++ a2 = 0.5 ++ ags = 1.51 ++ b0 = 0.0 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = -0.10154 ++ nfactor = 0.97411 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 5.0e-6 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.080055 ++ etab = -0.0038503 ++ dsub = 0.73391 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.28871 ++ pdiblc1 = 0.068215 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.025 ++ drout = 0.8996 ++ pscbe1 = 6.0111000e+9 ++ pscbe2 = 2.897300e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ alpha0 = 1.943700e-9 ++ alpha1 = 0.0 ++ beta0 = 87.25 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = '1.3888e-08+sky130_fd_pr__pfet_20v0__agidl_diff' ++ bgidl = 1.16e+10 ++ cgidl = 876.0 ++ egidl = 0.66527 ++ agisl = 1.3888e-8 ++ bgisl = 1.6145e+9 ++ cgisl = 876.0 ++ egisl = 0.66527 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.175e-8 +* Temperature Effects Parameters ++ kt1 = -0.61348 ++ kt2 = -0.019032 ++ at = 18000.0 ++ ute = -1.3724 ++ ua1 = 5.52e-10 ++ ub1 = -2.16e-18 ++ uc1 = -4.1496e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 3.0000000e+40 ++ noib = 8.5300000e+24 ++ noic = 8.4000000e+7 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.88 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 4.02e-12 ++ xtis = 10.0 ++ bvs = 24.0 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001671 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.00096 ++ tcjsw = 3.0e-5 ++ tcjswg = 0.0 ++ cgdo = '3.50e-10*sky130_fd_pr__pfet_20v0__overlap_mult' ++ cgso = '3.50e-10*sky130_fd_pr__pfet_20v0__overlap_mult' ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = '1.77e-11*sky130_fd_pr__pfet_20v0__overlap_mult' ++ cgdl = '1.77e-11*sky130_fd_pr__pfet_20v0__overlap_mult' ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = '-4.35e-07+sky130_fd_pr__pfet_20v0__dlc_diff' ++ dwc = '0.0+sky130_fd_pr__pfet_20v0__dwc_diff' ++ vfbcv = -0.1446893 ++ acde = 0.401 ++ moin = 15.773 ++ noff = 4.0 ++ voffcv = 0.0 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = '0.00077934735*sky130_fd_pr__pfet_20v0__ajunction_mult' ++ mjs = 0.33956 ++ pbs = 0.6587 ++ cjsws = '9.9605453e-11*sky130_fd_pr__pfet_20v0__pjunction_mult' ++ mjsws = 0.24676 ++ pbsws = 1.0 ++ cjswgs = '1.47314e-10*sky130_fd_pr__pfet_20v0__pjunction_mult' ++ mjswgs = 0.81 ++ pbswgs = 3.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = 0.0 ++ kvth0 = 3.5e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 6.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 7.0e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.4 ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__pfet_20v0 +*.END + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* +* model corner +* , Bin 000, W = 30.0, L = 1.0 +* ---------------------------- +.param ++ sky130_fd_pr__nfet_20v0_nvt__rdrift_mult = 7.2610e-1 ++ sky130_fd_pr__nfet_20v0_nvt__hvvsat_mult = 5.4501e-1 ++ sky130_fd_pr__nfet_20v0_nvt__vth0_diff = 3.0654e-2 ++ sky130_fd_pr__nfet_20v0_nvt__k2_diff = -1.2365e-1 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +*.param +.model sky130_fd_pr__nfet_20v0_nvt__parasitic__diode_ps2dn d ++ level = 3.0 ++ tlevc = 1.0 ++ scalm = 1.0e-6 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '1.6920e-004*sky130_fd_pr__nfet_20v0__cjdnwpsubjunction_mult*1e-12*sky130_fd_pr__model__parasitic__diode_ps2dn__ajunction_mult' ; Units: farad/meter^2 ++ mj = '0.56056*sky130_fd_pr__nfet_20v0__mjdnwpsubjunction_mult' ++ pb = '0.21294*sky130_fd_pr__nfet_20v0__pbdnwpsubjunction_mult' ; Units: volt ++ cjsw = '8.1380e-010*sky130_fd_pr__nfet_20v0__cjdnwpsubjunction_mult*1e-6*sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult' ; Units: farad/meter ++ mjsw = '0.18742*sky130_fd_pr__nfet_20v0__mjdnwpsubjunction_mult' ++ php = '0.21294*sky130_fd_pr__nfet_20v0__pbdnwpsubjunction_mult' ; Units: volt ++ cta = 0.0031223 ; Units: 1/coulomb ++ ctp = 0.0014703 ; Units: 1/coulomb ++ tpb = 0.0016859 ; Units: volt/coulomb ++ tphp = 0.0016859 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 6.1049e-017 ; Units: amper/meter^2 ++ jsw = 8.1115e-016 ; Units: amper/meter ++ n = 1.0791 ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 'sky130_fd_pr__nfet_20v0__vb' ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.17 ; Units: electron-volt ++ xti = 1.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +.subckt sky130_fd_pr__nfet_20v0_nvt d g s b w=60u l=2u m=1 t=30 ++ ad=0 as=0 pd=0 ps=0 nrd=2 nrs=2 mf=1 sa=0 sb=0 +.PARAM rdrift_tnom=1.648600e+004 vgdep_tnom=1.102900e-001 vth_tnom=7.000000e-001 vbdep_tnom=-5.260300e-001 ++ vth2=+1.048000e-001 hvvsat_tnom=1.878600 avsat_tnom=7.467500e-001 deltaw=9.000000e-001 hvnel_n20vhviso1=1.50 hvvbdep=-2.490600e-002 +.param ++ sky130_fd_pr__nfet_20v0__pgatejunction_mult = 1.7357 ++ sky130_fd_pr__nfet_20v0__mjswgatejunction_mult = 5.3981e-1 ++ sky130_fd_pr__nfet_20v0__pbswgatejunction_mult = 3.4999e+0 +.param ++ sky130_fd_pr__nfet_20v0__vgdep_mult=1 ++ n20vhv1res_vth0_diff=0.0 ++ sky130_fd_pr__nfet_20v0__vbdep_mult=1 ++ sky130_fd_pr__nfet_20v0__avsat_mult=1 +.param ++ w_n20vhv1 = 30.00 ++ nrd_n20vhv1 = 2.0 ++ nrs_n20vhv1 = 2.0 ++ ad_n20vhviso1 = 125.8 ++ as_n20vhv1 = 8.7 ++ pd_n20vhviso1 = 75.4 ++ ps_n20vhv1 = 60.58 ++ delvto_n20vhv1 = 0.0 +.param ++ ad_n20vhv1isopsub = 403.5 ++ pd_n20vhv1isopsub = 60.16 +.param tc1_vgdep=0 +.param tc1_vth=0 +.param tc1_vbdep=0 +.param tc1_hvvsat_n20nativevhviso1=0.0061411164700097 +.param tc2_rdrift_n20nativevhviso1=0.000021055807983754 +.param tc2_vgdep=0 +.param tc2_vth=0 +.param tc2_vbdep=0 +.param tc2_hvvsat_n20nativevhviso1=3.61396725197052E-05 +.param tc2_avsat_n20nativevhviso1=3.0122688512968E-06 +*.param tc1_rdrift_n20nativevhviso1=1.2314e-02 +*.param tc1_hvvsat_n20nativevhviso1=-2.5733e-02 +.param tc1_rdrift_n20nativevhviso1=7.6637e-03 +.param tc1_avsat_n20nativevhviso1=-7.4563e-04 +.param ++ rdrift='rdrift_tnom*((w_n20vhv1-deltaw)/w_n20vhv1)*(1+tc1_rdrift_n20nativevhviso1*(temper-30)+tc2_rdrift_n20nativevhviso1*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_nvt__rdrift_mult' ++ vgdep='vgdep_tnom*(1+tc1_vgdep*(temper-30)+tc2_vgdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__vgdep_mult' ++ vth='vth_tnom*(1+tc1_vth*(temper-30)+tc2_vth*(temper-30)*(temper-30))+n20vhv1res_vth0_diff' ++ vbdep='vbdep_tnom*(1+tc1_vbdep*(temper-30)+tc2_vbdep*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__vbdep_mult' ++ hvvsat='hvvsat_tnom*(1+tc1_hvvsat_n20nativevhviso1*(temper-30)+tc2_hvvsat_n20nativevhviso1*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0_nvt__hvvsat_mult' ++ avsat='avsat_tnom*(1+tc1_avsat_n20nativevhviso1*(temper-30)+tc2_avsat_n20nativevhviso1*(temper-30)*(temper-30))*sky130_fd_pr__nfet_20v0__avsat_mult' +m1 d1 g s b sky130_fd_pr__nfet_20v0__base w=w_n20vhv1 l=hvnel_n20vhviso1 ad=0 as=0 pd=0 ps=0 nrd=nrd_n20vhv1 nrs=nrs_n20vhv1 delvto=delvto_n20vhv1 m=m +rldd d d1 r='abs((1/w_n20vhv1)*(rdrift/(1+vgdep*(v(g,s)-vth-vbdep*v(b,s))))*(1+pwr((abs(v(d,s)+vth2-min(v(d1,s),60))/(hvvsat*(1+hvvbdep*v(b,s)))),avsat)))' tc1 = 0 tc2 = 0 m = {m} +dNDrain1 b d sky130_fd_pr__nfet_20v0_nvt__parasitic__diode_ps2dn area='0.5*ad_n20vhviso1' pj='0.5*pd_n20vhviso1' m=m +dNDrain2 b d1 sky130_fd_pr__nfet_20v0_nvt__parasitic__diode_ps2dn area='0.5*ad_n20vhviso1' pj='0.5*pd_n20vhviso1' m=m +dNSrc b s sky130_fd_pr__diode_pw2nd_05v5 area=as_n20vhv1 pj='ps_n20vhv1-w_n20vhv1' m=m +.model sky130_fd_pr__nfet_20v0__base.0 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 3.05e-06 wmin = 1.9995e-05 wmax = 6.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = '7.6507e-08 + sky130_fd_pr__nfet_20v0__lint_diff' ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = '2.1346e-08 + sky130_fd_pr__nfet_20v0__wint_diff' ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.1292e-9 ++ dwb = -1.6944e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 ++ toxe = '1.16e-08*sky130_fd_pr__nfet_20v0__toxe_mult' ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = '1.0*sky130_fd_pr__nfet_20v0__rshn_mult' +* Threshold Voltage Parameters ++ vth0 = '0.84689 + sky130_fd_pr__nfet_20v0_nvt__vth0_diff - 0.600' ++ k1 = 1.019 ++ k2 = '-0.055829 + sky130_fd_pr__nfet_20v0_nvt__k2_diff' ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.19251 ++ dvt0w = 0.16 ++ dvt1w = 6.9091e+6 ++ dvt2w = -0.036016 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = 2.2883e+5 ++ ua = -1.131400e-10 ++ ub = 4.1888e-18 ++ uc = 7.0353e-11 ++ rdsw = 4720.6 ++ prwb = 0.36549 ++ prwg = 0.002801 ++ wr = 1.0 ++ u0 = 0.10816 ++ a0 = 0.96953 ++ keta = -0.18204 ++ a1 = 0.37848 ++ a2 = 0.54362 ++ ags = 0.60228 ++ b0 = 3.2933e-8 ++ b1 = 0.0 +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = -0.20613 ++ nfactor = 0.2786 ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.038662 ++ etab = -0.028284 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.2579486e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2 ++ pdiblc1 = 0.21098 ++ pdiblc2 = 0.0002 ++ pdiblcb = -0.26831 ++ drout = 0.36075 ++ pscbe1 = 4.0572e+9 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.14671 ++ alpha0 = 1.6301e-8 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.125 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-16 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = -0.34636 ++ kt2 = -0.042078 ++ at = 69440.0 ++ ute = -0.67527 ++ ua1 = 3.0525e-9 ++ ub1 = -1.5515e-18 ++ uc1 = -5.9821e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.0773 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.636 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.00099005 ++ tpbswg = 0.0 ++ tcj = 0.00067434 ++ tcjsw = 0.0002493 ++ tcjswg = -0.005 ++ cgdo = '4.3400e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgso = '4.3400e-010*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cgdl = '5e-011*sky130_fd_pr__nfet_20v0__overlap_mult' ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = '6.5995e-08+sky130_fd_pr__nfet_20v0__dlc_diff' ++ dwc = '0.0+sky130_fd_pr__nfet_20v0__dwc_diff' ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = '0.0008512*sky130_fd_pr__nfet_20v0__ajunction_mult' ++ mjs = 0.295 ++ pbs = 0.72468 ++ cjsws = '1.5204e-011*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjsws = 0.037586 ++ pbsws = 0.29067 ++ cjswgs = '5.4e-011*sky130_fd_pr__nfet_20v0__pgatejunction_mult*sky130_fd_pr__nfet_20v0__pjunction_mult' ++ mjswgs = '0.78692*sky130_fd_pr__nfet_20v0__mjswgatejunction_mult' ++ pbswgs = '0.54958*sky130_fd_pr__nfet_20v0__pbswgatejunction_mult' ++ cjd = 0.0 ++ cjswgd = 0.0 ++ cjswd = 0.0 +* Stress Parameters ++ saref = 1.81e-6 ++ sbref = 1.81e-6 ++ wlod = 0.0 ++ kvth0 = 1.1e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 6.5e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.0e-7 ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.3 ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__nfet_20v0_nvt +*.END + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Typical Parameters +.param ++ dkisnpn1x1=8.7913e-01 dkbfnpn1x1=9.8501e-01 ++ dkisnpn1x2=9.0950e-01 dkbfnpn1x2=9.6759e-01 ++ dkisnpnpolyhv=1.0 dkbfnpnpolyhv=1.0 + +* SKY130 Spice File. +.option scale=1.0u +* SKY130 Spice File. +.param ++ sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__wlod_diff = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__lku0_diff = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kvth0_diff = 7.9e-9 ++ sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff = .3e-6 ++ sky130_fd_pr__nfet_01v8_lvt__ku0_diff = -2.7e-8 ++ sky130_fd_pr__nfet_01v8_lvt__wku0_diff = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kvsat_diff = 0.2 ++ sky130_fd_pr__pfet_01v8__lkvth0_diff = .0e-6 ++ sky130_fd_pr__pfet_01v8__wlod_diff = .0e-6 ++ sky130_fd_pr__pfet_01v8__lku0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8__kvsat_diff = 0.5 ++ sky130_fd_pr__pfet_01v8__kvth0_diff = 3.29e-8 ++ sky130_fd_pr__pfet_01v8__wkvth0_diff = .20e-6 ++ sky130_fd_pr__pfet_01v8__ku0_diff = 4.5e-8 ++ sky130_fd_pr__pfet_01v8__wku0_diff = .25e-6 ++ sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff = .73e-6 ++ sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__wlod_diff = .0e-6 ++ sky130_fd_pr__pfet_01v8_lvt__ku0_diff = 5.9e-8 ++ sky130_fd_pr__pfet_01v8_lvt__lku0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__wku0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kvsat_diff = .0e-6 ++ sky130_fd_pr__pfet_01v8_lvt__kvth0_diff = 1.76e-8 ++ sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff = .65e-6 ++ sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff = 11.0e-9 ++ sky130_fd_pr__nfet_g5v0d10v5__wlod_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ku0_diff = -4.5e-8 ++ sky130_fd_pr__nfet_g5v0d10v5__lku0_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__wku0_diff = .2e-6 ++ sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff = 0.3 ++ sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff = .65e-6 ++ sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff = 3.5e-8 ++ sky130_fd_pr__pfet_g5v0d10v5__wlod_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ku0_diff = 7.0e-8 ++ sky130_fd_pr__pfet_g5v0d10v5__lku0_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__wku0_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff = 0.4 ++ sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff = .8e-6 ++ sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kvth0_diff = -7.0e-9 ++ sky130_fd_pr__nfet_05v0_nvt__wlod_diff = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ku0_diff = -3.0e-8 ++ sky130_fd_pr__nfet_05v0_nvt__lku0_diff = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__wku0_diff = .2e-6 ++ sky130_fd_pr__nfet_05v0_nvt__kvsat_diff = 0.4 ++ sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff = .0e-6 ++ sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kvth0_diff = -2.0e-9 ++ sky130_fd_pr__nfet_03v3_nvt__wlod_diff = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ku0_diff = -3.0e-8 ++ sky130_fd_pr__nfet_03v3_nvt__lku0_diff = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__wku0_diff = .5e-6 ++ sky130_fd_pr__nfet_03v3_nvt__kvsat_diff = 0.3 +.param +* parameters fixed copy from Hvnmos ++ sky130_fd_pr__nfet_g5v0d16v0__wku0_diff = .2e-6 ++ sky130_fd_pr__nfet_g5v0d16v0__kvsat_diff = 0.3 ++ sky130_fd_pr__nfet_g5v0d16v0__kvth0_diff = 1.7057e-8 ++ sky130_fd_pr__nfet_g5v0d16v0__ku0_diff = -9.9000e-8 ++ sky130_fd_pr__nfet_g5v0d16v0__lku0_diff = 9.6975e-7 ++ sky130_fd_pr__nfet_g5v0d16v0__lkvth0_diff = 2.2691e-7 ++ sky130_fd_pr__nfet_g5v0d16v0__wkvth0_diff = 2.3093e-6 +* parameters fixed copy from Hvpmos +.param ++ sky130_fd_pr__pfet_g5v0d16v0__wku0_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__kvsat_diff = 0.4 ++ sky130_fd_pr__pfet_g5v0d16v0__kvth0_diff = 5.2302e-9 ++ sky130_fd_pr__pfet_g5v0d16v0__ku0_diff = 2.2180e-7 ++ sky130_fd_pr__pfet_g5v0d16v0__lku0_diff = 8.7129e-7 ++ sky130_fd_pr__pfet_g5v0d16v0__lkvth0_diff = -4.8631e-7 ++ sky130_fd_pr__pfet_g5v0d16v0__wkvth0_diff = 5.3980e-7 + +.param ++ lv_dlc_rotweak = .00e-9 ++ lvhvt_dlc_rotweak = .00e-9 ++ lvt_dlc_rotweak = .00e-9 ++ hv_dlc_rotweak = .00e-9 ++ sky130_fd_pr__nfet_01v8__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__esd_nfet_01v8__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__nfet_01v8_lvt__dlc_rotweak = lvt_dlc_rotweak ++ sky130_fd_pr__pfet_01v8__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__pfet_01v8_lvt__dlc_rotweak = lvt_dlc_rotweak ++ sky130_fd_pr__pfet_01v8_hvt__dlc_rotweak = lvhvt_dlc_rotweak ++ sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__nfet_g5v0d10v5__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__pfet_g5v0d10v5__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__pfet_g5v0d16v0__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__special_nfet_pass__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_nfet_pass_lowleakage__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_nfet_pass_flash__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__special_nfet_latch__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_nfet_latch_lowleakage__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_pfet_latch__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_pfet_latch_lowleakage__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_bs_flash__special_sonosfet_star__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_bs_flash__special_sonosfet_original__dlc_rotweak = hv_dlc_rotweak ++ sonos_eeol_dlc_rotweak = hv_dlc_rotweak ++ sonos_peol_dlc_rotweak = hv_dlc_rotweak +* include all individual diode models +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__model__parasitic__diode_ps2nw d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '9.155e-005*1e-12*sky130_fd_pr__model__parasitic__diode_ps2nw__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.4509 ++ pb = 0.5348 ; Units: volt ++ cjsw = '5.822e-010*1e-6*sky130_fd_pr__model__parasitic__diode_ps2nw__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.2433 ++ php = 0.5348 ; Units: volt ++ cta = 0.00165 ; Units: 1/coulomb ++ ctp = 0.0008 ; Units: 1/coulomb ++ tpb = 0.0022563 ; Units: volt/coulomb ++ tphp = 0.00165 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 4.21e-018 ; Units: amper/meter^2 ++ jsw = 4.94e-018 ; Units: amper/meter ++ n = 1.0791 ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 16.848 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.17 ; Units: electron-volt ++ xti = 5.2 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__diode_pw2nd_05v5 d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.0013459*1e-12*sky130_fd_pr__nfet_01v8__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.44 ++ pb = 0.729 ; Units: volt ++ cjsw = '3.6001e-011*1e-6*sky130_fd_pr__nfet_01v8__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.0009 ++ php = 0.2 ; Units: volt ++ cta = 0.000792 ; Units: 1/coulomb ++ ctp = 1e-005 ; Units: 1/coulomb ++ tpb = 0.0012287 ; Units: volt/coulomb ++ tphp = 0 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 2.75e-015 ; Units: amper/meter^2 ++ jsw = 6e-016 ; Units: amper/meter ++ n = 1.2928 ++ rs = 981 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '1.3e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 11.7 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.05 ; Units: electron-volt ++ xti = 2.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__diode_pd2nw_05v5 d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.00074079*1e-12*sky130_fd_pr__pfet_01v8__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.34629 ++ pb = 0.6587 ; Units: volt ++ cjsw = '9.88e-011*1e-6*sky130_fd_pr__pfet_01v8__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.29781 ++ php = 0.7418 ; Units: volt ++ cta = 0.0012407 ; Units: 1/coulomb ++ ctp = 0.00037357 ; Units: 1/coulomb ++ tpb = 0.0020386 ; Units: volt/coulomb ++ tphp = 0.001246 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 2.1483e-017 ; Units: amper/meter^2 ++ jsw = 8.04e-016 ; Units: amper/meter ++ n = 1.3632 ++ rs = 600 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '4.76e-008/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 12.69 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.05 ; Units: electron-volt ++ xti = 5.2 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__diode_pd2nw_05v5_hvt d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.00075561*1e-12*sky130_fd_pr__pfet_01v8_hvt__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.34629 ++ pb = 0.6587 ; Units: volt ++ cjsw = '9.2435e-011*1e-6*sky130_fd_pr__pfet_01v8_hvt__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.26859 ++ php = 0.7418 ; Units: volt ++ cta = 0.0012407 ; Units: 1/coulomb ++ ctp = 0 ; Units: 1/coulomb ++ tpb = 0.0019551 ; Units: volt/coulomb ++ tphp = 0.00014242 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 2.17e-017 ; Units: amper/meter^2 ++ jsw = 8.2e-016 ; Units: amper/meter ++ n = 1.2556 ++ rs = 600 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '4.76e-008/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 12.8 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.05 ; Units: electron-volt ++ xti = 2.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__diode_pw2nd_11v0 d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.0008512*1e-12*sky130_fd_pr__nfet_g5v0d10v5__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.295 ++ pb = 0.72468 ; Units: volt ++ cjsw = '8.5204e-011*1e-6*sky130_fd_pr__nfet_g5v0d10v5__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.037586 ++ php = 0.29067 ; Units: volt ++ cta = 0.00067434 ; Units: 1/coulomb ++ ctp = 0.0002493 ; Units: 1/coulomb ++ tpb = 0.001344 ; Units: volt/coulomb ++ tphp = 0.00099005 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 3.75e-016 ; Units: amper/meter^2 ++ jsw = 5.84e-017 ; Units: amper/meter ++ n = 1.0773 ++ rs = 981 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '1.3e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 12.636 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 0.92 ; Units: electron-volt ++ xti = 0.76 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__diode_pd2nw_11v0 d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.00077547*1e-12*sky130_fd_pr__pfet_g5v0d10v5__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.33956 ++ pb = 0.6587 ; Units: volt ++ cjsw = '9.8717e-011*1e-6*sky130_fd_pr__pfet_g5v0d10v5__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.24676 ++ php = 1 ; Units: volt ++ cta = 0.00096 ; Units: 1/coulomb ++ ctp = 3e-005 ; Units: 1/coulomb ++ tpb = 0.001671 ; Units: volt/coulomb ++ tphp = 0 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 2.1483e-017 ; Units: amper/meter^2 ++ jsw = 4.02e-018 ; Units: amper/meter ++ n = 1.3632 ++ rs = 600 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '4.76e-008/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 12.69 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.05 ; Units: electron-volt ++ xti = 10.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__diode_pw2nd_05v5_nvt d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.0008602*1e-12*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.28329 ++ pb = 0.66345 ; Units: volt ++ cjsw = '8.5152e-011*1e-6*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.057926 ++ php = 1 ; Units: volt ++ cta = 0.00083 ; Units: 1/coulomb ++ ctp = 0 ; Units: 1/coulomb ++ tpb = 0.0019685 ; Units: volt/coulomb ++ tphp = 0.001 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 4.2966e-016 ; Units: amper/meter^2 ++ jsw = 8.04e-016 ; Units: amper/meter ++ n = 1.5764 ++ rs = 600 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '4.76e-008/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 12.69 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.05 ; Units: electron-volt ++ xti = 0.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__diode_pw2nd_05v5_lvt d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.001209*1e-12*sky130_fd_pr__nfet_01v8_lvt__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.42197 ++ pb = 0.7477 ; Units: volt ++ cjsw = '3.6224e-011*1e-6*sky130_fd_pr__nfet_01v8_lvt__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.001 ++ php = 0.1 ; Units: volt ++ cta = 0.000792 ; Units: 1/coulomb ++ ctp = 1e-005 ; Units: 1/coulomb ++ tpb = 0.0012287 ; Units: volt/coulomb ++ tphp = 0 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 2.75e-015 ; Units: amper/meter^2 ++ jsw = 6e-016 ; Units: amper/meter ++ n = 1.2928 ++ rs = 981 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '1.3e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 11.9 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.05 ; Units: electron-volt ++ xti = 2.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__diode_pd2nw_05v5_lvt d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.00076823*1e-12*sky130_fd_pr__pfet_01v8_lvt__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.3362 ++ pb = 0.6587 ; Units: volt ++ cjsw = '9.152e-011*1e-6*sky130_fd_pr__pfet_01v8_lvt__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.2659 ++ php = 0.7418 ; Units: volt ++ cta = 0.0012407 ; Units: 1/coulomb ++ ctp = 0.00037357 ; Units: 1/coulomb ++ tpb = 0.001671 ; Units: volt/coulomb ++ tphp = 0.001246 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 2.1483e-017 ; Units: amper/meter^2 ++ jsw = 1.447e-16 ; Units: amper/meter ++ n = 1.3632 ++ rs = 600 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '4.76e-008/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 12.69 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.05 ; Units: electron-volt ++ xti = 5.2 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__model__parasitic__diode_pw2dn d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '0.00038945*1e-12*sky130_fd_pr__model__parasitic__diode_pw2dn__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.33982 ++ pb = 0.58758 ; Units: volt ++ cjsw = '3.743e-010*1e-6*sky130_fd_pr__model__parasitic__diode_pw2dn__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.23357 ++ php = 0.5348 ; Units: volt ++ cta = 0.0016157 ; Units: 1/coulomb ++ ctp = 0.0008 ; Units: 1/coulomb ++ tpb = 0.0025003 ; Units: volt/coulomb ++ tphp = 0.001675 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 1.4693e-017 ; Units: amper/meter^2 ++ jsw = 7.41e-018 ; Units: amper/meter ++ n = 1.0791 ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 16.38 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.17 ; Units: electron-volt ++ xti = 3.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__model__parasitic__diode_ps2dn d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '7.8544e-005*1e-12*sky130_fd_pr__model__parasitic__diode_ps2dn__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.49 ++ pb = 0.5348 ; Units: volt ++ cjsw = '8.1664e-010*1e-6*sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.20024 ++ php = 0.5348 ; Units: volt ++ cta = 0.0016157 ; Units: 1/coulomb ++ ctp = 0.0008 ; Units: 1/coulomb ++ tpb = 0.0025003 ; Units: volt/coulomb ++ tphp = 0.001675 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 6.1049e-017 ; Units: amper/meter^2 ++ jsw = 8.1115e-016 ; Units: amper/meter ++ n = 1.0791 ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 16.95 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.17 ; Units: electron-volt ++ xti = 1.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.model sky130_fd_pr__pfet_g5v0d16v0__parasitic__diode_pw2dn d ++ level = 3.0 ++ tlevc = 1.0 ++ area = 1.0e+12 +* Junction Capacitance Parameters ++ cj = '7.8544e-005*1e-12*sky130_fd_pr__model__parasitic__diode_ps2dn__ajunction_mult' ; Units: farad/meter^2 ++ mj = 0.49 ++ pb = 0.5348 ; Units: volt ++ cjsw = '8.1664e-010*1e-6*sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult' ; Units: farad/meter ++ mjsw = 0.20024 ++ php = 0.5348 ; Units: volt ++ cta = 0.0016157 ; Units: 1/coulomb ++ ctp = 0.0008 ; Units: 1/coulomb ++ tpb = 0.0025003 ; Units: volt/coulomb ++ tphp = 0.001675 ; Units: volt/coulomb +* Diode IV Parameters ++ js = 6.1049e-017 ; Units: amper/meter^2 ++ jsw = 8.1115e-016 ; Units: amper/meter ++ n = 1.0791 ++ rs = 900 ; Units: ohm (ohm/meter^2 if area defined) ++ ik = '2.08e-009/1e-12' ; Units: amper/meter^2 ++ ikr = '0/1e-12' ; Units: amper/meter^2 ++ bv = 17.95 ; Units: volt ++ ibv = 0.00106 ; Units: amper ++ trs = 0 ; Units: 1/coulomb ++ eg = 1.17 ; Units: electron-volt ++ xti = 1.0 ++ tref = 30 ; Units: coulomb +* Default Parameters ++ tcv = 0 ; Units: 1/coulomb ++ gap1 = 0.000473 ; Units: electron-volt/coulomb ++ gap2 = 1110.0 ++ ttt1 = 0 ; Units: 1/coulomb ++ ttt2 = 0 ; Units: 1/coulomb^2 ++ tm1 = 0 ; Units: 1/coulomb ++ tm2 = 0 ; Units: 1/coulomb^2 ++ lm = 0 ; Units: meter ++ lp = 0 ; Units: meter ++ wm = 0 ; Units: meter ++ wp = 0 ; Units: meter ++ xm = 0 ; Units: meter ++ xoi = 10000.0 ++ xom = 10000 ; Units: angstrom ++ xp = 0 ; Units: meter ++ xw = 0 ; Units: meter + +* call models applicable to any corner +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pnp_05v5_W0p68L0p68__bf_slope = 0.0 +.param sky130_fd_pr__pnp_05v5_W0p68L0p68__is_slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__pnp_05v5_W0p68L0p68__bf_slope dist=gauss std=0.05537 +* vary sky130_fd_pr__pnp_05v5_W0p68L0p68__is_slope dist=gauss std=0.01662 +* } +* } +.subckt sky130_fd_pr__pnp_05v5_W0p68L0p68 Collector Base Emitter ++ +.param mult = 1.0 +*(mismatch parameter sky130_fd_pr__pnp_05v5_W0p68L0p68__bf_slope) ++ sky130_fd_pr__pnp_05v5_W0p68L0p68__bf_mm = {(19.35*dkbfpp*MC_MM_SWITCH*AGAUSS(0,0.05537,1)/sqrt(mult))} +*(mismatch parameter sky130_fd_pr__pnp_05v5_W0p68L0p68__is_slope) ++ sky130_fd_pr__pnp_05v5_W0p68L0p68__is_mm = {(1.5075e-018*dkispp*MC_MM_SWITCH*AGAUSS(0,0.01662,1)/sqrt(mult))} +qsky130_fd_pr__pnp_05v5_W0p68L0p68 Collector Base Emitter Collector sky130_fd_pr__pnp_05v5_W0p68L0p68__model +.model sky130_fd_pr__pnp_05v5_W0p68L0p68__model pnp level = 1.0 +* General Parameters ++ tref = 30.0 +* Capacitance Parameters ++ cjc = 6.255e-015 cje = 6.113e-016 cjs = 0.0 ++ fc = 0.5 mjc = 0.24 mje = 0.3 mjs = 0.24 ++ vjc = 0.54 vje = 0.74 vjs = 0.54 xcjc = 1.0 ++ ptf = 0 tf = 6.15385e-010 tr = 5e-008 vtf = 1.0e-12 ++ xtf = 0.0 +* Noise Parameters ++ af = 1.60722 kf = 4.9435066e-11 +* DC Parameters ++ is = '1.5075e-018*1.00*dkispp+sky130_fd_pr__pnp_05v5_W0p68L0p68__is_mm' rb = 316.21 re = 219 irb = 0.027411 ++ rc = 531 rbm = 243.58 bf = '19.35*dkbfpp+sky130_fd_pr__pnp_05v5_W0p68L0p68__bf_mm' nf = '1.028*dknfpp' ++ vaf = 152.06 ikf = 3.3057e-005 ise = 9.4936e-017 ne = 1.6444 ++ ns = 1 br = 0.2675 iss = 0 nr = 1.0 ++ var = 4.3 ikr = 0.00043 nkf = 0.5 isc = 1.2e-15 ++ nc = 1.003 +* Temperature Parameters ++ xtb = 2.2132 xti = 1.1 eg = 1.2 tikf1 = -0.0037823 ++ tnf1 = 1.972e-006 tnf2 = -8.8e-7 +.ends sky130_fd_pr__pnp_05v5_W0p68L0p68 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +.param sky130_fd_pr__pnp_05v5_W3p40L3p40__bf_slope = 0.0 +.param sky130_fd_pr__pnp_05v5_W3p40L3p40__is_slope = 0.0 +.param sky130_fd_pr__pnp_05v5_W3p40L3p40__xti_slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__pnp_05v5_W3p40L3p40__bf_slope dist=gauss std=0.05537 +* vary sky130_fd_pr__pnp_05v5_W3p40L3p40__is_slope dist=gauss std=0.01662 +* vary sky130_fd_pr__pnp_05v5_W3p40L3p40__xti_slope dist=gauss std=0.06 +* } +* } +.subckt sky130_fd_pr__pnp_05v5_W3p40L3p40 Collector Base Emitter ++ +.param mult = 1.0 ++ sky130_fd_pr__pnp_05v5_W3p40L3p40__bf_mm = {(16.603*dkbfpp5x*sky130_fd_pr__pnp_05v5_W3p40L3p40__bf_slope*0.45/sqrt(mult))} ++ sky130_fd_pr__pnp_05v5_W3p40L3p40__is_mm = {(7.1190e-018*1.00*dkispp5x*sky130_fd_pr__pnp_05v5_W3p40L3p40__is_slope*0.13/sqrt(mult))} ++ sky130_fd_pr__pnp_05v5_W3p40L3p40__xti_mm = {(5*sky130_fd_pr__pnp_05v5_W3p40L3p40__xti_slope/sqrt(mult))} +qsky130_fd_pr__pnp_05v5_W3p40L3p40 Collector Base Emitter Collector sky130_fd_pr__pnp_05v5_W3p40L3p40__model +.model sky130_fd_pr__pnp_05v5_W3p40L3p40__model pnp level = 1.0 +* General Parameters ++ tref = 30 subs = 1.0 +* Capacitance Parameters ++ cjc = '9.155e-17*5.17*5.17*sky130_fd_pr__model__parasitic__diode_ps2nw__ajunction_mult+5.822e-16*4*5.17*sky130_fd_pr__model__parasitic__diode_ps2nw__pjunction_mult' cje = '7.4079e-16*3.4*3.4*sky130_fd_pr__pfet_01v8__ajunction_mult+9.88e-17*4*3.4*sky130_fd_pr__pfet_01v8__pjunction_mult' cjs = 0.0 ++ fc = 0.5 mjc = 0.24 mje = 0.3 mjs = 0.24 ++ vjc = 0.54 vje = 0.74 vjs = 0.54 xcjc = 1.0 ++ ptf = 0 tf = 6.15385e-010 tr = 5e-008 vtf = 1.0e-12 ++ xtf = 0.0 +* Noise Parameters ++ af = 1.30180 kf = 4.9435066e-11 +* DC Parameters ++ is = '7.1190e-018*dkispp5x+sky130_fd_pr__pnp_05v5_W3p40L3p40__is_mm' rb = 73.32 re = 5.3848 irb = 0.0002 ++ rc = 100.0 rbm = 25.19 bf = '16.603*dkbfpp5x+sky130_fd_pr__pnp_05v5_W3p40L3p40__bf_mm' nf = '1.000*dknfpp5x' ++ vaf = 111.6 ikf = 0.00038589 ise = '1.0310e-015*dkisepp5x' ne = 1.64 ++ ns = 1 br = 0.2675 iss = 0 nr = 1.0 ++ var = 4.3 ikr = 0.0043 nkf = 0.426 isc = 1.9855e-15 ++ nc = 1.000 +* Temperature Parameters ++ xtb = 1.692 xti = '5.0+sky130_fd_pr__pnp_05v5_W3p40L3p40__xti_mm' eg = 1.125 tnf1 = 5.972e-6 ++ tikf1 = -0.002456 tnf2 = -3.0e-8 +.ends sky130_fd_pr__pnp_05v5_W3p40L3p40 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__npn_05v5_W1p00L1p00__is_slope_spectre = 0.0 +.param sky130_fd_pr__npn_05v5_W1p00L1p00__bf_slope_spectre = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__npn_05v5_W1p00L1p00__is_slope_spectre dist=gauss std=1.0 +* vary sky130_fd_pr__npn_05v5_W1p00L1p00__bf_slope_spectre dist=gauss std=1.0 +* } +* } +.subckt sky130_fd_pr__npn_05v5_W1p00L1p00 c b e s ++ +.param mult = 1 area = 1.0 +qsky130_fd_pr__npn_05v5_W1p00L1p00 c b e s sky130_fd_pr__npn_05v5_W1p00L1p00__model +.model sky130_fd_pr__npn_05v5_W1p00L1p00__model npn level = 1.0 +* General Parameters ++ tref = 30.0 +* Capacitance Parameters ++ dcap = 2 cjc = '1.73302e-014*sky130_fd_pr__model__parasitic__diode_pw2dn__ajunction_mult' cje = '5.4899e-015*sky130_fd_pr__nfet_01v8__ajunction_mult' ++ cjs = '3.03477e-014*sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult' fc = 0.5 mjc = 0.33982 mje = 0.44 ++ mjs = 0.49 vjc = 0.58758 vje = 0.729 vjs = 0.5348 ++ xcjc = 1 itf = '2.6e-03+4e-3' ptf = 20 tf = '7.24041e-011+2e-11' ++ tr = 0 vtf = '0.5+0.2' xtf = '2.0-0.9' +* Noise Parameters ++ af = 1.523963 kf = 6.1811298e-11 +* DC Parameters +*(mismatch parameter sky130_fd_pr__npn_05v5_W1p00L1p00__is_slope_spectre) ++ is = '4.5584e-018*dkisnpn1x1 + MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.5584e-018*dkisnpn1x1*0.00473/sqrt(mult))' subs = 1 rb = 602.54 re = 30.0 +*(mismatch parameter sky130_fd_pr__npn_05v5_W1p00L1p00__bf_slope_spectre) ++ irb = 7.4e+020 rc = 320.4 rbm = 0 bf = '39.28*dkbfnpn1x1 + MC_MM_SWITCH*AGAUSS(0,1.0,1)*(39.28*dkbfnpn1x1*0.05237/sqrt(mult))' ++ nf = 1.0394 vaf = 100 ikf = 0.00083757 ise = 3.2947e-16 ++ ne = 1.792 ns = 1.0 br = 1 ibc = 0.0 ++ iss = 0 nr = 0.8976 var = 0 ikr = 3.679e-7 ++ nkf = 0.5 isc = 0 nc = 2.0 +* Temperature Parameters ++ xtb = 0 xti = 1.0713 eg = 1.188 gap1 = 0.0 ++ gap2 = 0 ctc = 0.0 ++ cte = 0 cts = 0 tlev = 0 tlevc = 0.0 ++ tvjc = 0 tvje = 0 tvjs = 0.0 ++ tis1 = 0 tise1 = 0 tisc1 = 0.0 ++ tnf1 = 4.208e-005 tnr1 = -0.0011234 tne1 = 0 tnc1 = 0.0 ++ tbf1 = 0.00776 tbr1 = 0 tiss1 = 0 tvaf1 = 0.0 ++ tvar1 = 0 tikf1 = -0.0074 tikr1 = 0 tns1 = 0.0 ++ trb1 = 0 trc1 = 0 tre1 = 0 tirb1 = 0.0 ++ trm1 = 0 tmjc1 = 0 tmje1 = 0 tmjs1 = 0.0 ++ ttf1 = 0 titf1 = 0 ttr1 = 0 tis2 = 4.0e-12 ++ tise2 = 0 tisc2 = 0 tnf2 = -3.372e-007 tnr2 = -2.274e-6 ++ tne2 = 0 tnc2 = 0 tbf2 = 6.48e-006 tbr2 = 0.0 ++ tiss2 = 0 tvaf2 = 0 tvar2 = 0 tikf2 = 4.0e-5 ++ tikr2 = 0 tns2 = 0 trb2 = 0 trc2 = 0.0 ++ tre2 = 0 tirb2 = 0 trm2 = 0 tmjc2 = 0.0 ++ tmje2 = 0 tmjs2 = 0 ttf2 = 0.0 +.ends sky130_fd_pr__npn_05v5_W1p00L1p00 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__npn_05v5_W1p00L2p00__is_slope_spectre = 0.0 +.param sky130_fd_pr__npn_05v5_W1p00L2p00__bf_slope_spectre = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__npn_05v5_W1p00L2p00__is_slope_spectre dist=gauss std=1.0 +* vary sky130_fd_pr__npn_05v5_W1p00L2p00__bf_slope_spectre dist=gauss std=1.0 +* } +* } +.subckt sky130_fd_pr__npn_05v5_W1p00L2p00 c b e s ++ +.param mult = 1 area = 1.0 +qsky130_fd_pr__npn_05v5_W1p00L2p00 c b e s sky130_fd_pr__npn_05v5_W1p00L2p00__model +.model sky130_fd_pr__npn_05v5_W1p00L2p00__model npn level = 1.0 +* General Parameters ++ tref = 30.0 +* Capacitance Parameters ++ dcap = 2 cjc = '1.60339e-014*sky130_fd_pr__model__parasitic__diode_pw2dn__ajunction_mult' cje = '10.30981e-015*sky130_fd_pr__nfet_01v8__ajunction_mult' ++ cjs = '3.05951e-014*sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult' fc = 0.5 mjc = 0.33982 mje = 0.44 ++ mjs = 0.49 vjc = 0.58758 vje = 0.729 vjs = 0.5348 ++ xcjc = 1 itf = '2.6e-03+7e-3' ptf = 20 tf = '6.34041e-011+4.5e-11' ++ tr = 0 vtf = '0.5+0.0' xtf = '2.0+0.9' +* Noise Parameters ++ af = 1.595 kf = 3.5568689e-11 +* DC Parameters +*(mismatch parameter sky130_fd_pr__npn_05v5_W1p00L2p00__is_slope_spectre) ++ is = '7.98e-018*dkisnpn1x2 + MC_MM_SWITCH*AGAUSS(0,1.0,1)*(7.98e-018*dkisnpn1x2*0.0036/sqrt(mult))' subs = 1 rb = 885.61 ++ re = 15.0 irb = 4.424e-005 rc = 61.677 rbm = 256.08 +*(mismatch parameter sky130_fd_pr__npn_05v5_W1p00L2p00__bf_slope_spectre) ++ bf = '37.54*dkbfnpn1x2 + MC_MM_SWITCH*AGAUSS(0,1.0,1)*(37.75*dkbfnpn1x2*0.0465/sqrt(mult))' nf = 1.0405 vaf = 100 ikf = 0.0011462 ++ ise = 5.77e-016 ne = 1.7924 ns = 1.0 br = 1.0 ++ ibc = 0 iss = 0 nr = 0.96012 ++ var = 0 ikr = 4.032e-008 nkf = 0.5 isc = 0.0 +* Temperature Parameters ++ xtb = 0 xti = 1.0713 eg = 1.188 gap1 = 0.0 ++ gap2 = 0 ctc = 0.0 ++ cte = 0 cts = 0 tlev = 0 tlevc = 0.0 ++ tvjc = 0 tvje = 0 tvjs = 0.0 ++ tis1 = 0 tise1 = 0 tisc1 = 0.0 ++ tnf1 = 4.208e-005 tnr1 = -0.000522 tne1 = 0 tnc1 = 0.0 ++ tbf1 = 0.00776 tbr1 = 0 tiss1 = 0 tvaf1 = 0.0 ++ tvar1 = 0 tikf1 = -0.0074 tikr1 = 0 tns1 = 0.0 ++ trb1 = 0 trc1 = 0 tre1 = 0 tirb1 = 0.0 ++ trm1 = 0 tmjc1 = 0 tmje1 = 0 tmjs1 = 0.0 ++ ttf1 = 0 titf1 = 0 ttr1 = 0 tis2 = 4.0e-12 ++ tise2 = 0 tisc2 = 0 tnf2 = -3.372e-007 tnr2 = 1.8e-6 ++ tne2 = 0 tnc2 = 0 tbf2 = 6.48e-006 tbr2 = 0.0 ++ tiss2 = 0 tvaf2 = 0 tvar2 = 0 tikf2 = 4.0e-5 ++ tikr2 = 0 tns2 = 0 trb2 = 0 trc2 = 0.0 ++ tre2 = 0 tirb2 = 0 trm2 = 0 tmjc2 = 0.0 ++ tmje2 = 0 tmjs2 = 0 ttf2 = 0 titf2 = 0.0 +.ends sky130_fd_pr__npn_05v5_W1p00L2p00 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param npnpolyhv_is_slope_spectre = 0.0 +.param npnpolyhv_bf_slope_spectre = 0.0 +* statistics { +* mismatch { +* vary npnpolyhv_is_slope_spectre dist=gauss std=1.0 +* vary npnpolyhv_bf_slope_spectre dist=gauss std=1.0 +* } +* } +.subckt sky130_fd_pr__npn_11v0_W1p00L1p00 c b e s ++ +.param mult = 1.0 +qsky130_fd_pr__npn_11v0_W1p00L1p00 c1 b e s sky130_fd_pr__npn_11v0_W1p00L1p00__base +rc c c1 r = 440 tc1 = -4.0e-3 +q2 s c1 b s sky130_fd_pr__pnp_05v5_W0p68L0p68__polyhv +d1 s b sky130_fd_pr__model__parasitic__diode_ps2dn area = 1.34 +.model sky130_fd_pr__npn_11v0_W1p00L1p00__base npn level = 1.0 +* General Parameters ++ tref = 30.0 +* Capacitance Parameters ++ dcap = 2 cjc = '1.60339e-014*sky130_fd_pr__model__parasitic__diode_pw2dn__ajunction_mult' cje = '10.30981e-015*sky130_fd_pr__nfet_01v8__ajunction_mult' ++ cjs = '3.05951e-014*sky130_fd_pr__model__parasitic__diode_ps2dn__pjunction_mult' fc = 0.5 mjc = 0.33982 mje = 0.44 ++ mjs = 0.49 vjc = 0.58758 vje = 0.729 vjs = 0.5348 ++ xcjc = 1 itf = 9.6e-03 ptf = 20 tf = 10.84e-11 ++ tr = 0 vtf = 0.5 xtf = 2.9 +* Noise Parameters ++ af = 1.9 kf = 5.0e-10 +* DC Parameters +*(mismatch parameter npnpolyhv_is_slope_spectre) ++ is = '1.1082e-017*dkisnpnpolyhv + 1.1082e-017*dkisnpnpolyhv*0.003/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1)' rb = 1400.0 ++ re = 85 irb = 4.424e-005 rc = 1.0 rbm = 400.07 +*(mismatch parameter npnpolyhv_bf_slope_spectre) ++ bf = '141.286*dkbfnpnpolyhv + 141.286*dkbfnpnpolyhv*0.05/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1)' nf = 1.0262306 vaf = 100 ikf = 0.00046731 ++ ise = 5.3935e-016 ne = 1.7527 ns = 1 br = 100.0 ++ iss = 0 nr = 1.0262306 ++ var = 0 ikr = 0.00046731 nkf = 0.31875 isc = 0.0 ++ nc = 2.0 +* Temperature Parameters ++ xtb = 0 xti = 2.7498 eg = 1.1714 gap1 = 0.0 ++ gap2 = 0 ctc = 0 cte = 0 cts = 0.0 ++ tlev = 0 tlevc = 0 tvjc = 0 tvje = 0.0 ++ tvjs = 0 tis1 = 0 tise1 = 0 tisc1 = 0.0 ++ tnf1 = 4.208e-005 tnr1 = -0.000522 tne1 = 0 tnc1 = 0.0 ++ tbf1 = 7.4942e-003 tbr1 = 0 tiss1 = 0 tvaf1 = 0.0 ++ tvar1 = 0 tikf1 = -0.012219846 tikr1 = 0 tns1 = 0.0 ++ trb1 = -0.0029419354 trc1 = 3.7260032e-005 tre1 = 5e-3 tirb1 = 0.0 ++ trm1 = 0.004459028 tmjc1 = 0 tmje1 = 0 tmjs1 = 0.0 ++ ttf1 = 0 titf1 = 0 ttr1 = 0 tis2 = 4.0e-12 ++ tise2 = 0 tisc2 = 0 tnf2 = -3.372e-007 tnr2 = 1.8e-6 ++ tne2 = 0 tnc2 = 0 tbf2 = 7.633e-006 tbr2 = 0.0 ++ tiss2 = 0 tvaf2 = 0 tvar2 = 0 tikf2 = 9.3646292e-5 ++ tikr2 = 0 tns2 = 0 trb2 = 3.4143764e-005 trc2 = 3.0650517e-7 ++ tre2 = 0.0 tirb2 = 0 trm2 = -4.9458296e-005 tmjc2 = 0.0 ++ tmje2 = 0 tmjs2 = 0 ttf2 = 0 titf2 = 0.0 ++ ttr2 = 0.0 +.model sky130_fd_pr__pnp_05v5_W0p68L0p68__polyhv pnp level = 1.0 +* General Parameters ++ tref = 30.0 +* Capacitance Parameters ++ dcap = 2 cjc = 0 cje = 0.0 ++ cjs = 0 fc = 0.5 mjc = 0.33 mje = 0.33 ++ mjs = 0.5 vjc = 0.75 vje = 0.75 vjs = 0.75 ++ xcjc = 1.0 ++ itf = 0 ptf = 0 tf = 0 tr = 0.0 ++ vtf = 0.5 xtf = 0.0 +* Noise Parameters ++ af = 1.9 kf = 5.0e-9 +* DC Parameters +*(mismatch parameter npnpolyhv_is_slope_spectre) ++ is = '1.2252871e-016*dkisnpnpolyhv + 1.2252871e-016*dkisnpnpolyhv*0.003/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1)' rb = 1922.4 ++ re = 6000 irb = 0 rc = 1 rbm = 46.144 +*(mismatch parameter npnpolyhv_bf_slope_spectre) ++ bf = '25*dkbfnpnpolyhv + 25*dkbfnpnpolyhv*0.05/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1)' nf = 1.0161516 vaf = 0 ikf = 100.0 ++ ise = 1e-016 ne = 2 ns = 1 br = 1.0 ++ ibc = 0 iss = 0 nr = 1.0 ++ var = 0 ikr = 1e-10 nkf = 0.41826 isc = 0.0 ++ nc = 2.0 +* Temperature Parameters ++ xtb = 0 xti = 5.351377 eg = 1.11 gap1 = 0.0 ++ gap2 = 0 ctc = 0.0 ++ cte = 0 cts = 0 tlev = 0 tlevc = 0.0 ++ tvjc = 0 tvje = 0 tvjs = 0.0 ++ tis1 = 0 tise1 = 0 tisc1 = 0.0 ++ tnf1 = -6e-3 tnr1 = 0 tne1 = 0 tnc1 = 0.0 ++ tbf1 = 0 tbr1 = 0 tiss1 = 0 tvaf1 = 0.0 ++ tvar1 = 0 tikf1 = 0 tikr1 = 0 tns1 = 0.0 ++ trb1 = 0 trc1 = 0 tre1 = -0.005 tirb1 = 0.0 ++ trm1 = 0 tmjc1 = 0 tmje1 = 0 tmjs1 = 0.0 ++ ttf1 = 0 titf1 = 0 ttr1 = 0 tis2 = 0.0 ++ tise2 = 0 tisc2 = 0 tnf2 = 0 tnr2 = 0.0 ++ tne2 = 0 tnc2 = 0 tbf2 = 0 tbr2 = 0.0 ++ tiss2 = 0 tvaf2 = 0 tvar2 = 0 tikf2 = 0.0 ++ tikr2 = 0 tns2 = 0 trb2 = 0 trc2 = 0.0 ++ tre2 = 0 tirb2 = 0 trm2 = 0 tmjc2 = 0.0 ++ tmje2 = 0 tmjs2 = 0 ttf2 = 0 titf2 = 0.0 ++ ttr2 = 0.0 +.ends sky130_fd_pr__npn_11v0_W1p00L1p00 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__pfet_g5v0d16v0__mm_mult = 0.9 +.param sky130_fd_pr__pfet_g5v0d16v0__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_g5v0d16v0__wint_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_g5v0d16v0__lint_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_g5v0d16v0__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_g5v0d16v0__voff_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_g5v0d16v0__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__pfet_g5v0d16v0__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_g5v0d16v0__wint_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_g5v0d16v0__lint_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_g5v0d16v0__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_g5v0d16v0__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_g5v0d16v0__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__pfet_g5v0d16v0__base d g s b ++ +.param l = 1 w = 1 nf = 1 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 delvto = 0.0 +msky130_fd_pr__pfet_g5v0d16v0__base d g s b sky130_fd_pr__pfet_g5v0d16v0__model_base l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__pfet_g5v0d16v0__model_base.0 pmos +* Model Flag Parameters ++ lmin = 0.655e-06 lmax = 0.665e-06 wmin = 4.990000e-006 wmax = 5.010000e-5 ++ level = 5.400000e+1 ++ version = 4.500000e+0 ++ binunit = 2.000000e+0 ++ mobmod = .000000e+0 ++ capmod = 2.000000e+0 ++ igcmod = .000000e+0 ++ igbmod = .000000e+0 ++ geomod = .000000e+0 ++ diomod = 1.000000e+0 ++ rdsmod = 1.000000e+0 ++ rbodymod = 1.000000e+0 ++ rgatemod = .000000e+0 ++ permod = 1.000000e+0 ++ acnqsmod = .000000e+0 ++ trnqsmod = .000000e+0 ++ fnoimod = 1.000000e+0 ++ tnoimod = 1.000000e+0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__toxe_slope_spectre) ++ toxe = {1.160000e-008*sky130_fd_pr__pfet_g5v0d16v0__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__pfet_g5v0d16v0__toxe_mult*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1+0.05e-2)/sqrt(l*w*mult)))} ++ toxm = 1.160000e-8 ++ epsrox = 3.900000e+0 ++ xj = 1.500000e-7 ++ ngate = 1.000000e+23 ++ ndep = 1.700000e+17 ++ nsd = 1.000000e+20 ++ rsh = {1.000000e+000*sky130_fd_pr__pfet_g5v0d16v0__rshp_mult} ++ rshg = 1.000000e-1 +* Basic Model Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__wint_slope_spectre) ++ wint = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__wint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*sky130_fd_pr__pfet_g5v0d10v5__wint_slope/sqrt(l))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__lint_slope_spectre) ++ lint = {3.445300e-008+sky130_fd_pr__pfet_g5v0d16v0__lint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*sky130_fd_pr__pfet_g5v0d10v5__lint_slope/sqrt(w))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__vth0_slope_spectre) ++ vth0 = {-1.043500e+000+sky130_fd_pr__pfet_g5v0d16v0__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope+0.0065)/sqrt(l*w*mult))} ++ k1 = 6.831000e-1 ++ k2 = {-1.303200e-003+sky130_fd_pr__pfet_g5v0d16v0__k2_diff_0} ++ k3 = .000000e+0 ++ k3b = .000000e+0 ++ w0 = .000000e+0 ++ dvt0 = .000000e+0 ++ dvt1 = 5.300000e-1 ++ dvt2 = -3.200000e-2 ++ dvt0w = .000000e+0 ++ dvt1w = 5.300000e+6 ++ dvt2w = -3.200000e-2 ++ dsub = {3.416000e-001+sky130_fd_pr__pfet_g5v0d16v0__dsub_diff_0} ++ minv = .000000e+0 ++ voffl = .000000e+0 ++ dvtp0 = .000000e+0 ++ dvtp1 = .000000e+0 ++ lpe0 = {1.400000e-007+sky130_fd_pr__pfet_g5v0d16v0__lpe0_diff_0} ++ lpeb = -6.500000e-8 ++ vbm = -3.000000e+0 ++ phin = .000000e+0 ++ cdsc = 2.520000e-4 ++ cdscb = .000000e+0 ++ cdscd = .000000e+0 ++ cit = .000000e+0 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__voff_slope_spectre) ++ voff = {-1.372000e-001+sky130_fd_pr__pfet_g5v0d16v0__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope+0.02)/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__nfactor_slope_spectre) ++ nfactor = {7.100000e-001+sky130_fd_pr__pfet_g5v0d16v0__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope+0.3)/sqrt(l*w*mult))} ++ eta0 = {8.729800e-002+sky130_fd_pr__pfet_g5v0d16v0__eta0_diff_0} ++ etab = -5.000000e-2 ++ u0 = {2.707600e-002+sky130_fd_pr__pfet_g5v0d16v0__u0_diff_0} ++ ua = {2.585600e-009+sky130_fd_pr__pfet_g5v0d16v0__ua_diff_0} ++ ub = {4.595800e-019+sky130_fd_pr__pfet_g5v0d16v0__ub_diff_0} ++ uc = -1.220000e-10 ++ eu = 1.670000e+0 ++ vsat = {7.660800e+004+sky130_fd_pr__pfet_g5v0d16v0__vsat_diff_0} ++ a0 = {3.820000e-001+sky130_fd_pr__pfet_g5v0d16v0__a0_diff_0} ++ ags = {1.291200e-001+sky130_fd_pr__pfet_g5v0d16v0__ags_diff_0} ++ a1 = .000000e+0 ++ a2 = 7.200000e-1 ++ b0 = {4.000000e-012+sky130_fd_pr__pfet_g5v0d16v0__b0_diff_0} ++ b1 = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__b1_diff_0} ++ keta = {-3.321800e-002+sky130_fd_pr__pfet_g5v0d16v0__keta_diff_0} ++ dwg = .000000e+0 ++ dwb = .000000e+0 ++ pclm = {1.000000e-001+sky130_fd_pr__pfet_g5v0d16v0__pclm_diff_0} ++ pdiblc1 = 3.900000e-1 ++ pdiblc2 = 8.600000e-3 ++ pdiblcb = -5.400000e-5 ++ drout = 5.600000e-1 ++ pvag = 5.040000e-1 ++ delta = 8.900000e-3 ++ pscbe1 = 5.088000e+8 ++ pscbe2 = 6.945200e-9 ++ fprout = .000000e+0 ++ pdits = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__pdits_diff_0} ++ pditsd = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__pditsd_diff_0} ++ pditsl = .000000e+0 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = {3.310200e+002+sky130_fd_pr__pfet_g5v0d16v0__rdsw_diff_0} ++ rsw = 1.000000e+2 ++ rdw = {1.000000e+001+sky130_fd_pr__pfet_g5v0d16v0__rdw_diff_0} ++ rdswmin = .000000e+0 ++ rdwmin = .000000e+0 ++ rswmin = .000000e+0 ++ prwg = .000000e+0 ++ prwb = -4.000000e-4 ++ wr = 1.000000e+0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.000000e-7 ++ alpha1 = 1.001000e+0 ++ beta0 = 1.000000e+2 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {1.650000e-010+sky130_fd_pr__pfet_g5v0d16v0__agidl_diff_0} ++ bgidl = {5.999300e+009+sky130_fd_pr__pfet_g5v0d16v0__bgidl_diff_0} ++ cgidl = {1.394000e+000+sky130_fd_pr__pfet_g5v0d16v0__cgidl_diff_0} ++ egidl = 4.920000e-2 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 1.160000e-8 ++ aigbacc = {4.300000e-001+sky130_fd_pr__pfet_g5v0d16v0__aigbacc_diff_0} ++ bigbacc = 5.400000e-2 ++ cigbacc = 7.500000e-2 ++ nigbacc = {1.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__nigbacc_diff_0} ++ aigbinv = {3.500000e-001+sky130_fd_pr__pfet_g5v0d16v0__aigbinv_diff_0} ++ bigbinv = 3.000000e-2 ++ cigbinv = 6.000000e-3 ++ eigbinv = 1.100000e+0 ++ nigbinv = {3.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__nigbinv_diff_0} ++ aigc = {4.300000e-001+sky130_fd_pr__pfet_g5v0d16v0__aigc_diff_0} ++ bigc = 5.400000e-2 ++ cigc = 7.500000e-2 ++ aigsd = {4.300000e-001+sky130_fd_pr__pfet_g5v0d16v0__aigsd_diff_0} ++ bigsd = {5.400000e-002+sky130_fd_pr__pfet_g5v0d16v0__bigsd_diff_0} ++ cigsd = 7.500000e-2 ++ nigc = 1.000000e+0 ++ poxedge = 1.000000e+0 ++ pigcd = 1.000000e+0 ++ ntox = 1.000000e+0 +* Charge AND Capacitance Model Parameters ++ dlc = {-9.682600e-008+sky130_fd_pr__pfet_g5v0d16v0__dlc_diff+sky130_fd_pr__pfet_g5v0d16v0__dlc_rotweak} ++ dwc = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__dwc_diff} ++ xpart = .000000e+0 ++ cgso = {1.977100e-010*sky130_fd_pr__pfet_g5v0d16v0__soverlap_mult} ++ cgdo = {1.977100e-010*sky130_fd_pr__pfet_g5v0d16v0__doverlap_mult} ++ cgbo = .000000e+0 ++ cgdl = {1.117200e-012*sky130_fd_pr__pfet_g5v0d16v0__doverlap_mult} ++ cgsl = {1.152000e-012*sky130_fd_pr__pfet_g5v0d16v0__soverlap_mult} ++ clc = 6.324000e-9 ++ cle = 8.910000e-1 ++ cf = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__cf_diff} ++ ckappas = 6.000000e-1 ++ ckappad = 6.000000e-1 ++ vfbcv = -1.000000e+0 ++ acde = 9.129800e-1 ++ moin = 1.556200e+1 ++ noff = 1.045000e+0 ++ voffcv = -1.815100e-1 +* High-Speed/RF Model Parameters +* Flicker AND Thermal Noise Model Parameters ++ ef = 1.000000e+0 ++ noia = 6.250000e+41 ++ noib = 3.125000e+26 ++ noic = 8.750000e+9 ++ em = 4.100000e+7 +* Layout-Dependent Parasitics Model Parameters ++ xl = .000000e+0 ++ xw = .000000e+0 ++ dmcg = .000000e+0 ++ dmdg = .000000e+0 ++ dmcgt = .000000e+0 ++ xgw = .000000e+0 ++ xgl = .000000e+0 ++ ngcon = 1.000000e+0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.148300e-5 ++ jsws = 4.020000e-12 ++ jtssws = {4.020000e-012+sky130_fd_pr__pfet_g5v0d16v0__jtssws_diff_0} ++ ijthsfwd = 1.000000e-1 ++ ijthsrev = 1.000000e-1 ++ bvs = 1.269000e+1 ++ xjbvs = 1.000000e+0 ++ pbs = 6.587000e-1 ++ cjs = {7.754700e-004*sky130_fd_pr__pfet_g5v0d16v0__ajunction_mult} ++ mjs = 3.395600e-1 ++ pbsws = 1.000000e+0 ++ cjsws = {9.871700e-011*sky130_fd_pr__pfet_g5v0d16v0__pjunction_mult} ++ mjsws = 2.467600e-1 ++ pbswgs = 3.000000e+0 ++ cjswgs = {1.460000e-010*sky130_fd_pr__pfet_g5v0d16v0__pjunction_mult} ++ mjswgs = 8.100000e-1 +* Temperature Dependence Parameters ++ tnom = 3.000000e+1 ++ kt1 = {-4.930800e-001+sky130_fd_pr__pfet_g5v0d16v0__kt1_diff_0} ++ kt1l = 1.000000e-11 ++ kt2 = 5.633800e-4 ++ ute = -1.646200e+0 ++ ua1 = 1.218100e-9 ++ ub1 = -1.241200e-18 ++ uc1 = 8.272000e-12 ++ prt = .000000e+0 ++ at = -6.400000e+4 ++ tvoff = {1.500000e-002+sky130_fd_pr__pfet_g5v0d16v0__tvoff_diff_0} ++ njs = 1.363200e+0 ++ njd = 1.079100e+0 ++ tpb = 1.671000e-3 ++ tcj = 9.600000e-4 ++ tpbsw = .000000e+0 ++ tcjsw = 3.000000e-5 ++ tpbswg = .000000e+0 ++ tcjswg = .000000e+0 ++ xtis = 1.000000e+1 ++ xtid = 3.000000e+0 +* DW AND DL Parameters ++ ll = .000000e+0 ++ wl = .000000e+0 ++ lln = 1.000000e+0 ++ wln = 1.000000e+0 ++ lw = .000000e+0 ++ ww = .000000e+0 ++ lwn = 1.000000e+0 ++ wwn = 1.000000e+0 ++ lwl = .000000e+0 ++ wwl = .000000e+0 ++ llc = .000000e+0 ++ wlc = .000000e+0 ++ lwc = .000000e+0 ++ wwc = .000000e+0 ++ lwlc = .000000e+0 ++ wwlc = .000000e+0 +* Stress Parameters ++ saref = .28e-6 ++ sbref = 1.19e-6 ++ kvth0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__kvth0_diff} ++ lkvth0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__lkvth0_diff} ++ wkvth0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 1.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__ku0_diff} ++ lku0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__lku0_diff} ++ wku0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__wku0_diff} ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 1.0 ++ wlodku0 = 1.0 ++ kvsat = {0.0+sky130_fd_pr__pfet_g5v0d16v0__kvsat_diff} ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_g5v0d16v0__model_base.1 pmos +* Model Flag Parameters ++ lmin = 2.15e-06 lmax = 2.17e-06 wmin = 4.990000e-006 wmax = 5.010000e-5 ++ level = 5.400000e+1 ++ version = 4.500000e+0 ++ binunit = 2.000000e+0 ++ mobmod = .000000e+0 ++ capmod = 2.000000e+0 ++ igcmod = .000000e+0 ++ igbmod = .000000e+0 ++ geomod = .000000e+0 ++ diomod = 1.000000e+0 ++ rdsmod = 1.000000e+0 ++ rbodymod = 1.000000e+0 ++ rgatemod = .000000e+0 ++ permod = 1.000000e+0 ++ acnqsmod = .000000e+0 ++ trnqsmod = .000000e+0 ++ fnoimod = 1.000000e+0 ++ tnoimod = 1.000000e+0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__toxe_slope_spectre) ++ toxe = {1.160000e-008*sky130_fd_pr__pfet_g5v0d16v0__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-008*sky130_fd_pr__pfet_g5v0d16v0__toxe_mult*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*(sky130_fd_pr__pfet_g5v0d10v5__toxe_slope2+0.03e-2)/sqrt(l*w*mult)))} ++ toxm = 1.160000e-8 ++ epsrox = 3.900000e+0 ++ xj = 1.500000e-7 ++ ngate = 1.000000e+23 ++ ndep = 1.700000e+17 ++ nsd = 1.000000e+20 ++ rsh = {1.000000e+000*sky130_fd_pr__pfet_g5v0d16v0__rshp_mult} ++ rshg = 1.000000e-1 +* Basic Model Parameters +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__wint_slope_spectre) ++ wint = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__wint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*sky130_fd_pr__pfet_g5v0d10v5__wint_slope/sqrt(l))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__lint_slope_spectre) ++ lint = {3.445300e-008+sky130_fd_pr__pfet_g5v0d16v0__lint_diff+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*sky130_fd_pr__pfet_g5v0d10v5__lint_slope/sqrt(w))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__vth0_slope_spectre) ++ vth0 = {-1.043500e+000+sky130_fd_pr__pfet_g5v0d16v0__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*(sky130_fd_pr__pfet_g5v0d10v5__vth0_slope+0.0065)/sqrt(l*w*mult))} ++ k1 = 6.831000e-1 ++ k2 = {-1.303200e-003+sky130_fd_pr__pfet_g5v0d16v0__k2_diff_1} ++ k3 = .000000e+0 ++ k3b = .000000e+0 ++ w0 = .000000e+0 ++ dvt0 = .000000e+0 ++ dvt1 = 5.300000e-1 ++ dvt2 = -3.200000e-2 ++ dvt0w = .000000e+0 ++ dvt1w = 5.300000e+6 ++ dvt2w = -3.200000e-2 ++ dsub = {3.416000e-001+sky130_fd_pr__pfet_g5v0d16v0__dsub_diff_1} ++ minv = .000000e+0 ++ voffl = .000000e+0 ++ dvtp0 = .000000e+0 ++ dvtp1 = .000000e+0 ++ lpe0 = {1.400000e-007+sky130_fd_pr__pfet_g5v0d16v0__lpe0_diff_1} ++ lpeb = -6.500000e-8 ++ vbm = -3.000000e+0 ++ phin = .000000e+0 ++ cdsc = 2.520000e-4 ++ cdscb = .000000e+0 ++ cdscd = .000000e+0 ++ cit = .000000e+0 +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__voff_slope_spectre) ++ voff = {-1.372000e-001+sky130_fd_pr__pfet_g5v0d16v0__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*(sky130_fd_pr__pfet_g5v0d10v5__voff_slope+0.02)/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_g5v0d16v0__nfactor_slope_spectre) ++ nfactor = {7.100000e-001+sky130_fd_pr__pfet_g5v0d16v0__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_g5v0d16v0__mm_mult*(sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope+0.6)/sqrt(l*w*mult))} ++ eta0 = {8.729800e-002+sky130_fd_pr__pfet_g5v0d16v0__eta0_diff_1} ++ etab = -5.000000e-2 ++ u0 = {2.707600e-002+sky130_fd_pr__pfet_g5v0d16v0__u0_diff_1} ++ ua = {2.585600e-009+sky130_fd_pr__pfet_g5v0d16v0__ua_diff_1} ++ ub = {4.595800e-019+sky130_fd_pr__pfet_g5v0d16v0__ub_diff_1} ++ uc = -1.220000e-10 ++ eu = 1.670000e+0 ++ vsat = {7.660800e+004+sky130_fd_pr__pfet_g5v0d16v0__vsat_diff_1} ++ a0 = {3.820000e-001+sky130_fd_pr__pfet_g5v0d16v0__a0_diff_1} ++ ags = {1.291200e-001+sky130_fd_pr__pfet_g5v0d16v0__ags_diff_1} ++ a1 = .000000e+0 ++ a2 = 7.200000e-1 ++ b0 = {4.000000e-012+sky130_fd_pr__pfet_g5v0d16v0__b0_diff_1} ++ b1 = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__b1_diff_1} ++ keta = {-3.321800e-002+sky130_fd_pr__pfet_g5v0d16v0__keta_diff_1} ++ dwg = .000000e+0 ++ dwb = .000000e+0 ++ pclm = {1.000000e-001+sky130_fd_pr__pfet_g5v0d16v0__pclm_diff_1} ++ pdiblc1 = 3.900000e-1 ++ pdiblc2 = 8.600000e-3 ++ pdiblcb = -5.400000e-5 ++ drout = 5.600000e-1 ++ pvag = 5.040000e-1 ++ delta = 8.900000e-3 ++ pscbe1 = 5.088000e+8 ++ pscbe2 = 6.945200e-9 ++ fprout = .000000e+0 ++ pdits = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__pdits_diff_1} ++ pditsd = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__pditsd_diff_1} ++ pditsl = .000000e+0 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = {3.310200e+002+sky130_fd_pr__pfet_g5v0d16v0__rdsw_diff_1} ++ rsw = 1.000000e+2 ++ rdw = {1.000000e+001+sky130_fd_pr__pfet_g5v0d16v0__rdw_diff_1} ++ rdswmin = .000000e+0 ++ rdwmin = .000000e+0 ++ rswmin = .000000e+0 ++ prwg = .000000e+0 ++ prwb = -4.000000e-4 ++ wr = 1.000000e+0 +* Impact Ionization Current Model Parameters ++ alpha0 = 2.000000e-7 ++ alpha1 = 1.001000e+0 ++ beta0 = 1.000000e+2 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {1.650000e-010+sky130_fd_pr__pfet_g5v0d16v0__agidl_diff_1} ++ bgidl = {5.999300e+009+sky130_fd_pr__pfet_g5v0d16v0__bgidl_diff_1} ++ cgidl = {1.394000e+000+sky130_fd_pr__pfet_g5v0d16v0__cgidl_diff_1} ++ egidl = 4.920000e-2 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 1.160000e-8 ++ aigbacc = {4.300000e-001+sky130_fd_pr__pfet_g5v0d16v0__aigbacc_diff_1} ++ bigbacc = 5.400000e-2 ++ cigbacc = 7.500000e-2 ++ nigbacc = {1.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__nigbacc_diff_1} ++ aigbinv = {3.500000e-001+sky130_fd_pr__pfet_g5v0d16v0__aigbinv_diff_1} ++ bigbinv = 3.000000e-2 ++ cigbinv = 6.000000e-3 ++ eigbinv = 1.100000e+0 ++ nigbinv = {3.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__nigbinv_diff_1} ++ aigc = {4.300000e-001+sky130_fd_pr__pfet_g5v0d16v0__aigc_diff} ++ bigc = 5.400000e-2 ++ cigc = 7.500000e-2 ++ aigsd = {4.300000e-001+sky130_fd_pr__pfet_g5v0d16v0__aigsd_diff_1} ++ bigsd = {5.400000e-002+sky130_fd_pr__pfet_g5v0d16v0__bigsd_diff_1} ++ cigsd = 7.500000e-2 ++ nigc = 1.000000e+0 ++ poxedge = 1.000000e+0 ++ pigcd = 1.000000e+0 ++ ntox = 1.000000e+0 +* Charge AND Capacitance Model Parameters ++ dlc = {-9.682600e-008+sky130_fd_pr__pfet_g5v0d16v0__dlc_diff+sky130_fd_pr__pfet_g5v0d16v0__dlc_rotweak} ++ dwc = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__dwc_diff} ++ xpart = .000000e+0 ++ cgso = {1.977100e-010*sky130_fd_pr__pfet_g5v0d16v0__soverlap_mult} ++ cgdo = {1.977100e-010*sky130_fd_pr__pfet_g5v0d16v0__doverlap_mult} ++ cgbo = .000000e+0 ++ cgdl = {1.117200e-012*sky130_fd_pr__pfet_g5v0d16v0__doverlap_mult} ++ cgsl = {1.152000e-012*sky130_fd_pr__pfet_g5v0d16v0__soverlap_mult} ++ clc = 6.324000e-9 ++ cle = 8.910000e-1 ++ cf = {0.000000e+000+sky130_fd_pr__pfet_g5v0d16v0__cf_diff} ++ ckappas = 6.000000e-1 ++ ckappad = 6.000000e-1 ++ vfbcv = -1.000000e+0 ++ acde = 9.129800e-1 ++ moin = 1.556200e+1 ++ noff = 1.045000e+0 ++ voffcv = -1.815100e-1 +* High-Speed/RF Model Parameters +* Flicker AND Thermal Noise Model Parameters ++ ef = 1.000000e+0 ++ noia = 6.250000e+41 ++ noib = 3.125000e+26 ++ noic = 8.750000e+9 ++ em = 4.100000e+7 +* Layout-Dependent Parasitics Model Parameters ++ xl = .000000e+0 ++ xw = .000000e+0 ++ dmcg = .000000e+0 ++ dmdg = .000000e+0 ++ dmcgt = .000000e+0 ++ xgw = .000000e+0 ++ xgl = .000000e+0 ++ ngcon = 1.000000e+0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.148300e-5 ++ jsws = 4.020000e-12 ++ jtssws = {4.020000e-012+sky130_fd_pr__pfet_g5v0d16v0__jtssws_diff_1} ++ ijthsfwd = 1.000000e-1 ++ ijthsrev = 1.000000e-1 ++ bvs = 1.269000e+1 ++ xjbvs = 1.000000e+0 ++ pbs = 6.587000e-1 ++ cjs = {7.754700e-004*sky130_fd_pr__pfet_g5v0d16v0__ajunction_mult} ++ mjs = 3.395600e-1 ++ pbsws = 1.000000e+0 ++ cjsws = {9.871700e-011*sky130_fd_pr__pfet_g5v0d16v0__pjunction_mult} ++ mjsws = 2.467600e-1 ++ pbswgs = 3.000000e+0 ++ cjswgs = {1.460000e-010*sky130_fd_pr__pfet_g5v0d16v0__pjunction_mult} ++ mjswgs = 8.100000e-1 +* Temperature Dependence Parameters ++ tnom = 3.000000e+1 ++ kt1 = {-4.930800e-001+sky130_fd_pr__pfet_g5v0d16v0__kt1_diff_1} ++ kt1l = 1.000000e-11 ++ kt2 = 5.633800e-4 ++ ute = -1.646200e+0 ++ ua1 = 1.218100e-9 ++ ub1 = -1.241200e-18 ++ uc1 = 8.272000e-12 ++ prt = .000000e+0 ++ at = -6.400000e+4 ++ tvoff = {1.500000e-002+sky130_fd_pr__pfet_g5v0d16v0__tvoff_diff_1} ++ njs = 1.363200e+0 ++ njd = 1.079100e+0 ++ tpb = 1.671000e-3 ++ tcj = 9.600000e-4 ++ tpbsw = .000000e+0 ++ tcjsw = 3.000000e-5 ++ tpbswg = .000000e+0 ++ tcjswg = .000000e+0 ++ xtis = 1.000000e+1 ++ xtid = 3.000000e+0 +* DW AND DL Parameters ++ ll = .000000e+0 ++ wl = .000000e+0 ++ lln = 1.000000e+0 ++ wln = 1.000000e+0 ++ lw = .000000e+0 ++ ww = .000000e+0 ++ lwn = 1.000000e+0 ++ wwn = 1.000000e+0 ++ lwl = .000000e+0 ++ wwl = .000000e+0 ++ llc = .000000e+0 ++ wlc = .000000e+0 ++ lwc = .000000e+0 ++ wwc = .000000e+0 ++ lwlc = .000000e+0 ++ wwlc = .000000e+0 +* Stress Parameters ++ saref = .28e-6 ++ sbref = 1.19e-6 ++ kvth0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__kvth0_diff} ++ lkvth0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__lkvth0_diff} ++ wkvth0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 1.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__ku0_diff} ++ lku0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__lku0_diff} ++ wku0 = {0.0+sky130_fd_pr__pfet_g5v0d16v0__wku0_diff} ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 1.0 ++ wlodku0 = 1.0 ++ kvsat = {0.0+sky130_fd_pr__pfet_g5v0d16v0__kvsat_diff} ++ steta0 = 0.0 +.ends sky130_fd_pr__pfet_g5v0d16v0__base +* Well Proximity Effect Parameters + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__pfet_g5v0d16v0 d g s b w=5.0 l=0.66 nf=1 ad=0 as=0 pd=0 ps=0.0 m=1 nrd=0 nrs=0 sa=0.28 sb=1.19 sd=0 mult=1 +.param rdiff='8.900000e+003*sky130_fd_pr__pfet_g5v0d16v0__rdiff_mult' +.param rdiff_tc1=2.500000e-003 +.param rdiff_tc2=2.200000e-006 +.param sb_cadfixedvalue_pvhv=1.19 +* sd intentionally left out for sky130_fd_pr__pfet_g5v0d16v0 devices because poly-poly spacing not uniform in DE FET +xmain1 d1 g s b sky130_fd_pr__pfet_g5v0d16v0__base w=w l=l nf=nf ad=0 as=as pd=0 ps=ps nrd=nrd nrs=nrs m=m mult=mult sa=sa sb=sb_cadfixedvalue_pvhv +rldd d d1 '(1/w)*rdiff' tc1 = 'rdiff_tc1' tc2 = 'rdiff_tc2' +dnw1 d b sky130_fd_pr__pfet_g5v0d16v0__parasitic__diode_pw2dn area='ad/2' pj='pd/2' +dnw2 d1 b sky130_fd_pr__pfet_g5v0d16v0__parasitic__diode_pw2dn area='ad/2' pj='pd/2' +.ends sky130_fd_pr__pfet_g5v0d16v0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__cap_var_lvt c0 c1 b w=5 l=0.5 vm=1 ++ wc='w*1' lc='l*1' +* Corner Parameters +*.param cnwvc_tox=41.6503 +*.param cnwvc_cdepmult=1 +*.param cnwvc_cintmult=1 +*.param cnwvc_vt1=0.3333 +*.param cnwvc_vt2=0.2380952 +*.param cnwvc_vtr=0.16 +*.param cnwvc_dwc=0.0 +*.param cnwvc_dlc=0.0 +*.param cnwvc_dld=0.0 +* Geometry Parameters +.param ++ cnwvc_ldiff=0.15 ++ wd='wc+2*cnwvc_dwc' ++ ld='lc+2*cnwvc_dlc' ++ ldd='0.018+2*cnwvc_dld' ++ dwr=-0.02 ++ dwp=-0.5 ++ dlr=0.02 ++ wl='(wd-2*dwr)/(ld-2*dlr)' ++ wlwdiff='((0.5*(ld-2*dlr))+cnwvc_ldiff)/(2*(wd-2*dwr))' +* Mismatch Parameters ++ sky130_fd_pr__cap_var_lvt__cmin_slope_l=1.1e-16 ++ sky130_fd_pr__cap_var_lvt__cmin_slope_w=1.5e-16 ++ sky130_fd_pr__cap_var_lvt__cmin_slope_wl=3.5e-16 ++ sky130_fd_pr__cap_var_lvt__cmax_slope_l=7.0e-16 ++ sky130_fd_pr__cap_var_lvt__cmax_slope_w=7.0e-16 ++ sky130_fd_pr__cap_var_lvt__cmax_slope_wl=1.5e-15 +* Capacitance Model Parameters +.param cnwvc_slope1=0.21875 +.param cnwvc_slope2=0.125 +.param ++ cm0='5.571e-16*cnwvc_cintmult' ++ cm1='4.775e-16+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_lvt__cmin_slope_l/sqrt(2*ld*vm)' ++ cm2='2.019e-16+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_lvt__cmin_slope_w/sqrt(2*wd*vm)' ++ cm3='6.529e-16*cnwvc_cdepmult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_lvt__cmin_slope_wl*cnwvc_cdepmult/sqrt(2*ld*wd*vm)' ++ cx0=6.261e-16 ++ cx1='5.75e-16+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_lvt__cmax_slope_l/sqrt(2*ld*vm)' ++ cx2='1.712e-16+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_lvt__cmax_slope_w/sqrt(2*wd*vm)' ++ cx3='8.854e-14*3.9/cnwvc_tox+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_lvt__cmax_slope_wl/sqrt(2*wd*ld*vm)' ++ sky130_fd_pr__cap_var_lvt__vgs_min_1 = '-2.071' ++ sky130_fd_pr__cap_var_lvt__vgs_max_1 = '-1*-2.071' ++ sky130_fd_pr__cap_var_lvt__tmax_vgs_1 = '100.001n' ++ sky130_fd_pr__cap_var_lvt__vgs_min_2 = '-2.161' ++ sky130_fd_pr__cap_var_lvt__vgs_max_2 = '-1*-2.161' ++ sky130_fd_pr__cap_var_lvt__tmax_vgs_2 = '20.001n' ++ sky130_fd_pr__cap_var_lvt__vgs_min = '-2.301' ++ sky130_fd_pr__cap_var_lvt__vgs_max = '-1*-2.301' +* .SETSOA LABEL="MODEL_OOB_VG_1: sky130_fd_pr__cap_var_lvt Vg for Varactor" E v(c0, c1) =(sky130_fd_pr__cap_var_lvt__vgs_min_1, sky130_fd_pr__cap_var_lvt__vgs_max_1, sky130_fd_pr__cap_var_lvt__tmax_vgs_1 ) +* .SETSOA LABEL="MODEL_OOB_VG_2: sky130_fd_pr__cap_var_lvt Vg for Varactor" E v(c0, c1) =(sky130_fd_pr__cap_var_lvt__vgs_min_2, sky130_fd_pr__cap_var_lvt__vgs_max_2, sky130_fd_pr__cap_var_lvt__tmax_vgs_2 ) +* .SETSOA LABEL="MODEL_OOB_VG: sky130_fd_pr__cap_var_lvt Vg for Varactor" E v(c0, c1) =(sky130_fd_pr__cap_var_lvt__vgs_min, sky130_fd_pr__cap_var_lvt__vgs_max ) +.param ++ tref=30.0 ++ cmin='cm0+cm1*ld+cm2*wd+cm3*wd*(ld-ldd)+cx3*wd*ldd' ++ cmax='cx0+cx1*ld+cx2*wd+cx3*wd*ld' +cg c0 p2 q='cmin*vm*(v(c0)-v(p2))+((0.5*(cmax+cmin)-cmin)*(v(c0)-v(p2))+0.5*(cmax-cmin)*(1/1.9)*(cnwvc_slope1*log(cosh((v(c0)-v(p2)-cnwvc_vt1)/cnwvc_slope1))+0.9*cnwvc_slope2*log(cosh((v(c0)-v(p2)-cnwvc_vt2)/cnwvc_slope2))))*vm' +c3 c0 b c='0.15e-15' +c4 c1 b c='0.15e-15' +* Resistance Model Parameters +.param con_sp=0.17 +.param cnwvc_k=12 +.param cnwvc_n1=0.1 +.param cnwvc_n2=0.28 +.param apoly=1.15 +.param apolyc=1 +.param acon=1 +.param anwell=1 +.param bnwell=0.6 +.param cnwell=2 +.param n_pocon='max((lc-0.14)/(2*con_sp),1)' +.param n_con='(wc-2*0.06+con_sp)/(2*con_sp)' +.param rg_tc1=3e-3 +.param rg_tc2=0.0 +.param rg_tcmult='1+(temper-tref)*rg_tc1+(temper-tref)*(temper-tref)*rg_tc2' +.param cnwvc_a='apoly*rp1*wl/cnwvc_k+apolyc*rcp1/n_pocon+acon*rcn/n_con+anwell*rnw*wlwdiff' +.param cnwvc_b1='bnwell*rnw*wlwdiff' +.param cnwvc_c='cnwell*rnw*((0.5*(ld-2*dlr))+cnwvc_ldiff)/(2*(wd-2*dwp))' +rg p2 c1 r='(cnwvc_a+cnwvc_b1*(0.5*tanh((v(c0)-v(p2)-cnwvc_vtr)/cnwvc_n1)+0.5)+20*cnwvc_c*(0.5*tanh((v(c0)-v(p2)-cnwvc_vtr)/cnwvc_n1)+0.5)*exp(-abs((v(c0)-v(p2)-cnwvc_vtr)/cnwvc_n2)))/vm*rg_tcmult' +.ends sky130_fd_pr__cap_var_lvt + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__cap_var_hvt c0 c1 b w=5 l=0.5 vm=1 ++ wc='w*1' lc='l*1' +* Corner Parameters +*.param cnwvc2_tox=41.7642 +*.param cnwvc2_cdepmult=1 +*.param cnwvc2_cintmult=1 +*.param cnwvc2_vt1=0.2 +*.param cnwvc2_vt2=0.33 +*.param cnwvc2_vtr=0.14 +*.param cnwvc2_dwc=0.0 +*.param cnwvc2_dlc=0.0 +*.param cnwvc2_dld=0.0 +* Geometry Parameters +.param ++ cnwvc_ldiff=0.15 ++ wd='wc+2*cnwvc2_dwc' ++ ld='lc+2*cnwvc2_dlc' ++ ldd='0.015+2*cnwvc2_dld' ++ dwr=0.00 ++ dwp=0.0 ++ dlr=0.03 ++ wl='(wd-2*dwr)/(ld-2*dlr)' ++ wlwdiff='((0.5*(ld-2*dlr))+cnwvc_ldiff)/(2*(wd-2*dwr))' +* Mismatch Parameters ++ sky130_fd_pr__cap_var_hvt__cmin_slope_l=2.4e-16 ++ sky130_fd_pr__cap_var_hvt__cmin_slope_w=1.0e-16 ++ sky130_fd_pr__cap_var_hvt__cmin_slope_wl=4.0e-16 ++ sky130_fd_pr__cap_var_hvt__cmax_slope_l=3.0e-16 ++ sky130_fd_pr__cap_var_hvt__cmax_slope_w=7.0e-16 ++ sky130_fd_pr__cap_var_hvt__cmax_slope_wl=1.0e-15 +* Capacitance Model Parameters +.param cnwvc_slope1=0.15 +.param cnwvc_slope2=0.35 +.param ++ cm0='5.828e-16*cnwvc2_cintmult' ++ cm1='4.596e-16+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_hvt__cmin_slope_l/sqrt(2*ld*vm)' ++ cm2='1.614e-16+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_hvt__cmin_slope_w/sqrt(2*wd*vm)' ++ cm3='1.541e-15*cnwvc2_cdepmult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_hvt__cmin_slope_wl*cnwvc2_cdepmult/sqrt(2*ld*wd*vm)' ++ cx0=6.778e-16 ++ cx1='6.461e-16+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_hvt__cmax_slope_l/sqrt(2*ld*vm)' ++ cx2='1.517e-16+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_hvt__cmax_slope_w/sqrt(2*wd*vm)' ++ cx3='8.854e-14*3.9/cnwvc2_tox+MC_MM_SWITCH*AGAUSS(0,1.0,1)*sky130_fd_pr__cap_var_hvt__cmax_slope_wl/sqrt(2*ld*wd*vm)' ++ sky130_fd_pr__cap_var_hvt__vgs_min_1 = '-2.071' ++ sky130_fd_pr__cap_var_hvt__vgs_max_1 = '-1*-2.071' ++ sky130_fd_pr__cap_var_hvt__tmax_vgs_1 = '100.001n' ++ sky130_fd_pr__cap_var_hvt__vgs_min_2 = '-2.161' ++ sky130_fd_pr__cap_var_hvt__vgs_max_2 = '-1*-2.161' ++ sky130_fd_pr__cap_var_hvt__tmax_vgs_2 = '20.001n' ++ sky130_fd_pr__cap_var_hvt__vgs_min = '-2.301' ++ sky130_fd_pr__cap_var_hvt__vgs_max = '-1*-2.301' +* .SETSOA LABEL="MODEL_OOB_VG_1: sky130_fd_pr__cap_var_hvt Vg for Varactor" E v(c0, c1) =(sky130_fd_pr__cap_var_hvt__vgs_min_1, sky130_fd_pr__cap_var_hvt__vgs_max_1, sky130_fd_pr__cap_var_hvt__tmax_vgs_1 ) +* .SETSOA LABEL="MODEL_OOB_VG_2: sky130_fd_pr__cap_var_hvt Vg for Varactor" E v(c0, c1) =(sky130_fd_pr__cap_var_hvt__vgs_min_2, sky130_fd_pr__cap_var_hvt__vgs_max_2, sky130_fd_pr__cap_var_hvt__tmax_vgs_2 ) +* .SETSOA LABEL="MODEL_OOB_VG: sky130_fd_pr__cap_var_hvt Vg for Varactor" E v(c0, c1) =(sky130_fd_pr__cap_var_hvt__vgs_min, sky130_fd_pr__cap_var_hvt__vgs_max ) +.param ++ tref=30.0 ++ cmin='cm0+cm1*ld+cm2*wd+cm3*wd*(ld-ldd)+cx3*wd*ldd' ++ cmax='cx0+cx1*ld+cx2*wd+cx3*wd*ld' ++ slope_0=1.808e-17 ++ slope_0_tc1=7.2181e-20 ++ slope_0_tc2=-1.9745e-21 ++ cmin_slope_0='slope_0+(temper-tref)*slope_0_tc1+(temper-tref)*(temper-tref)*slope_0_tc2' ++ slope_w=-3.169e-17 ++ slope_w_tc1=-1.4465e-19 ++ slope_w_tc2=3.5187e-21 ++ cmin_slope_w='slope_w+(temper-tref)*slope_w_tc1+(temper-tref)*(temper-tref)*slope_w_tc2' ++ slope_l=-7.435e-17 ++ slope_l_tc1=-4.4474e-19 ++ slope_l_tc2=7.3824e-21 ++ cmin_slope_l='slope_l+(temper-tref)*slope_l_tc1+(temper-tref)*(temper-tref)*slope_l_tc2' ++ slope_wl=2.509e-16 ++ slope_wl_tc1=-1.0793e-18 ++ slope_wl_tc2=-2.2625e-20 ++ cmin_slope_wl='slope_wl+(temper-tref)*slope_wl_tc1+(temper-tref)*(temper-tref)*slope_wl_tc2' ++ cmin_slope='cmin_slope_0+cmin_slope_w*wd+cmin_slope_l*ld+cmin_slope_wl*wd*ld' +cg c0 p2 q='cmin*vm*(v(c0)-v(p2))+0.5*cmin_slope*vm*min((v(c0)-v(p2)+0.8),0)*min((v(c0)-v(p2)+0.8),0)+((0.5*(cmax+cmin)-cmin)*(v(c0)-v(p2))+0.5*(cmax-cmin)*(1/1.9)*(cnwvc_slope1*log(cosh((v(c0)-v(p2)-cnwvc2_vt1)/cnwvc_slope1))+0.9*cnwvc_slope2*log(cosh((v(c0)-v(p2)-cnwvc2_vt2)/cnwvc_slope2))))*vm' +c3 c0 b c='0.15e-15' +c4 c1 b c='0.15e-15' +* Resistance Model Parameters +.param con_sp=0.17 +.param cnwvc_k=12 +.param cnwvc_n1=0.2 +.param cnwvc_n2=0.35 +.param apoly=1.1 +.param apolyc=1.1 +.param acon=1 +.param anwell=1 +.param bnwell=0.5 +.param cnwell=0.3 +.param n_pocon='max((lc-0.14)/(2*con_sp),1)' +.param n_con='(wc-2*0.06+con_sp)/(2*con_sp)' +.param rg_tc1=9.611e-4 +.param rg_tc2=5.523e-6 +.param rg_tcmult='1+(temper-tref)*rg_tc1+(temper-tref)*(temper-tref)*rg_tc2' +.param cnwvc_a='apoly*rp1*wl/cnwvc_k+apolyc*rcp1/n_pocon+acon*rcn/n_con+anwell*rnw*wlwdiff' +.param cnwvc_b1='bnwell*rnw*cnwvc_ldiff/(2*(wd-2*dwr))' +.param cnwvc_c='cnwell*rnw*cnwvc_ldiff/(2*(wd-2*dwp))' +rg p2 c1 r='(cnwvc_a+cnwvc_b1*(0.5*tanh((v(c0)-v(p2)-cnwvc2_vtr)/cnwvc_n1)+0.5)+20*cnwvc_c*(0.5*tanh((v(c0)-v(p2)-cnwvc2_vtr)/cnwvc_n1)+0.5)*exp(-abs((v(c0)-v(p2)-cnwvc2_vtr)/cnwvc_n2)))/vm*rg_tcmult' +.ends sky130_fd_pr__cap_var_hvt + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__res_iso_pw r0 r1 b ++ +.param l = -1 mult = 1.0 ++ w = 2.65 ++ dl = 0.52 ++ av = 0.0133 ++ bv = 0.0302 ++ tref = 30.0 ++ local_vt = 3.531e-3 ++ ut = 7.238e-6 +rpwres r0 r1 ++ r = rspwres*((l+dl)/w)*(1-av*(max(v(r0),v(r1))-min(v(r0),v(r1))))*(1+bv*(v(b)-min(v(r0),v(r1))))*(1+local_vt*(temper-tref)+ut*(temper-tref)*(temper-tref)) +.ends sky130_fd_pr__res_iso_pw + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__cap_vpp_08p6x07p8_l1m1m2_noshield_o1__slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__cap_vpp_08p6x07p8_l1m1m2_noshield_o1__slope dist=gauss std=0.0044 +* } +* } +.subckt sky130_fd_pr__cap_vpp_08p6x07p8_l1m1m2_noshield_o1 c0 c1 b ++ +.param mult = 1.0 ++ ++ lvpp = 7.5 wm1 = 0.14 wm2 = 0.14 wli = 0.17 +*(mismatch parameter sky130_fd_pr__cap_vpp_08p6x07p8_l1m1m2_noshield_o1__slope) ++ ctot_a = {0.850e-15*lvpp*lvpp*cvpp_cor+1.04225/sqrt(mult/0.35036)*MC_MM_SWITCH*AGAUSS(0,0.0044,1)*0.850e-15*lvpp*lvpp*cvpp_cor} ++ rat_m2 = 0.4325 ++ rat_m1 = 0.3175 ++ rat_li = 0.25 ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ caps_li = 1.27e-17 ++ nvia = 25.0 ++ ncon = 19.0 ++ nf = 14.0 +rm21 c0 a1 r = {2*rm2*lvpp/wm2*(1/3)*(1/nf)} +ccmvpp a1 c1 c = {cap_m2} +rvia1 c0 d0 r = {rcvia/nvia} +rvia2 c1 d1 r = {rcvia/nvia} +rm11 d0 b1 r = {2*rm1*lvpp/wm1*(1/3)*(1/nf)} +cm1 b1 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon} +rcon2 d1 e1 r = {rcl1/ncon} +rli1 e0 f1 r = {2*rl1*lvpp/wli*(1/3)*(1/nf)} +cli f1 e1 c = {cap_li} +csli1 e0 b c = {caps_li*nf*lvpp} +csli2 e1 b c = {caps_li*nf*lvpp} +.ends sky130_fd_pr__cap_vpp_08p6x07p8_l1m1m2_noshield_o1 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__cap_mim_m3_1 c0 c1 w=1 l=1 mf=1 +.param wc = 'w+m3_dw*1e6+tol_m3*1e6' +.param lc = 'l+m3_dw*1e6+tol_m3*1e6' +.param via3_spacing = '(0.17+0.25+0.140)*(0.17+0.25+0.140)' +.param num_contacts = '(wc*lc/via3_spacing)' +.param r1 = 'rm3*(lc)/(wc)' +.param r2 = 'rcvia3/num_contacts' +.param carea = 'camimc*(wc)*(lc)' +.param cperim = 'cpmimc*((wc)+(lc))*2' +.param czero = 'carea + cperim+MC_MM_SWITCH*AGAUSS(0,1.0,1)*0.01*2.8*(carea + cperim)/sqrt(wc*lc*mf)' +c1 c0 a 'czero' tc1 = 0 tc2 = 0.0 +rs1 a b1 'r1' tc1 = {tc1rm3} tc2 = {tc2rm3} +rs2 b1 c1 'r2' tc1 = {tc1rvia3} tc2 = {tc2rvia3} +.ends sky130_fd_pr__cap_mim_m3_1 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__cap_mim_m3_2 c0 c1 w=1 l=1 mf=1 +.param wc = 'w+m4_dw*1e6+tol_m4*1e6' +.param lc = 'l+m4_dw*1e6+tol_m4*1e6' +.param via4_spacing = '(0.28+0.31+0.140)*(0.28+0.31+0.140)' +.param num_contacts = '(wc*lc/via4_spacing)' +.param r1 = 'rm4*(lc)/(wc)' +.param r2 = 'rcvia4/num_contacts' +.param carea = 'camimc*(wc)*(lc)' +.param cperim = 'cpmimc*((wc)+(lc))*2' +.param czero = 'carea + cperim+MC_MM_SWITCH*AGAUSS(0,1.0,1)*0.01*2.8*(carea + cperim)/sqrt(wc*lc*mf)' +c1 c0 a 'czero' tc1 = 0 tc2 = 0.0 +rs1 a b1 'r1' tc1 = {tc1rm4} tc2 = {tc2rm4} +rs2 b1 c1 'r2' tc1 = {tc1rvia4} tc2 = {tc2rvia4} +.ends sky130_fd_pr__cap_mim_m3_2 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1nhv__slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1nhv__slope dist=gauss std=0.00914 +* } +* } +.subckt sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1nhv c0 c1 b ++ +.param mult = 1.0 ++ ++ lvpp = 3.6 wm1 = 0.14 wm2 = 0.14 wli = 0.17 +*(mismatch parameter sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1nhv__slope) ++ ctot_a = {0.988e-15*lvpp*lvpp*cvpp2_nhvnative10x4_cor+1.04204/sqrt(mult/0.35036)*MC_MM_SWITCH*AGAUSS(0,0.00914,1)*0.988e-15*lvpp*lvpp*cvpp2_nhvnative10x4_cor} ++ rat_m2 = 0.4325 ++ rat_m1 = 0.3175 ++ rat_li = 0.25 ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ caps_li = {cvpp2_nhvnative10x4_sub} ++ nvia = 11.0 ++ ncon = 10.0 ++ nf = 6.0 +rm21 c0 a1 r = {2*rm2*lvpp/wm2*(1/3)*(1/nf)} +ccmvpp2_nhvnative10x4 a1 c1 c = {cap_m2} +rvia1 c0 d0 r = {rcvia/nvia} +rvia2 c1 d1 r = {rcvia/nvia} +rm11 d0 b1 r = {2*rm1*lvpp/wm1*(1/3)*(1/nf)} +cm1 b1 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon} +rcon2 d1 e1 r = {rcl1/ncon} +rli1 e0 f1 r = {2*rl1*lvpp/wli*(1/3)*(1/nf)} +cli f1 e1 c = {cap_li} +csli1 e0 b c = {caps_li} +csli2 e1 b c = {caps_li} +.ends sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1nhv + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1phv__slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1phv__slope dist=gauss std=0.00914 +* } +* } +.subckt sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1phv c0 c1 b ++ +.param mult = 1.0 ++ ++ lvpp = 3.6 wm1 = 0.14 wm2 = 0.14 wli = 0.17 +*(mismatch parameter sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1phv__slope) ++ ctot_a = {0.988e-15*lvpp*lvpp*cvpp2_phv5x4_cor+1.04204/sqrt(mult/0.35036)*MC_MM_SWITCH*AGAUSS(0,0.00914,1)*0.988e-15*lvpp*lvpp*cvpp2_phv5x4_cor} ++ rat_m2 = 0.4325 ++ rat_m1 = 0.3175 ++ rat_li = 0.25 ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ caps_li = {cvpp2_phv5x4_sub} ++ nvia = 11.0 ++ ncon = 10.0 ++ nf = 6.0 +rm21 c0 a1 r = {2*rm2*lvpp/wm2*(1/3)*(1/nf)} +ccmvpp2_phv5x4 a1 c1 c = {cap_m2} +rvia1 c0 d0 r = {rcvia/nvia} +rvia2 c1 d1 r = {rcvia/nvia} +rm11 d0 b1 r = {2*rm1*lvpp/wm1*(1/3)*(1/nf)} +cm1 b1 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon} +rcon2 d1 e1 r = {rcl1/ncon} +rli1 e0 f1 r = {2*rl1*lvpp/wli*(1/3)*(1/nf)} +cli f1 e1 c = {cap_li} +csli1 e0 b c = {caps_li} +csli2 e1 b c = {caps_li} +.ends sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1phv + + +* SKY130 Spice File. +.param sky130_fd_bs_flash__special_sonosfet_original__tox_slope=5e-3 +.param sky130_fd_bs_flash__special_sonosfet_original__vth0_slope=0.026 + +* SKY130 Spice File. +.param sky130_fd_bs_flash__special_sonosfet_star__tox_slope=2.0e-3 +.param sky130_fd_bs_flash__special_sonosfet_star__vth0_slope=0.0255 +.param sky130_fd_bs_flash__special_sonosfet_star__tox_slope1=2.0e-3 +.param sky130_fd_bs_flash__special_sonosfet_star__vth0_slope1=0.028 + +*.include "hspice.par" +* SKY130 Spice File. + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_nfet_pass__tox_slope_spectre = 0.0 +.param sky130_fd_pr__special_nfet_pass__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__special_nfet_pass__voff_slope_spectre = 0.0 +.param sky130_fd_pr__special_nfet_pass__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__special_nfet_pass__tox_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_nfet_pass__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_nfet_pass__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_nfet_pass__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__special_nfet_pass d g s b ++ +.param l = 1 w = 1 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 mult = 1 sa = 0 sb = 0 sd = 0.0 +msky130_fd_pr__special_nfet_pass d g s b sky130_fd_pr__special_nfet_pass__model l = {l} w = {w} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} +.model sky130_fd_pr__special_nfet_pass__model.0 nmos ++ lmin = 7.5e-08 lmax = 1.505e-007 wmin = 1.395e-007 wmax = 1.405e-7 ++ level = 49.0 ++ ++ tnom = 30.0 ++ version = 3.2 +*(mismatch parameter sky130_fd_pr__special_nfet_pass__tox_slope_spectre) ++ tox = {4.148e-009*sky130_fd_pr__special_nfet_pass__tox_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-009*sky130_fd_pr__special_nfet_pass__tox_mult*(sky130_fd_pr__special_nfet_pass__tox_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ xj = 1.2e-7 ++ nch = 1.12471e+18 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__special_nfet_pass__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__special_nfet_pass__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ mobmod = 1.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* Diode Parameters ++ +* + ldif = 0.0 +* + hdif = 0.0 +* + rd = 0.0 +* + rs = 0.0 +* + rsc = 0.0 +* + rdc = 0.0 ++ ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__special_nfet_pass__vth0_slope_spectre) ++ vth0 = {0.65009+sky130_fd_pr__special_nfet_pass__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__special_nfet_pass__vth0_slope/sqrt(w*l*mult))} ++ k1 = 0.53066 ++ k2 = {-0.12745+sky130_fd_pr__special_nfet_pass__k2_diff_0} ++ k3 = {0+sky130_fd_pr__special_nfet_pass__k3_diff} ++ dvt0 = {0+sky130_fd_pr__special_nfet_pass__dvt0_diff} ++ dvt1 = 0.53 ++ dvt2 = 0.0 ++ dvt0w = 0.0 ++ dvt1w = 10100.0 ++ dvt2w = 0.0 ++ nlx = 0.0 ++ w0 = 1.0e-6 ++ k3b = 0.0 ++ ngate = 1.0e+23 ++ vfb = -0.9693 +* Mobility Parameters ++ vsat = {101250+sky130_fd_pr__special_nfet_pass__vsat_diff_0} ++ ua = -1.9925e-9 ++ ub = 1.9307e-18 ++ uc = 4.5872e-11 ++ rdsw = {103.2113+sky130_fd_pr__special_nfet_pass__rdsw_diff_0} ++ prwb = 0.3 ++ prwg = 0.2 ++ wr = 1.0 ++ u0 = {0.01592+sky130_fd_pr__special_nfet_pass__u0_diff_0} ++ a0 = 1.0 ++ keta = -0.061906 ++ a1 = 0.0 ++ a2 = 1.0 ++ ags = 0.5115 ++ b0 = 0.0 ++ b1 = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__special_nfet_pass__voff_slope_spectre) ++ voff = {-0.15507+sky130_fd_pr__special_nfet_pass__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__special_nfet_pass__voff_slope/sqrt(w*l*mult))} +*(mismatch parameter sky130_fd_pr__special_nfet_pass__nfactor_slope_spectre) ++ nfactor = {1.7935+sky130_fd_pr__special_nfet_pass__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__special_nfet_pass__nfactor_slope/sqrt(w*l*mult))} ++ cit = {0+sky130_fd_pr__special_nfet_pass__cit_diff} ++ cdsc = {0+sky130_fd_pr__special_nfet_pass__cdsc_diff} ++ cdscb = {0+sky130_fd_pr__special_nfet_pass__cdscb_diff} ++ cdscd = {0+sky130_fd_pr__special_nfet_pass__cdscd_diff} ++ eta0 = 0.1168 ++ etab = 0.00804 ++ dsub = 0.5 +* Rout Parameters ++ pclm = 0.93451 ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.035291 ++ pdiblcb = -0.15462 ++ drout = 0.5 ++ pscbe1 = 7.8166e+8 ++ pscbe2 = 1.0e-20 ++ pvag = 0.0 ++ delta = 0.0104 ++ alpha0 = 0.00064059 ++ alpha1 = 0.0 ++ beta0 = 26.6 +* Temperature Effects Parameters ++ kt1 = {-0.245+sky130_fd_pr__special_nfet_pass__kt1_diff_0} ++ kt2 = {-0.01458+sky130_fd_pr__special_nfet_pass__kt2_diff} ++ at = 25430.0 ++ ute = -1.1259 ++ ua1 = 3.7209e-10 ++ ub1 = -1.3557e-19 ++ uc1 = -2.31e-12 ++ kt1l = {0+sky130_fd_pr__special_nfet_pass__kt1l_diff} ++ prt = 0.0 +* Capacitance Parameters ++ cj = {0.0013459*sky130_fd_pr__special_nfet_pass__ajunction_mult} ++ mj = 0.44 ++ pb = 0.729 ++ cjsw = {3.6001e-011*sky130_fd_pr__special_nfet_pass__pjunction_mult} ++ mjsw = 0.0009 ++ pbsw = 0.2 ++ cjswg = {2.3347e-010*sky130_fd_pr__special_nfet_pass__pjunction_mult} ++ mjswg = 0.8000 ++ pbswg = 0.95578 ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ js = 0.0027500000000000003 ++ jsw = 6.0e-10 ++ nj = 1.2928 ++ xti = 2.0 ++ cgdo = {3.2e-010*sky130_fd_pr__special_nfet_pass__overlap_mult} ++ cgso = {3.2e-010*sky130_fd_pr__special_nfet_pass__overlap_mult} ++ cgbo = 1.0e-13 ++ capmod = 3.0 +* + nqsmod = 0.0 ++ elm = 0.0 ++ xpart = 0.0 ++ cgsl = {0*sky130_fd_pr__special_nfet_pass__overlap_mult} ++ cgdl = {0*sky130_fd_pr__special_nfet_pass__overlap_mult} ++ ckappa = 0.6 ++ cf = 1.4067e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {1.8739e-008+sky130_fd_pr__special_nfet_pass__dlc_diff+sky130_fd_pr__special_nfet_pass__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__special_nfet_pass__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.621 ++ voffcv = -0.1372 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 ++ noimod = 2.0 ++ noia = {1.1737146E+41*1.6e-21} ++ noib = {3.2036721E+25*1.6e-21} ++ noic = {-3.7339643E+08*1.6e-21} ++ em = 4.1000000e+7 ++ ef = 0.8439365 +.ends sky130_fd_pr__special_nfet_pass + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_nfet_latch__tox_slope_spectre = 0.0 +.param sky130_fd_pr__special_nfet_latch__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__special_nfet_latch__voff_slope_spectre = 0.0 +.param sky130_fd_pr__special_nfet_latch__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__special_nfet_latch__tox_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_nfet_latch__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_nfet_latch__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_nfet_latch__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__special_nfet_latch d g s b ++ +.param l = 1 w = 1 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 mult = 1 sa = 0 sb = 0 sd = 0.0 +msky130_fd_pr__special_nfet_latch d g s b sky130_fd_pr__special_nfet_latch__model l = {l} w = {w} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} +.model sky130_fd_pr__special_nfet_latch__model.0 nmos ++ lmin = 7.5e-08 lmax = 1.505e-007 wmin = 2.095e-007 wmax = 2.105e-7 ++ level = 49.0 ++ ++ tnom = 30.0 ++ version = 3.2 +*(mismatch parameter sky130_fd_pr__special_nfet_latch__tox_slope_spectre) ++ tox = {4.148e-009*sky130_fd_pr__special_nfet_latch__tox_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-009*sky130_fd_pr__special_nfet_latch__tox_mult*(sky130_fd_pr__special_nfet_latch__tox_slope/sqrt(l*w*mult)))} ++ toxm = 4.148e-9 ++ xj = 1.2e-7 ++ nch = 1.12471e+18 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__special_nfet_latch__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__special_nfet_latch__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ mobmod = 1.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* Diode Parameters ++ +* + ldif = 0.0 +* + hdif = 0.0 +* + rd = 0.0 +* + rs = 0.0 +* + rsc = 0.0 +* + rdc = 0.0 ++ ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__special_nfet_latch__vth0_slope_spectre) ++ vth0 = {0.71908+sky130_fd_pr__special_nfet_latch__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__special_nfet_latch__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.498 ++ k2 = {-0.1068+sky130_fd_pr__special_nfet_latch__k2_diff_0} ++ k3 = {0+sky130_fd_pr__special_nfet_latch__k3_diff} ++ dvt0 = {0+sky130_fd_pr__special_nfet_latch__dvt0_diff} ++ dvt1 = {0.53+sky130_fd_pr__special_nfet_latch__dvt1_diff} ++ dvt2 = 0.0 ++ dvt0w = 0.0 ++ dvt1w = 0.0 ++ dvt2w = 0.0 ++ nlx = 0.0 ++ w0 = 0.0 ++ k3b = 0.0 ++ ngate = 1.0e+23 ++ vfb = -0.9693 +* Mobility Parameters ++ vsat = {82072+sky130_fd_pr__special_nfet_latch__vsat_diff_0} ++ ua = -1.048e-9 ++ ub = 1.2106e-18 ++ uc = 6.2252e-11 ++ rdsw = {187.1195+sky130_fd_pr__special_nfet_latch__rdsw_diff_0} ++ prwb = 0.3 ++ prwg = 0.2 ++ wr = 1.0 ++ u0 = {0.022616+sky130_fd_pr__special_nfet_latch__u0_diff_0} ++ a0 = -0.1 ++ keta = -0.019632 ++ a1 = 0.0 ++ a2 = 0.99 ++ ags = 0.02 ++ b0 = 0.0 ++ b1 = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__special_nfet_latch__voff_slope_spectre) ++ voff = {-0.139+sky130_fd_pr__special_nfet_latch__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__special_nfet_latch__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__special_nfet_latch__nfactor_slope_spectre) ++ nfactor = {1.078+sky130_fd_pr__special_nfet_latch__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__special_nfet_latch__nfactor_slope/sqrt(l*w*mult))} ++ cit = {0+sky130_fd_pr__special_nfet_latch__cit_diff} ++ cdsc = {0+sky130_fd_pr__special_nfet_latch__cdsc_diff} ++ cdscb = {0+sky130_fd_pr__special_nfet_latch__cdscb_diff} ++ cdscd = {0+sky130_fd_pr__special_nfet_latch__cdscd_diff} ++ eta0 = 0.01 ++ etab = -0.0042 ++ dsub = 0.0 +* Rout Parameters ++ pclm = 0.9345125 ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.024526 ++ pdiblcb = 0.05573667 ++ drout = 0.0 ++ pscbe1 = 7.7384e+8 ++ pscbe2 = 9.2e-21 ++ pvag = 0.0 ++ delta = 0.023 ++ alpha0 = 4.1e-6 ++ alpha1 = 0.0 ++ beta0 = 17.69 +* Temperature Effects Parameters ++ kt1 = {-0.2357+sky130_fd_pr__special_nfet_latch__kt1_diff_0} ++ kt2 = {-0.02+sky130_fd_pr__special_nfet_latch__kt2_diff} ++ at = 31512.0 ++ ute = -1.25 ++ ua1 = 5.5e-10 ++ ub1 = -2.0e-19 ++ uc1 = -3.5e-12 ++ kt1l = 0.0 ++ prt = -8.0505 +* Capacitance Parameters ++ cj = {0.0013459*sky130_fd_pr__special_nfet_latch__ajunction_mult} ++ mj = 0.44 ++ pb = 0.729 ++ cjsw = {3.6001e-011*sky130_fd_pr__special_nfet_latch__pjunction_mult} ++ mjsw = 0.0009 ++ pbsw = 0.2 ++ cjswg = {2.3347e-010*sky130_fd_pr__special_nfet_latch__pjunction_mult} ++ mjswg = 0.8000 ++ pbswg = 0.95578 ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ js = 0.0027500000000000003 ++ jsw = 6.0e-10 ++ nj = 1.2928 ++ xti = 2.0 ++ cgdo = {3.2e-010*sky130_fd_pr__special_nfet_latch__overlap_mult} ++ cgso = {3.2e-010*sky130_fd_pr__special_nfet_latch__overlap_mult} ++ cgbo = 1.0e-13 ++ capmod = 3.0 +* + nqsmod = 0.0 ++ elm = 0.0 ++ xpart = 0.0 ++ cgsl = {0*sky130_fd_pr__special_nfet_latch__overlap_mult} ++ cgdl = {0*sky130_fd_pr__special_nfet_latch__overlap_mult} ++ ckappa = 0.6 ++ cf = 1.4067e-12 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {1.8739e-008+sky130_fd_pr__special_nfet_latch__dlc_diff+sky130_fd_pr__special_nfet_latch__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__special_nfet_latch__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 6.9 ++ noff = 3.621 ++ voffcv = -0.1372 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 ++ noimod = 2.0 ++ noia = {1.1737146E+41*1.6e-21} ++ noib = {3.2036721E+25*1.6e-21} ++ noic = {-3.7339643E+08*1.6e-21} ++ em = 4.1000000e+7 ++ ef = 0.8439365 +.ends sky130_fd_pr__special_nfet_latch + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_pfet_latch__tox_slope_spectre = 0.0 +.param sky130_fd_pr__special_pfet_latch__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__special_pfet_latch__voff_slope_spectre = 0.0 +.param sky130_fd_pr__special_pfet_latch__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__special_pfet_latch__tox_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_pfet_latch__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_pfet_latch__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_pfet_latch__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } + +.subckt sky130_fd_pr__special_pfet_latch d g s b ++ +.param l = 1 w = 1 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 mult = 1 sa = 0 sb = 0 sd = 0.0 +msky130_fd_pr__special_pfet_latch d g s b sky130_fd_pr__special_pfet_latch__model l = {l} w = {w} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} +.model sky130_fd_pr__special_pfet_latch__model.0 pmos ++ lmin = 1.495e-007 lmax = 1.505e-007 wmin = 1.395e-007 wmax = 1.405e-7 ++ level = 49.0 ++ ++ tnom = 30.0 ++ version = 3.2 +*(mismatch parameter sky130_fd_pr__special_pfet_latch__tox_slope_spectre) ++ tox = {4.214e-009*sky130_fd_pr__special_pfet_latch__tox_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.214e-09*sky130_fd_pr__special_pfet_latch__tox_mult*(sky130_fd_pr__special_pfet_latch__tox_slope/sqrt(l*w*mult)))} ++ toxm = 4.214e-9 ++ xj = 1.15e-7 ++ nch = 7.919257e+17 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__special_pfet_latch__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__special_pfet_latch__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ mobmod = 1.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* Diode Parameters ++ +* + ldif = 0.0 +* + hdif = 0.0 +* + rd = 0.0 +* + rs = 0.0 +* + rsc = 0.0 +* + rdc = 0.0 ++ ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__special_pfet_latch__vth0_slope_spectre) ++ vth0 = {-0.8866+sky130_fd_pr__special_pfet_latch__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*((sky130_fd_pr__special_pfet_latch__vth0_slope/sqrt(l*w*mult)))} ++ k1 = 0.48313 ++ k2 = {-0.086211+sky130_fd_pr__special_pfet_latch__k2_diff_0} ++ k3 = {0+sky130_fd_pr__special_pfet_latch__k3_diff} ++ dvt0 = {0+sky130_fd_pr__special_pfet_latch__dvt0_diff} ++ dvt1 = 1.0e-10 ++ dvt2 = 0.0 ++ dvt0w = 0.0 ++ dvt1w = 10001.0 ++ dvt2w = 0.0 ++ nlx = 0.0 ++ w0 = 1.0e-10 ++ k3b = 0.0 ++ ngate = 1.0e+23 ++ vfb = -0.3872688 +* Mobility Parameters ++ vsat = {100410+sky130_fd_pr__special_pfet_latch__vsat_diff_0} ++ ua = -1.5724e-9 ++ ub = 1.0206e-18 ++ uc = -2.1234e-11 ++ rdsw = {659.8838+sky130_fd_pr__special_pfet_latch__rdsw_diff_0} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0038566+sky130_fd_pr__special_pfet_latch__u0_diff_0} ++ a0 = 1.6572 ++ keta = 0.032965 ++ a1 = 0.0 ++ a2 = 0.4 ++ ags = 0.01944 ++ b0 = 0.0 ++ b1 = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__special_pfet_latch__voff_slope_spectre) ++ voff = {-0.17082+sky130_fd_pr__special_pfet_latch__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*((sky130_fd_pr__special_pfet_latch__voff_slope/sqrt(l*w*mult)))} +*(mismatch parameter sky130_fd_pr__special_pfet_latch__nfactor_slope_spectre) ++ nfactor = {2+sky130_fd_pr__special_pfet_latch__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*((sky130_fd_pr__special_pfet_latch__nfactor_slope/sqrt(l*w*mult)))} ++ cit = {-0.002+sky130_fd_pr__special_pfet_latch__cit_diff} ++ cdsc = {0+sky130_fd_pr__special_pfet_latch__cdsc_diff} ++ cdscb = {0.0005+sky130_fd_pr__special_pfet_latch__cdscb_diff} ++ cdscd = {0+sky130_fd_pr__special_pfet_latch__cdscd_diff} ++ eta0 = 0.001 ++ etab = 0.0 ++ dsub = 1.0e-10 +* Rout Parameters ++ pclm = 2.4129 ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.023805348 ++ pdiblcb = -0.5 ++ drout = 1.0e-10 ++ pscbe1 = 7.0054e+8 ++ pscbe2 = 1.0e-20 ++ pvag = 0.0 ++ delta = 0.071729 ++ alpha0 = 1.3735e-6 ++ alpha1 = 0.0 ++ beta0 = 23.765 +* Temperature Effects Parameters ++ kt1 = {-0.50219+sky130_fd_pr__special_pfet_latch__kt1_diff_0} ++ kt2 = {-0.048934+sky130_fd_pr__special_pfet_latch__kt2_diff} ++ at = 3000.3 ++ ute = -0.8 ++ ua1 = -4.1272e-11 ++ ub1 = 4.0968e-19 ++ uc1 = 1.2689e-11 ++ kt1l = {0+sky130_fd_pr__special_pfet_latch__kt1l_diff} ++ prt = 0.49191 +* Capacitance Parameters ++ cj = {0.00074079*sky130_fd_pr__special_pfet_latch__ajunction_mult} ++ mj = 0.34629 ++ pb = 0.6587 ++ cjsw = {9.88e-011*sky130_fd_pr__special_pfet_latch__pjunction_mult} ++ mjsw = 0.29781 ++ pbsw = 0.7418 ++ cjswg = 2.3894e-10 ++ mjswg = 0.9274 ++ pbswg = 1.4338 ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ js = 2.1483e-5 ++ jsw = 8.040000000000001e-10 ++ nj = 1.3632 ++ xti = 5.2 ++ cgdo = {1.4045e-010*sky130_fd_pr__special_pfet_latch__overlap_mult} ++ cgso = {1.4045e-010*sky130_fd_pr__special_pfet_latch__overlap_mult} ++ cgbo = 0.0 ++ capmod = 3.0 +* + nqsmod = 0.0 ++ elm = 0.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__special_pfet_latch__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__special_pfet_latch__overlap_mult} ++ ckappa = 0.6 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {5.67e-009+sky130_fd_pr__special_pfet_latch__dlc_diff+sky130_fd_pr__special_pfet_latch__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__special_pfet_latch__dwc_diff} ++ vfbcv = -0.14469 ++ acde = 0.401 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +.model sky130_fd_pr__special_pfet_latch__model.1 pmos ++ lmin = 0.245e-007 lmax = 0.805e-007 wmin = 1.395e-007 wmax = 1.405e-7 ++ level = 49.0 ++ ++ tnom = 30.0 ++ version = 3.2 ++ tox = {4.214e-009*sky130_fd_pr__special_pfet_latch__tox_mult} ++ toxm = 4.214e-9 ++ xj = 1.15e-7 ++ nch = 7.919257e+17 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.4825e-8+sky130_fd_pr__special_pfet_latch__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {-5.522e-8+sky130_fd_pr__special_pfet_latch__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ mobmod = 1.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* Diode Parameters ++ ++ ldif = 0.0 ++ hdif = 0.0 ++ rd = 0.0 ++ rs = 0.0 ++ rsc = 0.0 ++ rdc = 0.0 ++ ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {-0.8866+sky130_fd_pr__special_pfet_latch__vth0_diff_0} ++ k1 = 0.48313 ++ k2 = {-0.086211+sky130_fd_pr__special_pfet_latch__k2_diff_0} ++ k3 = {0+sky130_fd_pr__special_pfet_latch__k3_diff} ++ dvt0 = {0+sky130_fd_pr__special_pfet_latch__dvt0_diff} ++ dvt1 = 1.0e-10 ++ dvt2 = 0.0 ++ dvt0w = 0.0 ++ dvt1w = 10001.0 ++ dvt2w = 0.0 ++ nlx = 0.0 ++ w0 = 1.0e-10 ++ k3b = 0.0 ++ ngate = 1.0e+23 ++ vfb = -0.3872688 +* Mobility Parameters ++ vsat = {100410+sky130_fd_pr__special_pfet_latch__vsat_diff_0} ++ ua = -1.5724e-9 ++ ub = 1.0206e-18 ++ uc = -2.1234e-11 ++ rdsw = {659.8838+sky130_fd_pr__special_pfet_latch__rdsw_diff_0} ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0038566+sky130_fd_pr__special_pfet_latch__u0_diff_0} ++ a0 = 1.6572 ++ keta = 0.032965 ++ a1 = 0.0 ++ a2 = 0.4 ++ ags = 0.01944 ++ b0 = 0.0 ++ b1 = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.17082+sky130_fd_pr__special_pfet_latch__voff_diff_0} ++ nfactor = {2+sky130_fd_pr__special_pfet_latch__nfactor_diff_0} ++ cit = {-0.002+sky130_fd_pr__special_pfet_latch__cit_diff} ++ cdsc = {0+sky130_fd_pr__special_pfet_latch__cdsc_diff} ++ cdscb = {0.0005+sky130_fd_pr__special_pfet_latch__cdscb_diff} ++ cdscd = {0+sky130_fd_pr__special_pfet_latch__cdscd_diff} ++ eta0 = 0.001 ++ etab = 0.0 ++ dsub = 1.0e-10 +* Rout Parameters ++ pclm = 2.4129 ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.023805348 ++ pdiblcb = -0.5 ++ drout = 1.0e-10 ++ pscbe1 = 7.0054e+8 ++ pscbe2 = 1.0e-20 ++ pvag = 0.0 ++ delta = 0.071729 ++ alpha0 = 1.3735e-6 ++ alpha1 = 0.0 ++ beta0 = 23.765 +* Temperature Effects Parameters ++ kt1 = {-0.50219+sky130_fd_pr__special_pfet_latch__kt1_diff_0} ++ kt2 = {-0.048934+sky130_fd_pr__special_pfet_latch__kt2_diff} ++ at = 3000.3 ++ ute = -0.8 ++ ua1 = -4.1272e-11 ++ ub1 = 4.0968e-19 ++ uc1 = 1.2689e-11 ++ kt1l = {0+sky130_fd_pr__special_pfet_latch__kt1l_diff} ++ prt = 0.49191 +* Capacitance Parameters ++ cj = {0.00074079*sky130_fd_pr__special_pfet_latch__ajunction_mult} ++ mj = 0.34629 ++ pb = 0.6587 ++ cjsw = {9.88e-011*sky130_fd_pr__special_pfet_latch__pjunction_mult} ++ mjsw = 0.29781 ++ pbsw = 0.7418 ++ cjswg = 2.3894e-10 ++ mjswg = 0.9274 ++ pbswg = 1.4338 ++ tpb = 0.0020386 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0012407 ++ tcjsw = 0.00037357 ++ tcjswg = 2.0e-12 ++ js = 2.1483e-5 ++ jsw = 8.040000000000001e-10 ++ nj = 1.3632 ++ xti = 5.2 ++ cgdo = {1.4045e-010*sky130_fd_pr__special_pfet_latch__overlap_mult} ++ cgso = {1.4045e-010*sky130_fd_pr__special_pfet_latch__overlap_mult} ++ cgbo = 0.0 ++ capmod = 3.0 +* + nqsmod = 0.0 ++ elm = 0.0 ++ xpart = 0.0 ++ cgsl = {1.0005e-011*sky130_fd_pr__special_pfet_latch__overlap_mult} ++ cgdl = {1.0005e-011*sky130_fd_pr__special_pfet_latch__overlap_mult} ++ ckappa = 0.6 ++ cf = 1.2e-11 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {-2.4825e-8+sky130_fd_pr__special_pfet_latch__dlc_diff+sky130_fd_pr__special_pfet_latch__dlc_rotweak} ++ dwc = {3.622e-8+sky130_fd_pr__special_pfet_latch__dwc_diff} ++ vfbcv = -0.14469 ++ acde = 0.401 ++ moin = 18.13 ++ noff = 3.9 ++ voffcv = -0.10701 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 ++ noimod = 2.0 ++ noia = {5.3000000E+40*1.6e-21} ++ noib = {5.6400000E+22*1.6e-21} ++ noic = {6.0700000E+07*1.6e-21} ++ em = 4.1000000e+7 ++ ef = 0.88 +.ends sky130_fd_pr__special_pfet_latch + +* NOTE: "special_pfet_pass" was incorrect nomenclature and has been fixed to +* "special_pfet_latch". The original incorrect name is kept here to prevent +* breaking legacy netlists. ---Tim 7/16/2023 + +.subckt sky130_fd_pr__special_pfet_pass d g s b +.param l = 1 w = 1 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 mult = 1 ++ sa = 0 sb = 0 sd = 0.0 +xsky130_fd_pr__special_pfet_pass d g s b ++ l = {l} w = {w} ad = {ad} as = {as} pd = {pd} ps = {ps} ++ nrd = {nrd} nrs = {nrs} mult = {mult} sa = {sa} sb = {sb} sd = {sd} ++ sky130_fd_pr__special_pfet_latch +.ends sky130_fd_pr__special_pfet_pass + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_nfet_pass_flash__tox_slope_spectre = 0.0 +.param sky130_fd_pr__special_nfet_pass_flash__vth0_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__special_nfet_pass_flash__tox_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_nfet_pass_flash__vth0_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__special_nfet_pass_flash d g s b ++ +.param l = 1 w = 1 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 mult = 1.0 +Msky130_fd_pr__special_nfet_pass_flash d g s b sky130_fd_pr__special_nfet_pass_flash__model l = {l} w = {w} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} +.model sky130_fd_pr__special_nfet_pass_flash__model.0 nmos ++ lmin = 1.495e-007 lmax = 1.505e-007 wmin = 4.495e-007 wmax = 4.505e-7 ++ level = 49.0 ++ ++ tnom = 30.0 ++ version = 3.2 +*(mismatch parameter sky130_fd_pr__special_nfet_pass_flash__tox_slope_spectre) ++ tox = {1.1628e-008*sky130_fd_pr__special_nfet_pass_flash__tox_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.1628e-008*sky130_fd_pr__special_nfet_pass_flash__tox_mult*(sky130_fd_pr__special_nfet_pass_flash__tox_slope/sqrt(l*w*mult)))} ++ toxm = 1.1628e-8 ++ xj = 1.2e-7 ++ nch = 1.1247e+18 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__special_nfet_pass_flash__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__special_nfet_pass_flash__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ mobmod = 1.0 ++ binunit = 2.0 ++ dwg = {0+sky130_fd_pr__special_nfet_pass_flash__dwg_diff} ++ dwb = 0.0 +* Diode Parameters ++ ++ ldif = 0.0 ++ hdif = 0.0 ++ rd = 0.0 ++ rs = 0.0 ++ rsc = 0.0 ++ rdc = 0.0 ++ ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__special_nfet_pass_flash__vth0_slope_spectre) ++ vth0 = {1.1466+sky130_fd_pr__special_nfet_pass_flash__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__special_nfet_pass_flash__vth0_slope/sqrt(w*l*mult))} ++ k1 = 0.60764 ++ k2 = {-0.12236+sky130_fd_pr__special_nfet_pass_flash__k2_diff_0} ++ k3 = {0+sky130_fd_pr__special_nfet_pass_flash__k3_diff} ++ dvt0 = {0+sky130_fd_pr__special_nfet_pass_flash__dvt0_diff} ++ dvt1 = 0.53 ++ dvt2 = 0.0 ++ dvt0w = {0+sky130_fd_pr__special_nfet_pass_flash__dvt0w_diff} ++ dvt1w = 400000.0 ++ dvt2w = 0.0 ++ nlx = {0+sky130_fd_pr__special_nfet_pass_flash__nlx_diff} ++ w0 = 1.0e-9 ++ k3b = 0.0 ++ ngate = 1.0e+30 ++ vfb = -0.9693 +* Mobility Parameters ++ vsat = {93196+sky130_fd_pr__special_nfet_pass_flash__vsat_diff_0} ++ ua = 1.0846e-9 ++ ub = 1.2522e-18 ++ uc = 7.8332e-11 ++ rdsw = 251.69 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.038044+sky130_fd_pr__special_nfet_pass_flash__u0_diff_0} ++ a0 = 0.4436 ++ keta = 0.073859 ++ a1 = 0.0 ++ a2 = 0.99 ++ ags = 0.0 ++ b0 = 0.0 ++ b1 = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.25275+sky130_fd_pr__special_nfet_pass_flash__voff_diff_0} ++ nfactor = {0.94875+sky130_fd_pr__special_nfet_pass_flash__nfactor_diff_0} ++ cit = {0+sky130_fd_pr__special_nfet_pass_flash__cit_diff} ++ cdsc = {0+sky130_fd_pr__special_nfet_pass_flash__cdsc_diff} ++ cdscb = {0+sky130_fd_pr__special_nfet_pass_flash__cdscb_diff} ++ cdscd = {0+sky130_fd_pr__special_nfet_pass_flash__cdscd_diff} ++ eta0 = 0.09373 ++ etab = -0.01485 ++ dsub = 0.176 +* Rout Parameters ++ pclm = 0.080615 ++ pdiblc1 = 0.025 ++ pdiblc2 = 0.085152 ++ pdiblcb = 0.055737 ++ drout = 0.16 ++ pscbe1 = 7.8166e+8 ++ pscbe2 = 1.0e-20 ++ pvag = 0.0 ++ delta = 0.02553 ++ alpha0 = 0.00019736 ++ alpha1 = 0.0 ++ beta0 = 24.801 +* Temperature Effects Parameters ++ kt1 = {-0.31006+sky130_fd_pr__special_nfet_pass_flash__kt1_diff_0} ++ kt2 = {-0.041175+sky130_fd_pr__special_nfet_pass_flash__kt2_diff} ++ at = 13357.0 ++ ute = -0.81863 ++ ua1 = 2.3327e-9 ++ ub1 = -1.6577e-18 ++ uc1 = 6.275e-11 ++ kt1l = {0+sky130_fd_pr__special_nfet_pass_flash__kt1l_diff} ++ prt = 0.0 +* Capacitance Parameters ++ cj = {0.0012651*sky130_fd_pr__special_nfet_pass_flash__ajunction_mult} ++ mj = 0.3608 ++ pb = 0.729 ++ cjsw = {7.3442e-011*sky130_fd_pr__special_nfet_pass_flash__pjunction_mult} ++ mjsw = 0.13 ++ pbsw = 0.729 ++ cjswg = {7.3442e-011*sky130_fd_pr__special_nfet_pass_flash__pjunction_mult} ++ mjswg = 0.13 ++ pbswg = 0.729 ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.0 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ js = 0.000375 ++ jsw = 6.0e-10 ++ nj = 1.3574 ++ xti = 0.13 ++ cgdo = {3.0674e-010*sky130_fd_pr__special_nfet_pass_flash__overlap_mult} ++ cgso = {3.0674e-010*sky130_fd_pr__special_nfet_pass_flash__overlap_mult} ++ cgbo = 0.0 ++ capmod = 3.0 ++ nqsmod = 0.0 ++ elm = 0.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__special_nfet_pass_flash__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__special_nfet_pass_flash__overlap_mult} ++ ckappa = 0.6 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {1.8739e-008+sky130_fd_pr__special_nfet_pass_flash__dlc_diff+sky130_fd_pr__special_nfet_pass_flash__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__special_nfet_pass_flash__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.4104 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 ++ noimod = 2.0 ++ noia = {2.1700000e+41*1.6e-21} ++ noib = {3.2000000e+24*1.6e-21} ++ noic = {-1.7200000e+06*1.6e-21} ++ em = 4.1000000e+7 ++ ef = 0.89 +.model sky130_fd_pr__special_nfet_pass_flash__model.1 nmos ++ lmin = 1.49e-007 lmax = 1.51e-007 wmin = 3.45e-007 wmax = 3.55e-7 ++ level = 49.0 ++ ++ tnom = 30.0 ++ version = 3.2 +*(mismatch parameter sky130_fd_pr__special_nfet_pass_flash__tox_slope_spectre) ++ tox = {1.1628e-008*sky130_fd_pr__special_nfet_pass_flash__tox_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.1628e-008*sky130_fd_pr__special_nfet_pass_flash__tox_mult*(sky130_fd_pr__special_nfet_pass_flash__tox_slope/sqrt(l*w*mult)))} ++ toxm = 1.1628e-8 ++ xj = 1.2e-7 ++ nch = 1.1247e+18 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__special_nfet_pass_flash__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {0+sky130_fd_pr__special_nfet_pass_flash__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ mobmod = 1.0 ++ binunit = 2.0 ++ dwg = {0+sky130_fd_pr__special_nfet_pass_flash__dwg_diff} ++ dwb = 0.0 +* Diode Parameters ++ ++ ldif = 0.0 ++ hdif = 0.0 ++ rd = 0.0 ++ rs = 0.0 ++ rsc = 0.0 ++ rdc = 0.0 ++ ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__special_nfet_pass_flash__vth0_slope_spectre) ++ vth0 = {0.97306+sky130_fd_pr__special_nfet_pass_flash__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__special_nfet_pass_flash__vth0_slope/sqrt(w*l*mult))} ++ k1 = 0.60764 ++ k2 = {-0.14289+sky130_fd_pr__special_nfet_pass_flash__k2_diff_1} ++ k3 = {0+sky130_fd_pr__special_nfet_pass_flash__k3_diff} ++ dvt0 = {0+sky130_fd_pr__special_nfet_pass_flash__dvt0_diff} ++ dvt1 = 0.53 ++ dvt2 = 0.0 ++ dvt0w = {0+sky130_fd_pr__special_nfet_pass_flash__dvt0w_diff} ++ dvt1w = 400000.0 ++ dvt2w = 0.0 ++ nlx = {0+sky130_fd_pr__special_nfet_pass_flash__nlx_diff} ++ w0 = 1.0e-9 ++ k3b = 0.0 ++ ngate = 1.0e+23 ++ vfb = -0.9693 +* Mobility Parameters ++ vsat = {82954+sky130_fd_pr__special_nfet_pass_flash__vsat_diff_1} ++ ua = 1.7786e-9 ++ ub = -2.0e-19 ++ uc = 7.8332e-11 ++ rdsw = 251.69 ++ prwb = 0.0 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.038274+sky130_fd_pr__special_nfet_pass_flash__u0_diff_1} ++ a0 = 1.0 ++ keta = 0.073859 ++ a1 = 0.0 ++ a2 = 0.99 ++ ags = 0.1 ++ b0 = 0.0 ++ b1 = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1198+sky130_fd_pr__special_nfet_pass_flash__voff_diff_1} ++ nfactor = {0.85834+sky130_fd_pr__special_nfet_pass_flash__nfactor_diff_1} ++ cit = {0+sky130_fd_pr__special_nfet_pass_flash__cit_diff} ++ cdsc = {0+sky130_fd_pr__special_nfet_pass_flash__cdsc_diff} ++ cdscb = {0+sky130_fd_pr__special_nfet_pass_flash__cdscb_diff} ++ cdscd = {0+sky130_fd_pr__special_nfet_pass_flash__cdscd_diff} ++ eta0 = 0.09373 ++ etab = -0.01485 ++ dsub = 0.176 +* Rout Parameters ++ pclm = 0.080615 ++ pdiblc1 = 0.025 ++ pdiblc2 = 0.085152 ++ pdiblcb = 0.055737 ++ drout = 0.16 ++ pscbe1 = 7.8166e+8 ++ pscbe2 = 1.0e-20 ++ pvag = 0.0 ++ delta = 0.02553 ++ alpha0 = 0.00019736 ++ alpha1 = 0.0 ++ beta0 = 24.801 +* Temperature Effects Parameters ++ kt1 = {-0.30763+sky130_fd_pr__special_nfet_pass_flash__kt1_diff_1} ++ kt2 = {-0.041175+sky130_fd_pr__special_nfet_pass_flash__kt2_diff} ++ at = 33000.0 ++ ute = -0.6 ++ ua1 = 2.3327e-9 ++ ub1 = -1.6577e-18 ++ uc1 = 6.275e-11 ++ kt1l = {0+sky130_fd_pr__special_nfet_pass_flash__kt1l_diff} ++ prt = 0.0 +* Capacitance Parameters ++ cj = {0.00106*sky130_fd_pr__special_nfet_pass_flash__ajunction_mult} ++ mj = 0.4 ++ pb = 0.729 ++ cjsw = {2.3162e-011*sky130_fd_pr__special_nfet_pass_flash__pjunction_mult} ++ mjsw = 0.4 ++ pbsw = 0.729 ++ cjswg = {2.3162e-011*sky130_fd_pr__special_nfet_pass_flash__pjunction_mult} ++ mjswg = 0.4 ++ pbswg = 0.729 ++ tpb = 0.0012287 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.0007 ++ tcjsw = 0.0015 ++ tcjswg = 0.0015 ++ js = 0.000375 ++ jsw = 6.0e-10 ++ nj = 1.3574 ++ xti = 0.13 ++ cgdo = {1.97e-010*sky130_fd_pr__special_nfet_pass_flash__overlap_mult} ++ cgso = {1.97e-010*sky130_fd_pr__special_nfet_pass_flash__overlap_mult} ++ cgbo = 0.0 ++ capmod = 3.0 ++ nqsmod = 0.0 ++ elm = 0.0 ++ xpart = 0.0 ++ cgsl = {0*sky130_fd_pr__special_nfet_pass_flash__overlap_mult} ++ cgdl = {0*sky130_fd_pr__special_nfet_pass_flash__overlap_mult} ++ ckappa = 0.6 ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {2.3232e-008+sky130_fd_pr__special_nfet_pass_flash__dlc_diff+sky130_fd_pr__special_nfet_pass_flash__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__special_nfet_pass_flash__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = -0.24172 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 ++ noimod = 2.0 ++ noia = 3.472e+20 ++ noib = 5120.0 ++ noic = -2.752e-15 ++ em = 4.1000000e+7 ++ ef = 0.89 +.ends sky130_fd_pr__special_nfet_pass_flash + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__slope = 0.0 +.param sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__slope dist=gauss std=1.0 +* vary sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__slope dist=gauss std=1.0 +* } +* } +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1__slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1__slope dist=gauss std=0.00399 +* } +* } +.subckt sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1 c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1__slope) ++ ctot_a = {42.752e-15*sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1__cor+1/sqrt(mult/0.345816)*MC_MM_SWITCH*AGAUSS(0,0.00399,1)*42.752e-15*sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1__cor} ++ cli2s = {4.241e-15*cli2s_vpp} ++ rat_m3 = 0.160 ++ rat_m2 = 0.394 ++ rat_m1 = 0.404 ++ rat_m12li = 0.042 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_m12li = {rat_m12li*ctot_a} ++ lm3 = 3.125 ++ wm3 = 0.30 ++ nfm3 = 22.0 ++ nvia2_c0 = 68.0 ++ nvia2_c1 = 17.0 ++ lm2 = 3.685 ++ wm2 = 0.140 ++ nfm2 = 48.0 ++ nvia_c0 = 84.0 ++ nvia_c1 = 42.0 ++ lm1 = 3.290 ++ wm1 = 0.140 ++ nfm1 = 52.0 ++ nmcon = 84.0 +rm31 c0 z1 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +ccmvpp8p6x7p9_m3_lishield z1 c1 c = {cap_m3} +rvia2_1 c0 d0 r = {rcvia2/nvia2_c0} +rvia2_2 c1 d1 r = {rcvia2/nvia2_c1} +rm21 d0 a1 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 a1 d1 c = {cap_m2} +rvia1 d0 e0 r = {rcvia/nvia_c0} +rvia2 d1 e1 r = {rcvia/nvia_c1} +rm11 e0 b1 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 b1 e1 c = {cap_m1} +rmcon e0 f0 r = {rcl1/nmcon} +rliw f0 g0 r = {rl1} +cli2b g0 b c = {cli2s} +cm12li e1 g0 c = {cap_m12li} +.ends sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1__slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1__slope dist=gauss std=0.00731 +* } +* } +.subckt sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1 c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1__slope) ++ ctot_a = {10.694e-15*sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1__cor+1/sqrt(mult/0.350778)*MC_MM_SWITCH*AGAUSS(0,0.00731,1)*10.694e-15*sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1__cor} ++ cli2s = {1.840e-15*cli2s_vpp} ++ rat_m3 = 0.1636 ++ rat_m2 = 0.4604 ++ rat_m1 = 0.3318 ++ rat_m12li = 0.0442 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_m12li = {rat_m12li*ctot_a} ++ lm3 = 1.50 ++ wm3 = 0.30 ++ nfm3 = 10.0 ++ nvia2_c0 = 32.0 ++ nvia2_c1 = 13.0 ++ lm2 = 1.585 ++ wm2 = 0.140 ++ nfm2 = 28.0 ++ nvia_c0 = 40.0 ++ nvia_c1 = 18.0 ++ lm1 = 1.665 ++ wm1 = 0.140 ++ nfm1 = 20.0 ++ nmcon = 42.0 +rm31 c0 z1 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +ccmvpp4p4x4p6_m3_lishield z1 c1 c = {cap_m3} +rvia2_1 c0 d0 r = {rcvia2/nvia2_c0} +rvia2_2 c1 d1 r = {rcvia2/nvia2_c1} +rm21 d0 a1 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 a1 d1 c = {cap_m2} +rvia1 d0 e0 r = {rcvia/nvia_c0} +rvia2 d1 e1 r = {rcvia/nvia_c1} +rm11 e0 b1 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 b1 e1 c = {cap_m1} +rmcon e0 f0 r = {rcl1/nmcon} +rliw f0 g0 r = {rl1} +cli2b g0 b c = {cli2s} +cm12li e1 g0 c = {cap_m12li} +.ends sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1__slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1__slope dist=gauss std=0.00284 +* } +* } +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1 c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1__slope) ++ ctot_a = {96.986e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1__cor+1/sqrt(mult/0.35298)*MC_MM_SWITCH*AGAUSS(0,0.00284,1)*96.986e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1__cor} ++ cli2s = {7.433e-15*cli2s_vpp} ++ rat_m3 = 0.1539 ++ rat_m2 = 0.4074 ++ rat_m1 = 0.4025 ++ rat_m12li = 0.0362 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_m12li = {rat_m12li*ctot_a} ++ lm3 = 5.05 ++ wm3 = 0.30 ++ nfm3 = 34.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ lm2 = 5.100 ++ wm2 = 0.140 ++ nfm2 = 76.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 ++ lm1 = 5.215 ++ wm1 = 0.140 ++ nfm1 = 72.0 ++ nmcon = 116.0 +rm31 c0 z1 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +ccmvpp11p5x11p7_m3_lishield z1 c1 c = {cap_m3} +rvia2_1 c0 d0 r = {rcvia2/nvia2_c0} +rvia2_2 c1 d1 r = {rcvia2/nvia2_c1} +rm21 d0 a1 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 a1 d1 c = {cap_m2} +rvia1 d0 e0 r = {rcvia/nvia_c0} +rvia2 d1 e1 r = {rcvia/nvia_c1} +rm11 e0 b1 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 b1 e1 c = {cap_m1} +rmcon e0 f0 r = {rcl1/nmcon} +rliw f0 g0 r = {rl1} +cli2b g0 b c = {cli2s} +cm12li e1 g0 c = {cap_m12li} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4 c0 c1 b m4 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__slope) ++ ctot_a = {118.52e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__cor+0.0283/sqrt(11.5*11.7*mult*2)*118.52e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm4_c0 = {7.48e-15*c0m4m3_vpp} ++ cm4_c1 = {5.06e-15*c1m4m3_vpp} ++ c0_sub = {(4.99e-15-5.84e-16)*cli2s_vpp} ++ c1_sub = {2.34e-15*cli2s_vpp} ++ rat_m3 = 0.1539 ++ rat_m2 = 0.4074 ++ rat_m1 = 0.4025 ++ rat_li = 0.0362 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ ll1 = 5.070 ++ lm1 = 5.215 ++ lm2 = 5.095 ++ lm3 = 5.050 ++ wl1 = 0.170 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ nfl1 = 62.0 ++ nfm1 = 72.0 ++ nfm2 = 72.0 ++ nfm3 = 34.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 ++ ncon_c0 = 116.0 ++ ncon_c1 = 28.0 +ccmvpp11p5x11p7_m4shield m4 b0 c = {cm4_c0} +cm4_1 m4 b1 c = {cm4_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon_c0} +rcon2 d1 e1 r = {rcl1/ncon_c1} +rli1 e0 e2 r = {rl1*ll1/wl1*(1/3)*(1/nfl1)} +cli e2 e1 c = {cap_li} +cli2b_0 e0 b c = {c0_sub} +cli2b_1 e1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldpom4 c0 c1 b m4 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__slope) ++ ctot_a = {121.89e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__cor+0.0283/sqrt(mult*11.5*11.7*2)*121.89e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm4_c0 = {7.49e-15*c0m4m3_vpp} ++ cm4_c1 = {5.06e-15*c1m4m3_vpp} ++ cpl2s = {(17.7e-15-1.88e-15)*cpl2s_vpp} ++ rat_m3 = 0.12 ++ rat_m2 = 0.37 ++ rat_m1 = 0.37 ++ rat_li = 0.12 ++ rat_li2p = 0.02 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ cap_li2p = {rat_li2p*ctot_a} ++ ll1 = 5.070 ++ lm1 = 5.215 ++ lm2 = 5.095 ++ lm3 = 5.050 ++ wl1 = 0.170 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ nfl1 = 62.0 ++ nfm1 = 72.0 ++ nfm2 = 72.0 ++ nfm3 = 34.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 ++ ncon_c0 = 116.0 ++ ncon_c1 = 28.0 ++ nlicon = 126.0 +ccmvpp11p5x11p7_polym4shield m4 b0 c = {cm4_c0} +cm4_1 m4 b1 c = {cm4_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon_c0} +rcon2 d1 e1 r = {rcl1/ncon_c1} +rli1 e0 e2 r = {rl1*ll1/wl1*(1/3)*(1/nfl1)} +cli e2 e1 c = {cap_li} +rlicon e0 f0 r = {rcp1/nlicon} +rpoly f0 f2 r = {rp1} +cl12p e1 f2 c = {cap_li2p} +cpl2b f0 b c = {cpl2s} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldpom4 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +.subckt sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2m3_shieldpom4 c0 c1 b m4 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__slope) ++ ctot_a = {33.819e-15*sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__cor+0.0283/sqrt(6.8*6.1*mult*2)*33.819e-15*sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm4_c0 = {2.75e-15*c0m4m3_vpp} ++ cm4_c1 = {1.53e-15*c1m4m3_vpp} ++ cpl2s = {(6.51e-15-1.05e-15)*cpl2s_vpp} ++ rat_m3 = 0.12 ++ rat_m2 = 0.37 ++ rat_m1 = 0.37 ++ rat_li = 0.12 ++ rat_li2p = 0.02 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ cap_li2p = {rat_li2p*ctot_a} ++ ll1 = 2.73 ++ lm1 = 2.42 ++ lm2 = 2.77 ++ lm3 = 2.25 ++ wl1 = 0.170 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ nfl1 = 34.0 ++ nfm1 = 42.0 ++ nfm2 = 38.0 ++ nfm3 = 22.0 ++ nvia2_c0 = 48.0 ++ nvia2_c1 = 23.0 ++ nvia_c0 = 60.0 ++ nvia_c1 = 32.0 ++ ncon_c0 = 64.0 ++ ncon_c1 = 13.0 ++ nlicon = 68.0 +ccmvpp6p8x6p1_polym4shield m4 b0 c = {cm4_c0} +cm4_1 m4 b1 c = {cm4_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon_c0} +rcon2 d1 e1 r = {rcl1/ncon_c1} +rli1 e0 e2 r = {rl1*ll1/wl1*(1/3)*(1/nfl1)} +cli e2 e1 c = {cap_li} +rlicon e0 f0 r = {rcp1/nlicon} +rpoly f0 f2 r = {rp1} +cl12p e1 f2 c = {cap_li2p} +cpl2b f0 b c = {cpl2s} +.ends sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2m3_shieldpom4 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +.subckt sky130_fd_pr__cap_vpp_06p8x06p1_m1m2m3_shieldl1m4 c0 c1 b m4 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__slope) ++ ctot_a = {26.560e-15*sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__cor+0.0283/sqrt(6.1*6.8*mult*2)*26.560e-15*sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm4_c0 = {2.74e-15*c0m4m3_vpp} ++ cm4_c1 = {1.53e-15*c1m4m3_vpp} ++ cli2s = {(3.00e-15-3.26e-16)*cli2s_vpp} ++ rat_m3 = 0.160 ++ rat_m2 = 0.394 ++ rat_m1 = 0.404 ++ rat_m12li = 0.042 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_m12li = {rat_m12li*ctot_a} ++ lm1 = 2.42 ++ lm2 = 2.77 ++ lm3 = 2.25 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ nfm1 = 42.0 ++ nfm2 = 38.0 ++ nfm3 = 22.0 ++ nvia2_c0 = 48.0 ++ nvia2_c1 = 23.0 ++ nvia_c0 = 60.0 ++ nvia_c1 = 32.0 ++ nmcon = 64.0 +ccmvpp6p8x6p1_lim4shield m4 c0 c = {cm4_c0} +cm4_1 m4 c1 c = {cm4_c1} +rm31 c0 z1 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 z1 c1 c = {cap_m3} +rvia2_1 c0 d0 r = {rcvia2/nvia2_c0} +rvia2_2 c1 d1 r = {rcvia2/nvia2_c1} +rm21 d0 a1 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 a1 d1 c = {cap_m2} +rvia1 d0 e0 r = {rcvia/nvia_c0} +rvia2 d1 e1 r = {rcvia/nvia_c1} +rm11 e0 b1 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 b1 e1 c = {cap_m1} +rmcon e0 f0 r = {rcl1/nmcon} +rliw f0 g0 r = {rl1} +cli2b g0 b c = {cli2s} +cm12li e1 g0 c = {cap_m12li} +.ends sky130_fd_pr__cap_vpp_06p8x06p1_m1m2m3_shieldl1m4 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__model__cap_vpp_only_p__slope = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__model__cap_vpp_only_p__slope dist=gauss std=1.0 +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* Layout: sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldm5 +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldm5 c0 c1 b m5 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {137.45e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldm5__cor+1.45*0.00244/sqrt(mult/0.23367)*137.45e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldm5__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm5_c0 = {5.11e-15*c0m5m4_vpp} ++ cm5_c1 = {3.89e-15*c1m5m4_vpp} ++ c0_sub = {(5.36e-15-0.58e-15)*cli2s_vpp} ++ c1_sub = {2.34e-15*cli2s_vpp} ++ rat_m4 = 0.1120 ++ rat_m3 = 0.1120 ++ rat_m2 = 0.2966 ++ rat_m1 = 0.2931 ++ rat_li = 0.1863 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ ll1 = 5.070 ++ lm1 = 5.215 ++ lm2 = 5.095 ++ lm3 = 5.050 ++ lm4 = 4.910 ++ wl1 = 0.170 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfl1 = 62.0 ++ nfm1 = 72.0 ++ nfm2 = 72.0 ++ nfm3 = 34.0 ++ nfm4 = 34.0 ++ nvia3_c0 = 103.0 ++ nvia3_c1 = 49.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 ++ ncon_c0 = 116.0 ++ ncon_c1 = 28.0 +ccmvpp11p5x11p7_m5shield m5 a0 c = {cm5_c0} +cm5_1 m5 a1 c = {cm5_c1} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +cm4 a2 a1 c = {cap_m4} +rvia3_0 a0 b0 r = {rcvia3/nvia3_c0} +rvia3_1 a1 b1 r = {rcvia3/nvia3_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon_c0} +rcon2 d1 e1 r = {rcl1/ncon_c1} +rli1 e0 e2 r = {rl1*ll1/wl1*(1/3)*(1/nfl1)} +cli e2 e1 c = {cap_li} +cli2b_0 e0 b c = {c0_sub} +cli2b_1 e1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldm5 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and Poly/M5 Shield +* Layout: sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5 +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5 c0 c1 b m5 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {141.23e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5__cor+1.5*0.00244/sqrt(mult/0.21936)*141.23e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm5_c0 = {5.11e-15*c0m5m4_vpp} ++ cm5_c1 = {3.89e-15*c1m5m4_vpp} ++ cpl2s = {(18.06e-15-2.14e-15)*cpl2s_vpp} ++ rat_m4 = 0.1120 ++ rat_m3 = 0.1120 ++ rat_m2 = 0.2836 ++ rat_m1 = 0.2801 ++ rat_li = 0.1863 ++ rat_li2p = 0.026 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ cap_li2p = {rat_li2p*ctot_a} ++ ll1 = 5.070 ++ lm1 = 5.215 ++ lm2 = 5.095 ++ lm3 = 5.050 ++ lm4 = 4.910 ++ wl1 = 0.170 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfl1 = 62.0 ++ nfm1 = 72.0 ++ nfm2 = 72.0 ++ nfm3 = 34.0 ++ nfm4 = 34.0 ++ nvia3_c0 = 103.0 ++ nvia3_c1 = 49.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 ++ ncon_c0 = 116.0 ++ ncon_c1 = 28.0 ++ nlicon = 126.0 +ccmvpp11p5x11p7_polym5shield m5 a0 c = {cm5_c0} +cm5_1 m5 a1 c = {cm5_c1} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +cm4 a2 a1 c = {cap_m4} +rvia3_0 a0 b0 r = {rcvia3/nvia3_c0} +rvia3_1 a1 b1 r = {rcvia3/nvia3_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon_c0} +rcon2 d1 e1 r = {rcl1/ncon_c1} +rli1 e0 e2 r = {rl1*ll1/wl1*(1/3)*(1/nfl1)} +cli e2 e1 c = {cap_li} +rlicon e0 f0 r = {rcp1/nlicon} +rpoly f0 f2 r = {rp1} +cl12p e1 f2 c = {cap_li2p} +cpl2b f0 b c = {cpl2s} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* 4-terminal Vertical Parallel Plate Capacitor /w M1-M4 fingers and LI/M5 Shield +* Layout: sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5 +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5 c0 c1 b m5 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {116.75e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5__cor+1.4*0.00244/sqrt(mult/0.27882)*116.75e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm5_c0 = {5.41e-15*c0m5m4_vpp} ++ cm5_c1 = {3.88e-15*c1m5m4_vpp} ++ cli2s = {(7.59-0.58)*1e-15*cli2s_vpp} ++ rat_m4 = 0.1376 ++ rat_m3 = 0.1376 ++ rat_m2 = 0.3485 ++ rat_m1 = 0.3442 ++ rat_m12li = 0.0321 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_m12li = {rat_m12li*ctot_a} ++ lm1 = 5.215 ++ lm2 = 5.095 ++ lm3 = 5.050 ++ lm4 = 4.910 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 72.0 ++ nfm2 = 72.0 ++ nfm3 = 34.0 ++ nfm4 = 34.0 ++ nvia3_c0 = 103.0 ++ nvia3_c1 = 49.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 ++ nmcon = 116.0 +ccmvpp11p5x11p7_lim5shield m5 a0 c = {cm5_c0} +cm5_1 m5 a1 c = {cm5_c1} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +cm4 a2 a1 c = {cap_m4} +rvia3_0 a0 b0 r = {rcvia3/nvia3_c0} +rvia3_1 a1 b1 r = {rcvia3/nvia3_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +rmcon d0 e0 r = {rcl1/nmcon} +rliw e0 f0 r = {rl1} +cli2b f0 b c = {cli2s} +cm12li d1 f0 c = {cap_m12li} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* 3-terminal Vertical Parallel Plate Capacitor /w M1-M4 fingers and No Shield +* Layout: sky130_fd_pr__cap_vpp_11p5x11p7_m1m4_noshield +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_m1m4_noshield c0 c1 b ++ +.param mult = 1 presim_flag = 0.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {110.19e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5__cor+0.0283/sqrt(11.5*11.7*2*mult)*110.19e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ c02s = {(4.33+presim_flag*0.54)*1e-15*cli2s_vpp} ++ c12s = {1.87e-15*cli2s_vpp} ++ rat_m4 = 0.1426 ++ rat_m3 = 0.1426 ++ rat_m2 = 0.3585 ++ rat_m1 = 0.3563 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = 5.215 ++ lm2 = 5.095 ++ lm3 = 5.050 ++ lm4 = 4.910 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 72.0 ++ nfm2 = 72.0 ++ nfm3 = 34.0 ++ nfm4 = 34.0 ++ nvia3_c0 = 103.0 ++ nvia3_c1 = 49.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +cm4 a2 a1 c = {cap_m4} +rvia3_0 a0 b0 r = {rcvia3/nvia3_c0} +rvia3_1 a1 b1 r = {rcvia3/nvia3_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +ccmvpp11p5x11p7_m1m4 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +c0_sub d0 b c = {c02s} +c1_sub d1 b c = {c12s} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_m1m4_noshield + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* 4-terminal Vertical Parallel Plate Capacitor /w M1-M4 fingers and M5 Shield +* Layout: sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldm5 +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldm5 c0 c1 b m5 ++ +.param mult = 1 presim_flag = 0.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {108.40e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5__cor+0.0283/sqrt(11.5*11.7*2*mult)*108.40e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm5_c0 = {(5.04+presim_flag*1.26)*1e-15*c0m5m4_vpp} ++ cm5_c1 = {3.88e-15*c1m5m4_vpp} ++ c02s = {(3.69+presim_flag*0.54)*1e-15*cli2s_vpp} ++ c12s = {1.66e-15*cli2s_vpp} ++ rat_m4 = 0.1426 ++ rat_m3 = 0.1426 ++ rat_m2 = 0.3585 ++ rat_m1 = 0.3563 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = 5.215 ++ lm2 = 5.095 ++ lm3 = 5.050 ++ lm4 = 4.910 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 72.0 ++ nfm2 = 72.0 ++ nfm3 = 34.0 ++ nfm4 = 34.0 ++ nvia3_c0 = 103.0 ++ nvia3_c1 = 49.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 +cm5_0 m5 a0 c = {cm5_c0} +cm5_1 m5 a1 c = {cm5_c1} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +cm4 a2 a1 c = {cap_m4} +rvia3_0 a0 b0 r = {rcvia3/nvia3_c0} +rvia3_1 a1 b1 r = {rcvia3/nvia3_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +ccmvpp11p5x11p7_m1m4m5shield c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +c0_sub d0 b c = {c02s} +c1_sub d1 b c = {c12s} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldm5 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* 3-terminal Vertical Parallel Plate Capacitor /w LI-M5 Shield +* This is the ~50fF fixed capacitor model +* Layout: sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1m5_floatm4 +.subckt sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1m5_floatm4 c0 c1 b m5 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {42.11e-15*sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1m5_floatm4__cor+1.06/sqrt(mult/0.34582)*0.00399*42.11e-15*sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1m5_floatm4__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cli2s = {(4.31-0.42)*1e-15*cli2s_vpp} ++ cm5_c0 = {1.42e-15*c0m5m3_vpp} ++ cm5_c1 = {1.15e-15*c1m5m3_vpp} ++ rat_m3 = 0.160 ++ rat_m2 = 0.394 ++ rat_m1 = 0.404 ++ rat_m12li = 0.042 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_m12li = {rat_m12li*ctot_a} ++ lm3 = 3.125 ++ wm3 = 0.30 ++ nfm3 = 22.0 ++ nvia2_c0 = 68.0 ++ nvia2_c1 = 17.0 ++ lm2 = 3.685 ++ wm2 = 0.140 ++ nfm2 = 48.0 ++ nvia_c0 = 84.0 ++ nvia_c1 = 42.0 ++ lm1 = 3.290 ++ wm1 = 0.140 ++ nfm1 = 52.0 ++ nmcon = 84.0 +ccmvpp8p6x7p9_m3_lim5shield m5 c0 c = {cm5_c0} +cm5_1 m5 c1 c = {cm5_c1} +rm31 c0 z1 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 z1 c1 c = {cap_m3} +rvia2_1 c0 d0 r = {rcvia2/nvia2_c0} +rvia2_2 c1 d1 r = {rcvia2/nvia2_c1} +rm21 d0 a1 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 a1 d1 c = {cap_m2} +rvia1 d0 e0 r = {rcvia/nvia_c0} +rvia2 d1 e1 r = {rcvia/nvia_c1} +rm11 e0 b1 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 b1 e1 c = {cap_m1} +rmcon e0 f0 r = {rcl1/nmcon} +rliw f0 g0 r = {rl1} +cli2b g0 b c = {cli2s} +cm12li e1 g0 c = {cap_m12li} +.ends sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1m5_floatm4 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* 3-terminal Vertical Parallel Plate Capacitor /w LI-M5 Shield +* This is the ~12fF fixed capacitor model +* Layout: sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1m5_floatm4 +.subckt sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1m5_floatm4 c0 c1 b m5 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {10.778e-15*sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1__cor+1.03730/sqrt(mult/0.35078)*0.00731*10.778e-15*sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cli2s = {1.840e-15*cli2s_vpp} ++ rat_m3 = 0.1636 ++ rat_m2 = 0.4604 ++ rat_m1 = 0.3318 ++ rat_m12li = 0.0442 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_m12li = {rat_m12li*ctot_a} ++ cm5_c0 = {7.39e-16*c0m5m3_vpp} ++ cm5_c1 = {2.63e-16*c1m5m3_vpp} ++ lm3 = 1.50 ++ wm3 = 0.30 ++ nfm3 = 10.0 ++ nvia2_c0 = 32.0 ++ nvia2_c1 = 13.0 ++ lm2 = 1.585 ++ wm2 = 0.140 ++ nfm2 = 28.0 ++ nvia_c0 = 40.0 ++ nvia_c1 = 18.0 ++ lm1 = 1.665 ++ wm1 = 0.140 ++ nfm1 = 20.0 ++ nmcon = 42.0 +ccmvpp4p4x4p6_m3_lim5shield m5 c0 c = {cm5_c0} +cm5_1 m5 c1 c = {cm5_c1} +rm31 c0 z1 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 z1 c1 c = {cap_m3} +rvia2_1 c0 d0 r = {rcvia2/nvia2_c0} +rvia2_2 c1 d1 r = {rcvia2/nvia2_c1} +rm21 d0 a1 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 a1 d1 c = {cap_m2} +rvia1 d0 e0 r = {rcvia/nvia_c0} +rvia2 d1 e1 r = {rcvia/nvia_c1} +rm11 e0 b1 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 b1 e1 c = {cap_m1} +rmcon e0 f0 r = {rcl1/nmcon} +rliw f0 g0 r = {rl1} +cli2b g0 b c = {cli2s} +cm12li e1 g0 c = {cap_m12li} +.ends sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1m5_floatm4 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* 3-terminal Vertical Parallel Plate Capacitor /w LI-M5 Shield +* This is the ~100fF fixed capacitor model +* Layout: sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1m5_floatm4 +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1m5_floatm4 c0 c1 b m5 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {97.328e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1__cor+1.03787/sqrt(mult/0.35298)*0.00284*97.328e-15*sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cli2s = {7.433e-15*cli2s_vpp} ++ rat_m3 = 0.1539 ++ rat_m2 = 0.4074 ++ rat_m1 = 0.4025 ++ rat_m12li = 0.0362 ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_m12li = {rat_m12li*ctot_a} ++ cm5_c0 = {3.20e-15*c0m5m3_vpp} ++ cm5_c1 = {1.97e-15*c1m5m3_vpp} ++ lm3 = 5.05 ++ wm3 = 0.30 ++ nfm3 = 34.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ lm2 = 5.100 ++ wm2 = 0.140 ++ nfm2 = 76.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 ++ lm1 = 5.215 ++ wm1 = 0.140 ++ nfm1 = 72.0 ++ nmcon = 116.0 +ccmvpp11p5x11p7_m3_lim5shield m5 c0 c = {cm5_c0} +cm5_1 m5 c1 c = {cm5_c1} +rm31 c0 z1 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 z1 c1 c = {cap_m3} +rvia2_1 c0 d0 r = {rcvia2/nvia2_c0} +rvia2_2 c1 d1 r = {rcvia2/nvia2_c1} +rm21 d0 a1 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 a1 d1 c = {cap_m2} +rvia1 d0 e0 r = {rcvia/nvia_c0} +rvia2 d1 e1 r = {rcvia/nvia_c1} +rm11 e0 b1 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 b1 e1 c = {cap_m1} +rmcon e0 f0 r = {rcl1/nmcon} +rliw f0 g0 r = {rl1} +cli2b g0 b c = {cli2s} +cm12li e1 g0 c = {cap_m12li} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1m5_floatm4 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +.subckt sky130_fd_pr__cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5_nhv c0 c1 b m5 ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a_toplevel = {48.188e-15*cvpp2_nhvnative10x4_cor+0.0283/sqrt(2*3.6*3.6*mult)*48.188e-15*cvpp2_nhvnative10x4_cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm5_c0_toplevel = {4.79e-15*c0m5m4_vpp} ++ cm5_c1_toplevel = {5.02e-15*c1m5m4_vpp} ++ rat_m3_toplevel = 0.5 ++ rat_m4_toplevel = 0.5 ++ cap_m3_toplevel = {rat_m3_toplevel*ctot_a_toplevel} ++ cap_m4_toplevel = {rat_m4_toplevel*ctot_a_toplevel} ++ lm3_toplevel = 3.91 ++ lm4_toplevel = 4.8 ++ wm3_toplevel = 0.300 ++ wm4_toplevel = 0.300 ++ nfm3_toplevel = 38.0 ++ nfm4_toplevel = 30.0 ++ nvia3_c0_toplevel = 43.0 ++ nvia3_c1_toplevel = 96.0 ++ nvia2_c0_toplevel = 43.0 ++ nvia2_c1_toplevel = 44.0 +ccmvppx4_2xnhvnative10x4 m5 a0 c = {cm5_c0_toplevel} +cm5_1 m5 a1 c = {cm5_c1_toplevel} +rsm4 a0 a2 r = {rm4*lm4_toplevel/wm4_toplevel*(1/3)*(1/nfm4_toplevel)} +cm4 a2 a1 c = {cap_m4_toplevel} +rvia3_0 a0 b0 r = {rcvia3/nvia3_c0_toplevel} +rvia3_1 a1 b1 r = {rcvia3/nvia3_c1_toplevel} +rsm3 b0 b2 r = {rm3*lm3_toplevel/wm3_toplevel*(1/3)*(1/nfm3_toplevel)} +cm3 b2 b1 c = {cap_m3_toplevel} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0_toplevel} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1_toplevel} +xvpp1 c0 c1 c1 sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_noshield_o1nhv mult = 4 m = 4.0 +xvpp2 c1 c0 c1 c1 sky130_fd_pr__cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5_nhv__base w = 10 l = 4 ad = 3.15 as = 4.75 pd = 10.63 ps = 20.95 mult = 2 m = 2.0 +.ends sky130_fd_pr__cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5_nhv + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +.subckt sky130_fd_pr__cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5_nhv__base d g s b ++ +.param l = 4 w = 10 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +Msky130_fd_pr__cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5_nhv__base d g s b sky130_fd_pr__cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5_nhv__base__model l = {l} w = {w} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} nf = {nf} +.model sky130_fd_pr__cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5_nhv__base__model.0 nmos +* DC IV MOS Parameters ++ lmin = 3.995e-06 lmax = 4.005e-06 wmin = 9.995e-06 wmax = 1.0005e-5 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {6.93e-008+sky130_fd_pr__nfet_05v0_nvt__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {4.5e-008+sky130_fd_pr__nfet_05v0_nvt__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.6e-9 ++ dwb = 1.92e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 0.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 0.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.8 ++ rnoib = 0.38 ++ tnoia = 7.6e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__toxe_slope_spectre) ++ toxe = {1.061*1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(20*1.16e-008*sky130_fd_pr__nfet_05v0_nvt__toxe_mult*(sky130_fd_pr__nfet_05v0_nvt__toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = 0.1 ++ rsh = {1*sky130_fd_pr__nfet_05v0_nvt__rshn_mult} +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__vth0_slope_spectre) ++ vth0 = {0.06+sky130_fd_pr__nfet_05v0_nvt__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.364 ++ k2 = {0.043475+sky130_fd_pr__nfet_05v0_nvt__k2_diff_1} ++ k3 = 1.4 ++ dvt0 = 5.7 ++ dvt1 = 0.21851 ++ dvt2 = 0.04 ++ dvt0w = 7.7 ++ dvt1w = 1272000.0 ++ dvt2w = -0.032 ++ w0 = 0.0 ++ k3b = -0.58 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = -1.2362266e-14 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {73076+sky130_fd_pr__nfet_05v0_nvt__vsat_diff_1} ++ ua = {8.4094e-010+sky130_fd_pr__nfet_05v0_nvt__ua_diff_1} ++ ub = {1.2348e-018+sky130_fd_pr__nfet_05v0_nvt__ub_diff_1} ++ uc = 2.9976e-11 ++ rdsw = {430+sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_1} ++ prwb = 0.0 ++ prwg = 1.0e-12 ++ wr = 1.0 ++ u0 = {0.049769+sky130_fd_pr__nfet_05v0_nvt__u0_diff_1} ++ a0 = {0.0832+sky130_fd_pr__nfet_05v0_nvt__a0_diff_1} ++ keta = {-0.019904+sky130_fd_pr__nfet_05v0_nvt__keta_diff_1} ++ a1 = 0.0 ++ a2 = 0.96293372 ++ ags = {0.70396+sky130_fd_pr__nfet_05v0_nvt__ags_diff_1} ++ b0 = {3.3993e-007+sky130_fd_pr__nfet_05v0_nvt__b0_diff_1} ++ b1 = {0+sky130_fd_pr__nfet_05v0_nvt__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 0.0 ++ rdwmin = 0.0 ++ rsw = 0.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__voff_slope_spectre) ++ voff = {0+sky130_fd_pr__nfet_05v0_nvt__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__nfet_05v0_nvt__nfactor_slope_spectre) ++ nfactor = {0.63313+sky130_fd_pr__nfet_05v0_nvt__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__nfet_05v0_nvt__nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = {0+sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_1} ++ cit = 9.2584123e-8 ++ cdsc = 0.0 ++ cdscb = 1.4150948e-7 ++ cdscd = 1.5e-5 ++ eta0 = {9+sky130_fd_pr__nfet_05v0_nvt__eta0_diff_1} ++ etab = -0.00021692 ++ dsub = 0.42 +* BSIM4 - Sub-threshold parameters ++ voffl = 1.9445332e-8 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.11748+sky130_fd_pr__nfet_05v0_nvt__pclm_diff_1} ++ pdiblc1 = 8.833e-7 ++ pdiblc2 = 0.0002 ++ pdiblcb = 0.0 ++ drout = 0.13139 ++ pscbe1 = 2.4476e+8 ++ pscbe2 = 3.84e-9 ++ pvag = 4.5419436 ++ delta = 0.007 ++ alpha0 = 2.01e-6 ++ alpha1 = 0.093632 ++ beta0 = 19.448 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = {0.0068+sky130_fd_pr__nfet_05v0_nvt__pdits_diff_1} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_1} +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.35858+sky130_fd_pr__nfet_05v0_nvt__kt1_diff_1} ++ kt2 = -0.016016 ++ at = 22800.0 ++ ute = -1.7861 ++ ua1 = 4.4e-10 ++ ub1 = -1.6252e-18 ++ uc1 = -3.94e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1000000e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.5764 ++ jss = 0.00042966 ++ jsws = 8.040000000000001e-10 ++ xtis = 0.0 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0019685 ++ tpbsw = 0.001 ++ tpbswg = 0.0 ++ tcj = 0.00083 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgso = {3.473e-010*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cgdl = {5e-011*sky130_fd_pr__nfet_05v0_nvt__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-7 ++ cle = 0.6 ++ dlc = {7.6493e-008+sky130_fd_pr__nfet_05v0_nvt__dlc_diff+sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__nfet_05v0_nvt__dwc_diff} ++ vfbcv = -1.0 ++ acde = 1.16 ++ moin = 15.0 ++ noff = 4.0 ++ voffcv = 0.216 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0008602*sky130_fd_pr__nfet_05v0_nvt__ajunction_mult} ++ mjs = 0.28329 ++ pbs = 0.66345 ++ cjsws = {8.5152e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjsws = 0.057926 ++ pbsws = 1.0 ++ cjswgs = {3.58e-011*sky130_fd_pr__nfet_05v0_nvt__pjunction_mult} ++ mjswgs = 0.33 ++ pbswgs = 0.2442 +* Stress Parameters ++ saref = 3.0e-6 ++ sbref = 3.0e-6 ++ wlod = {0+sky130_fd_pr__nfet_05v0_nvt__wlod_diff} ++ kvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__kvth0_diff} ++ lkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff} ++ wkvth0 = {0+sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff} ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = {0+sky130_fd_pr__nfet_05v0_nvt__ku0_diff} ++ lku0 = {0+sky130_fd_pr__nfet_05v0_nvt__lku0_diff} ++ wku0 = {0+sky130_fd_pr__nfet_05v0_nvt__wku0_diff} ++ pku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = {0+sky130_fd_pr__nfet_05v0_nvt__kvsat_diff} ++ steta0 = 0.0 ++ tku0 = 0.0 +.ends sky130_fd_pr__cap_vpp_11p3x11p8_l1m1m2m3m4_shieldm5_nhv__base + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and Poly/M5 Shield +* Layout: sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5_x +.subckt sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5_x c0 c1 b m5 ++ +.param mult = 1 presim_flag = 0.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {141.23e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5__cor+1.5*0.00244/sqrt(mult/0.21936)*141.23e-15*sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ cm5_c0 = {((2.074e-15)*c0m5m4_vpp0p4shield+(2.916e-15*presim_flag))} ++ cm5_c1 = {((3.67e-15)*c1m5m4_vpp0p4shield)} ++ cpl2s = {((15.22e-15)*cpl2s_vpp0p4shield+(2.84e-15*presim_flag))} ++ rat_m4 = 0.1120 ++ rat_m3 = 0.1120 ++ rat_m2 = 0.2836 ++ rat_m1 = 0.2801 ++ rat_li = 0.1863 ++ rat_li2p = 0.026 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ cap_li = {rat_li*ctot_a} ++ cap_li2p = {rat_li2p*ctot_a} ++ ll1 = 5.070 ++ lm1 = 5.215 ++ lm2 = 5.095 ++ lm3 = 5.050 ++ lm4 = 4.910 ++ wl1 = 0.170 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfl1 = 62.0 ++ nfm1 = 72.0 ++ nfm2 = 72.0 ++ nfm3 = 34.0 ++ nfm4 = 34.0 ++ nvia3_c0 = 103.0 ++ nvia3_c1 = 49.0 ++ nvia2_c0 = 104.0 ++ nvia2_c1 = 49.0 ++ nvia_c0 = 124.0 ++ nvia_c1 = 62.0 ++ ncon_c0 = 116.0 ++ ncon_c1 = 28.0 ++ nlicon = 126.0 +ccmvpp11p5x11p7_polym50p4shield m5 a0 c = {cm5_c0} +cm5_1 m5 a1 c = {cm5_c1} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +cm4 a2 a1 c = {cap_m4} +rvia3_0 a0 b0 r = {rcvia3/nvia3_c0} +rvia3_1 a1 b1 r = {rcvia3/nvia3_c1} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2_c0} +rvia2_1 b1 c1 r = {rcvia2/nvia2_c1} +rsm2 c0 c2 r = {rm2*lm2/wm2*(1/3)*(1/nfm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia_c0} +rvia_1 c1 d1 r = {rcvia/nvia_c1} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +rcon1 d0 e0 r = {rcl1/ncon_c0} +rcon2 d1 e1 r = {rcl1/ncon_c1} +rli1 e0 e2 r = {rl1*ll1/wl1*(1/3)*(1/nfl1)} +cli e2 e1 c = {cap_li} +rlicon e0 f0 r = {rcp1/nlicon} +rpoly f0 f2 r = {rp1} +cl12p e1 f2 c = {cap_li2p} +cpl2b f0 b c = {cpl2s} +.ends sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5_x + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* High Density 3-terminal Vertical Parallel Plate Capacitor +* Layout: sky130_fd_pr__cap_vpp_02p9x06p1_m1m2m3m4_shieldl1_fingercap2 +.subckt sky130_fd_pr__cap_vpp_02p9x06p1_m1m2m3m4_shieldl1_fingercap2 c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {12.65e-15*sky130_fd_pr__model__cap_vpp_finger__cor+0.0283/sqrt(mult*2*6.1*2.85)*12.65e-15*sky130_fd_pr__model__cap_vpp_finger__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ c0_sub = {1.225e-15*cli2s_vpp} ++ c1_sub = {0.712e-15*cli2s_vpp} ++ rat_m4 = 0.125 ++ rat_m3 = 0.125 ++ rat_m2 = 0.375 ++ rat_m1 = 0.375 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = {6.1-0.96} ++ lm2 = {6.1-0.96} ++ lm3 = {6.1-0.96} ++ lm4 = {6.1-0.96} ++ wm1 = 0.160 ++ wm2 = 0.160 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 9.0 ++ nfm2 = 9.0 ++ nfm3 = 5.0 ++ nfm4 = 5.0 ++ nvia3 = 5.0 ++ nvia2 = 5.0 ++ nvia = 5.0 +ccmvpp_hd5_atlas_fingercap2_l5 a2 a1 c = {cap_m4} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +rvia3_0 a0 b0 r = {rcvia3/nvia3} +rvia3_1 a1 b1 r = {rcvia3/nvia3} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2} +rvia2_1 b1 c1 r = {rcvia2/nvia2} +rsm2 c0 c2 r = {(rm2*lm2/wm2*(1/3)*(1/nfm2)+rm2*lm2/wm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia} +rvia_1 c1 d1 r = {rcvia/nvia} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +cm12b_0 d0 b c = {c0_sub} +cm12b_1 d1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_02p9x06p1_m1m2m3m4_shieldl1_fingercap2 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* High Density 3-terminal Vertical Parallel Plate Capacitor +* Layout: sky130_fd_pr__cap_vpp_02p7x11p1_m1m2m3m4_shieldl1_fingercap +.subckt sky130_fd_pr__cap_vpp_02p7x11p1_m1m2m3m4_shieldl1_fingercap c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {23.35e-15*sky130_fd_pr__model__cap_vpp_finger__cor+0.0283/sqrt(mult*2*11.1*2.7)*23.35e-15*sky130_fd_pr__model__cap_vpp_finger__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ c0_sub = {1.506e-15*cli2s_vpp} ++ c1_sub = {1.075e-15*cli2s_vpp} ++ rat_m4 = 0.125 ++ rat_m3 = 0.125 ++ rat_m2 = 0.375 ++ rat_m1 = 0.375 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = {11.1-0.96} ++ lm2 = {11.1-0.96} ++ lm3 = {11.1-0.96} ++ lm4 = {11.1-0.96} ++ wm1 = 0.160 ++ wm2 = 0.160 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 9.0 ++ nfm2 = 9.0 ++ nfm3 = 5.0 ++ nfm4 = 5.0 ++ nvia3 = 5.0 ++ nvia2 = 5.0 ++ nvia = 5.0 +ccmvpp_hd5_atlas_fingercap_l10 a2 a1 c = {cap_m4} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +rvia3_0 a0 b0 r = {rcvia3/nvia3} +rvia3_1 a1 b1 r = {rcvia3/nvia3} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2} +rvia2_1 b1 c1 r = {rcvia2/nvia2} +rsm2 c0 c2 r = {(rm2*lm2/wm2*(1/3)*(1/nfm2)+rm2*lm2/wm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia} +rvia_1 c1 d1 r = {rcvia/nvia} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +cm12b_0 d0 b c = {c0_sub} +cm12b_1 d1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_02p7x11p1_m1m2m3m4_shieldl1_fingercap + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* High Density 3-terminal Vertical Parallel Plate Capacitor +* Layout: sky130_fd_pr__cap_vpp_02p7x21p1_m1m2m3m4_shieldl1_fingercap +.subckt sky130_fd_pr__cap_vpp_02p7x21p1_m1m2m3m4_shieldl1_fingercap c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {45.83e-15*sky130_fd_pr__model__cap_vpp_finger__cor+0.0283/sqrt(mult*2*21.1*2.7)*45.83e-15*sky130_fd_pr__model__cap_vpp_finger__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ c0_sub = {2.489e-15*cli2s_vpp} ++ c1_sub = {1.823e-15*cli2s_vpp} ++ rat_m4 = 0.125 ++ rat_m3 = 0.125 ++ rat_m2 = 0.375 ++ rat_m1 = 0.375 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = {21.1-0.96} ++ lm2 = {21.1-0.96} ++ lm3 = {21.1-0.96} ++ lm4 = {21.1-0.96} ++ wm1 = 0.160 ++ wm2 = 0.160 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 9.0 ++ nfm2 = 9.0 ++ nfm3 = 5.0 ++ nfm4 = 5.0 ++ nvia3 = 5.0 ++ nvia2 = 5.0 ++ nvia = 5.0 +ccmvpp_hd5_atlas_fingercap_l20 a2 a1 c = {cap_m4} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +rvia3_0 a0 b0 r = {rcvia3/nvia3} +rvia3_1 a1 b1 r = {rcvia3/nvia3} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2} +rvia2_1 b1 c1 r = {rcvia2/nvia2} +rsm2 c0 c2 r = {(rm2*lm2/wm2*(1/3)*(1/nfm2)+rm2*lm2/wm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia} +rvia_1 c1 d1 r = {rcvia/nvia} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +cm12b_0 d0 b c = {c0_sub} +cm12b_1 d1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_02p7x21p1_m1m2m3m4_shieldl1_fingercap + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* High Density 3-terminal Vertical Parallel Plate Capacitor +* Layout: sky130_fd_pr__cap_vpp_02p7x41p1_m1m2m3m4_shieldl1_fingercap +.subckt sky130_fd_pr__cap_vpp_02p7x41p1_m1m2m3m4_shieldl1_fingercap c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {91.27e-15*sky130_fd_pr__model__cap_vpp_finger__cor+0.0283/sqrt(mult*2*41.1*2.7)*91.27e-15*sky130_fd_pr__model__cap_vpp_finger__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ c0_sub = {4.434e-15*cli2s_vpp} ++ c1_sub = {3.315e-15*cli2s_vpp} ++ rat_m4 = 0.125 ++ rat_m3 = 0.125 ++ rat_m2 = 0.375 ++ rat_m1 = 0.375 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = {41.1-0.96} ++ lm2 = {41.1-0.96} ++ lm3 = {41.1-0.96} ++ lm4 = {41.1-0.96} ++ wm1 = 0.160 ++ wm2 = 0.160 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 9.0 ++ nfm2 = 9.0 ++ nfm3 = 5.0 ++ nfm4 = 5.0 ++ nvia3 = 5.0 ++ nvia2 = 5.0 ++ nvia = 5.0 +ccmvpp_hd5_atlas_fingercap_l40 a2 a1 c = {cap_m4} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +rvia3_0 a0 b0 r = {rcvia3/nvia3} +rvia3_1 a1 b1 r = {rcvia3/nvia3} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2} +rvia2_1 b1 c1 r = {rcvia2/nvia2} +rsm2 c0 c2 r = {(rm2*lm2/wm2*(1/3)*(1/nfm2)+rm2*lm2/wm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia} +rvia_1 c1 d1 r = {rcvia/nvia} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +cm12b_0 d0 b c = {c0_sub} +cm12b_1 d1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_02p7x41p1_m1m2m3m4_shieldl1_fingercap + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* High Density 3-terminal Vertical Parallel Plate Capacitor +* Layout: sky130_fd_pr__cap_vpp_02p7x06p1_m1m2m3m4_shieldl1_fingercap +.subckt sky130_fd_pr__cap_vpp_02p7x06p1_m1m2m3m4_shieldl1_fingercap c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {12.13e-15*sky130_fd_pr__model__cap_vpp_finger__cor+0.0283/sqrt(mult*2*6.1*2.7)*12.13e-15*sky130_fd_pr__model__cap_vpp_finger__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ c0_sub = {0.998e-15*cli2s_vpp} ++ c1_sub = {0.701e-15*cli2s_vpp} ++ rat_m4 = 0.125 ++ rat_m3 = 0.125 ++ rat_m2 = 0.375 ++ rat_m1 = 0.375 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = {6.1-0.96} ++ lm2 = {6.1-0.96} ++ lm3 = {6.1-0.96} ++ lm4 = {6.1-0.96} ++ wm1 = 0.160 ++ wm2 = 0.160 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 9.0 ++ nfm2 = 9.0 ++ nfm3 = 5.0 ++ nfm4 = 5.0 ++ nvia3 = 5.0 ++ nvia2 = 5.0 ++ nvia = 5.0 +ccmvpp_hd5_atlas_fingercap_l5 a2 a1 c = {cap_m4} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +rvia3_0 a0 b0 r = {rcvia3/nvia3} +rvia3_1 a1 b1 r = {rcvia3/nvia3} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2} +rvia2_1 b1 c1 r = {rcvia2/nvia2} +rsm2 c0 c2 r = {(rm2*lm2/wm2*(1/3)*(1/nfm2)+rm2*lm2/wm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia} +rvia_1 c1 d1 r = {rcvia/nvia} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +cm12b_0 d0 b c = {c0_sub} +cm12b_1 d1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_02p7x06p1_m1m2m3m4_shieldl1_fingercap + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* High Density 3-terminal Vertical Parallel Plate Capacitor +* Layout: sky130_fd_pr__cap_vpp_11p3x11p3_m1m2m3m4_shieldl1_wafflecap +.subckt sky130_fd_pr__cap_vpp_11p3x11p3_m1m2m3m4_shieldl1_wafflecap c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {110.41e-15*sky130_fd_pr__model__cap_vpp_finger__cor+0.0283/sqrt(mult*2*11.33*11.33)*110.41e-15*sky130_fd_pr__model__cap_vpp_finger__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ c0_sub = {5.993e-15*cli2s_vpp} ++ c1_sub = {2.615e-15*cli2s_vpp} ++ rat_m4 = 0.125 ++ rat_m3 = 0.125 ++ rat_m2 = 0.375 ++ rat_m1 = 0.375 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = {11.33-0.96} ++ lm2 = {11.33-0.96} ++ lm3 = {11.33-0.96} ++ lm4 = {11.33-0.96} ++ wm1 = 0.160 ++ wm2 = 0.160 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 9.0 ++ nfm2 = 9.0 ++ nfm3 = 5.0 ++ nfm4 = 5.0 ++ nvia3 = 5.0 ++ nvia2 = 5.0 ++ nvia = 5.0 +ccmvpp_hd5_atlas_wafflecap1 a2 a1 c = {cap_m4} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +rvia3_0 a0 b0 r = {rcvia3/nvia3} +rvia3_1 a1 b1 r = {rcvia3/nvia3} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2} +rvia2_1 b1 c1 r = {rcvia2/nvia2} +rsm2 c0 c2 r = {(rm2*lm2/wm2*(1/3)*(1/nfm2)+rm2*lm2/wm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia} +rvia_1 c1 d1 r = {rcvia/nvia} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +cm12b_0 d0 b c = {c0_sub} +cm12b_1 d1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_11p3x11p3_m1m2m3m4_shieldl1_wafflecap + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +* High Density 3-terminal Vertical Parallel Plate Capacitor +* Layout: sky130_fd_pr__cap_vpp_05p9x05p9_m1m2m3m4_shieldl1_wafflecap +.subckt sky130_fd_pr__cap_vpp_05p9x05p9_m1m2m3m4_shieldl1_wafflecap c0 c1 b ++ +.param mult = 1.0 ++ +*(mismatch parameter sky130_fd_pr__model__cap_vpp_only_p__slope) ++ ctot_a = {27.78e-15*sky130_fd_pr__model__cap_vpp_finger__cor+0.0283/sqrt(mult*2*5.9*5.9)*27.78e-15*sky130_fd_pr__model__cap_vpp_finger__cor*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ c0_sub = {1.76e-15*cli2s_vpp} ++ c1_sub = {1.447e-15*cli2s_vpp} ++ rat_m4 = 0.125 ++ rat_m3 = 0.125 ++ rat_m2 = 0.375 ++ rat_m1 = 0.375 ++ cap_m4 = {rat_m4*ctot_a} ++ cap_m3 = {rat_m3*ctot_a} ++ cap_m2 = {rat_m2*ctot_a} ++ cap_m1 = {rat_m1*ctot_a} ++ lm1 = {5.9-0.96} ++ lm2 = {5.9-0.96} ++ lm3 = {5.9-0.96} ++ lm4 = {5.9-0.96} ++ wm1 = 0.160 ++ wm2 = 0.160 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ nfm1 = 9.0 ++ nfm2 = 9.0 ++ nfm3 = 5.0 ++ nfm4 = 5.0 ++ nvia3 = 5.0 ++ nvia2 = 5.0 ++ nvia = 5.0 +ccmvpp_hd5_atlas_wafflecap2 a2 a1 c = {cap_m4} +rsm4 a0 a2 r = {rm4*lm4/wm4*(1/3)*(1/nfm4)} +rvia3_0 a0 b0 r = {rcvia3/nvia3} +rvia3_1 a1 b1 r = {rcvia3/nvia3} +rsm3 b0 b2 r = {rm3*lm3/wm3*(1/3)*(1/nfm3)} +cm3 b2 b1 c = {cap_m3} +rvia2_0 b0 c0 r = {rcvia2/nvia2} +rvia2_1 b1 c1 r = {rcvia2/nvia2} +rsm2 c0 c2 r = {(rm2*lm2/wm2*(1/3)*(1/nfm2)+rm2*lm2/wm2)} +cm2 c2 c1 c = {cap_m2} +rvia_0 c0 d0 r = {rcvia/nvia} +rvia_1 c1 d1 r = {rcvia/nvia} +rsm1 d0 d2 r = {rm1*lm1/wm1*(1/3)*(1/nfm1)} +cm1 d2 d1 c = {cap_m1} +cm12b_0 d0 b c = {c0_sub} +cm12b_1 d1 b c = {c1_sub} +.ends sky130_fd_pr__cap_vpp_05p9x05p9_m1m2m3m4_shieldl1_wafflecap + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* } +* 4-terminal Vertical Parallel Plate Capacitor /w LI-M4 fingers and M5 Shield +.subckt sky130_fd_pr__cap_vpp_44p7x23p1_pol1m1m2m3m4m5_noshield c0 c1 b mf=1 +.param ++ ctot_a = '1.47e-12*sky130_fd_pr__cap_vpp_11p5x11p7_pol1m1m2m3m4m5_noshield_base__cor' ++ c0_sub = '5.17e-14*cli2s_vpp' ++ c1_sub = '4.86e-14*cli2s_vpp' ++ rat_m5 = 0.026 ++ rat_m4 = 0.1 ++ rat_m3 = 0.1 ++ rat_m2 = 0.259 ++ rat_m1 = 0.259 ++ rat_li = 0.18 ++ rat_py = 0.076 ++ cap_m5 = 'rat_m5*ctot_a' ++ cap_m4 = 'rat_m4*ctot_a' ++ cap_m3 = 'rat_m3*ctot_a' ++ cap_m2 = 'rat_m2*ctot_a' ++ cap_m1 = 'rat_m1*ctot_a' ++ cap_li = 'rat_li*ctot_a' ++ cap_py = 'rat_py*ctot_a' ++ lpy = '23.05-2*0.33-0.21' ++ ll1 = '23.05-2*0.33-0.21' ++ lm1 = '23.05-2*0.33-0.21' ++ lm2 = '23.05-2*0.33-0.21' ++ lm3 = '23.05-2*0.33-0.21' ++ lm4 = '23.05-2*0.33-0.21' ++ lm5 = '23.05-3*1.6' ++ wpy = 0.150 ++ wl1 = 0.140 ++ wm1 = 0.140 ++ wm2 = 0.140 ++ wm3 = 0.300 ++ wm4 = 0.300 ++ wm5 = 1.60 ++ nfpy = '32.28*4' ++ nfl1 = '41.25*4' ++ nfm1 = '41.25*4' ++ nfm2 = '41.25*4' ++ nfm3 = '19.52*4' ++ nfm4 = '19.52*4' ++ nfm5 = '4.07*4' ++ nvia4 = 2.0 ++ nvia3 = '28*4' ++ nvia2 = '10*4' ++ nvia = '20*4' ++ ncon = '31*4' ++ nlicon = '33*4' +rsm5 z0 z2 'rm5*lm5/wm5*(1/3)*(1/nfm5)' +cm5 z2 z1 'cap_m5' +rvia4_0 z0 a0 'rcvia4/nvia4' +rvia4_1 z1 a1 'rcvia4/nvia4' +rsm4 a0 a2 'rm4*lm4/wm4*(1/3)*(1/nfm4)' +cm4 a2 a1 'cap_m4' +rvia3_0 a0 b0 'rcvia3/nvia3' +rvia3_1 a1 b1 'rcvia3/nvia3' +rsm3 b0 b2 'rm3*lm3/wm3*(1/3)*(1/nfm3)' +cm3 b2 b1 'cap_m3' +rvia2_0 b0 c0 'rcvia2/nvia2' +rvia2_1 b1 c1 'rcvia2/nvia2' +rsm2 c0 c2 '(rm2*lm2/wm2*(1/3)*(1/nfm2)+rm2*lm2/wm2)' +cm2 c2 c1 'cap_m2' +rvia_0 c0 d0 'rcvia/nvia' +rvia_1 c1 d1 'rcvia/nvia' +rsm1 d0 d2 'rm1*lm1/wm1*(1/3)*(1/nfm1)' +cm1 d2 d1 'cap_m1' +rcon1 d0 e0 'rcl1/ncon' +rcon2 d1 e1 'rcl1/ncon' +rli1 e0 e2 'rl1*ll1/wl1*(1/3)*(1/nfl1)' +cli e2 e1 'cap_li' +rlicon1 e0 f0 'rcp1/nlicon' +rlicon2 e1 f1 'rcp1/nlicon' +rpy1 f0 f2 'rp1*lpy/wpy*(1/3)*(1/nfpy)' +cpy f2 f1 'cap_py' +cpy2b_0 f0 b 'c0_sub' +cpy2b_1 f1 b 'c1_sub' +.ends sky130_fd_pr__cap_vpp_44p7x23p1_pol1m1m2m3m4m5_noshield + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__res_high_po__slope_spectre = 0.0 +.param sky130_fd_pr__res_high_po__con_slope_spectre = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__res_high_po__slope_spectre dist=gauss std=1.0 +* vary sky130_fd_pr__res_high_po__con_slope_spectre dist=gauss std=1.0 +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__model__parasitic__res_po r0 r1 sub ++ w=1 l=1 +c0 r0 sub c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_1_1*1e-6)/2} +c1 r1 sub c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_1_1*1e-6)/2} +.ends sky130_fd_pr__model__parasitic__res_po + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_xhigh_po r0 r1 sub ++ w=1 l=1 +.param mult = 1.0 ++ rsheet = 2000.0 ++ rend_mm = {0.0472/sqrt(w)} ++ leff = {l-0.0592} ++ weff = {w-0.056} +* ; Resistor voltco fitting parameters ++ bp2 = -0.1228 ++ bq2 = 1.304 ++ bp22 = -0.2874 ++ bp23 = 0.5252 +* ; Substrate voltco fitting parameters ++ sub1 = 1.2 ++ sub2 = 41.26e-3 ++ sub3 = 8.697e-3 ++ sub4 = 24.0 ++ sub5 = 39.86 ++ body_pelgrom = 0.0347 +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ res_match = {(body_pelgrom/sqrt(w*l*mult))*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ rhead0 = {188.2/(weff-0.0672*max(0.69-w,0)+1.41)} ++ rbody0 = {rsheet*leff/(weff-0.0672*max(0.69-w,0))} +*(mismatch parameter sky130_fd_pr__res_high_po__con_slope_spectre) ++ rhead = {rhead0*(1+sky130_fd_pr__res_xhigh_po__var_mult)*(1+rend_mm/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1))} ++ rbody = {rbody0*(1+sky130_fd_pr__res_xhigh_po__var_mult)*(1+res_match)} ++ Efac = {1/leff*(1+bp22/w+bp23*min(0.2,leff-0.5)*log(leff/w))} +rend1 r0 t1 reshead r = {rhead} ++ tc1 = -4.3e-4 ++ tc2 = -1.3e-5 +*+ tnom = 25.0 +rend2 t2 r1 reshead r = {rhead} ++ tc1 = -4.3e-4 ++ tc2 = -1.3e-5 +*+ tnom = 25.0 +xc0 r0 r1 sub sky130_fd_pr__model__parasitic__res_po w = {w} l = {l} +rbody t1 t2 r = {rbody*(1-bp2+bp2*sqrt(1+(bq2*abs(v(t1,t2))*Efac)**2))* ++ (sub1+sub2*tanh(sub3*(min(v(r0,sub)+v(r1,sub),sub4)+sub5))) / (sub1+sub2*tanh(sub3*sub5)) } ++ tc1 = -1.47e-3 ++ tc2 = 2.7e-6 +*+ tnom = 25.0 +.model resbody r tc1 = {-1.47e-3-5e-7*sky130_fd_pr__res_xhigh_po__var_mult*rsheet} tc2 = 2.7e-6 tnom = 25.0 +.model reshead r tc1 = -4.3e-4 tc2 = -1.3e-5 tnom = 25.0 +.ends sky130_fd_pr__res_xhigh_po + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_high_po r0 r1 b ++ w=1 l=1 +.param mult = 1.0 ++ rsheet = 317.3885 ++ rbody_dw = -0.001 ++ rhead_ps = 345.8312 ++ mm_const = {(w<0.69)?0.1:0.2} ++ body_pelgrom = 0.03552 ++ head_pelgrom = 0.0761 ++ num_con_row = {max(floor(0.5+(w-0.33)/0.36),1)} +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ rbody_match = {body_pelgrom/sqrt(w*l*mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1)} +*(mismatch parameter sky130_fd_pr__res_high_po__con_slope_spectre) ++ rend_match = {head_pelgrom/sqrt((w+0.525)*num_con_row*mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ weff = {w+rbody_dw-0.0672*max(0.69-w,0)} ++ leff = {l+0.247} +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ res_match = {(body_pelgrom/sqrt(w*l*mult))*MC_MM_SWITCH*AGAUSS(0,1.0,1)} +rhead r0 rb rhead_model w = {weff+0.1558} l = 1.0 +rbody rb r1 rbody_model w = {weff} l = {leff} +xc0 r0 r1 b sky130_fd_pr__model__parasitic__res_po w = {w} l = {l} +.model rhead_model r sw_et=0 isnoisy=0 ++ rsh = {345.8312*(1+sky130_fd_pr__res_high_po__var)*(1+rend_match)} ++ p2 = {-80.87e-3/cosh(6.34e-3*weff*weff)*(1-exp(-1.554/leff))} ++ q2 = {10.13/cosh(0.0898*weff*weff)} ++ tc1 = -4.3e-4 tc2 = 12e-6 tnom = 30.0 +.model rbody_model r sw_et=0 isnoisy=0 ++ rsh = {rsheet*(1+sky130_fd_pr__res_high_po__var)*(1+res_match)} ++ p2 = {(w>0.6)?130.8e3*(1-exp(-1.818e-3*leff/weff))-867.4/weff+2236*weff/leff:300*(1-exp(-0.1124*leff/weff))+304.8*weff/leff} ++ q2 = {(w>0.6)?6.11*(1-exp(-852.8e-6*leff/weff))+1.375e-3*weff:0.5*0.3155*(1-exp(-0.05518*leff/weff))+1.19E-05*weff} ++ p3 = {(w>0.6)?380.3*weff/leff:0} ++ q3 = {(w>0.6)?42.62e-3*weff/leff:0} ++ tc1 = {tc1rpolybody} tc2 = {tc2rpolybody} tnom = 30.0 +.ends sky130_fd_pr__res_high_po + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_xhigh_po_0p35 r0 r1 b ++ +.param w = 0.35 l = 5 mult = 1.0 +Xsky130_fd_pr__res_xhigh_po_0p35 r0 r1 b sky130_fd_pr__res_xhigh_po__base w = {w} l = {l} mult = {mult} +.ends sky130_fd_pr__res_xhigh_po_0p35 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_xhigh_po_0p69 r0 r1 b ++ +.param w = 0.69 l = 5 mult = 1.0 +Xsky130_fd_pr__res_xhigh_po_0p69 r0 r1 b sky130_fd_pr__res_xhigh_po__base w = {w} l = {l} mult = {mult} +.ends sky130_fd_pr__res_xhigh_po_0p69 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_xhigh_po_1p41 r0 r1 b ++ +.param w = 1.41 l = 5 mult = 1.0 +Xsky130_fd_pr__res_xhigh_po_1p41 r0 r1 b sky130_fd_pr__res_xhigh_po__base w = {w} l = {l} mult = {mult} +.ends sky130_fd_pr__res_xhigh_po_1p41 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_xhigh_po_2p85 r0 r1 b ++ +.param w = 2.85 l = 5 mult = 1.0 +Xsky130_fd_pr__res_xhigh_po_2p85 r0 r1 b sky130_fd_pr__res_xhigh_po__base w = {w} l = {l} mult = {mult} +.ends sky130_fd_pr__res_xhigh_po_2p85 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_xhigh_po_5p73 r0 r1 b ++ +.param w = 5.73 l = 5 mult = 1.0 +Xsky130_fd_pr__res_xhigh_po_5p73 r0 r1 b sky130_fd_pr__res_xhigh_po__base w = {w} l = {l} mult = {mult} +.ends sky130_fd_pr__res_xhigh_po_5p73 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_xhigh_po__base r1 r2 b ++ ++ w=1 l=1 mult=1 ++ +.param rsheet = 2000.0 ++ vc1_body = -1.00e-3 ++ vc2_body = -1.00e-4 ++ vc1_raw_end = -2.02e-2 ++ vc2_raw_end = 1.55e-1 ++ vc3_raw_end = 4.61e-2 ++ body_pelgrom = 0.0347 ++ r0_var = {17.23/w+2.318} ++ r1_var = {11.76/w} ++ rcon = {-46.62/(w*w)+331.73/w+20.576} ++ rend_mm = {0.0472/sqrt(w)} ++ tc1_voltco = -7.1e-3 ++ rend_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2)*r0_var} ++ rtot_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2*pow(r0_var,2)+pow((r1_var*l),2))} +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ res_match = {(body_pelgrom/sqrt(w*l*mult))*MC_MM_SWITCH*AGAUSS(0,1.0,1)} ++ rbody_var = {rtot_var-rend_var} +*(mismatch parameter sky130_fd_pr__res_high_po__con_slope_spectre) ++ rend = {(rcon+rend_var)*(1+rend_mm/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1))} ++ vc1_end = {vc1_raw_end/pwr(l,0.5)*(1+tc1_voltco*(temper-30))} ++ vc2_end = {vc2_raw_end/pwr(l,0.5)*(1+tc1_voltco*(temper-30))} ++ vc3_end = {vc3_raw_end/pwr(l,0.5)*(1+tc1_voltco*(temper-30))} ++ rbody = {(l*rsheet+rbody_var)*(1+res_match)/w} +rbody ra r2 r = {rbody*(1+abs(v(r1,r2))*vc1_body+abs(v(r1,r2))*abs(v(r1,r2))/(l*l)*vc2_body)} ++ tc1 = -1.47e-3 ++ tc2 = 2.7e-6 +*+tnom = 30.0 +rend r1 ra r = {rend*(1+vc1_raw_end*(1-exp(-abs(v(r2,r1))))+ ++ vc2_raw_end*(1 - exp(-abs(v(r2,r1)))) * (1 - exp(-abs(v(r2,r1)))) + ++ vc3_raw_end*(1 - exp(-abs(v(r2,r1)))) * (1 - exp(-abs(v(r2,r1)))) * (1 - exp(-abs(v(r2,r1)))) ) } +c1 r2 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_1_1*1e-6)/2} +c2 r1 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_1_1*1e-6)/2} +.ends sky130_fd_pr__res_xhigh_po__base + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_high_po_0p35 r0 r1 b ++ +.param w = 0.350 l = 5 mult = 1.0 ++ ++ body_pelgrom = 0.0347 ++ rend_mm = 0.085 ++ rcon = 589.99 ++ rsheet = 1112.41 ++ tc1_voltco = -7.1e-3 ++ vc1_body = -8.46e-3 ++ vc2_body = 1.97e-3 ++ vc3_body = 3.30e-5 ++ vc1_raw_end = -2.02e-2 ++ vc2_raw_end = 1.55e-1 ++ vc3_raw_end = 4.61e-2 ++ r0_var = 50.97 ++ r1_var = 34.74 ++ vc1_end = {vc1_raw_end/pwr(l,0.5)*(1+tc1_voltco*(temper-30))} ++ vc2_end = {vc2_raw_end/pwr(l,0.5)*(1+tc1_voltco*(temper-30))} ++ vc3_end = {vc3_raw_end/pwr(l,0.5)*(1+tc1_voltco*(temper-30))} ++ rtot_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2*pow(r0_var,2)+pow((r1_var*l),2))} ++ rend_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2)*r0_var} ++ rbody_var = {rtot_var-rend_var} +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ res_match = {(body_pelgrom/sqrt(w*l*mult))*MC_MM_SWITCH*AGAUSS(0,1.0,1)} +*(mismatch parameter sky130_fd_pr__res_high_po__con_slope_spectre) ++ rend = {(rcon+rend_var)*(1+rend_mm/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1))} ++ rbody = {(l*rsheet+rbody_var)*(1+res_match)} +rend r0 ra r = {rend*(1+min((abs(v(r0,r1))-1.7),0.3)*vc1_end+pow(min(abs(v(r0,r1))-1.7,0.3),2)*vc2_end+pow(min(abs(v(r0,r1))-1.7,0.3),3)*vc3_end)} +rhrpoly_0p35 ra r1 r = {rbody*(1+abs(v(r0,r1))*vc1_body+pow(abs(v(r0,r1)),2)*vc2_body+pow(abs(v(r0,r1)),3)*vc3_body)} ++ tc1 = 0.514e-3 ++ tc2 = 0.122e-5 +*+ tnom=30 +c1 r0 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_1_1*1e-6)/2} +c2 r1 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_1_1*1e-6)/2} +.ends sky130_fd_pr__res_high_po_0p35 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_high_po_0p69 r0 r1 b ++ +.param w = 0.690 l = 5 mult = 1.0 ++ ++ body_pelgrom = 0.0317 ++ rend_mm = 0.064 ++ rcon = 389.90 ++ rsheet = 491.36 ++ tc1_voltco = -4.27e-3 ++ vc1_body = 1.92e-4 ++ vc2_body = 2.86e-4 ++ vc3_body = 3.48e-5 ++ vc1_raw_end = -3.90e-4 ++ vc2_raw_end = 1.37e-1 ++ vc3_raw_end = 4.52e-2 ++ r0_var = 28.13 ++ r1_var = 15.71 ++ vc1_end = {vc1_raw_end/l*(1+tc1_voltco*(temper-30))} ++ vc2_end = {vc2_raw_end/l*(1+tc1_voltco*(temper-30))} ++ vc3_end = {vc3_raw_end/l*(1+tc1_voltco*(temper-30))} ++ rtot_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2*pow(r0_var,2)+pow((r1_var*l),2))} ++ rend_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2)*r0_var} ++ rbody_var = {rtot_var-rend_var} +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ res_match = {(body_pelgrom/sqrt(w*l*mult))*MC_MM_SWITCH*AGAUSS(0,1.0,1)} +*(mismatch parameter sky130_fd_pr__res_high_po__con_slope_spectre) ++ rend = {(rcon+rend_var)*(1+rend_mm/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1))} ++ rbody = {(l*rsheet+rbody_var)*(1+res_match)} +rend r0 ra r = {rend*(1+min((abs(v(r0,r1))-1.7),0.3)*vc1_end+pow(min(abs(v(r0,r1))-1.7,0.3),2)*vc2_end+pow(min(abs(v(r0,r1))-1.7,0.3),3)*vc3_end)} +rhrpoly_0p69 ra r1 r = {rbody*(1+abs(v(r0,r1))*vc1_body+pow(abs(v(r0,r1)),2)*vc2_body+pow(abs(v(r0,r1)),3)*vc3_body)} ++ tc1 = 0.514e-3 ++ tc2 = 0.122e-5 +*+ tnom=30 +c1 r0 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_2_1*1e-6)/2} +c2 r1 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_2_1*1e-6)/2} +.ends sky130_fd_pr__res_high_po_0p69 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_high_po_1p41 r0 r1 b ++ +.param w = 1.410 l = 5 mult = 1.0 ++ ++ body_pelgrom = 0.0354 ++ rend_mm = 0.033 ++ rcon = 254.77 ++ rsheet = 230.05 ++ tc1_voltco = -4.68e-3 ++ vc1_body = -1.24e-4 ++ vc2_body = 2.41e-4 ++ vc1_raw_end = -7.62e-2 ++ vc2_raw_end = 0.198 ++ vc3_raw_end = 8.81e-2 ++ r0_var = 15.43 ++ r1_var = 6.96 ++ vc1_end = {vc1_raw_end*0.705/l*(1+tc1_voltco*(temper-30))} ++ vc2_end = {vc2_raw_end*0.705/l*(1+tc1_voltco*(temper-30))} ++ vc3_end = {vc3_raw_end*0.705/l*(1+tc1_voltco*(temper-30))} ++ rtot_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2*pow(r0_var,2)+pow((r1_var*l),2))} ++ rend_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2)*r0_var} ++ rbody_var = {rtot_var-rend_var} +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ res_match = {(body_pelgrom/sqrt(w*l*mult))*MC_MM_SWITCH*AGAUSS(0,1.0,1)} +*(mismatch parameter sky130_fd_pr__res_high_po__con_slope_spectre) ++ rend = {(rcon+rend_var)*(1+rend_mm/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1))} ++ rbody = {(l*rsheet+rbody_var)*(1+res_match)} +rend r0 ra r = {rend*(1+min((abs(v(r0,r1))-1.4),0.6)*vc1_end+pow(min(abs(v(r0,r1))-1.4,0.6),2)*vc2_end+pow(min(abs(v(r0,r1))-1.4,0.6),3)*vc3_end)} +rhrpoly_1p41 ra r1 r = {rbody*(1+abs(v(r0,r1))*vc1_body+pow(abs(v(r0,r1)),2)*vc2_body)} ++ tc1 = 0.514e-3 ++ tc2 = 0.122e-5 +*+ tnom=30 +c1 r0 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_4_1*1e-6)/2} +c2 r1 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_4_1*1e-6)/2} +.ends sky130_fd_pr__res_high_po_1p41 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_high_po_2p85 r0 r1 b ++ +.param w = 2.850 l = 5 mult = 1.0 ++ ++ body_pelgrom = 0.0388 ++ rend_mm = 0.015 ++ rcon = 130.36 ++ rsheet = 113.56 ++ tc1_voltco = -9.36e-3 ++ vc1_body = 3.21e-4 ++ vc2_body = 4.95e-5 ++ vc1_raw_end = 1.47e-2 ++ vc2_raw_end = 0.136 ++ vc3_raw_end = 5.29e-2 ++ r0_var = 8.23 ++ r1_var = 3.29 ++ vc1_end = {vc1_raw_end*1.425/l*(1+tc1_voltco*min((temper-30),0))} ++ vc2_end = {vc2_raw_end*1.425/l*(1+tc1_voltco*min((temper-30),0))} ++ vc3_end = {vc3_raw_end*1.425/l*(1+tc1_voltco*min((temper-30),0))} ++ rtot_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2*pow(r0_var,2)+pow((r1_var*l),2))} ++ rend_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2)*r0_var} ++ rbody_var = {rtot_var-rend_var} +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ res_match = {(body_pelgrom/sqrt(w*l*mult))*MC_MM_SWITCH*AGAUSS(0,1.0,1)} +*(mismatch parameter sky130_fd_pr__res_high_po__con_slope_spectre) ++ rend = {(rcon+rend_var)*(1+rend_mm/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1))} ++ rbody = {(l*rsheet+rbody_var)*(1+res_match)} +rend r0 ra r = {rend*(1+min((abs(v(r0,r1))-1.6),0.4)*vc1_end+pow(min(abs(v(r0,r1))-1.6,0.4),2)*vc2_end+pow(min(abs(v(r0,r1))-1.6,0.4),3)*vc3_end)} +rhrpoly_2p85 ra r1 r = {rbody*(1+abs(v(r0,r1))*vc1_body+pow(abs(v(r0,r1)),2)*vc2_body)} ++ tc1 = 0.514e-3 ++ tc2 = 0.122e-5 +*+ tnom=30 +c1 r0 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_8_2*1e-6)/2} +c2 r1 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_8_2*1e-6)/2} +.ends sky130_fd_pr__res_high_po_2p85 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* statistics { +* mismatch { +* } +* process { +* vary sky130_fd_pr__res_xhigh_po__var_mult dist=gauss std=0.025 +* vary sky130_fd_pr__res_high_po__var dist=gauss std=0.025 +* } +* } +.subckt sky130_fd_pr__res_high_po_5p73 r0 r1 b ++ +.param w = 5.730 l = 5 mult = 1.0 ++ ++ body_pelgrom = 0.037 ++ rend_mm = 0.01 ++ rcon = 68.05 ++ rsheet = 56.46 ++ tc1_voltco = 2.35e-2 ++ vc1_body = -2.62e-5 ++ vc2_body = 2.25e-5 ++ vc1_raw_end = 8.74e-4 ++ vc2_raw_end = -1.34e-2 ++ vc3_raw_end = 1.72e-2 ++ r0_var = 4.29 ++ r1_var = 1.6 ++ vc1_end = {vc1_raw_end*2.865/l*(1+(tc1_voltco*min((temper-30),0)))} ++ vc2_end = {vc2_raw_end*2.865/l*(1+(tc1_voltco*min((temper-30),0)))} ++ vc3_end = {vc3_raw_end*2.865/l*(1+(tc1_voltco*min((temper-30),0)))} ++ rtot_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2*pow(r0_var,2)+pow((r1_var*l),2))} ++ rend_var = {sky130_fd_pr__res_high_po__var_mult*sqrt(2)*r0_var} ++ rbody_var = {rtot_var-rend_var} +*(mismatch parameter sky130_fd_pr__res_high_po__slope_spectre) ++ res_match = {(body_pelgrom/sqrt(w*l*mult))*MC_MM_SWITCH*AGAUSS(0,1.0,1)} +*(mismatch parameter sky130_fd_pr__res_high_po__con_slope_spectre) ++ rend = {(rcon+rend_var)*(1+rend_mm/sqrt(mult)*MC_MM_SWITCH*AGAUSS(0,1.0,1))} ++ rbody = {(l*rsheet+rbody_var)*(1+res_match)} +rend r0 ra r = {rend*(1+min(abs(v(r0,r1)),2.0)*vc1_end+pow(min(abs(v(r0,r1)),2.0),2)*vc2_end+pow(min(abs(v(r0,r1)),2.0),3)*vc3_end)} +rhrpoly_5p73 ra r1 r = {rbody*(1+abs(v(r0,r1))*vc1_body+pow(abs(v(r0,r1)),2)*vc2_body)} ++ tc1 = 0.514e-3 ++ tc2 = 0.122e-5 +*+ tnom=30 +c1 r0 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_16_2*1e-6)/2} +c2 r1 b c = {((l+2*2.08)*w*crpf_precision*1e-12+2*(l+2*2.08+w)*crpfsw_precision_16_2*1e-6)/2} +.ends sky130_fd_pr__res_high_po_5p73 + + +.param sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak=0 +.param sky130_fd_pr__pfet_01v8_lvt__rf_base_dlc_rotweak=0 +.param sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak=0 +.param sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak=0 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak=0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W3p00L0p50 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM10W3p00L0p50 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10 w = 3.01 l = 0.50 m = 10 ad = 0.421 pd = 3.29 as = 0.51 ps = 3.948 nrd = 40.44 nrs = 33.70 mult = {10*mult} +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM10W3p00L0p50_dummy b b s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10 w = 3.01 l = 0.50 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W3p00L0p50 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W3p00L0p50 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM04W3p00L0p50 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04 w = 3.01 l = 0.50 m = 4 ad = 0.421 pd = 3.29 as = 0.63 ps = 4.935 nrd = 40.44 nrs = 26.96 mult = {4*mult} +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM04W3p00L0p50_dummy b b s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04 w = 3.01 l = 0.50 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W3p00L0p50 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00L0p50 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00L0p50 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00 l = 0.50 m = 10 ad = 0.707 pd = 5.33 as = 0.85 ps = 6.396 nrd = 24.27 nrs = 20.22 mult = {10*mult} +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00L0p50_dummy b b s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00 l = 0.50 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00L0p50 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00L0p50 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00L0p50 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00 l = 0.50 m = 4 ad = 0.707 pd = 5.33 as = 1.06 ps = 7.995 nrd = 24.267 nrs = 16.178 mult = {4*mult} +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00L0p50_dummy b b s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00 l = 0.50 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00L0p50 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00L0p50 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00L0p50 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00 l = 0.50 m = 10 ad = 0.993 pd = 7.37 as = 1.19 ps = 8.844 nrd = 17.33 nrs = 14.44 mult = {10*mult} +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00L0p50_dummy b b s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00 l = 0.50 m = 2 ad = 2.127 pd = 14.78 as = 0.0 ps = 0.0 nrd = 8.67 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00L0p50 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00L0p50 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00L0p50 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00 l = 0.50 m = 4 ad = 0.993 pd = 7.37 as = 1.49 ps = 11.055 nrd = 17.33 nrs = 11.56 mult = {4*mult} +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00L0p50_dummy b b s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00 l = 0.50 m = 2 ad = 2.127 pd = 14.78 as = 0.0 ps = 0.0 nrd = 8.67 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00L0p50 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00L0p50 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00L0p50 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00 l = 0.50 m = 2 ad = 0.707 pd = 5.33 as = 1.414 ps = 10.66 nrd = 24.267 nrs = 12.133 mult = {2*mult} +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00L0p50_dummy b b s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00 l = 0.50 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00L0p50 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM02W3p00L0p50 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM02W3p00L0p50 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM02 w = 3.01 l = 0.50 m = 2 ad = 0.42 pd = 3.29 as = 0.84 ps = 6.58 nrd = 40.44 nrs = 20.22 mult = {2*mult} +xsky130_fd_pr__rf_nfet_g5v0d10v5_bM02W3p00L0p50_dummy b b s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM02 w = 3.01 l = 0.50 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM02W3p00L0p50 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_aF02W0p84L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(127*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(33.62*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_nfet_01v8_lvt_aF02W0p84L0p15 1 2 3 b sky130_fd_pr__nfet_01v8_lvt l = 0.15 w = {(2)*(0.84)} ad = {(2)*(0.1176)} as = {(2)*(0.235)} pd = {(2)*(1.12)} ps = {(2)*(2.24)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.28 sb = 0.28 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.172f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.07f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gd 2 1 c = {(0.25f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rs 3 s r = {(48*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult)} +rd 1 d r = {(97*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult)} +.ends sky130_fd_pr__rf_nfet_01v8_lvt_aF02W0p84L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_aF04W0p84L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(63.5*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(16.81*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_nfet_01v8_lvt_aF04W0p84L0p15 1 2 3 b sky130_fd_pr__nfet_01v8_lvt l = 0.15 w = {(4)*(0.84)} ad = {(4)*(0.1176)} as = {(4)*(0.176)} pd = {(4)*(1.12)} ps = {(4)*(1.68)} nrd = {(0)/(4)} nrs = {(0)/(4)} nf = 4 sa = 0.28 sb = 0.28 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.41f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.15f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gd 2 1 c = {(0.59f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rs 3 s r = {(32*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult)} +rd 1 d r = {(50*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult)} +.ends sky130_fd_pr__rf_nfet_01v8_lvt_aF04W0p84L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_aF04W3p00L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(63.5*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(81.745*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_nfet_01v8_lvt_aF04W3p00L0p15 1 2 3 b sky130_fd_pr__nfet_01v8_lvt l = 0.15 w = {(4)*(3.00)} ad = {(4)*(0.42)} as = {(4)*(0.63)} pd = {(4)*(3.28)} ps = {(4)*(4.92)} nrd = {(0)/(4)} nrs = {(0)/(4)} nf = 4 sa = 0.28 sb = 0.28 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(1.47f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.305f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gd 2 1 c = {(0.73f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rs 3 s r = {(8*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult)} +rd 1 d r = {(14*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult)} +.ends sky130_fd_pr__rf_nfet_01v8_lvt_aF04W3p00L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_aF02W0p42L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_dist_nlrf_p42p15nf2 = 466.81 ++ rg_stub_tnom = {(127*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult_p42)} ++ rg_dist_tnom = {(rg_dist_nlrf_p42p15nf2*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult_p42)} ++ tref = 30.0 +xsky130_fd_pr__rf_nfet_01v8_lvt_aF02W0p42L0p15 1 2 3 b sky130_fd_pr__nfet_01v8_lvt l = 0.15 w = {(2)*(0.42)} ad = {(2)*(0.0588)} as = {(2)*(0.118)} pd = {(2)*(0.7)} ps = {(2)*(1.4)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.28 sb = 0.28 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.09f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult_p42)} +cpar_gs 2 3 c = {(0.115f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult_p42)} +cpar_gd 2 3 c = {(0.242f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult_p42)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rs 3 s r = {(95.8*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult)} +rd 1 d r = {(195*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult)} +.ends sky130_fd_pr__rf_nfet_01v8_lvt_aF02W0p42L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_aF02W3p00L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(127*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(191.46*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_nfet_01v8_lvt_aF02W3p00L0p15 1 2 3 b sky130_fd_pr__nfet_01v8_lvt l = 0.15 w = {(2)*(3.00)} ad = {(2)*(0.42)} as = {(2)*(0.84)} pd = {(2)*(3.28)} ps = {(2)*(6.56)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.28 sb = 0.28 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.7f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.163f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gd 2 1 c = {(0.456f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rs 3 s r = {(12*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult)} +rd 1 d r = {(26*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult)} +.ends sky130_fd_pr__rf_nfet_01v8_lvt_aF02W3p00L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_aF08W3p00L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(31.75*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(40.87*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_nfet_01v8_lvt_aF08W3p00L0p15 1 2 3 b sky130_fd_pr__nfet_01v8_lvt l = 0.15 w = {(8)*(3.00)} ad = {(8)*(0.42)} as = {(8)*(0.525)} pd = {(8)*(3.28)} ps = {(8)*(4.1)} nrd = {(0)/(8)} nrs = {(0)/(8)} nf = 8 sa = 0.28 sb = 0.28 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(2.92f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.61f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gd 2 1 c = {(1.45f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rs 3 s r = {(4.8*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult)} +rd 1 d r = {(7*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult)} +.ends sky130_fd_pr__rf_nfet_01v8_lvt_aF08W3p00L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_aF08W0p84L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(31.75*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(12.405*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_nfet_01v8_lvt_aF08W0p84L0p15 1 2 3 b sky130_fd_pr__nfet_01v8_lvt l = 0.15 w = {(8)*(0.84)} ad = {(8)*(0.1176)} as = {(8)*(0.147)} pd = {(8)*(1.12)} ps = {(8)*(1.4)} nrd = {(0)/(8)} nrs = {(0)/(8)} nf = 8 sa = 0.28 sb = 0.28 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.82f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.33f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +cpar_gd 2 1 c = {(0.84f*sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rs 3 s r = {(19.2*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult)} +rd 1 d r = {(24.9*sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult)} +.ends sky130_fd_pr__rf_nfet_01v8_lvt_aF08W0p84L0p15 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p15 d g s b sky130_fd_pr__rf_nfet_01v8_bM02 w = 1.65 l = 0.15 m = 2 ad = 0.231 pd = 1.93 as = 0.462 ps = 3.86 nrd = 72.80 nrs = 36.40 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p15_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02 w = 1.65 l = 0.15 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 36.40 nrs = 0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p15 d g s b sky130_fd_pr__rf_nfet_01v8_bM04 w = 1.65 l = 0.15 m = 4 ad = 0.231 pd = 1.93 as = 0.347 ps = 2.90 nrd = 72.80 nrs = 48.53 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p15_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04 w = 1.65 l = 0.15 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 36.40 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p18 d g s b sky130_fd_pr__rf_nfet_01v8_bM02 w = 1.65 l = 0.18 m = 2 ad = 0.231 pd = 1.93 as = 0.462 ps = 3.86 nrd = 72.80 nrs = 36.40 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p18_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02 w = 1.65 l = 0.18 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 36.40 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p18 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p18 d g s b sky130_fd_pr__rf_nfet_01v8_bM04 w = 1.65 l = 0.18 m = 4 ad = 0.231 pd = 1.93 as = 0.347 ps = 2.90 nrd = 72.80 nrs = 48.53 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p18_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04 w = 1.65 l = 0.18 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 36.40 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p18 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p25 d g s b sky130_fd_pr__rf_nfet_01v8_bM02 w = 1.65 l = 0.25 m = 2 ad = 0.231 pd = 1.93 as = 0.462 ps = 3.86 nrd = 72.80 nrs = 36.40 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p25_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02 w = 1.65 l = 0.25 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 36.4 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W1p65L0p25 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p25 d g s b sky130_fd_pr__rf_nfet_01v8_bM04 w = 1.65 l = 0.25 m = 4 ad = 0.231 pd = 1.93 as = 0.347 ps = 2.90 nrd = 72.80 nrs = 48.53 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p25_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04 w = 1.65 l = 0.25 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 36.4 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W1p65L0p25 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p15 d g s b sky130_fd_pr__rf_nfet_01v8_bM02W3p00 l = 0.15 m = 2 ad = 0.421 pd = 3.29 as = 0.843 ps = 6.58 nrd = 40.44 nrs = 20.22 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p15_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02W3p00 l = 0.15 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p15 d g s b sky130_fd_pr__rf_nfet_01v8_bM04W3p00 l = 0.15 m = 4 ad = 0.421 pd = 3.29 as = 0.632 ps = 4.94 nrd = 40.44 nrs = 26.96 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p15_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04W3p00 l = 0.15 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p18 d g s b sky130_fd_pr__rf_nfet_01v8_bM02W3p00 l = 0.18 m = 2 ad = 0.421 pd = 3.29 as = 0.843 ps = 6.58 nrd = 40.44 nrs = 20.22 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p18_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02W3p00 l = 0.18 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p18 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p18 d g s b sky130_fd_pr__rf_nfet_01v8_bM04W3p00 l = 0.18 m = 4 ad = 0.421 pd = 3.29 as = 0.632 ps = 4.94 nrd = 40.44 nrs = 26.96 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p18_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04W3p00 l = 0.18 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p18 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p25 d g s b sky130_fd_pr__rf_nfet_01v8_bM02W3p00 l = 0.25 m = 2 ad = 0.421 pd = 3.29 as = 0.843 ps = 6.58 nrd = 40.44 nrs = 20.22 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p25_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02W3p00 l = 0.25 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W3p00L0p25 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p25 d g s b sky130_fd_pr__rf_nfet_01v8_bM04W3p00 l = 0.25 m = 4 ad = 0.421 pd = 3.29 as = 0.632 ps = 4.94 nrd = 40.44 nrs = 26.96 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p25_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04W3p00 l = 0.25 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 20.22 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W3p00L0p25 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p15 d g s b sky130_fd_pr__rf_nfet_01v8_bM02W5p00 l = 0.15 m = 2 ad = 0.707 pd = 5.33 as = 1.414 ps = 10.66 nrd = 24.27 nrs = 12.13 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p15_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02W5p00 l = 0.15 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p15 d g s b sky130_fd_pr__rf_nfet_01v8_bM04W5p00 l = 0.15 m = 4 ad = 0.707 pd = 5.33 as = 1.061 ps = 8.00 nrd = 24.27 nrs = 16.18 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p15_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04W5p00 l = 0.15 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p15 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p18 d g s b sky130_fd_pr__rf_nfet_01v8_bM02W5p00 l = 0.18 m = 2 ad = 0.707 pd = 5.33 as = 1.414 ps = 10.66 nrd = 24.27 nrs = 12.13 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p18_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02W5p00 l = 0.18 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p18 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p18 d g s b sky130_fd_pr__rf_nfet_01v8_bM04W5p00 l = 0.18 m = 4 ad = 0.707 pd = 5.33 as = 1.061 ps = 8.00 nrd = 24.27 nrs = 16.18 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p18_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04W5p00 l = 0.18 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p18 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p25 d g s b sky130_fd_pr__rf_nfet_01v8_bM02W5p00 l = 0.25 m = 2 ad = 0.707 pd = 5.33 as = 1.414 ps = 10.66 nrd = 24.27 nrs = 12.13 mult = {2*mult} +xsky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p25_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM02W5p00 l = 0.25 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM02W5p00L0p25 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p25 d g s b sky130_fd_pr__rf_nfet_01v8_bM04W5p00 l = 0.25 m = 4 ad = 0.707 pd = 5.33 as = 1.061 ps = 8.00 nrd = 24.27 nrs = 16.18 mult = {4*mult} +xsky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p25_dummy b b s b sky130_fd_pr__rf_nfet_01v8_bM04W5p00 l = 0.25 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.00 nrd = 12.13 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_nfet_01v8_bM04W5p00L0p25 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p15 d g s b sky130_fd_pr__rf_pfet_01v8_bM02 w = 1.65 l = 0.15 m = 2 ad = 0.231 pd = 1.93 as = 0.462 ps = 3.86 nrd = 240.00 nrs = 120.00 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p15_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02 w = 1.65 l = 0.15 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 120.0 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p15 d g s b sky130_fd_pr__rf_pfet_01v8_bM04 w = 1.65 l = 0.15 m = 4 ad = 0.231 pd = 1.93 as = 0.347 ps = 2.90 nrd = 240.00 nrs = 160.00 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p15_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04 w = 1.65 l = 0.15 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 120.00 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p18 d g s b sky130_fd_pr__rf_pfet_01v8_bM02 w = 1.65 l = 0.18 m = 2 ad = 0.231 pd = 1.93 as = 0.462 ps = 3.86 nrd = 240.00 nrs = 120.00 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p18_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02 w = 1.65 l = 0.18 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 120.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p18 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p18 d g s b sky130_fd_pr__rf_pfet_01v8_bM04 w = 1.65 l = 0.18 m = 4 ad = 0.231 pd = 1.93 as = 0.347 ps = 2.90 nrd = 240.00 nrs = 160.00 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p18_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04 w = 1.65 l = 0.18 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 120.00 nrs = 0.0 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p18 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p25 d g s b sky130_fd_pr__rf_pfet_01v8_bM02 w = 1.65 l = 0.25 m = 2 ad = 0.231 pd = 1.93 as = 0.462 ps = 3.86 nrd = 240.00 nrs = 120.00 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p25_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02 w = 1.65 l = 0.25 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 120.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W1p65L0p25 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p25 d g s b sky130_fd_pr__rf_pfet_01v8_bM04 w = 1.65 l = 0.25 m = 4 ad = 0.231 pd = 1.93 as = 0.347 ps = 2.90 nrd = 240.00 nrs = 160.00 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p25_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04 w = 1.65 l = 0.25 m = 2 ad = 0.495 pd = 3.9 as = 0.0 ps = 0.0 nrd = 120.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W1p65L0p25 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p15 d g s b sky130_fd_pr__rf_pfet_01v8_bM02W3p00 l = 0.15 m = 2 ad = 0.421 pd = 3.29 as = 0.843 ps = 6.58 nrd = 133.33 nrs = 66.67 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p15_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02W3p00 l = 0.15 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 66.67 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p15 d g s b sky130_fd_pr__rf_pfet_01v8_bM04W3p00 l = 0.15 m = 4 ad = 0.421 pd = 3.29 as = 0.632 ps = 4.94 nrd = 133.33 nrs = 88.89 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p15_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04W3p00 l = 0.15 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 66.67 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p18 d g s b sky130_fd_pr__rf_pfet_01v8_bM02W3p00 l = 0.18 m = 2 ad = 0.421 pd = 3.29 as = 0.843 ps = 6.58 nrd = 133.33 nrs = 66.67 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p18_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02W3p00 l = 0.18 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 66.67 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p18 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p18 d g s b sky130_fd_pr__rf_pfet_01v8_bM04W3p00 l = 0.18 m = 4 ad = 0.421 pd = 3.29 as = 0.632 ps = 4.94 nrd = 133.33 nrs = 88.89 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p18_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04W3p00 l = 0.18 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 66.67 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p18 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p25 d g s b sky130_fd_pr__rf_pfet_01v8_bM02W3p00 l = 0.25 m = 2 ad = 0.421 pd = 3.29 as = 0.843 ps = 6.58 nrd = 133.33 nrs = 66.67 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p25_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02W3p00 l = 0.25 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 66.67 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W3p00L0p25 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p25 d g s b sky130_fd_pr__rf_pfet_01v8_bM04W3p00 l = 0.25 m = 4 ad = 0.421 pd = 3.29 as = 0.632 ps = 4.94 nrd = 133.33 nrs = 88.89 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p25_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04W3p00 l = 0.25 m = 2 ad = 0.903 pd = 6.62 as = 0.0 ps = 0.0 nrd = 66.67 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W3p00L0p25 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p15 d g s b sky130_fd_pr__rf_pfet_01v8_bM02W5p00 l = 0.15 m = 2 ad = 0.707 pd = 5.33 as = 1.414 ps = 10.66 nrd = 80.00 nrs = 40.00 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p15_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02W5p00 l = 0.15 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 40.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p15 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p15 d g s b sky130_fd_pr__rf_pfet_01v8_bM04W5p00 l = 0.15 m = 4 ad = 0.707 pd = 5.33 as = 1.061 ps = 8.00 nrd = 80.00 nrs = 53.33 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p15_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04W5p00 l = 0.15 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 40.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p18 d g s b sky130_fd_pr__rf_pfet_01v8_bM02W5p00 l = 0.18 m = 2 ad = 0.707 pd = 5.33 as = 1.414 ps = 10.66 nrd = 80.00 nrs = 40.00 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p18_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02W5p00 l = 0.18 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 40.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p18 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p18 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p18 d g s b sky130_fd_pr__rf_pfet_01v8_bM04W5p00 l = 0.18 m = 4 ad = 0.707 pd = 5.33 as = 1.061 ps = 8.00 nrd = 80.00 nrs = 53.33 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p18_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04W5p00 l = 0.18 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 40.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p18 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p25 d g s b sky130_fd_pr__rf_pfet_01v8_bM02W5p00 l = 0.25 m = 2 ad = 0.707 pd = 5.33 as = 1.414 ps = 10.66 nrd = 80.00 nrs = 40.00 mult = {2*mult} +xsky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p25_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM02W5p00 l = 0.25 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 40.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM02W5p00L0p25 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p25 d g s b ++ +.param mult = 1.0 +xsky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p25 d g s b sky130_fd_pr__rf_pfet_01v8_bM04W5p00 l = 0.25 m = 4 ad = 0.707 pd = 5.33 as = 1.061 ps = 8.00 nrd = 80.00 nrs = 53.33 mult = {4*mult} +xsky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p25_dummy b b s b sky130_fd_pr__rf_pfet_01v8_bM04W5p00 l = 0.25 m = 2 ad = 1.515 pd = 10.7 as = 0.0 ps = 0.0 nrd = 40.00 nrs = 0.00 mult = {2*mult} +.ends sky130_fd_pr__rf_pfet_01v8_bM04W5p00L0p25 +.subckt sky130_fd_pr__rf_pfet_01v8_aF02W1p68L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_sub_tnom = {(127*sky130_fd_pr__rf_pfet_01v8__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(150.129*sky130_fd_pr__rf_pfet_01v8__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_pfet_01v8_aF02W1p68L0p15 1 2 3 b sky130_fd_pr__pfet_01v8 l = 0.15 w = {(2)*(1.68)} ad = {(2)*(0.2352)} as = {(2)*(0.445)} pd = {(2)*(1.96)} ps = {(2)*(3.89)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.265 sb = 0.265 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.41f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +cpar_gd 2 1 c = {(0.74f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +cpar_gs 2 3 c = {(0.119f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +rg 2 g r = {(rg_sub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rd 1 d r = {(154*sky130_fd_pr__rf_pfet_01v8__aw_rd_mult)} +rs 3 s r = {(76*sky130_fd_pr__rf_pfet_01v8__aw_rs_mult)} +.ends sky130_fd_pr__rf_pfet_01v8_aF02W1p68L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_aF02W5p00L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(127*sky130_fd_pr__rf_pfet_01v8__aw_rgate_stub_mult_2)} ++ rg_dist_tnom = {(366.81*sky130_fd_pr__rf_pfet_01v8__aw_rgate_dist_mult_2)} ++ tref = 30.0 +xsky130_fd_pr__rf_pfet_01v8_aF02W5p00L0p15 1 2 3 b sky130_fd_pr__pfet_01v8 l = 0.15 w = {(2)*(5.00)} ad = {(2)*(0.7)} as = {(2)*(1.325)} pd = {(2)*(5.28)} ps = {(2)*(10.53)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.265 sb = 0.265 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(1.22f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult_2)} +cpar_gd 2 1 c = {(1.665f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult_2)} +cpar_gs 2 3 c = {(0.285f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult_2)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rd 1 d r = {(50*sky130_fd_pr__rf_pfet_01v8__aw_rd_mult)} +rs 3 s r = {(24*sky130_fd_pr__rf_pfet_01v8__aw_rs_mult)} +.ends sky130_fd_pr__rf_pfet_01v8_aF02W5p00L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_aF02W0p84L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(127*sky130_fd_pr__rf_pfet_01v8__aw_rgate_stub_mult_2)} ++ rg_dist_tnom = {(37.53*sky130_fd_pr__rf_pfet_01v8__aw_rgate_dist_mult_2)} ++ tref = 30.0 +xsky130_fd_pr__rf_pfet_01v8_aF02W0p84L0p15 1 2 3 b sky130_fd_pr__pfet_01v8 l = 0.15 w = {(2)*(0.84)} ad = {(2)*(0.1176)} as = {(2)*(0.223)} pd = {(2)*(1.12)} ps = {(2)*(2.21)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.265 sb = 0.265 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.17f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +cpar_gd 2 1 c = {(0.459f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +cpar_gs 2 3 c = {(0.257f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rd 1 d r = {(306*sky130_fd_pr__rf_pfet_01v8__aw_rd_mult)} +rs 3 s r = {(152.5*sky130_fd_pr__rf_pfet_01v8__aw_rs_mult)} +.ends sky130_fd_pr__rf_pfet_01v8_aF02W0p84L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_aF04W1p68L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(63.5*sky130_fd_pr__rf_pfet_01v8__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(75.061*sky130_fd_pr__rf_pfet_01v8__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_pfet_01v8_aF04W1p68L0p15 1 2 3 b sky130_fd_pr__pfet_01v8 l = 0.15 w = {(4)*(1.68)} ad = {(4)*(0.2352)} as = {(4)*(0.34)} pd = {(4)*(1.96)} ps = {(4)*(2.925)} nrd = {(0)/(4)} nrs = {(0)/(4)} nf = 4 sa = 0.265 sb = 0.265 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.82f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +cpar_gd 2 1 c = {(0.984f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +cpar_gs 2 3 c = {(0.354f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rd 1 d r = {(78*sky130_fd_pr__rf_pfet_01v8__aw_rd_mult)} +rs 3 s r = {(50.6*sky130_fd_pr__rf_pfet_01v8__aw_rs_mult)} +.ends sky130_fd_pr__rf_pfet_01v8_aF04W1p68L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_aF02W3p00L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(127*sky130_fd_pr__rf_pfet_01v8__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(183.0*sky130_fd_pr__rf_pfet_01v8__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_pfet_01v8_aF02W3p00L0p15 1 2 3 b sky130_fd_pr__pfet_01v8 l = 0.15 w = {(2)*(3.00)} ad = {(2)*(0.42)} as = {(2)*(0.795)} pd = {(2)*(3.28)} ps = {(2)*(6.53)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.265 sb = 0.265 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.7f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +cpar_gd 2 1 c = {(1.056f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +cpar_gs 2 3 c = {(0.232f*sky130_fd_pr__rf_pfet_01v8__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rd 1 d r = {(78*sky130_fd_pr__rf_pfet_01v8__aw_rd_mult)} +rs 3 s r = {(38.5*sky130_fd_pr__rf_pfet_01v8__aw_rs_mult)} +.ends sky130_fd_pr__rf_pfet_01v8_aF02W3p00L0p15 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__rf_pfet_01v8_mvt_aF02W1p68L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_sub_tnom = {(127*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(250.129*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_pfet_01v8_mvt_aF02W1p68L0p15 1 2 3 b sky130_fd_pr__pfet_01v8_mvt l = 0.15 w = {(2)*(1.68)} ad = {(2)*(0.2352)} as = {(2)*(0.445)} pd = {(2)*(1.96)} ps = {(2)*(3.89)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.265 sb = 0.265 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.56f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +cpar_gd 2 1 c = {(0.34f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.119f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +rg 2 g r = {(rg_sub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rd 1 d r = {(154*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rd_mult)} +rs 3 s r = {(76*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rs_mult)} +.ends sky130_fd_pr__rf_pfet_01v8_mvt_aF02W1p68L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_mvt_aF02W0p84L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(127*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_stub_mult_2)} ++ rg_dist_tnom = {(257.3*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_dist_mult_2)} ++ tref = 30.0 +xsky130_fd_pr__rf_pfet_01v8_mvt_aF02W0p84L0p15 1 2 3 b sky130_fd_pr__pfet_01v8_mvt l = 0.15 w = {(2)*(0.84)} ad = {(2)*(0.1176)} as = {(2)*(0.223)} pd = {(2)*(1.12)} ps = {(2)*(2.21)} nrd = {(0)/(2)} nrs = {(0)/(2)} nf = 2 sa = 0.265 sb = 0.265 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.17f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +cpar_gd 2 1 c = {(0.300f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.100f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rd 1 d r = {(306*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rd_mult)} +rs 3 s r = {(152.5*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rs_mult)} +.ends sky130_fd_pr__rf_pfet_01v8_mvt_aF02W0p84L0p15 +.subckt sky130_fd_pr__rf_pfet_01v8_mvt_aF04W1p68L0p15 d g s b ++ ++ +.param mult = 1.0 ++ rg_stub_tnom = {(88.5*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_stub_mult)} ++ rg_dist_tnom = {(100.061*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_dist_mult)} ++ tref = 30.0 +xsky130_fd_pr__rf_pfet_01v8_mvt_aF04W1p68L0p15 1 2 3 b sky130_fd_pr__pfet_01v8_mvt l = 0.15 w = {(4)*(1.68)} ad = {(4)*(0.2352)} as = {(4)*(0.34)} pd = {(4)*(1.96)} ps = {(4)*(2.925)} nrd = {(0)/(4)} nrs = {(0)/(4)} nf = 4 sa = 0.265 sb = 0.265 sd = 0.28 m = 1 mult = {1*mult} +cpar_ds 1 3 c = {(0.82f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +cpar_gd 2 1 c = {(0.984f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +cpar_gs 2 3 c = {(0.354f*sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult)} +rg 2 g r = {(rg_stub_tnom*(1+(temper-tref)*tc1rcgp+(temper-tref)*(temper-tref)*tc2rcgp))+(rg_dist_tnom*(1+(temper-tref)*tc1rsgpu+(temper-tref)*(temper-tref)*tc2rsgpu))} +rd 1 d r = {(78*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rd_mult)} +rs 3 s r = {(50.6*sky130_fd_pr__rf_pfet_01v8_mvt__aw_rs_mult)} +.ends sky130_fd_pr__rf_pfet_01v8_mvt_aF04W1p68L0p15 + + +* SKY130 Spice File. +* RF MOS Parameters +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 18 +.param ++ sky130_fd_pr__rf_nfet_01v8_b__toxe_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_b__overlap_mult = 0.9642 ++ sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult = 9.9543e-1 ++ sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult = 1.0204 ++ sky130_fd_pr__rf_nfet_01v8_b__lint_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_b__wint_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_b__rshg_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_b__dlc_diff = -.61492e-9 ++ sky130_fd_pr__rf_nfet_01v8_b__dwc_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_b__xgw_diff = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 000, W = 1.65, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_0 = -0.019045 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_0 = 876.33 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_0 = 0.0076402 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_0 = 0.00078682 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_0 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 001, W = 1.65, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_1 = -0.0024718 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_1 = 292.74 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_1 = 0.01684 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_1 = 0.00069507 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_1 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 002, W = 1.65, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_2 = -0.011464 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_2 = 4008.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_2 = 0.034561 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_2 = -0.00018668 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_2 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 003, W = 3.01, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_3 = 0.0031296 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_3 = -7677.3 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_3 = 0.0045864 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_3 = -0.0050888 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_3 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 004, W = 3.01, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_4 = -0.019118 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_4 = -3226.1 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_4 = 0.020455 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_4 = -0.0033825 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_4 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 005, W = 3.01, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_5 = -0.010928 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_5 = -3103.8 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_5 = 0.037245 ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_5 = -0.00010837 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 006, W = 5.05, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_6 = -0.0038131 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_6 = -0.010357 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_6 = -10521.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_6 = 0.0019487 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 007, W = 5.05, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_7 = 0.017771 ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_7 = -0.0036526 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_7 = -0.018633 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_7 = -3856.6 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_7 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM02, Bin 008, W = 5.05, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_8 = 0.03546 ++ sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_8 = -0.0023259 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_8 = -0.014738 ++ sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_8 = -749.49 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 000, W = 1.65, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_0 = 0.0066076 ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_0 = -0.00069668 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_0 = 0.0055162 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_0 = -9578.4 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_0 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 001, W = 1.65, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_1 = 0.022438 ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_1 = -0.0013475 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_1 = -0.017125 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_1 = -1947.1 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_1 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 002, W = 1.65, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_2 = 0.0375 ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_2 = -0.0010013 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_2 = -0.013525 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_2 = 3934.3 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 003, W = 3.01, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_3 = -9286.3 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_3 = 0.0055484 ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_3 = -0.005738 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_3 = -0.0050065 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_3 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 004, W = 3.01, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_4 = -2375.7 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_4 = 0.023623 ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_4 = -0.0067253 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_4 = -0.025509 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_4 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 005, W = 3.01, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_5 = 14291.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_5 = 0.039092 ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_5 = -0.0049774 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_5 = -0.018429 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_5 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 006, W = 5.05, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_6 = -11321.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_6 = 0.0029951 ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_6 = -0.0037175 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_6 = -0.011302 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 007, W = 5.05, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_7 = -0.0055361 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_7 = -8608.4 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_7 = 0.021579 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_7 = -0.029895 +* +* sky130_fd_pr__rf_nfet_01v8_bM04, Bin 008, W = 5.05, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_8 = -0.019214 ++ sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_8 = -0.0040927 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_8 = 9127.9 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_8 = 0.037329 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre = 0.0 +.param sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre = 0.0 +.param sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__rf_nfet_01v8_bM02 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_bM02 d g s b sky130_fd_pr__rf_nfet_01v8_bM02__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.0 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.90 ++ rnoib = 0.36 ++ tnoia = 2.7e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.633+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_0} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.644e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_0} ++ ua = {-1.96e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_0} ++ ub = {2.15e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_0} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_0} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.036+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_0} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_0} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_0} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_0} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.206+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {2.808+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_0} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3121 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1986 ++ pdiblc1 = 0.2481 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.290+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_0} ++ kt2 = -0.02437 ++ at = 5.978e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -4.98e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {900*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {7.7e-07+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.659e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.84e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.58e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {4.21e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9064 +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.1 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-5.408e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.90 ++ rnoib = 0.36 ++ tnoia = 2.7e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.6345+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_1} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.644e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_1} ++ ua = {-1.985e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_1} ++ ub = {2.161e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_1} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_1} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.037+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_1} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_1} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_1} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_1} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.1854+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.629+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_1} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3121 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1986 ++ pdiblc1 = 0.2293 ++ pdiblc2 = 0.016 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.02336 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2779+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_1} ++ kt2 = -0.02437 ++ at = 6.122e+4 ++ ute = -1.5633 ++ ua1 = 6.012e-10 ++ ub1 = -6.52e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {900*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.12e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000992 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.629e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {4e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.18e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {3.41e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9064 +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.2 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-5.408e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.90 ++ rnoib = 0.36 ++ tnoia = 2.7e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.625+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_2} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.564e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_2} ++ ua = {-1.985e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_2} ++ ub = {2.202e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_2} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_2} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.031+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_2} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_2} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_2} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_2} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.1854+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.629+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_2} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3121 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1986 ++ pdiblc1 = 0.04127 ++ pdiblc2 = 0.01376 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.02336 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2779+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_2} ++ kt2 = -0.02437 ++ at = 5.449e+4 ++ ute = -1.5633 ++ ua1 = 6.012e-10 ++ ub1 = -6.52e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {900*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.629e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {4.1e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {8e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {1.7e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {3.41e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9064 +.ends sky130_fd_pr__rf_nfet_01v8_bM02 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W3p00 d g s b ++ +.param l = 1 w = 3.01 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_bM02W3p00 d g s b sky130_fd_pr__rf_nfet_01v8_bM02__model l = {l} w = 3.01 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.3 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.59+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_3} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.644e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_3} ++ ua = {-2.032e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_3} ++ ub = {2.05e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_3} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_3} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03599+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_3} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_3} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_3} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_3} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.1943+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {3.052+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_3} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.5779 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1986 ++ pdiblc1 = 0.2481 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.290+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_3} ++ kt2 = -0.02437 ++ at = 6.698e+4 ++ ute = -1.5297 ++ ua1 = 6.012e-10 ++ ub1 = -3.37e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {440*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {8.6e-07+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000992 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.489e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.7e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {7e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.58e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.01e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9064 +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.4 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.618+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_4} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.57e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_4} ++ ua = {-2.032e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_4} ++ ub = {2.124e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_4} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_4} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03199+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_4} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_4} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_4} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_4} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.1943+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.8+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_4} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.5779 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1986 ++ pdiblc1 = 0.1768 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03138 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.290+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_4} ++ kt2 = -0.02437 ++ at = 6.698e+4 ++ ute = -1.5297 ++ ua1 = 6.012e-10 ++ ub1 = -3.37e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {440*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.3e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.489e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.5e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {7e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.68e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {3.01e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.15 ++ pbswgs = 0.9064 +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.5 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.605+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_5} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.475e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_5} ++ ua = {-2.046e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_5} ++ ub = {2.28e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_5} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_5} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0275+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_5} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_5} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_5} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_5} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.1943+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.8+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_5} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.5779 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1986 ++ pdiblc1 = 0.1768 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03138 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.290+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_5} ++ kt2 = -0.02437 ++ at = 6.698e+4 ++ ute = -1.5297 ++ ua1 = 6.012e-10 ++ ub1 = -3.37e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {440*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.889e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.6e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {7e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.68e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.71e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.15 ++ pbswgs = 0.9064 +.ends sky130_fd_pr__rf_nfet_01v8_bM02W3p00 +.subckt sky130_fd_pr__rf_nfet_01v8_bM02W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_bM02W5p00 d g s b sky130_fd_pr__rf_nfet_01v8_bM02__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.6 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.773e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.59+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_6} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.644e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_6} ++ ua = {-2.032e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_6} ++ ub = {2.12e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_6} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_6} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03599+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_6} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_6} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_6} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_6} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.1943+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {3.052+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_6} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.4128 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1986 ++ pdiblc1 = 0.2481 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.31+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_6} ++ kt2 = -0.02437 ++ at = 7.202e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {9.2e-07+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.089e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.4e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.85e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {3.31e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.7 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.608+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_7} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.57e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_7} ++ ua = {-2.032e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_7} ++ ub = {2.184e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_7} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_7} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03416+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_7} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_7} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_7} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_7} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.1943+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.548+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_7} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.5779 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1827 ++ pdiblc1 = 0.1768 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03138 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.29+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_7} ++ kt2 = -0.02437 ++ at = 6.698e+4 ++ ute = -1.53 ++ ua1 = 6.012e-10 ++ ub1 = -3.37e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.3e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.189e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.2e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {3e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {7e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.78e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {3.01e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.15 ++ pbswgs = 0.9064 +.model sky130_fd_pr__rf_nfet_01v8_bM02__model.8 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.599+sky130_fd_pr__rf_nfet_01v8_bM02__vth0_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM02__k2_diff_8} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.444e+05+sky130_fd_pr__rf_nfet_01v8_bM02__vsat_diff_8} ++ ua = {-2.027e-09+sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_8} ++ ub = {2.272e-18+sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_8} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_8} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0299+sky130_fd_pr__rf_nfet_01v8_bM02__u0_diff_8} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_8} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_8} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_8} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.1943+sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {2.136+sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_8} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.5779 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1827 ++ pdiblc1 = 0.1627 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.624e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03138 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.29+sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_8} ++ kt2 = -0.02437 ++ at = 6.698e+4 ++ ute = -1.53 ++ ua1 = 6.012e-10 ++ ub1 = -3.37e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.3e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.489e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {2e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {9e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.78e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.21e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.15 ++ pbswgs = 0.9064 +.ends sky130_fd_pr__rf_nfet_01v8_bM02W5p00 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_bM04 d g s b sky130_fd_pr__rf_nfet_01v8_bM04__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.0 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55E-07 wmin = 1.645E-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.90 ++ rnoib = 0.36 ++ tnoia = 2.7e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.604+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_0} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.744e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_0} ++ ua = {-1.96e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_0} ++ ub = {2.31e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_0} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_0} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.039+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_0} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_0} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_0} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_0} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.206+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {2.808+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_0} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3121 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1748 ++ pdiblc1 = 0.2729 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.29+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_0} ++ kt2 = -0.02437 ++ at = 5.978e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -4.98e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1800*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.083e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.559e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.64e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {7e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.58e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.91e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9064 +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.1 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85E-07 wmin = 1.645E-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.90 ++ rnoib = 0.36 ++ tnoia = 2.7e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.6282+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_1} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.644e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_1} ++ ua = {-1.96e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_1} ++ ub = {2.31e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_1} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_1} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.036+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_1} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_1} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_1} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_1} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.206+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.685+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_1} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3121 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1548 ++ pdiblc1 = 0.1965 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03397 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.29+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_1} ++ kt2 = -0.02437 ++ at = 5.978e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -4.98e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1800*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.889e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.74e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {7e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.68e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {3.21e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9064 +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.2 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55E-07 wmin = 1.645E-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.939e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.90 ++ rnoib = 0.36 ++ tnoia = 2.7e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 3.1e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.6095+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_2} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.544e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_2} ++ ua = {-2.02e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_2} ++ ub = {2.344e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_2} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_2} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0286+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_2} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_2} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_2} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_2} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.206+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {2.022+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_2} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3121 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1548 ++ pdiblc1 = 0.1965 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03397 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.29+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_2} ++ kt2 = -0.02437 ++ at = 5.978e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -4.98e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1800*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.989e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {4.04e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {8e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {1.0e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.68e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {1.81e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9064 +.ends sky130_fd_pr__rf_nfet_01v8_bM04 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W3p00 d g s b ++ +.param l = 1 w = 3.01 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_bM04W3p00 d g s b sky130_fd_pr__rf_nfet_01v8_bM04__model l = {l} w = 3.01 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.3 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.076e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 2.2e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.593+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_3} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.644e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_3} ++ ua = {-2.032e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_3} ++ ub = {2.16e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_3} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_3} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03499+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_3} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_3} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_3} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_3} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.2313+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {2.752+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_3} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.204 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1986 ++ pdiblc1 = 0.19 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2112+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_3} ++ kt2 = -0.02437 ++ at = 7.202e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {880*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.274e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.069e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {3e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {3.21e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.4 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85E-07 wmin = 3.005E-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.076e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 2.2e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.612+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_4} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.594e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_4} ++ ua = {-2.032e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_4} ++ ub = {2.18e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_4} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_4} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03129+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_4} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_4} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_4} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_4} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.2313+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.761+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_4} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.204 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1509 ++ pdiblc1 = 0.1482 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2112+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_4} ++ kt2 = -0.02437 ++ at = 7.202e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {880*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.3e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.509e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.5e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {7e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {3.21e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.5 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55E-07 wmin = 3.005E-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.076e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 2.2e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.599+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_5} ++ k3 = 3.0 ++ dvt0 = 0.01 ++ dvt1 = 0.2 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.498e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_5} ++ ua = {-2.052e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_5} ++ ub = {2.28e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_5} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_5} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0275+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_5} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_5} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_5} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_5} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.2313+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.902+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_5} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.204 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1177 ++ pdiblc1 = 0.1363 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2112+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_5} ++ kt2 = -0.02437 ++ at = 7.202e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {880*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.3e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.809e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.8e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {7e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {9e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.21e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_bM04W3p00 +.subckt sky130_fd_pr__rf_nfet_01v8_bM04W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_bM04W5p00 d g s b sky130_fd_pr__rf_nfet_01v8_bM04__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.6 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.035e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 2.2e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.59+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_6} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.644e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_6} ++ ua = {-2.152e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_6} ++ ub = {2.44e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_6} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_6} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0354+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_6} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_6} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_6} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_6} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.2313+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {2.257+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_6} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.204 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1589 ++ pdiblc1 = 0.1976 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03074 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2112+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_6} ++ kt2 = -0.02437 ++ at = 7.202e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.3e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.069e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.81e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.7 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.035e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 2.2e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.606+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_7} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.594e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_7} ++ ua = {-2.162e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_7} ++ ub = {2.461e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_7} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_7} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0314+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_7} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_7} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_7} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_7} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.2313+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.76+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_7} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.204 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1208 ++ pdiblc1 = 0.1462 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03074 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2112+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_7} ++ kt2 = -0.02437 ++ at = 7.202e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.25e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.269e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.4e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.81e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_bM04__model.8 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-2.035e-08+sky130_fd_pr__rf_nfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -1.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.94 ++ rnoib = 0.26 ++ tnoia = 1.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 2.2e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_vth0_slope_spectre) ++ vth0 = {0.59+sky130_fd_pr__rf_nfet_01v8_bM04__vth0_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_bM04__k2_diff_8} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.524e+05+sky130_fd_pr__rf_nfet_01v8_bM04__vsat_diff_8} ++ ua = {-2.102e-09+sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_8} ++ ub = {2.451e-18+sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_8} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_8} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0274+sky130_fd_pr__rf_nfet_01v8_bM04__u0_diff_8} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_8} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_8} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_8} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8__b_voff_slope_spectre) ++ voff = {-0.2313+sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8__b_voff_slope/sqrt(l*w*mult))} ++ nfactor = {1.76+sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_8} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.204 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.1208 ++ pdiblc1 = 0.1287 ++ pdiblc2 = 0.0 ++ pdiblcb = -1.0 ++ drout = 0.2457 ++ pscbe1 = 3.295e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03074 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2112+sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_8} ++ kt2 = -0.02437 ++ at = 7.202e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_nfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.84 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.3e-06+sky130_fd_pr__rf_nfet_01v8_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.569e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgso = {3.4e-10*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cgdl = {8e-11*sky130_fd_pr__rf_nfet_01v8_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.3e-08+sky130_fd_pr__rf_nfet_01v8_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9877 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.01e-10*sky130_fd_pr__rf_nfet_01v8_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_bM04W5p00 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 18 +.param ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult = 9.2429e-1 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult = 1.0004e+0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult = 8.9176e-1 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff = -1.3619e-9 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult_p42 = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult_p42 = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult_p42 = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_cap_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_dist_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_rgate_stub_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult = 1.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 000, W = 1.65, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_0 = -0.0019098 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_0 = -0.00025989 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_0 = -4140.2 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_0 = -0.017838 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 001, W = 1.65, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_1 = -0.0088849 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_1 = -0.002597 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_1 = 0.0006887 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_1 = -1709.2 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_1 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 002, W = 1.65, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_2 = -0.0018947 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_2 = -0.012344 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_2 = 0.00070107 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_2 = -3891.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_2 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 003, W = 3.01, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_3 = -0.013074 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_3 = -0.011262 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_3 = -0.0041387 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_3 = -6088.7 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_3 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 004, W = 3.01, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_4 = -0.008659 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_4 = -0.020003 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_4 = -0.0021579 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_4 = -1519.6 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_4 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 005, W = 3.01, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_5 = -0.0014917 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_5 = -0.0074298 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_5 = -0.0010425 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_5 = -415.88 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_5 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 006, W = 5.05, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_6 = -0.020457 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_6 = -0.010257 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_6 = -0.008363 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_6 = -5555.5 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_6 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 007, W = 5.05, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_7 = -0.0091424 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_7 = -0.01706 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_7 = -0.0042397 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_7 = -790.25 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_7 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM02, Bin 008, W = 5.05, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_8 = -0.0013518 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_8 = -0.0069456 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_8 = -0.0019193 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_8 = -105.44 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_8 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 000, W = 1.65, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_0 = -0.017526 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_0 = -0.0051133 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_0 = -0.0060348 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_0 = 145.4 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_0 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 001, W = 1.65, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_1 = -0.0093978 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_1 = -0.010042 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_1 = -0.0028136 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_1 = -1622.4 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_1 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 002, W = 1.65, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_2 = -0.0023374 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_2 = -0.017756 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_2 = -0.0017253 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_2 = 2328.3 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_2 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 003, W = 3.01, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_3 = -0.014282 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_3 = -0.0085151 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_3 = -0.0046757 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_3 = -6719.9 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 004, W = 3.01, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_4 = -0.0069428 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_4 = 327.64 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_4 = -0.0096416 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_4 = -0.017088 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 005, W = 3.01, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_5 = -0.019991 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_5 = -0.0042474 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_5 = 9083.2 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_5 = -0.0027118 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 006, W = 5.05, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_6 = -0.01916 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_6 = -0.013021 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_6 = -0.0061579 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_6 = -6431.2 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_6 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 007, W = 5.05, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_7 = -0.011438 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_7 = -0.018674 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_7 = -0.0084514 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_7 = -2625.5 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_7 = 0.0 +* +* sky130_fd_pr__rf_nfet_01v8_lvt_bM04, Bin 008, W = 5.05, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_8 = -0.0028374 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_8 = -0.0065635 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_8 = 14190.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_8 = -0.024019 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_8 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre = 0.0 +.param sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM02 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM02 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.0 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.14e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.498+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_0} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.64e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_0} ++ ua = {-2.21e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_0} ++ ub = {2.525e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_0} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_0} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_0} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_0} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_0} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_0} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2166+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_0} ++ nfactor = {2.991+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_0} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3604 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.2056+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_0} ++ pdiblc1 = 0.238 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.4074 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03842 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2709+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_0} ++ kt2 = -0.02437 ++ at = 7.8725e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {900*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {8.2e-07+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.389e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {4e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.4e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {7e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.0 ++ dlc = {2.3e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.00255*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9977 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {4.213e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.2 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.1 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.011e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.502+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_1} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.54e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_1} ++ ua = {-1.786e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_1} ++ ub = {2.173e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_1} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_1} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03224+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_1} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_1} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_1} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_1} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2036+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_1} ++ nfactor = {2.685+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_1} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 1.0e-10 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.227+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_1} ++ pdiblc1 = 0.2584 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.39 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03138 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2709+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_1} ++ kt2 = -0.02437 ++ at = 6.700e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {900*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.26e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.389e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.95e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {8.5e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.0e-11 ++ cle = 1.9 ++ dlc = {2.5e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 0.8 ++ voffcv = -0.07 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.5 ++ cjswgs = {2.813e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.2 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.2 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.14e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.498+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_2} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.56e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_2} ++ ua = {-1.901e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_2} ++ ub = {2.515e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_2} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_2} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_2} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_2} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_2} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_2} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1949+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_2} ++ nfactor = {2.991+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_2} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3604 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.204+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_2} ++ pdiblc1 = 0.238 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.4074 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03842 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.3000+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_2} ++ kt2 = -0.02437 ++ at = 6.1806e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.14e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {900*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.33e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000992 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.859e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {4.35e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {2e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.2e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.2 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0025*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.222 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.913e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM02 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM02W3p00 d g s b ++ +.param l = 1 w = 3.01 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM02W3p00 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model l = {l} w = 3.01 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.3 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.4788+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_3} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.56e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_3} ++ ua = {-1.984e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_3} ++ ub = {2.376e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_3} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_3} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03224+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_3} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_3} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_3} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_3} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2256+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_3} ++ nfactor = {2.991+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_3} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.2183+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_3} ++ pdiblc1 = 0.238 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.4244 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2507+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_3} ++ kt2 = -0.02437 ++ at = 7.705e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -6.0024e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {440*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {8.75e-07+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000992 ++ tcjsw = 0.0 ++ tcjswg = 0.0 ++ cgdo = {3.289e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.2e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {6e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {1.9e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.513e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.5 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.4 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-4e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.502+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_4} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.54e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_4} ++ ua = {-1.984e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_4} ++ ub = {2.492e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_4} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_4} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03224+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_4} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_4} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_4} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_4} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2166+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_4} ++ nfactor = {1.974+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_4} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 1.0e-10 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.2183+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_4} ++ pdiblc1 = 0.219 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.4244 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03138 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.25432+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_4} ++ kt2 = -0.02437 ++ at = 6.432e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {440*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.389e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.8e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.0e-11 ++ cle = 1.9 ++ dlc = {2.5e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 0.9 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.5 ++ cjswgs = {2.813e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.2 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.5 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-4e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.482+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_5} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.611e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_5} ++ ua = {-1.884e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_5} ++ ub = {2.432e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_5} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_5} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.029+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_5} ++ a0 = {1.883+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_5} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.4465+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_5} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_5} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2166+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_5} ++ nfactor = {1.074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_5} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 1.0e-10 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3465 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1223+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_5} ++ pdiblc1 = 0.1445 ++ pdiblc2 = 0.01136 ++ pdiblcb = -1.0 ++ drout = 0.4244 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.02761 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2543+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_5} ++ kt2 = -0.02437 ++ at = 6.432e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {440*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.389e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.8e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {9.4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.0e-11 ++ cle = 1.9 ++ dlc = {2.1e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 0.9 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.5 ++ cjswgs = {2.813e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.2 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM02W3p00 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.6 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-5.962e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.477+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_6} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.606e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_6} ++ ua = {-1.944e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_6} ++ ub = {2.18e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_6} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_6} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03354+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_6} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_6} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_6} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_6} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.23+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_6} ++ nfactor = {2.931+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_6} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1907+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_6} ++ pdiblc1 = 0.2121 ++ pdiblc2 = 0.02195 ++ pdiblcb = -1.0 ++ drout = 0.4244 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.02453 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2507+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_6} ++ kt2 = -0.02437 ++ at = 7.705e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -6.0024e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {190*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.092e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.189e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.2e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {2e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.4e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0022*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.222 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.513e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.5 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00L0p18 d g s b ++ +.param l = 0.18 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00L0p18 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model l = 0.18 w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.7 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-4e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.489+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_7} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.5e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_7} ++ ua = {-1.984e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_7} ++ ub = {2.352e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_7} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_7} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03124+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_7} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_7} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_7} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_7} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2166+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_7} ++ nfactor = {2.053+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_7} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 1.0e-10 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.227+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_7} ++ pdiblc1 = 0.2278 ++ pdiblc2 = 0.005138 ++ pdiblcb = -1.0 ++ drout = 0.4244 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03138 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2543+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_7} ++ kt2 = -0.02437 ++ at = 6.432e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 1.35e-6 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.289e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.6e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.0e-11 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 0.9 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.5 ++ cjswgs = {2.813e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.2 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00L0p18 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00L0p25 d g s b ++ +.param l = 0.25 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00L0p25 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model l = 0.25 w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM02__model.8 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-4e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.474+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vth0_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__k2_diff_8} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.581e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__vsat_diff_8} ++ ua = {-1.874e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_8} ++ ub = {2.472e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_8} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_8} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0305+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__u0_diff_8} ++ a0 = {1.883+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_8} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.4465+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_8} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_8} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2166+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_8} ++ nfactor = {1.074+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_8} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 1.0e-10 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3465 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1223+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_8} ++ pdiblc1 = 0.1445 ++ pdiblc2 = 0.008634 ++ pdiblcb = -1.0 ++ drout = 0.4244 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03258 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2543+sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_8} ++ kt2 = -0.02437 ++ at = 6.432e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 1.35e-6 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.489e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.4e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {9.4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.0e-11 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 0.9 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0014*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.5 ++ cjswgs = {2.813e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.2 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM02W5p00L0p25 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM04 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM04 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.0 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.14e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.972 ++ rnoib = 0.20 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.4908+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_0} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.651e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_0} ++ ua = {-2.21e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_0} ++ ub = {2.424e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_0} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_0} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0309+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_0} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_0} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_0} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_0} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2166+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_0} ++ nfactor = {2.991+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_0} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3604 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1603+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_0} ++ pdiblc1 = 0.238 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.4074 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03842 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2709+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_0} ++ kt2 = -0.02437 ++ at = 7.873e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1800*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.16e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.299e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.9e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {6e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.18e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.513e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.5 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.1 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.072e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.51+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_1} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.448e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_1} ++ ua = {-1.822e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_1} ++ ub = {2.193e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_1} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_1} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03224+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_1} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_1} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_1} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_1} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2036+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_1} ++ nfactor = {2.087+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_1} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 1.0e-10 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.3323+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_1} ++ pdiblc1 = 0.2584 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.39 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.0182 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2709+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_1} ++ kt2 = -0.02437 ++ at = 6.7e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1800*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.25e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.689e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {4.15e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {8.5e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.0e-11 ++ cle = 1.9 ++ dlc = {2.5e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 0.8 ++ voffcv = -0.07 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.5 ++ cjswgs = {2.213e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.2 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.2 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.14e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.852 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.499+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_2} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.49e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_2} ++ ua = {-1.871e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_2} ++ ub = {2.402e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_2} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_2} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_2} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_2} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_2} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_2} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1949+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_2} ++ nfactor = {2+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_2} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.3604 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.204+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_2} ++ pdiblc1 = 0.2723 ++ pdiblc2 = 0.003569 ++ pdiblcb = -1.0 ++ drout = 0.4074 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03842 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2709+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_2} ++ kt2 = -0.02437 ++ at = 5.328e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1800*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {4.009e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {4.15e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {1.05e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.0e-11 ++ cle = 1.9 ++ dlc = {2.2e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 0.8 ++ voffcv = -0.07 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.002*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.1 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM04 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM04W3p00 d g s b ++ +.param l = 1 w = 3.01 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM04W3p00 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model l = {l} w = 3.01 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.3 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-4e-10+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.4788+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_3} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.556e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_3} ++ ua = {-1.924e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_3} ++ ub = {2.336e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_3} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_3} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03224+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_3} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_3} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_3} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_3} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2256+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_3} ++ nfactor = {2.931+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_3} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.2239+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_3} ++ pdiblc1 = 0.238 ++ pdiblc2 = 0.004705 ++ pdiblcb = -1.0 ++ drout = 0.4244 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.02433 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2779+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_3} ++ kt2 = -0.02437 ++ at = 7.203e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {880*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {2.809e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {2.8e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {5e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0025*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.513e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.5 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.4 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.128e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.4959+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_4} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.56e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_4} ++ ua = {-1.992e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_4} ++ ub = {2.361e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_4} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_4} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03224+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_4} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_4} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_4} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_4} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1865+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_4} ++ nfactor = {2.991+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_4} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1703+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_4} ++ pdiblc1 = 0.2047 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.3367 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2779+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_4} ++ kt2 = -0.02437 ++ at = 7.203e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {880*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.189e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3.1e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {7.4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.8e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.3 ++ pbswgs = 0.9964 +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.5 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55E-07 wmin = 3.005E-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.128e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.852 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.4921+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_5} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.52e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_5} ++ ua = {-1.972e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_5} ++ ub = {2.511e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_5} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_5} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.02944+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_5} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_5} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_5} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_5} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1865+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_5} ++ nfactor = {1.795+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_5} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1703+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_5} ++ pdiblc1 = 0.2047 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.3367 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2779+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_5} ++ kt2 = -0.02437 ++ at = 7.203e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {880*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 1.35e-6 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.659e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {2e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {7.4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.7e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = 0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0011*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {1.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.3 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM04W3p00 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.6 nmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-3.312e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.4788+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_6} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.646e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_6} ++ ua = {-1.974e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_6} ++ ub = {2.469e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_6} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_6} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03324+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_6} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_6} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_6} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_6} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.2473+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_6} ++ nfactor = {2.931+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_6} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1616+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_6} ++ pdiblc1 = 0.2525 ++ pdiblc2 = 0.01568 ++ pdiblcb = -1.0 ++ drout = 0.4244 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.02725 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2779+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_6} ++ kt2 = -0.02437 ++ at = 7.203e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {380*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.35e-06+sky130_fd_pr__rf_nfet_01v8_lvt_b__xgw_diff} ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.089e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {2.8e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {2e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.8e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0025*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.422 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.513e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.5 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00L0p18 d g s b ++ +.param l = 0.18 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00L0p18 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model l = 0.18 w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.7 nmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.128e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.912 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.4889+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_7} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.56e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_7} ++ ua = {-1.992e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_7} ++ ub = {2.511e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_7} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_7} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.03344+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_7} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_7} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_7} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_7} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1865+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_7} ++ nfactor = {1.795+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_7} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1703+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_7} ++ pdiblc1 = 0.2047 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.3367 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2779+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_7} ++ kt2 = -0.02437 ++ at = 7.203e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 1.35e-6 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.029e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {7.4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.8e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.3 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00L0p18 +.subckt sky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00L0p25 d g s b ++ +.param l = 0.25 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00L0p25 d g s b sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model l = 0.25 w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_01v8_lvt_bM04__model.8 nmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.148e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.128e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {9.181e-09+sky130_fd_pr__rf_nfet_01v8_lvt_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = 0.0 ++ dwb = 0.0 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -3.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 2.0e+5 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.852 ++ rnoib = 0.26 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope_spectre) ++ toxe = {4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.148e-09*sky130_fd_pr__rf_nfet_01v8_lvt_b__toxe_mult*(sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_01v8_lvt_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope_spectre) ++ vth0 = {0.4869+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vth0_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.5415 ++ k2 = {-0.07197+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__k2_diff_8} ++ k3 = 3.0 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.032 ++ dvt0w = -0.2864 ++ dvt1w = 1.671e+6 ++ dvt2w = -0.3571 ++ w0 = 0.0 ++ k3b = 1.48 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 1.342e-7 ++ lpeb = -7.224e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.51e+05+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__vsat_diff_8} ++ ua = {-1.992e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_8} ++ ub = {2.621e-18+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_8} ++ uc = 7.917e-11 ++ rdsw = {98.95+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_8} ++ prwb = 0.008 ++ prwg = 0.0 ++ wr = 1.0 ++ u0 = {0.0307+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__u0_diff_8} ++ a0 = {1.471+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_8} ++ keta = 0.1378 ++ a1 = 0.0 ++ a2 = 0.4239 ++ ags = {0.5074+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_8} ++ b0 = {-1.502e-07+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_8} ++ b1 = {1.902e-09+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 98.95 ++ rdwmin = 0.0 ++ rsw = 98.95 ++ rswmin = 0.0 +* Subthreshold Current Parameters ++ voff = {-0.1865+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_8} ++ nfactor = {1.795+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_8} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.231 ++ etab = 0.0001546 ++ dsub = 0.4657 +* BSIM4 - Sub-threshold parameters ++ voffl = 5.82e-9 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.1703+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_8} ++ pdiblc1 = 0.2047 ++ pdiblc2 = 0.002704 ++ pdiblcb = -1.0 ++ drout = 0.3367 ++ pscbe1 = 3.731e+8 ++ pscbe2 = 2.0e-6 ++ pvag = 0.0 ++ delta = 0.03202 ++ alpha0 = 1.21e-7 ++ alpha1 = 0.8767 ++ beta0 = 14.77 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 0.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 0.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 0.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.148e-9 +* Temperature Effects Parameters ++ kt1 = {-0.2779+sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_8} ++ kt2 = -0.02437 ++ at = 7.203e+4 ++ ute = -1.681 ++ ua1 = 6.012e-10 ++ ub1 = -7.32e-19 ++ uc1 = 1.09e-12 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_nfet_01v8_lvt_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 800000000000.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.2 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = 1.35e-6 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.293 ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ xtis = 2.0 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001229 ++ tpbsw = 0.0 ++ tpbswg = 0.0 ++ tcj = 0.000792 ++ tcjsw = 1.0e-5 ++ tcjswg = 0.0 ++ cgdo = {3.709e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgso = {3e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cgdl = {7.4e-11*sky130_fd_pr__rf_nfet_01v8_lvt_b__overlap_mult} ++ cf = 1.0e-11 ++ clc = 1.2e-8 ++ cle = 1.9 ++ dlc = {2.9e-08+sky130_fd_pr__rf_nfet_01v8_lvt_b__dlc_diff+sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.3801 ++ moin = 23.81 ++ noff = 1.0 ++ voffcv = -0.06 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.002*sky130_fd_pr__rf_nfet_01v8_lvt_b__ajunction_mult} ++ mjs = 0.322 ++ pbs = 0.9477 ++ cjsws = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjsws = 0.001 ++ pbsws = 0.4 ++ cjswgs = {2.013e-10*sky130_fd_pr__rf_nfet_01v8_lvt_b__pjunction_mult} ++ mjswgs = 0.3 ++ pbswgs = 0.9964 +.ends sky130_fd_pr__rf_nfet_01v8_lvt_bM04W5p00L0p25 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 2 +.param ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult = 1.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult = 1.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult = 0.89805 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult = 9.9505e-1 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult = 1.0144 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff = 0.0 +* +* sky130_fd_pr__rf_nfet_g5v0d10v5_bM02, Bin 000, W = 3.01, L = 0.5 +* -------------------------------------------- ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__vth0_diff_0 = -0.015262 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__vsat_diff_0 = -3503.4 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__k2_diff_0 = 0.0013127 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__u0_diff_0 = -0.0029685 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b1_diff_0 = 0.0 +* +* sky130_fd_pr__rf_nfet_g5v0d10v5_bM02, Bin 001, W = 5.05, L = 0.5 +* -------------------------------------------- ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__vth0_diff_1 = -0.0025365 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__vsat_diff_1 = 323.35 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__k2_diff_1 = -0.00053421 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__u0_diff_1 = -0.0010115 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b1_diff_1 = 0.0 +* +* sky130_fd_pr__rf_nfet_g5v0d10v5_bM04, Bin 000, W = 3.01, L = 0.5 +* -------------------------------------------- ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vsat_diff_0 = -3946.4 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vth0_diff_0 = -0.024526 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__k2_diff_0 = 0.0010173 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__u0_diff_0 = -0.0031271 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_0 = 0.0 +* +* sky130_fd_pr__rf_nfet_g5v0d10v5_bM04, Bin 001, W = 5.05, L = 0.5 +* -------------------------------------------- ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vsat_diff_1 = -1841.7 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vth0_diff_1 = -0.0099998 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__k2_diff_1 = -0.00057848 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__u0_diff_1 = -0.0013993 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_1 = 0.0 +* +* sky130_fd_pr__rf_nfet_g5v0d10v5_bM04, Bin 002, W = 7.09, L = 0.5 +* -------------------------------------------- ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vsat_diff_2 = -2994.9 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vth0_diff_2 = -0.0082165 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__k2_diff_2 = -0.0015137 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__u0_diff_2 = -0.003002 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_2 = 0.0 +* +* sky130_fd_pr__rf_nfet_g5v0d10v5_bM10, Bin 000, W = 3.01, L = 0.5 +* --------------------------------------------- ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__k2_diff_0 = 0.00059498 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__u0_diff_0 = -0.0016449 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vth0_diff_0 = -0.015075 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vsat_diff_0 = 1100.9 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_0 = 0.0 +* +* sky130_fd_pr__rf_nfet_g5v0d10v5_bM10, Bin 001, W = 5.05, L = 0.5 +* --------------------------------------------- ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__k2_diff_1 = -0.0068059 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__u0_diff_1 = -0.002939 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vth0_diff_1 = -0.012671 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vsat_diff_1 = -3862.9 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_1 = 0.0 +* +* sky130_fd_pr__rf_nfet_g5v0d10v5_bM10, Bin 002, W = 7.09, L = 0.5 +* --------------------------------------------- ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__k2_diff_2 = -0.0017173 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__u0_diff_2 = -0.0041054 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vth0_diff_2 = -0.022123 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vsat_diff_2 = -5556.8 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre = 0.0 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre = 0.0 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM02 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_g5v0d10v5_bM02 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__model.0 nmos +* DC IV MOS Parameters ++ lmin = 4.95E-07 lmax = 5.05E-07 wmin = 3.005E-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {7.345E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {2.135E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.129e-9 ++ dwb = -1.694e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre) ++ toxe = {1.16E-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 6.0e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {0.8292+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__vth0_diff_0} ++ k1 = 0.8833 ++ k2 = {-0.03308+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__k2_diff_0} ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.1925 ++ dvt0w = 0.16 ++ dvt1w = 6.909e+6 ++ dvt2w = -0.03602 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.0868E+05+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__vsat_diff_0} ++ ua = {1.663E-09+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ua_diff_0} ++ ub = {1.238E-18+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ub_diff_0} ++ uc = 6.62e-11 ++ rdsw = {724.6+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__rdsw_diff_0} ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = {0.0636+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__u0_diff_0} ++ a0 = {0.1745+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__a0_diff_0} ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.6597 ++ ags = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ags_diff_0} ++ b0 = {3.293E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b0_diff_0} ++ b1 = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 370.0 ++ rdwmin = 0.0 ++ rsw = 370.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre) ++ voff = {-0.2309+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre) ++ nfactor = {0.627+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.05 ++ etab = -0.01932 ++ dsub = 0.2822 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.258e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.5653+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__pclm_diff_0} ++ pdiblc1 = 0.211 ++ pdiblc2 = 0.015 ++ pdiblcb = -0.2683 ++ drout = 0.3896 ++ pscbe1 = 9.373e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.03542 ++ alpha0 = 1.447e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.13 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3307+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__kt1_diff_0} ++ kt2 = -0.01915 ++ at = 4.0e+4 ++ ute = -1.299 ++ ua1 = 3.604e-9 ++ ub1 = -3.553e-18 ++ uc1 = -5.982e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult} ++ rbpd = 200.0 ++ rbps = 200.0 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {9E-07+sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.077 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.64 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.0009901 ++ tpbswg = 0.0 ++ tcj = 0.0006743 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {2.7E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgso = {4.1E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {8E-11*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgdl = {2.2E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-11 ++ cle = 0.6 ++ dlc = {1E-07+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff+sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = -0.1204 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.001*sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.985 ++ cjsws = {4.864E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjsws = 0.03759 ++ pbsws = 0.8907 ++ cjswgs = {3.948E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjswgs = 0.1869 ++ pbswgs = 0.99 +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM02 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__model.1 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 5.05E-07 wmin = 4.995E-06 wmax = 5.095e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {7.345e-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {2.135e-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.129e-9 ++ dwb = -1.694e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre) ++ toxe = {1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 6.0e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {0.815+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__vth0_diff_1} ++ k1 = 0.8833 ++ k2 = {-0.03308+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__k2_diff_1} ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.1925 ++ dvt0w = 0.16 ++ dvt1w = 6.909e+6 ++ dvt2w = -0.03602 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.035e+05+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__vsat_diff_1} ++ ua = {1.512e-09+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ua_diff_1} ++ ub = {8.845e-19+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ub_diff_1} ++ uc = 6.62e-11 ++ rdsw = {724.6+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__rdsw_diff_1} ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = {0.0626+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__u0_diff_1} ++ a0 = {0.1745+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__a0_diff_1} ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.6597 ++ ags = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ags_diff_1} ++ b0 = {3.293e-08+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b0_diff_1} ++ b1 = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 370.0 ++ rdwmin = 0.0 ++ rsw = 370.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre) ++ voff = {-0.2309+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre) ++ nfactor = {0.7788+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.05 ++ etab = -0.01932 ++ dsub = 0.2822 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.258e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.5653+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__pclm_diff_1} ++ pdiblc1 = 0.211 ++ pdiblc2 = 0.015 ++ pdiblcb = -0.2683 ++ drout = 0.3896 ++ pscbe1 = 9.373e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.03542 ++ alpha0 = 1.447e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.13 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3507+sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__kt1_diff_1} ++ kt2 = -0.01915 ++ at = 4.0e+4 ++ ute = -1.299 ++ ua1 = 3.004e-9 ++ ub1 = -3.553e-18 ++ uc1 = -5.982e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {140*sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult} ++ rbpd = 140.0 ++ rbps = 140.0 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.21e-06+sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.077 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.64 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.0009901 ++ tpbswg = 0.0 ++ tcj = 0.0006743 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {2.2e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgso = {2.755e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-11*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgdl = {2e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-11 ++ cle = 0.6 ++ dlc = {5e-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff+sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = -0.1204 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.001*sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.985 ++ cjsws = {4.864e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjsws = 0.03759 ++ pbsws = 0.8907 ++ cjswgs = {3.748e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjswgs = 0.1569 ++ pbswgs = 0.99 +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM02W5p00 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM04 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_g5v0d10v5_bM04 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__model.0 nmos +* DC IV MOS Parameters ++ lmin = 4.95E-07 lmax = 5.05E-07 wmin = 3.005E-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {7.345E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {2.135E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.129e-9 ++ dwb = -1.694e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre) ++ toxe = {1.16E-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 6.0e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {0.8372+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vth0_diff_0} ++ k1 = 0.8833 ++ k2 = {-0.03308+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__k2_diff_0} ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.1925 ++ dvt0w = 0.16 ++ dvt1w = 6.909e+6 ++ dvt2w = -0.03602 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.0868E+05+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vsat_diff_0} ++ ua = {1.663E-09+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_0} ++ ub = {1.238E-18+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_0} ++ uc = 6.62e-11 ++ rdsw = {724.6+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_0} ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = {0.0636+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__u0_diff_0} ++ a0 = {0.1745+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_0} ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.6597 ++ ags = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_0} ++ b0 = {3.293E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_0} ++ b1 = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 370.0 ++ rdwmin = 0.0 ++ rsw = 370.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre) ++ voff = {-0.2309+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre) ++ nfactor = {0.627+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.05 ++ etab = -0.01932 ++ dsub = 0.2822 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.258e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.5653+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_0} ++ pdiblc1 = 0.211 ++ pdiblc2 = 0.015 ++ pdiblcb = -0.2683 ++ drout = 0.3896 ++ pscbe1 = 9.373e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.03542 ++ alpha0 = 1.447e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.13 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3307+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_0} ++ kt2 = -0.01915 ++ at = 4.0e+4 ++ ute = -1.299 ++ ua1 = 3.604e-9 ++ ub1 = -3.553e-18 ++ uc1 = -5.982e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult} ++ rbpd = 400.0 ++ rbps = 400.0 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.25E-06+sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.077 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.64 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.0009901 ++ tpbswg = 0.0 ++ tcj = 0.0006743 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {2.6E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgso = {3.7E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5E-11*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgdl = {2.1E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-11 ++ cle = 0.6 ++ dlc = {1E-07+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff+sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = -0.1204 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.001*sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.985 ++ cjsws = {4.864E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjsws = 0.03759 ++ pbsws = 0.8907 ++ cjswgs = {3.348E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjswgs = 0.3069 ++ pbswgs = 0.99 +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM04 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__model.1 nmos +* DC IV MOS Parameters ++ lmin = 4.95e-07 lmax = 5.05E-07 wmin = 4.995E-06 wmax = 5.095e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {7.345e-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {2.135e-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.129e-9 ++ dwb = -1.694e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.50e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre) ++ toxe = {1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 6.0e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {0.815+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vth0_diff_1} ++ k1 = 0.8833 ++ k2 = {-0.03308+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__k2_diff_1} ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.1925 ++ dvt0w = 0.16 ++ dvt1w = 6.909e+6 ++ dvt2w = -0.03602 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.035e+05+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vsat_diff_1} ++ ua = {1.512e-09+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_1} ++ ub = {8.845e-19+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_1} ++ uc = 6.62e-11 ++ rdsw = {724.6+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_1} ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = {0.06175+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__u0_diff_1} ++ a0 = {0.1745+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_1} ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.6597 ++ ags = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_1} ++ b0 = {3.293e-08+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_1} ++ b1 = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 370.0 ++ rdwmin = 0.0 ++ rsw = 370.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre) ++ voff = {-0.2309+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre) ++ nfactor = {0.6181+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.05 ++ etab = -0.01932 ++ dsub = 0.2822 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.258e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.5653+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_1} ++ pdiblc1 = 0.211 ++ pdiblc2 = 0.015 ++ pdiblcb = -0.2683 ++ drout = 0.3896 ++ pscbe1 = 9.373e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.03542 ++ alpha0 = 1.447e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.13 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3507+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_1} ++ kt2 = -0.01915 ++ at = 4.0e+4 ++ ute = -1.299 ++ ua1 = 3.004e-9 ++ ub1 = -3.553e-18 ++ uc1 = -5.982e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {280*sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult} ++ rbpd = 280.0 ++ rbps = 280.0 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {9e-7+sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.077 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.64 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.0009901 ++ tpbswg = 0.0 ++ tcj = 0.0006743 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {2.3e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgso = {3.755e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5e-11*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgdl = {2.2e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-11 ++ cle = 0.6 ++ dlc = {1.00e-07+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff+sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = -0.1204 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.001*sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.985 ++ cjsws = {4.864e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjsws = 0.03759 ++ pbsws = 0.8907 ++ cjswgs = {3.348e-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjswgs = 0.3069 ++ pbswgs = 0.99 +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W5p00 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00 d g s b ++ +.param l = 1 w = 7.09 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__model l = {l} w = 7.09 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__model.2 nmos +* DC IV MOS Parameters ++ lmin = 4.95E-07 lmax = 5.05E-07 wmin = 7.085E-06 wmax = 7.095e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {7.345E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {2.135E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.129e-9 ++ dwb = -1.694e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre) ++ toxe = {1.16E-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 6.0e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {0.811+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vth0_diff_2} ++ k1 = 0.8833 ++ k2 = {-0.03308+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__k2_diff_2} ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.1925 ++ dvt0w = 0.16 ++ dvt1w = 6.909e+6 ++ dvt2w = -0.03602 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.056E+05+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__vsat_diff_2} ++ ua = {1.588E-09+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_2} ++ ub = {8.757E-19+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_2} ++ uc = 6.62e-11 ++ rdsw = {724.6+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_2} ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = {0.06298+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__u0_diff_2} ++ a0 = {0.1745+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_2} ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.6597 ++ ags = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_2} ++ b0 = {3.293E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_2} ++ b1 = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 370.0 ++ rdwmin = 0.0 ++ rsw = 370.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre) ++ voff = {-0.2309+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre) ++ nfactor = {0.7232+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.05 ++ etab = -0.01932 ++ dsub = 0.2822 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.258e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.5653+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_2} ++ pdiblc1 = 0.211 ++ pdiblc2 = 0.015 ++ pdiblcb = -0.2683 ++ drout = 0.3896 ++ pscbe1 = 9.373e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.03542 ++ alpha0 = 1.447e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.13 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3507+sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_2} ++ kt2 = -0.01915 ++ at = 4.0e+4 ++ ute = -1.299 ++ ua1 = 3.004e-9 ++ ub1 = -3.553e-18 ++ uc1 = -5.982e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {220*sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult} ++ rbpd = 220.0 ++ rbps = 220.0 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.5E-06+sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.077 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.64 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.0009901 ++ tpbswg = 0.0 ++ tcj = 0.0006743 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {2.3E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgso = {3.2E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5E-11*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgdl = {2E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-11 ++ cle = 0.6 ++ dlc = {1E-07+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff+sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = -0.1204 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.001*sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.985 ++ cjsws = {4.864E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjsws = 0.03759 ++ pbsws = 0.8907 ++ cjswgs = {3.348E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjswgs = 0.3069 ++ pbswgs = 0.99 +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM04W7p00 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM10 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_g5v0d10v5_bM10 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__model.0 nmos +* DC IV MOS Parameters ++ lmin = 4.95E-07 lmax = 5.05E-07 wmin = 3.005E-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {7.345E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {2.135E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.129e-9 ++ dwb = -1.694e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre) ++ toxe = {1.16E-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 6.0e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {0.8292+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vth0_diff_0} ++ k1 = 0.8833 ++ k2 = {-0.03308+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__k2_diff_0} ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.1925 ++ dvt0w = 0.16 ++ dvt1w = 6.909e+6 ++ dvt2w = -0.03602 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.0868E+05+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vsat_diff_0} ++ ua = {1.663E-09+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_0} ++ ub = {1.238E-18+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_0} ++ uc = 6.62e-11 ++ rdsw = {724.6+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_0} ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = {0.06175+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__u0_diff_0} ++ a0 = {0.1745+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_0} ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.6597 ++ ags = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_0} ++ b0 = {3.293E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_0} ++ b1 = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 370.0 ++ rdwmin = 0.0 ++ rsw = 370.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre) ++ voff = {-0.2309+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre) ++ nfactor = {0.7376+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.05 ++ etab = -0.01932 ++ dsub = 0.2822 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.258e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.5653+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_0} ++ pdiblc1 = 0.211 ++ pdiblc2 = 0.015 ++ pdiblcb = -0.2683 ++ drout = 0.3896 ++ pscbe1 = 9.373e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.03542 ++ alpha0 = 1.447e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.13 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3307+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_0} ++ kt2 = -0.01915 ++ at = 4.0e+4 ++ ute = -1.299 ++ ua1 = 3.604e-9 ++ ub1 = -3.553e-18 ++ uc1 = -5.982e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1000*sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult} ++ rbpd = 1000.0 ++ rbps = 1000.0 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.25E-06+sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.077 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.64 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.0009901 ++ tpbswg = 0.0 ++ tcj = 0.0006743 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {2.7E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgso = {3.7E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5E-11*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgdl = {2.2E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-11 ++ cle = 0.6 ++ dlc = {1E-07+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff+sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = -0.1204 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.001*sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.985 ++ cjsws = {4.864E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjsws = 0.03759 ++ pbsws = 0.8907 ++ cjswgs = {3.048E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjswgs = 0.2969 ++ pbswgs = 0.99 +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM10 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__model.1 nmos +* DC IV MOS Parameters ++ lmin = 4.95E-07 lmax = 5.05E-07 wmin = 5.045E-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {7.345E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {2.135E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.129e-9 ++ dwb = -1.694e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre) ++ toxe = {1.16E-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 6.0e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {0.815+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vth0_diff_1} ++ k1 = 0.8833 ++ k2 = {-0.03308+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__k2_diff_1} ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.1925 ++ dvt0w = 0.16 ++ dvt1w = 6.909e+6 ++ dvt2w = -0.03602 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.056E+05+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vsat_diff_1} ++ ua = {1.724E-09+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_1} ++ ub = {1.624E-18+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_1} ++ uc = 6.62e-11 ++ rdsw = {724.6+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_1} ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = {0.06545+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__u0_diff_1} ++ a0 = {0.1745+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_1} ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.6597 ++ ags = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_1} ++ b0 = {3.293E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_1} ++ b1 = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 370.0 ++ rdwmin = 0.0 ++ rsw = 370.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre) ++ voff = {-0.2309+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre) ++ nfactor = {0.7664+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.05 ++ etab = -0.01932 ++ dsub = 0.2822 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.258e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.5653+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_1} ++ pdiblc1 = 0.211 ++ pdiblc2 = 0.015 ++ pdiblcb = -0.2683 ++ drout = 0.3896 ++ pscbe1 = 9.373e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.03542 ++ alpha0 = 1.447e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.13 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3207+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_1} ++ kt2 = -0.01915 ++ at = 4.0e+4 ++ ute = -1.377 ++ ua1 = 3.094e-9 ++ ub1 = -1.812e-18 ++ uc1 = -5.982e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {700*sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult} ++ rbpd = 700.0 ++ rbps = 700.0 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.25E-06+sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.077 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.64 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.0009901 ++ tpbswg = 0.0 ++ tcj = 0.0006743 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {2.3E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgso = {3.6E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5E-11*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgdl = {2E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-11 ++ cle = 0.6 ++ dlc = {1E-07+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff+sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = -0.1204 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.001*sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.985 ++ cjsws = {4.864E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjsws = 0.03759 ++ pbsws = 0.8907 ++ cjswgs = {2.848E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjswgs = 0.3069 ++ pbswgs = 0.99 +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W5p00 +.subckt sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00 d g s b ++ +.param l = 1 w = 7.09 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00 d g s b sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__model l = {l} w = 7.09 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__model.2 nmos +* DC IV MOS Parameters ++ lmin = 4.95E-07 lmax = 5.05E-07 wmin = 7.085E-06 wmax = 7.095e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 1.16e-8 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {7.345E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {2.135E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -4.129e-9 ++ dwb = -1.694e-9 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = 0.0 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.794 ++ rnoib = 0.38 ++ tnoia = 7.5e+6 ++ tnoib = 7.2e+6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope_spectre) ++ toxe = {1.16E-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(1.16e-08*sky130_fd_pr__rf_nfet_g5v0d10v5_b__toxe_mult*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 6.0e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_nfet_g5v0d10v5_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters ++ vth0 = {0.811+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vth0_diff_2} ++ k1 = 0.8833 ++ k2 = {-0.03308+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__k2_diff_2} ++ k3 = -0.884 ++ dvt0 = 0.0 ++ dvt1 = 0.53 ++ dvt2 = -0.1925 ++ dvt0w = 0.16 ++ dvt1w = 6.909e+6 ++ dvt2w = -0.03602 ++ w0 = 0.0 ++ k3b = 0.43 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 2.5e-8 ++ lpeb = -2.182e-7 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {1.056E+05+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__vsat_diff_2} ++ ua = {1.89E-09+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_2} ++ ub = {1.437E-18+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_2} ++ uc = 6.62e-11 ++ rdsw = {724.6+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_2} ++ prwb = 0.05626 ++ prwg = 0.048 ++ wr = 1.0 ++ u0 = {0.0655+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__u0_diff_2} ++ a0 = {0.1745+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_2} ++ keta = -0.01066 ++ a1 = 0.0 ++ a2 = 0.6597 ++ ags = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_2} ++ b0 = {3.293E-08+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_2} ++ b1 = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 370.0 ++ rdwmin = 0.0 ++ rsw = 370.0 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope_spectre) ++ voff = {-0.2309+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope_spectre) ++ nfactor = {0.687+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope/sqrt(l*w*mult))} ++ up = 0.0 ++ ud = 0.0 ++ lp = 1.0 ++ tvfbsdoff = 0.0 ++ tvoff = 0.0 ++ cit = -0.0008 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.05 ++ etab = -0.01932 ++ dsub = 0.2822 +* BSIM4 - Sub-threshold parameters ++ voffl = -4.258e-7 ++ minv = 0.0 +* Rout Parameters ++ pclm = {0.5653+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_2} ++ pdiblc1 = 0.211 ++ pdiblc2 = 0.015 ++ pdiblcb = -0.2683 ++ drout = 0.3896 ++ pscbe1 = 9.373e+8 ++ pscbe2 = 1.68e-6 ++ pvag = 1.99 ++ delta = 0.03542 ++ alpha0 = 1.447e-5 ++ alpha1 = 0.0 ++ beta0 = 36.96 +* BSIM4 - Rout Parameters ++ fprout = 10.13 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 5.06e-11 ++ bgidl = 1.058e+9 ++ cgidl = 4000.0 ++ egidl = 0.8 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 1.16e-8 +* Temperature Effects Parameters ++ kt1 = {-0.3507+sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_2} ++ kt2 = -0.01915 ++ at = 4.0e+4 ++ ute = -1.299 ++ ua1 = 3.004e-9 ++ ub1 = -3.553e-18 ++ uc1 = -5.982e-11 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {550*sky130_fd_pr__rf_nfet_g5v0d10v5_b__rbpb_mult} ++ rbpd = 550.0 ++ rbps = 550.0 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 2.6e+41 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 0.89 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.5E-06+sky130_fd_pr__rf_nfet_g5v0d10v5_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.077 ++ jss = 0.000375 ++ jsws = 5.84e-11 ++ xtis = 0.76 ++ bvs = 12.64 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.001344 ++ tpbsw = 0.0009901 ++ tpbswg = 0.0 ++ tcj = 0.0006743 ++ tcjsw = 0.0002493 ++ tcjswg = 0.0 ++ cgdo = {2.3E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgso = {3.4E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5E-11*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cgdl = {1.8E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__overlap_mult} ++ cf = 0.0 ++ clc = 1.0e-11 ++ cle = 0.6 ++ dlc = {1E-07+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dlc_diff+sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff} ++ vfbcv = -1.0 ++ acde = 0.4176 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = -0.1204 ++ ngate = 1.0e+23 ++ lwc = 0.0 ++ llc = 0.0 ++ lwlc = 0.0 ++ wlc = 0.0 ++ wwc = 0.0 ++ wwlc = 0.0 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.001*sky130_fd_pr__rf_nfet_g5v0d10v5_b__ajunction_mult} ++ mjs = 0.295 ++ pbs = 0.985 ++ cjsws = {4.864E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjsws = 0.03759 ++ pbsws = 0.8907 ++ cjswgs = {2.748E-10*sky130_fd_pr__rf_nfet_g5v0d10v5_b__pjunction_mult} ++ mjswgs = 0.3069 ++ pbswgs = 0.99 +.ends sky130_fd_pr__rf_nfet_g5v0d10v5_bM10W7p00 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 8 +.param ++ sky130_fd_pr__rf_pfet_01v8_b__toxe_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_b__overlap_mult = 9.5435e-1 ++ sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult = 9.9626e-1 ++ sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult = 1.0009 ++ sky130_fd_pr__rf_pfet_01v8_b__lint_diff = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_b__wint_diff = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_b__rshg_diff = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_b__dlc_diff = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_b__dwc_diff = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_b__xgw_diff = 0.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_cap_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_rgate_dist_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_rgate_stub_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_cap_mult_2 = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_rgate_dist_mult_2 = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_rgate_stub_mult_2 = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_rd_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_rs_mult = 1.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 000, W = 1.65, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_0 = -0.023953 ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_0 = -0.00025608 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_0 = 0.0054151 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_0 = -1792.7 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_0 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 001, W = 1.65, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_1 = -0.0146 ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_1 = -0.00017534 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_1 = -0.0013289 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_1 = -3939.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_1 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 002, W = 1.65, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_2 = -0.017504 ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_2 = -0.00011528 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_2 = 0.0016874 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_2 = -3705.2 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_2 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 003, W = 3.01, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_3 = -0.025672 ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_3 = -0.00043953 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_3 = 0.00554 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_3 = -7604.3 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_3 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 004, W = 3.01, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_4 = -0.016429 ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_4 = -0.00040298 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_4 = 0.0090164 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_4 = 222.9 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_4 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 005, W = 3.01, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_5 = -0.018578 ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_5 = -0.00022966 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_5 = 0.0043185 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_5 = 12358.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_5 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 006, W = 5.05, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_6 = -0.026387 ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_6 = -0.00078906 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_6 = 0.013291 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_6 = -3996.4 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 007, W = 5.05, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_7 = -0.00030611 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_7 = -5407.7 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_7 = -0.013695 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_7 = 0.0054619 +* +* sky130_fd_pr__rf_pfet_01v8_bM02, Bin 008, W = 5.05, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_8 = 0.0030552 ++ sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_8 = -0.00032694 ++ sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_8 = 2232.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_8 = -0.017831 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 000, W = 1.65, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_0 = -0.022271 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_0 = -0.00043404 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_0 = 0.018047 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_0 = -1656.1 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 001, W = 1.65, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_1 = -0.014049 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_1 = -0.0002533 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_1 = 0.012426 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_1 = 876.35 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 002, W = 1.65, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_2 = -0.0001857 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_2 = 0.0094773 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_2 = 15921.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_2 = -0.018595 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_2 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 003, W = 3.01, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_3 = -0.023461 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_3 = -0.00053503 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_3 = 0.0064872 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_3 = -2980.8 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 004, W = 3.01, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_4 = -0.00062465 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_4 = 0.022342 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_4 = -1155.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_4 = -0.012789 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_4 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 005, W = 3.01, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_5 = 0.012097 ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_5 = -0.00033445 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_5 = 9117.5 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_5 = -0.018599 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_5 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 006, W = 5.05, L = 0.15 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_6 = 0.017753 ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_6 = -0.00064602 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_6 = -2850.2 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_6 = -0.0251 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 007, W = 5.05, L = 0.18 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_7 = -0.010953 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_7 = 0.0097164 ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_7 = -0.00057548 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_7 = -3914.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_7 = 0.0 +* +* sky130_fd_pr__rf_pfet_01v8_bM04, Bin 008, W = 5.05, L = 0.25 +* ------------------------------------------------ ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_8 = -0.016606 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_8 = 0.015491 ++ sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_8 = -0.00044041 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_8 = 11410.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_8 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre = 0.0 +.param sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre = 0.0 +.param sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre = 0.0 +.param sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__rf_pfet_01v8_bM02 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_pfet_01v8_bM02 d g s b sky130_fd_pr__rf_pfet_01v8_bM02__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.0 pmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.62e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.022+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope2/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_0} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.784e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_0} ++ ua = {-2.45e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_0} ++ ub = {2.075e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_0} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_0} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00307+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_0} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_0} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_0} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_0} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.2969+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.23+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1799 ++ etab = -0.07835 ++ dsub = 0.2663 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.4678 ++ pdiblc1 = 0.235 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.4527 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.05627 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.556+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_0} ++ kt2 = -0.122 ++ at = 4.554e+4 ++ ute = -0.39 ++ ua1 = 1.346e-10 ++ ub1 = 4.516e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {800*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {6e-07+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 2.0e-12 ++ cgdo = {1.6e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.505e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {2.12e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {-7e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.0862 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.0 +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.1 pmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.62e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-0.984+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope3/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_1} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {7.217e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_1} ++ ua = {-2.37e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_1} ++ ub = {1.99e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_1} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_1} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00305+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_1} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_1} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_1} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_1} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3374+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {5.99+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1799 ++ etab = -0.07835 ++ dsub = 0.2663 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.4678 ++ pdiblc1 = 7.656e-11 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.5264 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.0521 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.556+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_1} ++ kt2 = -0.122 ++ at = 4.554e+4 ++ ute = -0.39 ++ ua1 = 1.346e-10 ++ ub1 = 4.516e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {800*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {7e-07+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.040000000000001e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 2.0e-12 ++ cgdo = {1.7e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.505e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {2.12e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {-2e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0023*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.0862 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.0 +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.2 pmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.62e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-0.945+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope1/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_2} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {7.4e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_2} ++ ua = {-2.199e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_2} ++ ub = {1.855e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_2} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_2} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.003331+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_2} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_2} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_2} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_2} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3104+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {5.87+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1799 ++ etab = -0.07835 ++ dsub = 0.3036 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.4678 ++ pdiblc1 = 0.1 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.7475 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.0521 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.556+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_2} ++ kt2 = -0.122 ++ at = 4.554e+4 ++ ute = -0.39 ++ ua1 = 1.346e-10 ++ ub1 = 4.516e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {800*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.040000000000001e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 2.0e-12 ++ cgdo = {1.4e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.505e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {2.12e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {-4e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0023*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.0862 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.0 +.ends sky130_fd_pr__rf_pfet_01v8_bM02 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W3p00 d g s b ++ +.param l = 1 w = 3.01 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_pfet_01v8_bM02W3p00 d g s b sky130_fd_pr__rf_pfet_01v8_bM02__model l = {l} w = 3.01 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.3 pmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.04+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope2/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_3} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {7.2e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_3} ++ ua = {-2.307e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_3} ++ ub = {1.975e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_3} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_3} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00431+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_3} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_3} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_3} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_3} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3297+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.012+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.006804 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.279 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.3382 ++ pdiblc1 = 0.24 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.4851 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.1 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5203+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_3} ++ kt2 = -0.122 ++ at = 1.916e+4 ++ ute = -0.189 ++ ua1 = 1.346e-10 ++ ub1 = 9.851e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {8e-07+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.555e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.52e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {6e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.8 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.4 pmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.005+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope3/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_4} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {7.2e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_4} ++ ua = {-2.197e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_4} ++ ub = {1.859e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_4} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_4} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00429+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_4} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_4} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_4} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_4} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3364+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.012+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.006804 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.279 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2949 ++ pdiblc1 = 4.4e-11 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.4851 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.1 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.52026+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_4} ++ kt2 = -0.122 ++ at = 1.916e+4 ++ ute = -0.189 ++ ua1 = 1.346e-10 ++ ub1 = 9.851e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.05e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.040000000000001e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.455e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.72e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {7e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.002*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.8 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {2.5e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.5 pmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-0.976+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope1/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_5} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.976e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_5} ++ ua = {-2.187e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_5} ++ ub = {1.834e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_5} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_5} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00365+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_5} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_5} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_5} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_5} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3364+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.012+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0063 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.31 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.5899 ++ pdiblc1 = 4.4e-11 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.5053 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.03747 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.52026+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_5} ++ kt2 = -0.122 ++ at = 1.916e+4 ++ ute = -0.189 ++ ua1 = 1.346e-10 ++ ub1 = 9.851e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.2e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.040000000000001e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.455e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.72e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {7e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0022*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.ends sky130_fd_pr__rf_pfet_01v8_bM02W3p00 +.subckt sky130_fd_pr__rf_pfet_01v8_bM02W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_pfet_01v8_bM02W5p00 d g s b sky130_fd_pr__rf_pfet_01v8_bM02__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.6 pmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.058+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope2/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_6} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.7e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_6} ++ ua = {-2.311e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_6} ++ ub = {1.91e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_6} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_6} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.004327+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_6} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_6} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_6} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_6} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3364+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {5.651+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0066 ++ cdscb = 0.0 ++ cdscd = 0.0039 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.298 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.5309 ++ pdiblc1 = 0.248 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.4188 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.07242 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.56212+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_6} ++ kt2 = -0.122 ++ at = 2.705e+4 ++ ute = -0.3 ++ ua1 = 1.346e-10 ++ ub1 = 5.223e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {7.4e-07+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.040000000000001e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.505e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.72e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {1.3e-08+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0022*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.7 pmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.021+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope3/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_7} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {7.84e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_7} ++ ua = {-2.321e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_7} ++ ub = {1.953e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_7} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_7} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.0037+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_7} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_7} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_7} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_7} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3074+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.012+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0046 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.2663 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.4186 ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.627 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.05346 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.56212+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_7} ++ kt2 = -0.122 ++ at = 3.472e+4 ++ ute = -0.3 ++ ua1 = 1.346e-10 ++ ub1 = 5.223e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.3e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.040000000000001e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.505e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.72e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {1.4e-08+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0022*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM02__model.8 pmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-0.977+sky130_fd_pr__rf_pfet_01v8_bM02__vth0_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope1/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM02__k2_diff_8} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.708e+04+sky130_fd_pr__rf_pfet_01v8_bM02__vsat_diff_8} ++ ua = {-2.21e-09+sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_8} ++ ub = {1.824e-18+sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_8} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_8} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00375+sky130_fd_pr__rf_pfet_01v8_bM02__u0_diff_8} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_8} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_8} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_8} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3364+sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.012+sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.298 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.5899 ++ pdiblc1 = 4.4e-11 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.5264 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.0457 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5162+sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_8} ++ kt2 = -0.122 ++ at = 4.321e+4 ++ ute = -0.3 ++ ua1 = 1.346e-10 ++ ub1 = 5.223e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {200*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {9.9e-07+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.040000000000001e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.505e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.72e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {1e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0022*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.ends sky130_fd_pr__rf_pfet_01v8_bM02W5p00 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04 d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_pfet_01v8_bM04 d g s b sky130_fd_pr__rf_pfet_01v8_bM04__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.0 pmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.044+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope2/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_0} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.908e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_0} ++ ua = {-2.368e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_0} ++ ub = {1.997e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_0} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_0} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00329+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_0} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_0} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_0} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_0} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_0} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.345+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.36+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.00043 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1799 ++ etab = -0.07835 ++ dsub = 0.2663 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.5085 ++ pdiblc1 = 0.12 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.379 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.05667 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_0} ++ kt2 = -0.122 ++ at = 3.02e+4 ++ ute = -0.036 ++ ua1 = 1.992e-10 ++ ub1 = 4.398e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1600*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {8.2e-07+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 2.0e-12 ++ cgdo = {1.7e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.455e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {2.12e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {6e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0015*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.1 pmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 25.0e+6 ++ tnoib = .0e-6 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope1/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.005+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope3/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_1} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.808e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_1} ++ ua = {-2.325e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_1} ++ ub = {1.939e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_1} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_1} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.003126+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_1} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_1} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_1} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_1} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_1} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3248+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.012+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1799 ++ etab = -0.07835 ++ dsub = 0.2663 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.5085 ++ pdiblc1 = 4.4e-11 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.5264 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.0457 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.570+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_1} ++ kt2 = -0.122 ++ at = 2.796e+4 ++ ute = -0.3 ++ ua1 = 1.346e-10 ++ ub1 = 4.398e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1600*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {9.2e-07+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.3632 ++ jss = 2.1483e-5 ++ jsws = 8.040000000000001e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 2.0e-12 ++ cgdo = {1.7e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.455e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {2.12e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {6e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0015*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3.2e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.2 pmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 1.645e-06 wmax = 1.655e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.62e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-0.955+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope1/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_2} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.364e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_2} ++ ua = {-2.199e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_2} ++ ub = {1.855e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_2} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_2} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.003331+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_2} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_2} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_2} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_2} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_2} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3104+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {5.87+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_2+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1799 ++ etab = -0.07835 ++ dsub = 0.3036 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.4678 ++ pdiblc1 = 0.1 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.7475 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.0521 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.556+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_2} ++ kt2 = -0.122 ++ at = 3.598e+4 ++ ute = -0.39 ++ ua1 = 1.346e-10 ++ ub1 = 4.516e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {1600*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.25e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 2.0e-12 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.3e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {5.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.7e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {-4e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.002*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.0862 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {2.8e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.0 +.ends sky130_fd_pr__rf_pfet_01v8_bM04 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W3p00 d g s b ++ +.param l = 1 w = 3.01 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_pfet_01v8_bM04W3p00 d g s b sky130_fd_pr__rf_pfet_01v8_bM04__model l = {l} w = 3.01 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.3 pmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.05+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope2/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_3} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {7.1e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_3} ++ ua = {-2.307e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_3} ++ ub = {1.975e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_3} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_3} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00431+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_3} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_3} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_3} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_3} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_3} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3297+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.012+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_3+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.006804 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1612 ++ etab = -0.07835 ++ dsub = 0.279 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.3382 ++ pdiblc1 = 0.2448 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.4366 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.1 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5515+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_3} ++ kt2 = -0.122 ++ at = 2.299e+4 ++ ute = -0.1436 ++ ua1 = 3.138e-10 ++ ub1 = 4.531e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {800*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.2e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.1e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.055e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {4.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.72e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {6e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.0 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0024*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.8 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {2.4e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.4 pmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.021+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope3/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_4} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.912e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_4} ++ ua = {-2.219e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_4} ++ ub = {1.915e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_4} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_4} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.004419+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_4} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_4} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_4} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_4} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_4} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3364+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {5.242+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_4+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.006804 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.279 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.2949 ++ pdiblc1 = 4.4e-11 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.4851 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.1 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5203+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_4} ++ kt2 = -0.122 ++ at = 1.916e+4 ++ ute = -0.189 ++ ua1 = 1.346e-10 ++ ub1 = 9.851e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {800*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.25e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.7e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.3e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {7e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0022*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.8 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.5 pmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 3.005e-06 wmax = 3.015e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-0.983+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope1/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_5} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {7.743e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_5} ++ ua = {-2.187e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_5} ++ ub = {1.852e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_5} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_5} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00365+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_5} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_5} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_5} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_5} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_5} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3364+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {5.531+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_5+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0063 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.31 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.5899 ++ pdiblc1 = 4.4e-11 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.5053 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.03747 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5203+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_5} ++ kt2 = -0.122 ++ at = 1.916e+4 ++ ute = -0.189 ++ ua1 = 1.346e-10 ++ ub1 = 9.851e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {800*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.05e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.155e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.72e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {7e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.002*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {2.6e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.ends sky130_fd_pr__rf_pfet_01v8_bM04W3p00 +.subckt sky130_fd_pr__rf_pfet_01v8_bM04W5p00 d g s b ++ +.param l = 1 w = 5.05 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__rf_pfet_01v8_bM04W5p00 d g s b sky130_fd_pr__rf_pfet_01v8_bM04__model l = {l} w = 5.05 nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.6 pmos +* DC IV MOS Parameters ++ lmin = 1.45e-07 lmax = 1.55e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.079+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope2/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_6} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.7e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_6} ++ ua = {-2.311e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_6} ++ ub = {1.948e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_6} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_6} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.004327+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_6} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_6} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_6} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_6} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_6} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3364+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.103+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_6+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0066 ++ cdscb = 0.0 ++ cdscd = 0.0039 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.298 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.5309 ++ pdiblc1 = 0.248 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.4188 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.07242 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5621+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_6} ++ kt2 = -0.122 ++ at = 2.705e+4 ++ ute = -0.3 ++ ua1 = 1.346e-10 ++ ub1 = 5.223e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {7.4e-07+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.52e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {1.3e-08+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0022*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {3e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.7 pmos +* DC IV MOS Parameters ++ lmin = 1.75e-07 lmax = 1.85e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-1.026+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope3/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_7} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {7.213e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_7} ++ ua = {-2.328e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_7} ++ ub = {1.953e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_7} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_7} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.0037+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_7} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_7} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_7} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_7} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_7} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3074+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {6.012+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_7+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0046 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.2663 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.4186 ++ pdiblc1 = 0.0 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.627 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.05346 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5621+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_7} ++ kt2 = -0.122 ++ at = 3.472e+4 ++ ute = -0.3 ++ ua1 = 1.346e-10 ++ ub1 = 5.223e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {0.85e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.0 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.0 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.5e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1.105e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.72e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {1.4e-08+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.0017*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {2.7e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.model sky130_fd_pr__rf_pfet_01v8_bM04__model.8 pmos +* DC IV MOS Parameters ++ lmin = 2.45e-07 lmax = 2.55e-07 wmin = 5.045e-06 wmax = 5.055e-6 ++ level = 54.0 ++ tnom = 30.0 ++ version = 4.5 ++ toxm = 4.23e-9 ++ xj = 1.5e-7 ++ lln = 1.0 ++ lwn = 1.0 ++ wln = 1.0 ++ wwn = 1.0 ++ lint = {-1.399e-08+sky130_fd_pr__rf_pfet_01v8_b__lint_diff} ++ ll = 0.0 ++ lw = 0.0 ++ lwl = 0.0 ++ wint = {7.304e-09+sky130_fd_pr__rf_pfet_01v8_b__wint_diff} ++ wl = 0.0 ++ ww = 0.0 ++ wwl = 0.0 ++ xl = 0.0 ++ xw = 0.0 ++ mobmod = 0.0 ++ binunit = 2.0 ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 +* BSIM4 - Model Selectors ++ igcmod = 0.0 ++ igbmod = 0.0 ++ rgatemod = 3.0 ++ rbodymod = 1.0 ++ trnqsmod = 0.0 ++ acnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ permod = 1.0 ++ geomod = 0.0 ++ rdsmod = 1.0 ++ tempmod = 0.0 ++ lintnoi = -2.0e-7 ++ vfbsdoff = 0.0 ++ lambda = 0.0 ++ vtl = 0.0 ++ lc = 5.0e-9 ++ xn = 3.0 ++ rnoia = 0.69 ++ rnoib = 0.34 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 +* BSIM4 - Process Parameters ++ epsrox = 3.9 +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_toxe_slope_spectre) ++ toxe = {4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__rf_pfet_01v8_b__toxe_mult*(sky130_fd_pr__rf_pfet_01v8__b_toxe_slope/sqrt(l*w*mult)))} ++ dtox = 0.0 ++ ndep = 1.6e+17 ++ nsd = 1.0e+20 ++ rshg = {49.2+sky130_fd_pr__rf_pfet_01v8_b__rshg_diff} ++ rsh = 1.0 +* Threshold Voltage Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_vth0_slope_spectre) ++ vth0 = {-0.9895+sky130_fd_pr__rf_pfet_01v8_bM04__vth0_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_vth0_slope1/sqrt(l*w*mult))} ++ k1 = 1.038 ++ k2 = {-0.1734+sky130_fd_pr__rf_pfet_01v8_bM04__k2_diff_8} ++ k3 = -15.85 ++ dvt0 = 4.585 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1.147e+6 ++ dvt2w = -0.00896 ++ w0 = 0.0 ++ k3b = 2.0 +* NEW BSIM4 Parameters for Level 54 ++ phin = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 +* Mobility Parameters ++ vsat = {6.488e+04+sky130_fd_pr__rf_pfet_01v8_bM04__vsat_diff_8} ++ ua = {-2.21e-09+sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_8} ++ ub = {1.851e-18+sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_8} ++ uc = 2.523e-13 ++ rdsw = {547.9+sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_8} ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 ++ u0 = {0.00375+sky130_fd_pr__rf_pfet_01v8_bM04__u0_diff_8} ++ a0 = {0.8909+sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_8} ++ keta = 0.0239 ++ a1 = 0.0 ++ a2 = 0.6419 ++ ags = {1.25+sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_8} ++ b0 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_8} ++ b1 = {0+sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_8} +* BSIM4 - Mobility Parameters ++ eu = 1.67 ++ rdswmin = 0.0 ++ rdw = 547.9 ++ rdwmin = 0.0 ++ rsw = 547.9 ++ rswmin = 0.0 +* Subthreshold Current Parameters +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_voff_slope_spectre) ++ voff = {-0.3364+sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_voff_slope1/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope_spectre) ++ nfactor = {5.892+sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_8+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1/sqrt(l*w*mult))} ++ cit = 0.0 ++ cdsc = 0.0 ++ cdscb = 0.0 ++ cdscd = 0.0 ++ eta0 = 0.1874 ++ etab = -0.07835 ++ dsub = 0.298 +* BSIM4 - Sub-threshold parameters ++ voffl = 0.0 ++ minv = 0.0 +* Rout Parameters ++ pclm = 0.5899 ++ pdiblc1 = 4.4e-11 ++ pdiblc2 = 0.0 ++ pdiblcb = -0.0001934 ++ drout = 0.5264 ++ pscbe1 = 5.12e+8 ++ pscbe2 = 9.477e-8 ++ pvag = 0.0 ++ delta = 0.0457 ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 8.581 +* BSIM4 - Rout Parameters ++ fprout = 0.0 ++ pdits = 0.0 ++ pditsl = 0.0 ++ pditsd = 0.0 +* BSIM4 - Gate Induced Drain Leakage Model Parameters ++ agidl = 3.016e-10 ++ bgidl = 1.0e+9 ++ cgidl = 300.0 ++ egidl = 0.1 +* BSIM4 - Gate Leakage Current Parameters ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ nigc = 1.0 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ dlcig = 0.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ toxref = 4.23e-9 +* Temperature Effects Parameters ++ kt1 = {-0.5162+sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_8} ++ kt2 = -0.122 ++ at = 3.889e+4 ++ ute = -0.3 ++ ua1 = 1.346e-10 ++ ub1 = 5.223e-19 ++ uc1 = 6.005e-13 ++ kt1l = 0.0 ++ prt = 0.0 +* BSIM4 - High Speed RF Model Parameters ++ xrcrg1 = 10.0 ++ xrcrg2 = 2.0 ++ rbpb = {400*sky130_fd_pr__rf_pfet_01v8_b__rbpb_mult} ++ rbpd = 0.001 ++ rbps = 0.001 ++ rbdb = 1.0e+5 ++ rbsb = 1.0e+5 ++ gbmin = 1.0e-12 +* BSIM4 - Flicker and Thermal Noise Parameters ++ noia = 1.5e+42 ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ af = 1.0 ++ ef = 1.0 ++ kf = 0.0 ++ ntnoi = 1.0 +* BSIM4 - Layout Dependent Parasitic Model Parameters ++ dmcg = 0.0 ++ dmcgt = 0.0 ++ dmdg = 0.0 ++ xgw = {1.25e-06+sky130_fd_pr__rf_pfet_01v8_b__xgw_diff} ++ xgl = 0.0 ++ ngcon = 2.0 +* Diode DC IV Parameters +* BSIM4 - Diode DC IV parameters ++ diomod = 1.0 ++ njs = 1.363 ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ xtis = 5.2 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ ijthsrev = 0.1 ++ ijthsfwd = 0.1 +* Diode and FET Capacitance Parameters ++ tpb = 0.002039 ++ tpbsw = 0.001246 ++ tpbswg = 0.0 ++ tcj = 0.001241 ++ tcjsw = 0.0003736 ++ tcjswg = 0.001 ++ cgdo = {1.3e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgso = {1e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgbo = 0.0 ++ capmod = 2.0 ++ xpart = 0.0 ++ cgsl = {1.001e-11*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cgdl = {1.32e-10*sky130_fd_pr__rf_pfet_01v8_b__overlap_mult} ++ cf = 1.2e-11 ++ clc = 0.0 ++ cle = 0.6 ++ dlc = {1e-09+sky130_fd_pr__rf_pfet_01v8_b__dlc_diff+sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak} ++ dwc = {0+sky130_fd_pr__rf_pfet_01v8_b__dwc_diff} ++ vfbcv = -0.1447 ++ acde = 0.401 ++ moin = 15.0 ++ noff = 2.5 ++ voffcv = 0.05 ++ ngate = 1.0e+23 +* BSIM4 - FET and Diode capacitance parameters ++ ckappas = 0.6 ++ cjs = {0.002*sky130_fd_pr__rf_pfet_01v8_b__ajunction_mult} ++ mjs = 0.1362 ++ pbs = 0.9587 ++ cjsws = {9.88e-11*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjsws = 0.92 ++ pbsws = 0.94 ++ cjswgs = {2.6e-10*sky130_fd_pr__rf_pfet_01v8_b__pjunction_mult} ++ mjswgs = 0.12 ++ pbswgs = 1.4 +.ends sky130_fd_pr__rf_pfet_01v8_bM04W5p00 + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__rf_nfet_01v8__toxe_slope=8.989e-03 +.param sky130_fd_pr__rf_nfet_01v8__toxe1_slope=6.989e-03 +.param sky130_fd_pr__rf_nfet_01v8__toxe2_slope=5.989e-03 +.param sky130_fd_pr__rf_nfet_01v8__toxe3_slope=1.089e-02 +.param sky130_fd_pr__rf_nfet_01v8__toxe4_slope=1.289e-02 +.param sky130_fd_pr__rf_nfet_01v8__lint_slope=5.767e-9 +.param sky130_fd_pr__rf_nfet_01v8__lint1_slope=0 +.param sky130_fd_pr__rf_nfet_01v8__b_toxe_slope=3.443e-03 +.param sky130_fd_pr__rf_nfet_01v8__b_voff_slope=0.007 +.param sky130_fd_pr__rf_nfet_01v8__b_vth0_slope=5.556e-03 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__rf_nfet_01v8_lvt__toxe_slope=6.789e-03 +.param sky130_fd_pr__rf_nfet_01v8_lvt__toxe1_slope=8.089e-03 +.param sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope=3.443e-03 +.param sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope=6.056e-03 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__rf_nfet_g5v0d10v5__tox_slope=0.80e-2 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__tox2_slope=0.86e-2 ; for L>=4 and W<=0.75 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__tox3_slope=0.55e-2 ; for L>=4 and W>=3.0 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__tox4_slope=2.55e-2 ; for L<=0.6 and W<=3.0 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__tox_offset=0.000 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__nfactor_slope=0.000 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__voff_slope=0.00375 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__voff2_slope=0.00850 ; for L>=4 and W=0.42 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__lint_slope=3.0e-09 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__lint1_slope=0.0e-09 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__wint_slope=0.0e-11 ; Not used +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope= .80e-2 +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1= 2.05e-2 ; All W with L=0.5um +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope2= 1.00e-2 ; W=3 L=1 um All W with L=0.8um & L=0.6um +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope3= 0.67e-2 ; All W with L=4.0um +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_vth0_slope=0.000 ; All devices +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope=0.13 ; All devices +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope=0.12 ; All devices +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_lint_slope=0.0 ; All devices +.param sky130_fd_pr__rf_nfet_g5v0d10v5__b_wint_slope=0.0 ; All devices + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__rf_pfet_01v8__toxe_slope=1.267e-02 +.param sky130_fd_pr__rf_pfet_01v8__toxe1_slope=1.067e-02 +.param sky130_fd_pr__rf_pfet_01v8__toxe2_slope=1.167e-02 +.param sky130_fd_pr__rf_pfet_01v8__toxe3_slope=1.367e-02 +.param sky130_fd_pr__rf_pfet_01v8__toxe4_slope=1.467e-02 +.param sky130_fd_pr__rf_pfet_01v8__toxe5_slope=1.567e-02 +.param sky130_fd_pr__rf_pfet_01v8__nfactor_slope=0.429 +.param sky130_fd_pr__rf_pfet_01v8__nfactor1_slope=0.0 +.param sky130_fd_pr__rf_pfet_01v8__b_toxe_slope=6.443e-03 +.param sky130_fd_pr__rf_pfet_01v8__b_toxe_slope1=4.443e-03 +.param sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1=0.1 +.param sky130_fd_pr__rf_pfet_01v8__b_voff_slope=0.014 +.param sky130_fd_pr__rf_pfet_01v8__b_voff_slope1=0.009 +.param sky130_fd_pr__rf_pfet_01v8__b_vth0_slope1=7.356e-03 +.param sky130_fd_pr__rf_pfet_01v8__b_vth0_slope2=9.356e-03 +.param sky130_fd_pr__rf_pfet_01v8__b_vth0_slope3=8.356e-03 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 2 +.param ++ sky130_fd_pr__pfet_01v8_mvt__toxe_mult = 1.0 ++ sky130_fd_pr__pfet_01v8_mvt__rshp_mult = 1.0 ++ sky130_fd_pr__pfet_01v8_mvt__overlap_mult = 9.5435e-1 ++ sky130_fd_pr__pfet_01v8_mvt__ajunction_mult = 9.9626e-1 ++ sky130_fd_pr__pfet_01v8_mvt__pjunction_mult = 1.0009 ++ sky130_fd_pr__pfet_01v8_mvt__wint_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__lint_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__dlc_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__dwc_diff = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_cap_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_dist_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_stub_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_dist_mult_2 = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_rgate_stub_mult_2 = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_rd_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_rs_mult = 1.0 +* +* sky130_fd_pr__pfet_01v8_mvt, Bin 000, W = 1.68, L = 0.15 +* ------------------------------------ ++ sky130_fd_pr__pfet_01v8_mvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__voff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__vsat_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ua_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__u0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__agidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ub_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ags_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__k2_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__vth0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__a0_diff_0 = 0.0 +* +* sky130_fd_pr__pfet_01v8_mvt, Bin 001, W = 0.84, L = 0.15 +* ------------------------------------ ++ sky130_fd_pr__pfet_01v8_mvt__a0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__voff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__vsat_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ua_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__u0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__agidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ub_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ags_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__k2_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__vth0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__nfactor_diff_1 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param ++ sky130_fd_pr__pfet_01v8_mvt__noia_mult = 100.0 +.param sky130_fd_pr__pfet_01v8_mvt__toxe_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_mvt__vth0_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_mvt__voff_slope_spectre = 0.0 +.param sky130_fd_pr__pfet_01v8_mvt__nfactor_slope_spectre = 0.0 +* statistics { +* process { +* } +* mismatch { +* vary sky130_fd_pr__pfet_01v8_mvt__toxe_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_mvt__vth0_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_mvt__voff_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__pfet_01v8_mvt__nfactor_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__pfet_01v8_mvt d g s b ++ +.param l = 1 w = 1 nf = 1.0 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 sa = 0 sb = 0 sd = 0 mult = 1 +msky130_fd_pr__pfet_01v8_mvt d g s b sky130_fd_pr__pfet_01v8_mvt__model l = {l} w = {w} nf = {nf} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} sa = {sa} sb = {sb} sd = {sd} +.model sky130_fd_pr__pfet_01v8_mvt__model.0 pmos +* Model Flag Parameters ++ lmin = 1.45e-007 lmax = 1.55e-007 wmin = 1.675e-006 wmax = 1.685e-6 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_mvt__toxe_slope_spectre) ++ toxe = {4.23e-009*sky130_fd_pr__pfet_01v8_mvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__pfet_01v8_mvt__toxe_mult*(sky130_fd_pr__pfet_01v8_mvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = {1*sky130_fd_pr__pfet_01v8_mvt__rshp_mult} ++ rshg = 0.1 +* Basic Model Parameters ++ wint = {7.304e-009+sky130_fd_pr__pfet_01v8_mvt__wint_diff} ++ lint = {-1.399e-008+sky130_fd_pr__pfet_01v8_mvt__lint_diff} +*(mismatch parameter sky130_fd_pr__pfet_01v8_mvt__vth0_slope_spectre) ++ vth0 = {-0.7375+sky130_fd_pr__pfet_01v8_mvt__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_mvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.2078 ++ k2 = {0.09904+sky130_fd_pr__pfet_01v8_mvt__k2_diff_0} ++ k3 = -15.85 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.496 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1147000.0 ++ dvt2w = -0.00896 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.02472 ++ cdscb = 0.003175 ++ cdscd = 0.0 ++ cit = 0.0001462 +*(mismatch parameter sky130_fd_pr__pfet_01v8_mvt__voff_slope_spectre) ++ voff = {-0.4483+sky130_fd_pr__pfet_01v8_mvt__voff_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_mvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_mvt__nfactor_slope_spectre) ++ nfactor = {4.228+sky130_fd_pr__pfet_01v8_mvt__nfactor_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_mvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = {0.5735+sky130_fd_pr__pfet_01v8_mvt__eta0_diff_0} ++ etab = -0.03063 ++ u0 = {0.002233+sky130_fd_pr__pfet_01v8_mvt__u0_diff_0} ++ ua = {-2.832e-009+sky130_fd_pr__pfet_01v8_mvt__ua_diff_0} ++ ub = {2.789e-018+sky130_fd_pr__pfet_01v8_mvt__ub_diff_0} ++ uc = -1.076e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = {47470+sky130_fd_pr__pfet_01v8_mvt__vsat_diff_0} ++ a0 = {1.047+sky130_fd_pr__pfet_01v8_mvt__a0_diff_0} ++ ags = {0.5789+sky130_fd_pr__pfet_01v8_mvt__ags_diff_0} ++ a1 = 0.0 ++ a2 = 0.9995 ++ b0 = {0+sky130_fd_pr__pfet_01v8_mvt__b0_diff_0} ++ b1 = {0+sky130_fd_pr__pfet_01v8_mvt__b1_diff_0} ++ keta = {0.09663+sky130_fd_pr__pfet_01v8_mvt__keta_diff_0} ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 ++ pclm = {0.2853+sky130_fd_pr__pfet_01v8_mvt__pclm_diff_0} ++ pdiblc1 = 0.0195 ++ pdiblc2 = 0.00043 ++ pdiblcb = -2.441e-5 ++ drout = 1.0 ++ pscbe1 = 7.69e+8 ++ pscbe2 = 8.801e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = {0+sky130_fd_pr__pfet_01v8_mvt__pdits_diff_0} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__pfet_01v8_mvt__pditsd_diff_0} ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = {547.9+sky130_fd_pr__pfet_01v8_mvt__rdsw_diff_0} ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.261 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {2.214e-010+sky130_fd_pr__pfet_01v8_mvt__agidl_diff_0} ++ bgidl = {1e+009+sky130_fd_pr__pfet_01v8_mvt__bgidl_diff_0} ++ cgidl = {300+sky130_fd_pr__pfet_01v8_mvt__cgidl_diff_0} ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = {1.536e-008+sky130_fd_pr__pfet_01v8_mvt__dlc_diff} ++ dwc = {0+sky130_fd_pr__pfet_01v8_mvt__dwc_diff} ++ xpart = 0.0 ++ cgso = {7.17e-011*sky130_fd_pr__pfet_01v8_mvt__overlap_mult} ++ cgdo = {8.17e-011*sky130_fd_pr__pfet_01v8_mvt__overlap_mult} ++ cgbo = 0.0 ++ cgdl = {5.5e-013*sky130_fd_pr__pfet_01v8_mvt__overlap_mult} ++ cgsl = {5.5e-013*sky130_fd_pr__pfet_01v8_mvt__overlap_mult} ++ clc = 0.0 ++ cle = 1.0 ++ cf = 6.0e-12 ++ ckappas = 0.6 ++ vfbcv = -0.1447 ++ acde = 0.3136 ++ moin = 25.0 ++ noff = 3.9 ++ voffcv = 0.1462 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 1.0 ++ noia = {2.7e+042*sky130_fd_pr__pfet_01v8_mvt__noia_mult} ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ ntnoi = 1.0 ++ lintnoi = -2.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 ++ rnoia = 0.69 ++ rnoib = 0.34 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = {0.000738*sky130_fd_pr__pfet_01v8_mvt__ajunction_mult} ++ mjs = 0.3463 ++ pbsws = 0.7418 ++ cjsws = {9.889e-011*sky130_fd_pr__pfet_01v8_mvt__pjunction_mult} ++ mjsws = 0.2978 ++ pbswgs = 1.434 ++ cjswgs = {2.232e-010*sky130_fd_pr__pfet_01v8_mvt__pjunction_mult} ++ mjswgs = 0.9274 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = {-0.5434+sky130_fd_pr__pfet_01v8_mvt__kt1_diff_0} ++ kt2 = -0.1167 ++ at = 21110.0 ++ ute = -0.2531 ++ ua1 = -8.749e-10 ++ ub1 = 2.358e-18 ++ uc1 = 7.088e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = {0+sky130_fd_pr__pfet_01v8_mvt__tvoff_diff_0} ++ njs = 1.363 ++ tpb = 0.002039 ++ tcj = 0.001241 ++ tpbsw = 0.001246 ++ tcjsw = 0.0003736 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 5.2 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 3.29e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.5 ++ steta0 = 0.0 +* Well Proximity Effect Parameters +.model sky130_fd_pr__pfet_01v8_mvt__model.1 pmos +* Model Flag Parameters ++ lmin = 1.45e-007 lmax = 1.55e-007 wmin = 8.35e-007 wmax = 8.45e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__pfet_01v8_mvt__toxe_slope_spectre) ++ toxe = {4.23e-009*sky130_fd_pr__pfet_01v8_mvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(4.23e-09*sky130_fd_pr__pfet_01v8_mvt__toxe_mult*(sky130_fd_pr__pfet_01v8_mvt__toxe_slope/sqrt(l*w*mult)))} ++ toxm = 4.23e-9 ++ dtox = 0.0 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = {1*sky130_fd_pr__pfet_01v8_mvt__rshp_mult} ++ rshg = 0.1 +* Basic Model Parameters ++ wint = {7.304e-009+sky130_fd_pr__pfet_01v8_mvt__wint_diff} ++ lint = {-1.399e-008+sky130_fd_pr__pfet_01v8_mvt__lint_diff} +*(mismatch parameter sky130_fd_pr__pfet_01v8_mvt__vth0_slope_spectre) ++ vth0 = {-0.7375+sky130_fd_pr__pfet_01v8_mvt__vth0_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_mvt__vth0_slope/sqrt(l*w*mult))} ++ k1 = 0.2078 ++ k2 = {0.107+sky130_fd_pr__pfet_01v8_mvt__k2_diff_1} ++ k3 = -15.85 ++ k3b = 2.0 ++ w0 = 0.0 ++ dvt0 = 4.496 ++ dvt1 = 0.294 ++ dvt2 = 0.015 ++ dvt0w = -4.977 ++ dvt1w = 1147000.0 ++ dvt2w = -0.00896 ++ dsub = 0.26 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 0.0 ++ lpeb = 0.0 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = 0.0 ++ cdsc = 0.02522 ++ cdscb = 0.004958 ++ cdscd = 0.0 ++ cit = 0.0001462 +*(mismatch parameter sky130_fd_pr__pfet_01v8_mvt__voff_slope_spectre) ++ voff = {-0.4483+sky130_fd_pr__pfet_01v8_mvt__voff_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_mvt__voff_slope/sqrt(l*w*mult))} +*(mismatch parameter sky130_fd_pr__pfet_01v8_mvt__nfactor_slope_spectre) ++ nfactor = {4.228+sky130_fd_pr__pfet_01v8_mvt__nfactor_diff_1+MC_MM_SWITCH*AGAUSS(0,1.0,1)*(sky130_fd_pr__pfet_01v8_mvt__nfactor_slope/sqrt(l*w*mult))} ++ eta0 = {0.6128+sky130_fd_pr__pfet_01v8_mvt__eta0_diff_1} ++ etab = -0.04874 ++ u0 = {0.002175+sky130_fd_pr__pfet_01v8_mvt__u0_diff_1} ++ ua = {-2.801e-009+sky130_fd_pr__pfet_01v8_mvt__ua_diff_1} ++ ub = {2.717e-018+sky130_fd_pr__pfet_01v8_mvt__ub_diff_1} ++ uc = -2.128e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 3.0 ++ vsat = {45410+sky130_fd_pr__pfet_01v8_mvt__vsat_diff_1} ++ a0 = {1.047+sky130_fd_pr__pfet_01v8_mvt__a0_diff_1} ++ ags = {0.5789+sky130_fd_pr__pfet_01v8_mvt__ags_diff_1} ++ a1 = 0.0 ++ a2 = 0.9995 ++ b0 = {0+sky130_fd_pr__pfet_01v8_mvt__b0_diff_1} ++ b1 = {0+sky130_fd_pr__pfet_01v8_mvt__b1_diff_1} ++ keta = {0.09663+sky130_fd_pr__pfet_01v8_mvt__keta_diff_1} ++ dwg = -5.722e-9 ++ dwb = -1.786e-8 ++ pclm = {0.2853+sky130_fd_pr__pfet_01v8_mvt__pclm_diff_1} ++ pdiblc1 = 0.0195 ++ pdiblc2 = 0.00043 ++ pdiblcb = -2.441e-5 ++ drout = 1.0 ++ pscbe1 = 7.69e+8 ++ pscbe2 = 8.801e-9 ++ pvag = 0.0 ++ delta = 0.01 ++ fprout = 0.0 ++ pdits = {0+sky130_fd_pr__pfet_01v8_mvt__pdits_diff_1} ++ pditsl = 0.0 ++ pditsd = {0+sky130_fd_pr__pfet_01v8_mvt__pditsd_diff_1} ++ lambda = 0.0 ++ lc = 5.0e-9 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = {547.9+sky130_fd_pr__pfet_01v8_mvt__rdsw_diff_1} ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = -0.3235 ++ prwg = 0.1376 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 1.0e-10 ++ alpha1 = 1.0e-10 ++ beta0 = 7.261 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = {2.214e-010+sky130_fd_pr__pfet_01v8_mvt__agidl_diff_1} ++ bgidl = {1e+009+sky130_fd_pr__pfet_01v8_mvt__bgidl_diff_1} ++ cgidl = {300+sky130_fd_pr__pfet_01v8_mvt__cgidl_diff_1} ++ egidl = 0.1 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.23e-9 ++ dlcig = 0.0 ++ aigbacc = 0.43 ++ bigbacc = 0.054 ++ cigbacc = 0.075 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 ++ vfbsdoff = 0.0 +* Charge AND Capacitance Model Parameters ++ dlc = {3.536e-008+sky130_fd_pr__pfet_01v8_mvt__dlc_diff} ++ dwc = {0+sky130_fd_pr__pfet_01v8_mvt__dwc_diff} ++ xpart = 0.0 ++ cgso = {1e-013*sky130_fd_pr__pfet_01v8_mvt__overlap_mult} ++ cgdo = {1.857e-010*sky130_fd_pr__pfet_01v8_mvt__overlap_mult} ++ cgbo = 0.0 ++ cgdl = {9.55e-012*sky130_fd_pr__pfet_01v8_mvt__overlap_mult} ++ cgsl = {9.55e-012*sky130_fd_pr__pfet_01v8_mvt__overlap_mult} ++ clc = 0.0 ++ cle = 1.0 ++ cf = 1.2e-13 ++ ckappas = 0.6 ++ vfbcv = -0.1447 ++ acde = 0.3136 ++ moin = 25.0 ++ noff = 3.9 ++ voffcv = 0.1462 +* High-Speed/RF Model Parameters ++ xrcrg1 = 12.0 ++ xrcrg2 = 1.0 ++ rbpb = 50.0 ++ rbpd = 50.0 ++ rbps = 50.0 ++ rbdb = 50.0 ++ rbsb = 50.0 ++ gbmin = 1.0e-12 +* Flicker AND Thermal Noise Model Parameters ++ ef = 1.0 ++ noia = {2.7e+042*sky130_fd_pr__pfet_01v8_mvt__noia_mult} ++ noib = 0.0 ++ noic = 0.0 ++ em = 4.1e+7 ++ ntnoi = 1.0 ++ lintnoi = -2.0e-7 ++ af = 1.0 ++ kf = 0.0 ++ tnoia = 2.5e+7 ++ tnoib = 0.0 ++ rnoia = 0.69 ++ rnoib = 0.34 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 2.148e-5 ++ jsws = 8.04e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 12.69 ++ xjbvs = 1.0 ++ pbs = 0.6587 ++ cjs = {0.000738*sky130_fd_pr__pfet_01v8_mvt__ajunction_mult} ++ mjs = 0.3463 ++ pbsws = 0.7418 ++ cjsws = {9.889e-011*sky130_fd_pr__pfet_01v8_mvt__pjunction_mult} ++ mjsws = 0.2978 ++ pbswgs = 1.434 ++ cjswgs = {2.232e-010*sky130_fd_pr__pfet_01v8_mvt__pjunction_mult} ++ mjswgs = 0.9274 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = {-0.7955+sky130_fd_pr__pfet_01v8_mvt__kt1_diff_1} ++ kt2 = -0.1319 ++ at = 21110.0 ++ ute = -0.1462 ++ ua1 = -1.988e-10 ++ ub1 = 1.323e-18 ++ uc1 = 5.411e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = {0+sky130_fd_pr__pfet_01v8_mvt__tvoff_diff_1} ++ njs = 1.363 ++ tpb = 0.002039 ++ tcj = 0.001241 ++ tpbsw = 0.001246 ++ tcjsw = 0.0003736 ++ tpbswg = 0.0 ++ tcjswg = 2.0e-12 ++ xtis = 5.2 ++ tvfbsdoff = 0.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 1.04e-6 ++ sbref = 1.04e-6 ++ kvth0 = 3.29e-8 ++ lkvth0 = 0.0 ++ wkvth0 = 2.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = 4.5e-8 ++ lku0 = 0.0 ++ wku0 = 2.5e-7 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.5 ++ steta0 = 0.0 +.ends sky130_fd_pr__pfet_01v8_mvt +* Well Proximity Effect Parameters + + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__pfet_01v8_mvt__toxe_slope=2.5e-02 +.param sky130_fd_pr__pfet_01v8_mvt__nfactor_slope=0.1 +.param sky130_fd_pr__pfet_01v8_mvt__voff_slope=0.0 +.param sky130_fd_pr__pfet_01v8_mvt__vth0_slope=5.0e-02 + + + +* Resistor/Capacitor +* SKY130 Spice File. +.param ++ tol_nfom=0 ++ tol_pfom=0 ++ tol_nw = 0.0 ++ tol_poly = 0.0 ++ tol_li = 0.0 ++ tol_m1 = 0.0 ++ tol_m2 = 0.0 ++ tol_m3 = 0.0 ++ tol_m4 = 0.0 ++ tol_m5 = 0.0 ++ tol_rdl = 0.0 +.param ++ rcn=182 ++ rcp=600 ++ rdn=120 ++ rdp=197 ++ rdn_hv=114 ++ rdp_hv=191 ++ rp1=48.2 ++ rnw=1700 ++ rl1=12.2 ++ rm1=0.125 ++ rm2=0.125 ++ rm3=0.047 ++ rm4=0.047 ++ rm5=0.0285 ++ rrdl=0.005 ++ rcp1=145.28 ++ rcl1=9.3 ++ rcvia=4.5 ++ rcvia2=3.41 ++ rcvia3=3.41 ++ rcvia4=0.38 ++ rcrdlcon=0.0058 ++ rspwres=3816 +* P+ Poly Preres Parameters +.param ++ crpf_precision = 1.06e-04 ; Units: farad/meter^2 ++ crpfsw_precision_1_1 = 5.04e-11 ; Units: farad/meter ++ crpfsw_precision_2_1 = 5.39e-11 ; Units: farad/meter ++ crpfsw_precision_4_1 = 5.83e-11 ; Units: farad/meter ++ crpfsw_precision_8_2 = 6.36e-11 ; Units: farad/meter ++ crpfsw_precision_16_2 = 6.97e-11 ; Units: farad/meter +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param tc1rsn= 1.422e-3 +.param tc2rsn= 6.569e-7 +.param tc1rsp= 1.259e-3 +.param tc2rsp= 2.204e-6 +.param tc1rsn_h= 1.405e-3 +.param tc2rsn_h= 4.233e-7 +.param tc1rsp_h= 1.369e-3 +.param tc2rsp_h= 1.476e-6 +.param tc1rsnw= 1.483e-3 +.param tc2rsnw= 7.824e-6 +.param tc1rsgpw= 8.100e-4 +.param tc2rsgpw= 7.840e-7 +.param tc1rsgpu= 8.916e-4 +.param tc2rsgpu= 8.443e-7 +.param tc1rl1= 6.045e-4 +.param tc2rl1= -3.693e-7 +.param tc1rm1= 3.179e-3 +.param tc2rm1= 3.094e-7 +.param tc1rm2= 3.161e-3 +.param tc2rm2= -7.272e-7 +.param tc1rm3= 3.424e-3 +.param tc2rm3= -7.739e-7 +.param tc1rm4= 3.424e-3 +.param tc2rm4= -7.739e-7 +.param tc1rm5= 3.500e-3 +.param tc2rm5= -7.500e-7 +.param tc1rrdl= 3.930e-3 +.param tc2rrdl= 0.0 +.param tc1rcn= 2.254e-4 +.param tc2rcn= -1.038e-6 +.param tc1rcp= 5.250e-4 +.param tc2rcp= 3.544e-6 +.param tc1rcgp= 1.249e-3 +.param tc2rcgp= -6.647e-6 +.param tc1rcl1= 1.067e-3 +.param tc2rcl1= -5.324e-6 +.param tc1rvia= 1.081e-3 +.param tc2rvia= -1.903e-7 +.param tc1rvia2= 2.366e-3 +.param tc2rvia2=-1.025e-5 +.param tc1rvia3= 2.366e-3 +.param tc2rvia3=-1.025e-5 +.param tc1rvia4= 1.77e-3 +.param tc2rvia4= -1.60e-7 +.param tc1rrdlcon= 3.930e-3 +.param tc2rrdlcon= 0.0 +.param tc1rpolybody= .514e-3 +.param tc2rpolybody= .122e-5 +.param tc1rpolyend= 0.0 +.param tc2rpolyend= 0.0 +.param ++ wminp1= 0.15u ++ wminl1= 0.14u ++ wminm1= 0.14u ++ wminm2= 0.14u ++ wminm3= 0.3u ++ wminm4= 0.3u ++ wminm5= 0.8u ++ wminrdl= 10.0u +.param ++ nfom_dw = 0.017u ++ pfom_dw = 0.004u ++ poly_dw = -0.056u ++ li_dw = 0.017u ++ m1_dw = -0.039u ++ m2_dw = -0.039u ++ m3_dw = -0.025u ++ m4_dw = -0.025u ++ m5_dw = -0.09u ++ rdl_dw = 0.0u +*.include "r+c.mrp1monte.spice" +.model mcp1f c tc1 = 0 tc2 = 0 cox = {cp1f} capsw = {cp1fsw} w = {wminp1} tnom = 25.0 +.model mcl1f c tc1 = 0 tc2 = 0 cox = {cl1f} capsw = {cl1fsw} w = {wminl1} tnom = 25.0 +.model mcl1d c tc1 = 0 tc2 = 0 cox = {cl1d} capsw = {cl1dsw} w = {wminl1} tnom = 25.0 +.model mcl1p1 c tc1 = 0 tc2 = 0 cox = {cl1p1} capsw = {cl1p1sw} w = {wminl1} tnom = 25.0 +.model mcm1f c tc1 = 0 tc2 = 0 cox = {cm1f} capsw = {cm1fsw} w = {wminm1} tnom = 25.0 +.model mcm1d c tc1 = 0 tc2 = 0 cox = {cm1d} capsw = {cm1dsw} w = {wminm1} tnom = 25.0 +.model mcm1p1 c tc1 = 0 tc2 = 0 cox = {cm1p1} capsw = {cm1p1sw} w = {wminm1} tnom = 25.0 +.model mcm1l1 c tc1 = 0 tc2 = 0 cox = {cm1l1} capsw = {cm1l1sw} w = {wminm1} tnom = 25.0 +.model mcm2f c tc1 = 0 tc2 = 0 cox = {cm2f} capsw = {cm2fsw} w = {wminm2} tnom = 25.0 +.model mcm2d c tc1 = 0 tc2 = 0 cox = {cm2d} capsw = {cm2dsw} w = {wminm2} tnom = 25.0 +.model mcm2p1 c tc1 = 0 tc2 = 0 cox = {cm2p1} capsw = {cm2p1sw} w = {wminm2} tnom = 25.0 +.model mcm2l1 c tc1 = 0 tc2 = 0 cox = {cm2l1} capsw = {cm2l1sw} w = {wminm2} tnom = 25.0 +.model mcm2m1 c tc1 = 0 tc2 = 0 cox = {cm2m1} capsw = {cm2m1sw} w = {wminm2} tnom = 25.0 +.model mcm3f c tc1 = 0 tc2 = 0 cox = {cm3f} capsw = {cm3fsw} w = {wminm3} tnom = 25.0 +.model mcm3d c tc1 = 0 tc2 = 0 cox = {cm3d} capsw = {cm3dsw} w = {wminm3} tnom = 25.0 +.model mcm3p1 c tc1 = 0 tc2 = 0 cox = {cm3p1} capsw = {cm3p1sw} w = {wminm3} tnom = 25.0 +.model mcm3l1 c tc1 = 0 tc2 = 0 cox = {cm3l1} capsw = {cm3l1sw} w = {wminm3} tnom = 25.0 +.model mcm3m1 c tc1 = 0 tc2 = 0 cox = {cm3m1} capsw = {cm3m1sw} w = {wminm3} tnom = 25.0 +.model mcm3m2 c tc1 = 0 tc2 = 0 cox = {cm3m2} capsw = {cm3m2sw} w = {wminm3} tnom = 25.0 +.model mcm4f c tc1 = 0 tc2 = 0 cox = {cm4f} capsw = {cm4fsw} w = {wminm4} tnom = 25.0 +.model mcm4d c tc1 = 0 tc2 = 0 cox = {cm4d} capsw = {cm4dsw} w = {wminm4} tnom = 25.0 +.model mcm4p1 c tc1 = 0 tc2 = 0 cox = {cm4p1} capsw = {cm4p1sw} w = {wminm4} tnom = 25.0 +.model mcm4l1 c tc1 = 0 tc2 = 0 cox = {cm4l1} capsw = {cm4l1sw} w = {wminm4} tnom = 25.0 +.model mcm4m1 c tc1 = 0 tc2 = 0 cox = {cm4m1} capsw = {cm4m1sw} w = {wminm4} tnom = 25.0 +.model mcm4m2 c tc1 = 0 tc2 = 0 cox = {cm4m2} capsw = {cm4m2sw} w = {wminm4} tnom = 25.0 +.model mcm4m3 c tc1 = 0 tc2 = 0 cox = {cm4m3} capsw = {cm4m3sw} w = {wminm4} tnom = 25.0 +.model mcm5f c tc1 = 0 tc2 = 0 cox = {cm5f} capsw = {cm5fsw} w = {wminm5} tnom = 25.0 +.model mcm5d c tc1 = 0 tc2 = 0 cox = {cm5d} capsw = {cm5dsw} w = {wminm5} tnom = 25.0 +.model mcm5p1 c tc1 = 0 tc2 = 0 cox = {cm5p1} capsw = {cm5p1sw} w = {wminm5} tnom = 25.0 +.model mcm5l1 c tc1 = 0 tc2 = 0 cox = {cm5l1} capsw = {cm5l1sw} w = {wminm5} tnom = 25.0 +.model mcm5m1 c tc1 = 0 tc2 = 0 cox = {cm5m1} capsw = {cm5m1sw} w = {wminm5} tnom = 25.0 +.model mcm5m2 c tc1 = 0 tc2 = 0 cox = {cm5m2} capsw = {cm5m2sw} w = {wminm5} tnom = 25.0 +.model mcm5m3 c tc1 = 0 tc2 = 0 cox = {cm5m3} capsw = {cm5m3sw} w = {wminm5} tnom = 25.0 +.model mcm5m4 c tc1 = 0 tc2 = 0 cox = {cm5m4} capsw = {cm5m4sw} w = {wminm5} tnom = 25.0 +.model mcrdlf c tc1 = 0 tc2 = 0 cox = {crdlf} capsw = {crdlfsw} w = {wminrdl} tnom = 25.0 +.model mcrdld c tc1 = 0 tc2 = 0 cox = {crdld} capsw = {crdldsw} w = {wminrdl} tnom = 25.0 +.model mcrdlp1 c tc1 = 0 tc2 = 0 cox = {crdlp1} capsw = {crdlp1sw} w = {wminrdl} tnom = 25.0 +.model mcrdll1 c tc1 = 0 tc2 = 0 cox = {crdll1} capsw = {crdll1sw} w = {wminrdl} tnom = 25.0 +.model mcrdlm1 c tc1 = 0 tc2 = 0 cox = {crdlm1} capsw = {crdlm1sw} w = {wminrdl} tnom = 25.0 +.model mcrdlm2 c tc1 = 0 tc2 = 0 cox = {crdlm2} capsw = {crdlm2sw} w = {wminrdl} tnom = 25.0 +.model mcrdlm3 c tc1 = 0 tc2 = 0 cox = {crdlm3} capsw = {crdlm3sw} w = {wminrdl} tnom = 25.0 +.model mcrdlm4 c tc1 = 0 tc2 = 0 cox = {crdlm4} capsw = {crdlm4sw} w = {wminrdl} tnom = 25.0 +.model mcrdlm5 c tc1 = 0 tc2 = 0 cox = {crdlm5} capsw = {crdlm5sw} w = {wminrdl} tnom = 25.0 +.model sky130_fd_pr__res_generic_nd r tc1r = {tc1rsn} tc2r = {tc2rsn} rsh = {rdn} dw = {"-tol_nfom/2-nfom_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_pd r tc1r = {tc1rsp} tc2r = {tc2rsp} rsh = {rdp} dw = {"-tol_pfom/2-pfom_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_nd__hv r tc1r = {tc1rsn_h} tc2r = {tc2rsn_h} rsh = {rdn_hv} dw = {"-tol_nfom/2-nfom_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_pd__hv r tc1r = {tc1rsp_h} tc2r = {tc2rsp_h} rsh = {rdp_hv} dw = {"-tol_pfom/2-pfom_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_po r tc1r=tc1rsgpu tc2r=tc2rsgpu rsh=rp1 dw = {"-tol_poly/2-poly_dw/2"} tnom=30 +.model sky130_fd_pr__res_generic_nw r tc1r = {tc1rsnw} tc2r = {tc2rsnw} rsh = {rnw} dw = {"-tol_nw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_l1 r tc1r = {tc1rl1} tc2r = {tc2rl1} rsh = {rl1} dw = {"-tol_li/2-li_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_m1 r tc1r = {tc1rm1} tc2r = {tc2rm1} rsh = {rm1} dw = {"-tol_m1/2-m1_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_m2 r tc1r = {tc1rm2} tc2r = {tc2rm2} rsh = {rm2} dw = {"-tol_m2/2-m2_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_m3 r tc1r = {tc1rm3} tc2r = {tc2rm3} rsh = {rm3} dw = {"-tol_m3/2-m3_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_m4 r tc1r = {tc1rm4} tc2r = {tc2rm4} rsh = {rm4} dw = {"-tol_m4/2-m4_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_m5 r tc1r = {tc1rm5} tc2r = {tc2rm5} rsh = {rm5} dw = {"-tol_m5/2-m5_dw/2"} tnom = 30.0 +.model sky130_fd_pr__res_generic_r1 r tc1r = {tc1rrdl} tc2r = {tc2rrdl} rsh = {rrdl} dw = {"-tol_rdl/2-rdl_dw/2"} tnom = 25.0 +.model mrcn r tc1r = {tc1rcn} tc2r = {tc2rcn} res = {rcn} tnom = 30.0 +.model mrcp r tc1r = {tc1rcp} tc2r = {tc2rcp} res = {rcp} tnom = 30.0 +.model mrcp1 r tc1r = {tc1rcgp} tc2r = {tc2rcgp} res = {rcp1} tnom = 30.0 +.model mrcl1 r tc1r = {tc1rcl1} tc2r = {tc2rcl1} res = {rcl1} tnom = 30.0 +.model mrcvia r tc1r = {tc1rvia} tc2r = {tc2rvia} res = {rcvia} tnom = 30.0 +.model mrcvia2 r tc1r = {tc1rvia2} tc2r = {tc2rvia2} res = {rcvia2} tnom = 30.0 +.model mrcvia3 r tc1r = {tc1rvia3} tc2r = {tc2rvia3} res = {rcvia3} tnom = 30.0 +.model mrcvia4 r tc1r = {tc1rvia4} tc2r = {tc2rvia4} res = {rcvia4} tnom = 30.0 +.model mrcrdlcon r tc1r = {tc1rrdlcon} tc2r = {tc2rrdlcon} res = {rcrdlcon} tnom = 25.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__res_generic_nd t1 t2 b ++ w=1 l=1 +r0 t1 t2 sky130_fd_pr__res_generic_nd w = {w} l = {l} +d0 b t1 sky130_fd_pr__diode_pw2nd_05v5 area = 'w*l*0.5' pj = 'w+l' +d1 b t2 sky130_fd_pr__diode_pw2nd_05v5 area = 'w*l*0.5' pj = 'w+l' +.ends sky130_fd_pr__res_generic_nd + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.subckt sky130_fd_pr__res_generic_pd t1 t2 b ++ w=1 l=1 +r0 t1 t2 sky130_fd_pr__res_generic_pd w = {w} l = {l} +d0 t1 b sky130_fd_pr__model__parasitic__diode_ps2nw area = 'w*l*0.5' pj = 'w+l' +d1 t2 b sky130_fd_pr__model__parasitic__diode_ps2nw area = 'w*l*0.5' pj = 'w+l' +.ends sky130_fd_pr__res_generic_pd + +* Resistor model "short" defined with a fixed resistance of 0.01 ohms. +.model short r r=0.01 +* If "short" is called as a subcircuit, then this needs to be supported, too. +.subckt short 1 2 SUB l=0 w=0 +R0 1 2 short +.ends +* For diodes called as a subcircuit +* (backwards compatible with earlier PDK version) +.subckt sky130_fd_pr__diode_pw2nd N P a=0 p=0 +D0 N P sky130_fd_pr__diode_pw2nd_05v5 area=a pj=p +.ends + +* (corresponds to current PDK) + +.subckt sky130_fd_pr__diode_pw2nd_05v5_nvt N P area=1e12 perim=1e6 +D0 N P sky130_fd_pr__diode_pw2nd_05v5_nvt area={area} pj={perim} +.ends + +.subckt sky130_fd_pr__diode_pw2nd_05v5_lvt N P area=1e12 perim=1e6 +D0 N P sky130_fd_pr__diode_pw2nd_05v5_lvt area={area} pj={perim} +.ends + +.subckt sky130_fd_pr__diode_pw2nd_05v5 N P area=1e12 perim=1e6 +D0 N P sky130_fd_pr__diode_pw2nd_05v5 area={area} pj={perim} +.ends + +.subckt sky130_fd_pr__diode_pw2nd_11v0 N P area=1e12 perim=1e6 +D0 N P sky130_fd_pr__diode_pw2nd_11v0 area={area} pj={perim} +.ends + +.subckt sky130_fd_pr__diode_pd2nw_05v5_lvt N P area=1e12 perim=1e6 +D0 N P sky130_fd_pr__diode_pd2nw_05v5_lvt area={area} pj={perim} +.ends + +.subckt sky130_fd_pr__diode_pd2nw_05v5_hvt N P area=1e12 perim=1e6 +D0 N P sky130_fd_pr__diode_pd2nw_05v5_hvt area={area} pj={perim} +.ends + +.subckt sky130_fd_pr__diode_pd2nw_05v5 N P area=1e12 perim=1e6 +D0 N P sky130_fd_pr__diode_pd2nw_05v5 area={area} pj={perim} +.ends + +.subckt sky130_fd_pr__diode_pd2nw_11v0 N P area=1e12 perim=1e6 +D0 N P sky130_fd_pr__diode_pd2nw_11v0 area={area} pj={perim} +.ends + +* Subcircuit definition HV diffusion resistors + +.subckt sky130_fd_pr__res_generic_nd__hv t1 t2 b w=1 l=1 +R0 t1 t2 sky130_fd_pr__res_generic_nd__hv w = {w} l = {l} +D0 b t1 sky130_fd_pr__diode_pw2nd_11v0 area='w*l*0.5' pj='w+l' +D1 b t2 sky130_fd_pr__diode_pw2nd_11v0 area='w*l*0.5' pj='w+l' +.ends sky130_fd_pr__res_generic_nd__hv + +.subckt sky130_fd_pr__res_generic_pd__hv t1 t2 b w=1 l=1 +R0 t1 t2 sky130_fd_pr__res_generic_pd__hv w = {w} l = {l} +D0 t1 b sky130_fd_pr__diode_pd2nw_11v0 area='w*l*0.5' pj='w+l' +D1 t1 b sky130_fd_pr__diode_pd2nw_11v0 area='w*l*0.5' pj='w+l' +.ends sky130_fd_pr__res_generic_pd__hv + +* Subcircuit definition poly resistors + +.subckt sky130_fd_pr__res_generic_po t1 t2 w=1 l=1 +R0 t1 t2 sky130_fd_pr__res_generic_po w = {w} l = {l} +.ends + + +* SKY130 Spice File. +.param globalk=1 +.param localkswitch=1 +.param capunits = '1.0*1e-6' +.param ++ mcp1f_ca_w_0_150_s_0_210 = 1.06e-04 mcp1f_cc_w_0_150_s_0_210 = 7.62e-11 mcp1f_cf_w_0_150_s_0_210 = 1.03e-11 ++ mcp1f_ca_w_0_150_s_0_263 = 1.06e-04 mcp1f_cc_w_0_150_s_0_263 = 6.19e-11 mcp1f_cf_w_0_150_s_0_263 = 1.25e-11 ++ mcp1f_ca_w_0_150_s_0_315 = 1.06e-04 mcp1f_cc_w_0_150_s_0_315 = 5.27e-11 mcp1f_cf_w_0_150_s_0_315 = 1.45e-11 ++ mcp1f_ca_w_0_150_s_0_420 = 1.06e-04 mcp1f_cc_w_0_150_s_0_420 = 4.04e-11 mcp1f_cf_w_0_150_s_0_420 = 1.85e-11 ++ mcp1f_ca_w_0_150_s_0_525 = 1.06e-04 mcp1f_cc_w_0_150_s_0_525 = 3.29e-11 mcp1f_cf_w_0_150_s_0_525 = 2.17e-11 ++ mcp1f_ca_w_0_150_s_0_630 = 1.06e-04 mcp1f_cc_w_0_150_s_0_630 = 2.76e-11 mcp1f_cf_w_0_150_s_0_630 = 2.46e-11 ++ mcp1f_ca_w_0_150_s_0_840 = 1.06e-04 mcp1f_cc_w_0_150_s_0_840 = 2.03e-11 mcp1f_cf_w_0_150_s_0_840 = 2.94e-11 ++ mcp1f_ca_w_0_150_s_1_260 = 1.06e-04 mcp1f_cc_w_0_150_s_1_260 = 1.18e-11 mcp1f_cf_w_0_150_s_1_260 = 3.62e-11 ++ mcp1f_ca_w_0_150_s_2_310 = 1.06e-04 mcp1f_cc_w_0_150_s_2_310 = 5.10e-12 mcp1f_cf_w_0_150_s_2_310 = 4.24e-11 ++ mcp1f_ca_w_0_150_s_5_250 = 1.06e-04 mcp1f_cc_w_0_150_s_5_250 = 1.20e-12 mcp1f_cf_w_0_150_s_5_250 = 4.63e-11 ++ mcp1f_ca_w_1_200_s_0_210 = 1.06e-04 mcp1f_cc_w_1_200_s_0_210 = 9.44e-11 mcp1f_cf_w_1_200_s_0_210 = 1.02e-11 ++ mcp1f_ca_w_1_200_s_0_263 = 1.06e-04 mcp1f_cc_w_1_200_s_0_263 = 7.89e-11 mcp1f_cf_w_1_200_s_0_263 = 1.25e-11 ++ mcp1f_ca_w_1_200_s_0_315 = 1.06e-04 mcp1f_cc_w_1_200_s_0_315 = 6.86e-11 mcp1f_cf_w_1_200_s_0_315 = 1.46e-11 ++ mcp1f_ca_w_1_200_s_0_420 = 1.06e-04 mcp1f_cc_w_1_200_s_0_420 = 5.49e-11 mcp1f_cf_w_1_200_s_0_420 = 1.84e-11 ++ mcp1f_ca_w_1_200_s_0_525 = 1.06e-04 mcp1f_cc_w_1_200_s_0_525 = 4.61e-11 mcp1f_cf_w_1_200_s_0_525 = 2.19e-11 ++ mcp1f_ca_w_1_200_s_0_630 = 1.06e-04 mcp1f_cc_w_1_200_s_0_630 = 3.98e-11 mcp1f_cf_w_1_200_s_0_630 = 2.49e-11 ++ mcp1f_ca_w_1_200_s_0_840 = 1.06e-04 mcp1f_cc_w_1_200_s_0_840 = 3.12e-11 mcp1f_cf_w_1_200_s_0_840 = 2.99e-11 ++ mcp1f_ca_w_1_200_s_1_260 = 1.06e-04 mcp1f_cc_w_1_200_s_1_260 = 2.14e-11 mcp1f_cf_w_1_200_s_1_260 = 3.71e-11 ++ mcp1f_ca_w_1_200_s_2_310 = 1.06e-04 mcp1f_cc_w_1_200_s_2_310 = 1.08e-11 mcp1f_cf_w_1_200_s_2_310 = 4.64e-11 ++ mcp1f_ca_w_1_200_s_5_250 = 1.06e-04 mcp1f_cc_w_1_200_s_5_250 = 3.40e-12 mcp1f_cf_w_1_200_s_5_250 = 5.36e-11 ++ mcl1f_ca_w_0_170_s_0_180 = 3.69e-05 mcl1f_cc_w_0_170_s_0_180 = 7.98e-11 mcl1f_cf_w_0_170_s_0_180 = 3.26e-12 ++ mcl1f_ca_w_0_170_s_0_225 = 3.69e-05 mcl1f_cc_w_0_170_s_0_225 = 6.83e-11 mcl1f_cf_w_0_170_s_0_225 = 4.04e-12 ++ mcl1f_ca_w_0_170_s_0_270 = 3.69e-05 mcl1f_cc_w_0_170_s_0_270 = 6.07e-11 mcl1f_cf_w_0_170_s_0_270 = 4.81e-12 ++ mcl1f_ca_w_0_170_s_0_360 = 3.69e-05 mcl1f_cc_w_0_170_s_0_360 = 4.97e-11 mcl1f_cf_w_0_170_s_0_360 = 6.42e-12 ++ mcl1f_ca_w_0_170_s_0_450 = 3.69e-05 mcl1f_cc_w_0_170_s_0_450 = 4.29e-11 mcl1f_cf_w_0_170_s_0_450 = 7.78e-12 ++ mcl1f_ca_w_0_170_s_0_540 = 3.69e-05 mcl1f_cc_w_0_170_s_0_540 = 3.73e-11 mcl1f_cf_w_0_170_s_0_540 = 9.40e-12 ++ mcl1f_ca_w_0_170_s_0_720 = 3.69e-05 mcl1f_cc_w_0_170_s_0_720 = 3.01e-11 mcl1f_cf_w_0_170_s_0_720 = 1.20e-11 ++ mcl1f_ca_w_0_170_s_1_080 = 3.69e-05 mcl1f_cc_w_0_170_s_1_080 = 2.13e-11 mcl1f_cf_w_0_170_s_1_080 = 1.66e-11 ++ mcl1f_ca_w_0_170_s_1_980 = 3.69e-05 mcl1f_cc_w_0_170_s_1_980 = 1.14e-11 mcl1f_cf_w_0_170_s_1_980 = 2.36e-11 ++ mcl1f_ca_w_0_170_s_4_500 = 3.69e-05 mcl1f_cc_w_0_170_s_4_500 = 3.41e-12 mcl1f_cf_w_0_170_s_4_500 = 3.09e-11 ++ mcl1f_ca_w_1_360_s_0_180 = 3.69e-05 mcl1f_cc_w_1_360_s_0_180 = 1.02e-10 mcl1f_cf_w_1_360_s_0_180 = 3.26e-12 ++ mcl1f_ca_w_1_360_s_0_225 = 3.69e-05 mcl1f_cc_w_1_360_s_0_225 = 8.88e-11 mcl1f_cf_w_1_360_s_0_225 = 4.04e-12 ++ mcl1f_ca_w_1_360_s_0_270 = 3.69e-05 mcl1f_cc_w_1_360_s_0_270 = 7.95e-11 mcl1f_cf_w_1_360_s_0_270 = 4.82e-12 ++ mcl1f_ca_w_1_360_s_0_360 = 3.69e-05 mcl1f_cc_w_1_360_s_0_360 = 6.68e-11 mcl1f_cf_w_1_360_s_0_360 = 6.35e-12 ++ mcl1f_ca_w_1_360_s_0_450 = 3.69e-05 mcl1f_cc_w_1_360_s_0_450 = 5.83e-11 mcl1f_cf_w_1_360_s_0_450 = 7.83e-12 ++ mcl1f_ca_w_1_360_s_0_540 = 3.69e-05 mcl1f_cc_w_1_360_s_0_540 = 5.20e-11 mcl1f_cf_w_1_360_s_0_540 = 9.25e-12 ++ mcl1f_ca_w_1_360_s_0_720 = 3.69e-05 mcl1f_cc_w_1_360_s_0_720 = 4.31e-11 mcl1f_cf_w_1_360_s_0_720 = 1.19e-11 ++ mcl1f_ca_w_1_360_s_1_080 = 3.69e-05 mcl1f_cc_w_1_360_s_1_080 = 3.23e-11 mcl1f_cf_w_1_360_s_1_080 = 1.67e-11 ++ mcl1f_ca_w_1_360_s_1_980 = 3.69e-05 mcl1f_cc_w_1_360_s_1_980 = 1.90e-11 mcl1f_cf_w_1_360_s_1_980 = 2.53e-11 ++ mcl1f_ca_w_1_360_s_4_500 = 3.69e-05 mcl1f_cc_w_1_360_s_4_500 = 7.05e-12 mcl1f_cf_w_1_360_s_4_500 = 3.57e-11 ++ mcl1d_ca_w_0_170_s_0_180 = 5.53e-05 mcl1d_cc_w_0_170_s_0_180 = 7.74e-11 mcl1d_cf_w_0_170_s_0_180 = 4.83e-12 ++ mcl1d_ca_w_0_170_s_0_225 = 5.53e-05 mcl1d_cc_w_0_170_s_0_225 = 6.56e-11 mcl1d_cf_w_0_170_s_0_225 = 5.98e-12 ++ mcl1d_ca_w_0_170_s_0_270 = 5.53e-05 mcl1d_cc_w_0_170_s_0_270 = 5.78e-11 mcl1d_cf_w_0_170_s_0_270 = 7.08e-12 ++ mcl1d_ca_w_0_170_s_0_360 = 5.53e-05 mcl1d_cc_w_0_170_s_0_360 = 4.66e-11 mcl1d_cf_w_0_170_s_0_360 = 9.37e-12 ++ mcl1d_ca_w_0_170_s_0_450 = 5.53e-05 mcl1d_cc_w_0_170_s_0_450 = 3.96e-11 mcl1d_cf_w_0_170_s_0_450 = 1.13e-11 ++ mcl1d_ca_w_0_170_s_0_540 = 5.53e-05 mcl1d_cc_w_0_170_s_0_540 = 3.39e-11 mcl1d_cf_w_0_170_s_0_540 = 1.35e-11 ++ mcl1d_ca_w_0_170_s_0_720 = 5.53e-05 mcl1d_cc_w_0_170_s_0_720 = 2.64e-11 mcl1d_cf_w_0_170_s_0_720 = 1.70e-11 ++ mcl1d_ca_w_0_170_s_1_080 = 5.53e-05 mcl1d_cc_w_0_170_s_1_080 = 1.75e-11 mcl1d_cf_w_0_170_s_1_080 = 2.25e-11 ++ mcl1d_ca_w_0_170_s_1_980 = 5.53e-05 mcl1d_cc_w_0_170_s_1_980 = 8.42e-12 mcl1d_cf_w_0_170_s_1_980 = 3.00e-11 ++ mcl1d_ca_w_0_170_s_4_500 = 5.53e-05 mcl1d_cc_w_0_170_s_4_500 = 2.28e-12 mcl1d_cf_w_0_170_s_4_500 = 3.59e-11 ++ mcl1d_ca_w_1_360_s_0_180 = 5.53e-05 mcl1d_cc_w_1_360_s_0_180 = 9.72e-11 mcl1d_cf_w_1_360_s_0_180 = 4.83e-12 ++ mcl1d_ca_w_1_360_s_0_225 = 5.53e-05 mcl1d_cc_w_1_360_s_0_225 = 8.44e-11 mcl1d_cf_w_1_360_s_0_225 = 5.98e-12 ++ mcl1d_ca_w_1_360_s_0_270 = 5.53e-05 mcl1d_cc_w_1_360_s_0_270 = 7.51e-11 mcl1d_cf_w_1_360_s_0_270 = 7.11e-12 ++ mcl1d_ca_w_1_360_s_0_360 = 5.53e-05 mcl1d_cc_w_1_360_s_0_360 = 6.24e-11 mcl1d_cf_w_1_360_s_0_360 = 9.29e-12 ++ mcl1d_ca_w_1_360_s_0_450 = 5.53e-05 mcl1d_cc_w_1_360_s_0_450 = 5.39e-11 mcl1d_cf_w_1_360_s_0_450 = 1.14e-11 ++ mcl1d_ca_w_1_360_s_0_540 = 5.53e-05 mcl1d_cc_w_1_360_s_0_540 = 4.76e-11 mcl1d_cf_w_1_360_s_0_540 = 1.33e-11 ++ mcl1d_ca_w_1_360_s_0_720 = 5.53e-05 mcl1d_cc_w_1_360_s_0_720 = 3.87e-11 mcl1d_cf_w_1_360_s_0_720 = 1.70e-11 ++ mcl1d_ca_w_1_360_s_1_080 = 5.53e-05 mcl1d_cc_w_1_360_s_1_080 = 2.80e-11 mcl1d_cf_w_1_360_s_1_080 = 2.29e-11 ++ mcl1d_ca_w_1_360_s_1_980 = 5.53e-05 mcl1d_cc_w_1_360_s_1_980 = 1.55e-11 mcl1d_cf_w_1_360_s_1_980 = 3.25e-11 ++ mcl1d_ca_w_1_360_s_4_500 = 5.53e-05 mcl1d_cc_w_1_360_s_4_500 = 5.35e-12 mcl1d_cf_w_1_360_s_4_500 = 4.19e-11 ++ mcl1p1_ca_w_0_170_s_0_180 = 9.41e-05 mcl1p1_cc_w_0_170_s_0_180 = 7.32e-11 mcl1p1_cf_w_0_170_s_0_180 = 8.06e-12 ++ mcl1p1_ca_w_0_170_s_0_225 = 9.41e-05 mcl1p1_cc_w_0_170_s_0_225 = 6.12e-11 mcl1p1_cf_w_0_170_s_0_225 = 9.91e-12 ++ mcl1p1_ca_w_0_170_s_0_270 = 9.41e-05 mcl1p1_cc_w_0_170_s_0_270 = 5.32e-11 mcl1p1_cf_w_0_170_s_0_270 = 1.17e-11 ++ mcl1p1_ca_w_0_170_s_0_360 = 9.41e-05 mcl1p1_cc_w_0_170_s_0_360 = 4.16e-11 mcl1p1_cf_w_0_170_s_0_360 = 1.52e-11 ++ mcl1p1_ca_w_0_170_s_0_450 = 9.41e-05 mcl1p1_cc_w_0_170_s_0_450 = 3.45e-11 mcl1p1_cf_w_0_170_s_0_450 = 1.80e-11 ++ mcl1p1_ca_w_0_170_s_0_540 = 9.41e-05 mcl1p1_cc_w_0_170_s_0_540 = 2.86e-11 mcl1p1_cf_w_0_170_s_0_540 = 2.12e-11 ++ mcl1p1_ca_w_0_170_s_0_720 = 9.41e-05 mcl1p1_cc_w_0_170_s_0_720 = 2.11e-11 mcl1p1_cf_w_0_170_s_0_720 = 2.58e-11 ++ mcl1p1_ca_w_0_170_s_1_080 = 9.41e-05 mcl1p1_cc_w_0_170_s_1_080 = 1.28e-11 mcl1p1_cf_w_0_170_s_1_080 = 3.22e-11 ++ mcl1p1_ca_w_0_170_s_1_980 = 9.41e-05 mcl1p1_cc_w_0_170_s_1_980 = 5.43e-12 mcl1p1_cf_w_0_170_s_1_980 = 3.89e-11 ++ mcl1p1_ca_w_0_170_s_4_500 = 9.41e-05 mcl1p1_cc_w_0_170_s_4_500 = 1.35e-12 mcl1p1_cf_w_0_170_s_4_500 = 4.29e-11 ++ mcl1p1_ca_w_1_360_s_0_180 = 9.41e-05 mcl1p1_cc_w_1_360_s_0_180 = 9.13e-11 mcl1p1_cf_w_1_360_s_0_180 = 8.11e-12 ++ mcl1p1_ca_w_1_360_s_0_225 = 9.41e-05 mcl1p1_cc_w_1_360_s_0_225 = 7.85e-11 mcl1p1_cf_w_1_360_s_0_225 = 9.97e-12 ++ mcl1p1_ca_w_1_360_s_0_270 = 9.41e-05 mcl1p1_cc_w_1_360_s_0_270 = 6.93e-11 mcl1p1_cf_w_1_360_s_0_270 = 1.18e-11 ++ mcl1p1_ca_w_1_360_s_0_360 = 9.41e-05 mcl1p1_cc_w_1_360_s_0_360 = 5.66e-11 mcl1p1_cf_w_1_360_s_0_360 = 1.51e-11 ++ mcl1p1_ca_w_1_360_s_0_450 = 9.41e-05 mcl1p1_cc_w_1_360_s_0_450 = 4.82e-11 mcl1p1_cf_w_1_360_s_0_450 = 1.82e-11 ++ mcl1p1_ca_w_1_360_s_0_540 = 9.41e-05 mcl1p1_cc_w_1_360_s_0_540 = 4.19e-11 mcl1p1_cf_w_1_360_s_0_540 = 2.11e-11 ++ mcl1p1_ca_w_1_360_s_0_720 = 9.41e-05 mcl1p1_cc_w_1_360_s_0_720 = 3.32e-11 mcl1p1_cf_w_1_360_s_0_720 = 2.59e-11 ++ mcl1p1_ca_w_1_360_s_1_080 = 9.41e-05 mcl1p1_cc_w_1_360_s_1_080 = 2.31e-11 mcl1p1_cf_w_1_360_s_1_080 = 3.31e-11 ++ mcl1p1_ca_w_1_360_s_1_980 = 9.41e-05 mcl1p1_cc_w_1_360_s_1_980 = 1.19e-11 mcl1p1_cf_w_1_360_s_1_980 = 4.28e-11 ++ mcl1p1_ca_w_1_360_s_4_500 = 9.41e-05 mcl1p1_cc_w_1_360_s_4_500 = 3.90e-12 mcl1p1_cf_w_1_360_s_4_500 = 5.06e-11 ++ mcm1f_ca_w_0_140_s_0_140 = 2.58e-05 mcm1f_cc_w_0_140_s_0_140 = 1.05e-10 mcm1f_cf_w_0_140_s_0_140 = 1.79e-12 ++ mcm1f_ca_w_0_140_s_0_175 = 2.58e-05 mcm1f_cc_w_0_140_s_0_175 = 1.03e-10 mcm1f_cf_w_0_140_s_0_175 = 2.23e-12 ++ mcm1f_ca_w_0_140_s_0_210 = 2.58e-05 mcm1f_cc_w_0_140_s_0_210 = 9.77e-11 mcm1f_cf_w_0_140_s_0_210 = 2.68e-12 ++ mcm1f_ca_w_0_140_s_0_280 = 2.58e-05 mcm1f_cc_w_0_140_s_0_280 = 8.76e-11 mcm1f_cf_w_0_140_s_0_280 = 3.56e-12 ++ mcm1f_ca_w_0_140_s_0_350 = 2.58e-05 mcm1f_cc_w_0_140_s_0_350 = 7.63e-11 mcm1f_cf_w_0_140_s_0_350 = 4.42e-12 ++ mcm1f_ca_w_0_140_s_0_420 = 2.58e-05 mcm1f_cc_w_0_140_s_0_420 = 6.70e-11 mcm1f_cf_w_0_140_s_0_420 = 5.31e-12 ++ mcm1f_ca_w_0_140_s_0_560 = 2.58e-05 mcm1f_cc_w_0_140_s_0_560 = 5.45e-11 mcm1f_cf_w_0_140_s_0_560 = 6.93e-12 ++ mcm1f_ca_w_0_140_s_0_840 = 2.58e-05 mcm1f_cc_w_0_140_s_0_840 = 4.05e-11 mcm1f_cf_w_0_140_s_0_840 = 1.00e-11 ++ mcm1f_ca_w_0_140_s_1_540 = 2.58e-05 mcm1f_cc_w_0_140_s_1_540 = 2.47e-11 mcm1f_cf_w_0_140_s_1_540 = 1.65e-11 ++ mcm1f_ca_w_0_140_s_3_500 = 2.58e-05 mcm1f_cc_w_0_140_s_3_500 = 1.00e-11 mcm1f_cf_w_0_140_s_3_500 = 2.70e-11 ++ mcm1f_ca_w_1_120_s_0_140 = 2.58e-05 mcm1f_cc_w_1_120_s_0_140 = 1.31e-10 mcm1f_cf_w_1_120_s_0_140 = 1.82e-12 ++ mcm1f_ca_w_1_120_s_0_175 = 2.58e-05 mcm1f_cc_w_1_120_s_0_175 = 1.27e-10 mcm1f_cf_w_1_120_s_0_175 = 2.28e-12 ++ mcm1f_ca_w_1_120_s_0_210 = 2.58e-05 mcm1f_cc_w_1_120_s_0_210 = 1.21e-10 mcm1f_cf_w_1_120_s_0_210 = 2.72e-12 ++ mcm1f_ca_w_1_120_s_0_280 = 2.58e-05 mcm1f_cc_w_1_120_s_0_280 = 1.07e-10 mcm1f_cf_w_1_120_s_0_280 = 3.61e-12 ++ mcm1f_ca_w_1_120_s_0_350 = 2.58e-05 mcm1f_cc_w_1_120_s_0_350 = 9.46e-11 mcm1f_cf_w_1_120_s_0_350 = 4.47e-12 ++ mcm1f_ca_w_1_120_s_0_420 = 2.58e-05 mcm1f_cc_w_1_120_s_0_420 = 8.38e-11 mcm1f_cf_w_1_120_s_0_420 = 5.33e-12 ++ mcm1f_ca_w_1_120_s_0_560 = 2.58e-05 mcm1f_cc_w_1_120_s_0_560 = 6.88e-11 mcm1f_cf_w_1_120_s_0_560 = 6.98e-12 ++ mcm1f_ca_w_1_120_s_0_840 = 2.58e-05 mcm1f_cc_w_1_120_s_0_840 = 5.20e-11 mcm1f_cf_w_1_120_s_0_840 = 1.01e-11 ++ mcm1f_ca_w_1_120_s_1_540 = 2.58e-05 mcm1f_cc_w_1_120_s_1_540 = 3.29e-11 mcm1f_cf_w_1_120_s_1_540 = 1.69e-11 ++ mcm1f_ca_w_1_120_s_3_500 = 2.58e-05 mcm1f_cc_w_1_120_s_3_500 = 1.47e-11 mcm1f_cf_w_1_120_s_3_500 = 2.88e-11 ++ mcm1d_ca_w_0_140_s_0_140 = 3.36e-05 mcm1d_cc_w_0_140_s_0_140 = 1.04e-10 mcm1d_cf_w_0_140_s_0_140 = 2.32e-12 ++ mcm1d_ca_w_0_140_s_0_175 = 3.36e-05 mcm1d_cc_w_0_140_s_0_175 = 1.02e-10 mcm1d_cf_w_0_140_s_0_175 = 2.90e-12 ++ mcm1d_ca_w_0_140_s_0_210 = 3.36e-05 mcm1d_cc_w_0_140_s_0_210 = 9.66e-11 mcm1d_cf_w_0_140_s_0_210 = 3.49e-12 ++ mcm1d_ca_w_0_140_s_0_280 = 3.36e-05 mcm1d_cc_w_0_140_s_0_280 = 8.60e-11 mcm1d_cf_w_0_140_s_0_280 = 4.62e-12 ++ mcm1d_ca_w_0_140_s_0_350 = 3.36e-05 mcm1d_cc_w_0_140_s_0_350 = 7.46e-11 mcm1d_cf_w_0_140_s_0_350 = 5.73e-12 ++ mcm1d_ca_w_0_140_s_0_420 = 3.36e-05 mcm1d_cc_w_0_140_s_0_420 = 6.53e-11 mcm1d_cf_w_0_140_s_0_420 = 6.87e-12 ++ mcm1d_ca_w_0_140_s_0_560 = 3.36e-05 mcm1d_cc_w_0_140_s_0_560 = 5.27e-11 mcm1d_cf_w_0_140_s_0_560 = 8.91e-12 ++ mcm1d_ca_w_0_140_s_0_840 = 3.36e-05 mcm1d_cc_w_0_140_s_0_840 = 3.84e-11 mcm1d_cf_w_0_140_s_0_840 = 1.28e-11 ++ mcm1d_ca_w_0_140_s_1_540 = 3.36e-05 mcm1d_cc_w_0_140_s_1_540 = 2.23e-11 mcm1d_cf_w_0_140_s_1_540 = 2.05e-11 ++ mcm1d_ca_w_0_140_s_3_500 = 3.36e-05 mcm1d_cc_w_0_140_s_3_500 = 8.26e-12 mcm1d_cf_w_0_140_s_3_500 = 3.15e-11 ++ mcm1d_ca_w_1_120_s_0_140 = 3.36e-05 mcm1d_cc_w_1_120_s_0_140 = 1.28e-10 mcm1d_cf_w_1_120_s_0_140 = 2.39e-12 ++ mcm1d_ca_w_1_120_s_0_175 = 3.36e-05 mcm1d_cc_w_1_120_s_0_175 = 1.24e-10 mcm1d_cf_w_1_120_s_0_175 = 2.96e-12 ++ mcm1d_ca_w_1_120_s_0_210 = 3.36e-05 mcm1d_cc_w_1_120_s_0_210 = 1.18e-10 mcm1d_cf_w_1_120_s_0_210 = 3.54e-12 ++ mcm1d_ca_w_1_120_s_0_280 = 3.36e-05 mcm1d_cc_w_1_120_s_0_280 = 1.04e-10 mcm1d_cf_w_1_120_s_0_280 = 4.68e-12 ++ mcm1d_ca_w_1_120_s_0_350 = 3.36e-05 mcm1d_cc_w_1_120_s_0_350 = 9.17e-11 mcm1d_cf_w_1_120_s_0_350 = 5.80e-12 ++ mcm1d_ca_w_1_120_s_0_420 = 3.36e-05 mcm1d_cc_w_1_120_s_0_420 = 8.11e-11 mcm1d_cf_w_1_120_s_0_420 = 6.89e-12 ++ mcm1d_ca_w_1_120_s_0_560 = 3.36e-05 mcm1d_cc_w_1_120_s_0_560 = 6.60e-11 mcm1d_cf_w_1_120_s_0_560 = 8.99e-12 ++ mcm1d_ca_w_1_120_s_0_840 = 3.36e-05 mcm1d_cc_w_1_120_s_0_840 = 4.91e-11 mcm1d_cf_w_1_120_s_0_840 = 1.29e-11 ++ mcm1d_ca_w_1_120_s_1_540 = 3.36e-05 mcm1d_cc_w_1_120_s_1_540 = 3.01e-11 mcm1d_cf_w_1_120_s_1_540 = 2.09e-11 ++ mcm1d_ca_w_1_120_s_3_500 = 3.36e-05 mcm1d_cc_w_1_120_s_3_500 = 1.26e-11 mcm1d_cf_w_1_120_s_3_500 = 3.36e-11 ++ mcm1p1_ca_w_0_140_s_0_140 = 4.48e-05 mcm1p1_cc_w_0_140_s_0_140 = 1.03e-10 mcm1p1_cf_w_0_140_s_0_140 = 3.09e-12 ++ mcm1p1_ca_w_0_140_s_0_175 = 4.48e-05 mcm1p1_cc_w_0_140_s_0_175 = 1.01e-10 mcm1p1_cf_w_0_140_s_0_175 = 3.87e-12 ++ mcm1p1_ca_w_0_140_s_0_210 = 4.48e-05 mcm1p1_cc_w_0_140_s_0_210 = 9.50e-11 mcm1p1_cf_w_0_140_s_0_210 = 4.64e-12 ++ mcm1p1_ca_w_0_140_s_0_280 = 4.48e-05 mcm1p1_cc_w_0_140_s_0_280 = 8.43e-11 mcm1p1_cf_w_0_140_s_0_280 = 6.14e-12 ++ mcm1p1_ca_w_0_140_s_0_350 = 4.48e-05 mcm1p1_cc_w_0_140_s_0_350 = 7.26e-11 mcm1p1_cf_w_0_140_s_0_350 = 7.60e-12 ++ mcm1p1_ca_w_0_140_s_0_420 = 4.48e-05 mcm1p1_cc_w_0_140_s_0_420 = 6.31e-11 mcm1p1_cf_w_0_140_s_0_420 = 9.06e-12 ++ mcm1p1_ca_w_0_140_s_0_560 = 4.48e-05 mcm1p1_cc_w_0_140_s_0_560 = 5.03e-11 mcm1p1_cf_w_0_140_s_0_560 = 1.17e-11 ++ mcm1p1_ca_w_0_140_s_0_840 = 4.48e-05 mcm1p1_cc_w_0_140_s_0_840 = 3.57e-11 mcm1p1_cf_w_0_140_s_0_840 = 1.65e-11 ++ mcm1p1_ca_w_0_140_s_1_540 = 4.48e-05 mcm1p1_cc_w_0_140_s_1_540 = 1.96e-11 mcm1p1_cf_w_0_140_s_1_540 = 2.55e-11 ++ mcm1p1_ca_w_0_140_s_3_500 = 4.48e-05 mcm1p1_cc_w_0_140_s_3_500 = 6.59e-12 mcm1p1_cf_w_0_140_s_3_500 = 3.65e-11 ++ mcm1p1_ca_w_1_120_s_0_140 = 4.48e-05 mcm1p1_cc_w_1_120_s_0_140 = 1.25e-10 mcm1p1_cf_w_1_120_s_0_140 = 3.22e-12 ++ mcm1p1_ca_w_1_120_s_0_175 = 4.48e-05 mcm1p1_cc_w_1_120_s_0_175 = 1.21e-10 mcm1p1_cf_w_1_120_s_0_175 = 4.00e-12 ++ mcm1p1_ca_w_1_120_s_0_210 = 4.48e-05 mcm1p1_cc_w_1_120_s_0_210 = 1.14e-10 mcm1p1_cf_w_1_120_s_0_210 = 4.77e-12 ++ mcm1p1_ca_w_1_120_s_0_280 = 4.48e-05 mcm1p1_cc_w_1_120_s_0_280 = 1.01e-10 mcm1p1_cf_w_1_120_s_0_280 = 6.26e-12 ++ mcm1p1_ca_w_1_120_s_0_350 = 4.48e-05 mcm1p1_cc_w_1_120_s_0_350 = 8.83e-11 mcm1p1_cf_w_1_120_s_0_350 = 7.72e-12 ++ mcm1p1_ca_w_1_120_s_0_420 = 4.48e-05 mcm1p1_cc_w_1_120_s_0_420 = 7.77e-11 mcm1p1_cf_w_1_120_s_0_420 = 9.16e-12 ++ mcm1p1_ca_w_1_120_s_0_560 = 4.48e-05 mcm1p1_cc_w_1_120_s_0_560 = 6.27e-11 mcm1p1_cf_w_1_120_s_0_560 = 1.18e-11 ++ mcm1p1_ca_w_1_120_s_0_840 = 4.48e-05 mcm1p1_cc_w_1_120_s_0_840 = 4.59e-11 mcm1p1_cf_w_1_120_s_0_840 = 1.68e-11 ++ mcm1p1_ca_w_1_120_s_1_540 = 4.48e-05 mcm1p1_cc_w_1_120_s_1_540 = 2.71e-11 mcm1p1_cf_w_1_120_s_1_540 = 2.61e-11 ++ mcm1p1_ca_w_1_120_s_3_500 = 4.48e-05 mcm1p1_cc_w_1_120_s_3_500 = 1.07e-11 mcm1p1_cf_w_1_120_s_3_500 = 3.92e-11 ++ mcm1l1_ca_w_0_140_s_0_140 = 1.14e-04 mcm1l1_cc_w_0_140_s_0_140 = 9.58e-11 mcm1l1_cf_w_0_140_s_0_140 = 7.43e-12 ++ mcm1l1_ca_w_0_140_s_0_175 = 1.14e-04 mcm1l1_cc_w_0_140_s_0_175 = 9.38e-11 mcm1l1_cf_w_0_140_s_0_175 = 9.39e-12 ++ mcm1l1_ca_w_0_140_s_0_210 = 1.14e-04 mcm1l1_cc_w_0_140_s_0_210 = 8.80e-11 mcm1l1_cf_w_0_140_s_0_210 = 1.13e-11 ++ mcm1l1_ca_w_0_140_s_0_280 = 1.14e-04 mcm1l1_cc_w_0_140_s_0_280 = 7.59e-11 mcm1l1_cf_w_0_140_s_0_280 = 1.48e-11 ++ mcm1l1_ca_w_0_140_s_0_350 = 1.14e-04 mcm1l1_cc_w_0_140_s_0_350 = 6.44e-11 mcm1l1_cf_w_0_140_s_0_350 = 1.81e-11 ++ mcm1l1_ca_w_0_140_s_0_420 = 1.14e-04 mcm1l1_cc_w_0_140_s_0_420 = 5.44e-11 mcm1l1_cf_w_0_140_s_0_420 = 2.12e-11 ++ mcm1l1_ca_w_0_140_s_0_560 = 1.14e-04 mcm1l1_cc_w_0_140_s_0_560 = 4.13e-11 mcm1l1_cf_w_0_140_s_0_560 = 2.65e-11 ++ mcm1l1_ca_w_0_140_s_0_840 = 1.14e-04 mcm1l1_cc_w_0_140_s_0_840 = 2.70e-11 mcm1l1_cf_w_0_140_s_0_840 = 3.47e-11 ++ mcm1l1_ca_w_0_140_s_1_540 = 1.14e-04 mcm1l1_cc_w_0_140_s_1_540 = 1.25e-11 mcm1l1_cf_w_0_140_s_1_540 = 4.62e-11 ++ mcm1l1_ca_w_0_140_s_3_500 = 1.14e-04 mcm1l1_cc_w_0_140_s_3_500 = 3.55e-12 mcm1l1_cf_w_0_140_s_3_500 = 5.51e-11 ++ mcm1l1_ca_w_1_120_s_0_140 = 1.14e-04 mcm1l1_cc_w_1_120_s_0_140 = 1.15e-10 mcm1l1_cf_w_1_120_s_0_140 = 7.56e-12 ++ mcm1l1_ca_w_1_120_s_0_175 = 1.14e-04 mcm1l1_cc_w_1_120_s_0_175 = 1.10e-10 mcm1l1_cf_w_1_120_s_0_175 = 9.50e-12 ++ mcm1l1_ca_w_1_120_s_0_210 = 1.14e-04 mcm1l1_cc_w_1_120_s_0_210 = 1.04e-10 mcm1l1_cf_w_1_120_s_0_210 = 1.14e-11 ++ mcm1l1_ca_w_1_120_s_0_280 = 1.14e-04 mcm1l1_cc_w_1_120_s_0_280 = 9.04e-11 mcm1l1_cf_w_1_120_s_0_280 = 1.49e-11 ++ mcm1l1_ca_w_1_120_s_0_350 = 1.14e-04 mcm1l1_cc_w_1_120_s_0_350 = 7.72e-11 mcm1l1_cf_w_1_120_s_0_350 = 1.82e-11 ++ mcm1l1_ca_w_1_120_s_0_420 = 1.14e-04 mcm1l1_cc_w_1_120_s_0_420 = 6.73e-11 mcm1l1_cf_w_1_120_s_0_420 = 2.13e-11 ++ mcm1l1_ca_w_1_120_s_0_560 = 1.14e-04 mcm1l1_cc_w_1_120_s_0_560 = 5.26e-11 mcm1l1_cf_w_1_120_s_0_560 = 2.66e-11 ++ mcm1l1_ca_w_1_120_s_0_840 = 1.14e-04 mcm1l1_cc_w_1_120_s_0_840 = 3.64e-11 mcm1l1_cf_w_1_120_s_0_840 = 3.50e-11 ++ mcm1l1_ca_w_1_120_s_1_540 = 1.14e-04 mcm1l1_cc_w_1_120_s_1_540 = 1.97e-11 mcm1l1_cf_w_1_120_s_1_540 = 4.74e-11 ++ mcm1l1_ca_w_1_120_s_3_500 = 1.14e-04 mcm1l1_cc_w_1_120_s_3_500 = 7.00e-12 mcm1l1_cf_w_1_120_s_3_500 = 5.94e-11 ++ mcm2f_ca_w_0_140_s_0_140 = 1.75e-05 mcm2f_cc_w_0_140_s_0_140 = 1.07e-10 mcm2f_cf_w_0_140_s_0_140 = 1.22e-12 ++ mcm2f_ca_w_0_140_s_0_175 = 1.75e-05 mcm2f_cc_w_0_140_s_0_175 = 1.04e-10 mcm2f_cf_w_0_140_s_0_175 = 1.53e-12 ++ mcm2f_ca_w_0_140_s_0_210 = 1.75e-05 mcm2f_cc_w_0_140_s_0_210 = 9.87e-11 mcm2f_cf_w_0_140_s_0_210 = 1.84e-12 ++ mcm2f_ca_w_0_140_s_0_280 = 1.75e-05 mcm2f_cc_w_0_140_s_0_280 = 8.88e-11 mcm2f_cf_w_0_140_s_0_280 = 2.44e-12 ++ mcm2f_ca_w_0_140_s_0_350 = 1.75e-05 mcm2f_cc_w_0_140_s_0_350 = 7.76e-11 mcm2f_cf_w_0_140_s_0_350 = 3.03e-12 ++ mcm2f_ca_w_0_140_s_0_420 = 1.75e-05 mcm2f_cc_w_0_140_s_0_420 = 6.85e-11 mcm2f_cf_w_0_140_s_0_420 = 3.65e-12 ++ mcm2f_ca_w_0_140_s_0_560 = 1.75e-05 mcm2f_cc_w_0_140_s_0_560 = 5.62e-11 mcm2f_cf_w_0_140_s_0_560 = 4.77e-12 ++ mcm2f_ca_w_0_140_s_0_840 = 1.75e-05 mcm2f_cc_w_0_140_s_0_840 = 4.26e-11 mcm2f_cf_w_0_140_s_0_840 = 6.98e-12 ++ mcm2f_ca_w_0_140_s_1_540 = 1.75e-05 mcm2f_cc_w_0_140_s_1_540 = 2.74e-11 mcm2f_cf_w_0_140_s_1_540 = 1.19e-11 ++ mcm2f_ca_w_0_140_s_3_500 = 1.75e-05 mcm2f_cc_w_0_140_s_3_500 = 1.27e-11 mcm2f_cf_w_0_140_s_3_500 = 2.10e-11 ++ mcm2f_ca_w_1_120_s_0_140 = 1.75e-05 mcm2f_cc_w_1_120_s_0_140 = 1.33e-10 mcm2f_cf_w_1_120_s_0_140 = 1.25e-12 ++ mcm2f_ca_w_1_120_s_0_175 = 1.75e-05 mcm2f_cc_w_1_120_s_0_175 = 1.29e-10 mcm2f_cf_w_1_120_s_0_175 = 1.55e-12 ++ mcm2f_ca_w_1_120_s_0_210 = 1.75e-05 mcm2f_cc_w_1_120_s_0_210 = 1.23e-10 mcm2f_cf_w_1_120_s_0_210 = 1.86e-12 ++ mcm2f_ca_w_1_120_s_0_280 = 1.75e-05 mcm2f_cc_w_1_120_s_0_280 = 1.10e-10 mcm2f_cf_w_1_120_s_0_280 = 2.47e-12 ++ mcm2f_ca_w_1_120_s_0_350 = 1.75e-05 mcm2f_cc_w_1_120_s_0_350 = 9.70e-11 mcm2f_cf_w_1_120_s_0_350 = 3.06e-12 ++ mcm2f_ca_w_1_120_s_0_420 = 1.75e-05 mcm2f_cc_w_1_120_s_0_420 = 8.65e-11 mcm2f_cf_w_1_120_s_0_420 = 3.66e-12 ++ mcm2f_ca_w_1_120_s_0_560 = 1.75e-05 mcm2f_cc_w_1_120_s_0_560 = 7.16e-11 mcm2f_cf_w_1_120_s_0_560 = 4.81e-12 ++ mcm2f_ca_w_1_120_s_0_840 = 1.75e-05 mcm2f_cc_w_1_120_s_0_840 = 5.50e-11 mcm2f_cf_w_1_120_s_0_840 = 7.06e-12 ++ mcm2f_ca_w_1_120_s_1_540 = 1.75e-05 mcm2f_cc_w_1_120_s_1_540 = 3.62e-11 mcm2f_cf_w_1_120_s_1_540 = 1.21e-11 ++ mcm2f_ca_w_1_120_s_3_500 = 1.75e-05 mcm2f_cc_w_1_120_s_3_500 = 1.79e-11 mcm2f_cf_w_1_120_s_3_500 = 2.22e-11 ++ mcm2d_ca_w_0_140_s_0_140 = 2.08e-05 mcm2d_cc_w_0_140_s_0_140 = 1.05e-10 mcm2d_cf_w_0_140_s_0_140 = 1.45e-12 ++ mcm2d_ca_w_0_140_s_0_175 = 2.08e-05 mcm2d_cc_w_0_140_s_0_175 = 1.03e-10 mcm2d_cf_w_0_140_s_0_175 = 1.81e-12 ++ mcm2d_ca_w_0_140_s_0_210 = 2.08e-05 mcm2d_cc_w_0_140_s_0_210 = 9.82e-11 mcm2d_cf_w_0_140_s_0_210 = 2.18e-12 ++ mcm2d_ca_w_0_140_s_0_280 = 2.08e-05 mcm2d_cc_w_0_140_s_0_280 = 8.83e-11 mcm2d_cf_w_0_140_s_0_280 = 2.89e-12 ++ mcm2d_ca_w_0_140_s_0_350 = 2.08e-05 mcm2d_cc_w_0_140_s_0_350 = 7.70e-11 mcm2d_cf_w_0_140_s_0_350 = 3.59e-12 ++ mcm2d_ca_w_0_140_s_0_420 = 2.08e-05 mcm2d_cc_w_0_140_s_0_420 = 6.74e-11 mcm2d_cf_w_0_140_s_0_420 = 4.32e-12 ++ mcm2d_ca_w_0_140_s_0_560 = 2.08e-05 mcm2d_cc_w_0_140_s_0_560 = 5.52e-11 mcm2d_cf_w_0_140_s_0_560 = 5.62e-12 ++ mcm2d_ca_w_0_140_s_0_840 = 2.08e-05 mcm2d_cc_w_0_140_s_0_840 = 4.16e-11 mcm2d_cf_w_0_140_s_0_840 = 8.21e-12 ++ mcm2d_ca_w_0_140_s_1_540 = 2.08e-05 mcm2d_cc_w_0_140_s_1_540 = 2.60e-11 mcm2d_cf_w_0_140_s_1_540 = 1.37e-11 ++ mcm2d_ca_w_0_140_s_3_500 = 2.08e-05 mcm2d_cc_w_0_140_s_3_500 = 1.14e-11 mcm2d_cf_w_0_140_s_3_500 = 2.35e-11 ++ mcm2d_ca_w_1_120_s_0_140 = 2.08e-05 mcm2d_cc_w_1_120_s_0_140 = 1.32e-10 mcm2d_cf_w_1_120_s_0_140 = 1.49e-12 ++ mcm2d_ca_w_1_120_s_0_175 = 2.08e-05 mcm2d_cc_w_1_120_s_0_175 = 1.28e-10 mcm2d_cf_w_1_120_s_0_175 = 1.85e-12 ++ mcm2d_ca_w_1_120_s_0_210 = 2.08e-05 mcm2d_cc_w_1_120_s_0_210 = 1.22e-10 mcm2d_cf_w_1_120_s_0_210 = 2.21e-12 ++ mcm2d_ca_w_1_120_s_0_280 = 2.08e-05 mcm2d_cc_w_1_120_s_0_280 = 1.08e-10 mcm2d_cf_w_1_120_s_0_280 = 2.92e-12 ++ mcm2d_ca_w_1_120_s_0_350 = 2.08e-05 mcm2d_cc_w_1_120_s_0_350 = 9.54e-11 mcm2d_cf_w_1_120_s_0_350 = 3.63e-12 ++ mcm2d_ca_w_1_120_s_0_420 = 2.08e-05 mcm2d_cc_w_1_120_s_0_420 = 8.50e-11 mcm2d_cf_w_1_120_s_0_420 = 4.32e-12 ++ mcm2d_ca_w_1_120_s_0_560 = 2.08e-05 mcm2d_cc_w_1_120_s_0_560 = 7.00e-11 mcm2d_cf_w_1_120_s_0_560 = 5.68e-12 ++ mcm2d_ca_w_1_120_s_0_840 = 2.08e-05 mcm2d_cc_w_1_120_s_0_840 = 5.33e-11 mcm2d_cf_w_1_120_s_0_840 = 8.27e-12 ++ mcm2d_ca_w_1_120_s_1_540 = 2.08e-05 mcm2d_cc_w_1_120_s_1_540 = 3.45e-11 mcm2d_cf_w_1_120_s_1_540 = 1.40e-11 ++ mcm2d_ca_w_1_120_s_3_500 = 2.08e-05 mcm2d_cc_w_1_120_s_3_500 = 1.63e-11 mcm2d_cf_w_1_120_s_3_500 = 2.50e-11 ++ mcm2p1_ca_w_0_140_s_0_140 = 2.47e-05 mcm2p1_cc_w_0_140_s_0_140 = 1.05e-10 mcm2p1_cf_w_0_140_s_0_140 = 1.72e-12 ++ mcm2p1_ca_w_0_140_s_0_175 = 2.47e-05 mcm2p1_cc_w_0_140_s_0_175 = 1.03e-10 mcm2p1_cf_w_0_140_s_0_175 = 2.14e-12 ++ mcm2p1_ca_w_0_140_s_0_210 = 2.47e-05 mcm2p1_cc_w_0_140_s_0_210 = 9.77e-11 mcm2p1_cf_w_0_140_s_0_210 = 2.58e-12 ++ mcm2p1_ca_w_0_140_s_0_280 = 2.47e-05 mcm2p1_cc_w_0_140_s_0_280 = 8.77e-11 mcm2p1_cf_w_0_140_s_0_280 = 3.42e-12 ++ mcm2p1_ca_w_0_140_s_0_350 = 2.47e-05 mcm2p1_cc_w_0_140_s_0_350 = 7.60e-11 mcm2p1_cf_w_0_140_s_0_350 = 4.24e-12 ++ mcm2p1_ca_w_0_140_s_0_420 = 2.47e-05 mcm2p1_cc_w_0_140_s_0_420 = 6.66e-11 mcm2p1_cf_w_0_140_s_0_420 = 5.10e-12 ++ mcm2p1_ca_w_0_140_s_0_560 = 2.47e-05 mcm2p1_cc_w_0_140_s_0_560 = 5.44e-11 mcm2p1_cf_w_0_140_s_0_560 = 6.65e-12 ++ mcm2p1_ca_w_0_140_s_0_840 = 2.47e-05 mcm2p1_cc_w_0_140_s_0_840 = 4.04e-11 mcm2p1_cf_w_0_140_s_0_840 = 9.62e-12 ++ mcm2p1_ca_w_0_140_s_1_540 = 2.47e-05 mcm2p1_cc_w_0_140_s_1_540 = 2.46e-11 mcm2p1_cf_w_0_140_s_1_540 = 1.59e-11 ++ mcm2p1_ca_w_0_140_s_3_500 = 2.47e-05 mcm2p1_cc_w_0_140_s_3_500 = 1.01e-11 mcm2p1_cf_w_0_140_s_3_500 = 2.62e-11 ++ mcm2p1_ca_w_1_120_s_0_140 = 2.47e-05 mcm2p1_cc_w_1_120_s_0_140 = 1.30e-10 mcm2p1_cf_w_1_120_s_0_140 = 1.78e-12 ++ mcm2p1_ca_w_1_120_s_0_175 = 2.47e-05 mcm2p1_cc_w_1_120_s_0_175 = 1.26e-10 mcm2p1_cf_w_1_120_s_0_175 = 2.21e-12 ++ mcm2p1_ca_w_1_120_s_0_210 = 2.47e-05 mcm2p1_cc_w_1_120_s_0_210 = 1.20e-10 mcm2p1_cf_w_1_120_s_0_210 = 2.64e-12 ++ mcm2p1_ca_w_1_120_s_0_280 = 2.47e-05 mcm2p1_cc_w_1_120_s_0_280 = 1.07e-10 mcm2p1_cf_w_1_120_s_0_280 = 3.48e-12 ++ mcm2p1_ca_w_1_120_s_0_350 = 2.47e-05 mcm2p1_cc_w_1_120_s_0_350 = 9.38e-11 mcm2p1_cf_w_1_120_s_0_350 = 4.31e-12 ++ mcm2p1_ca_w_1_120_s_0_420 = 2.47e-05 mcm2p1_cc_w_1_120_s_0_420 = 8.32e-11 mcm2p1_cf_w_1_120_s_0_420 = 5.14e-12 ++ mcm2p1_ca_w_1_120_s_0_560 = 2.47e-05 mcm2p1_cc_w_1_120_s_0_560 = 6.85e-11 mcm2p1_cf_w_1_120_s_0_560 = 6.72e-12 ++ mcm2p1_ca_w_1_120_s_0_840 = 2.47e-05 mcm2p1_cc_w_1_120_s_0_840 = 5.16e-11 mcm2p1_cf_w_1_120_s_0_840 = 9.73e-12 ++ mcm2p1_ca_w_1_120_s_1_540 = 2.47e-05 mcm2p1_cc_w_1_120_s_1_540 = 3.27e-11 mcm2p1_cf_w_1_120_s_1_540 = 1.62e-11 ++ mcm2p1_ca_w_1_120_s_3_500 = 2.47e-05 mcm2p1_cc_w_1_120_s_3_500 = 1.48e-11 mcm2p1_cf_w_1_120_s_3_500 = 2.79e-11 ++ mcm2l1_ca_w_0_140_s_0_140 = 3.70e-05 mcm2l1_cc_w_0_140_s_0_140 = 1.03e-10 mcm2l1_cf_w_0_140_s_0_140 = 2.54e-12 ++ mcm2l1_ca_w_0_140_s_0_175 = 3.70e-05 mcm2l1_cc_w_0_140_s_0_175 = 1.02e-10 mcm2l1_cf_w_0_140_s_0_175 = 3.18e-12 ++ mcm2l1_ca_w_0_140_s_0_210 = 3.70e-05 mcm2l1_cc_w_0_140_s_0_210 = 9.62e-11 mcm2l1_cf_w_0_140_s_0_210 = 3.83e-12 ++ mcm2l1_ca_w_0_140_s_0_280 = 3.70e-05 mcm2l1_cc_w_0_140_s_0_280 = 8.51e-11 mcm2l1_cf_w_0_140_s_0_280 = 5.07e-12 ++ mcm2l1_ca_w_0_140_s_0_350 = 3.70e-05 mcm2l1_cc_w_0_140_s_0_350 = 7.38e-11 mcm2l1_cf_w_0_140_s_0_350 = 6.28e-12 ++ mcm2l1_ca_w_0_140_s_0_420 = 3.70e-05 mcm2l1_cc_w_0_140_s_0_420 = 6.44e-11 mcm2l1_cf_w_0_140_s_0_420 = 7.51e-12 ++ mcm2l1_ca_w_0_140_s_0_560 = 3.70e-05 mcm2l1_cc_w_0_140_s_0_560 = 5.15e-11 mcm2l1_cf_w_0_140_s_0_560 = 9.75e-12 ++ mcm2l1_ca_w_0_140_s_0_840 = 3.70e-05 mcm2l1_cc_w_0_140_s_0_840 = 3.71e-11 mcm2l1_cf_w_0_140_s_0_840 = 1.38e-11 ++ mcm2l1_ca_w_0_140_s_1_540 = 3.70e-05 mcm2l1_cc_w_0_140_s_1_540 = 2.11e-11 mcm2l1_cf_w_0_140_s_1_540 = 2.20e-11 ++ mcm2l1_ca_w_0_140_s_3_500 = 3.70e-05 mcm2l1_cc_w_0_140_s_3_500 = 7.54e-12 mcm2l1_cf_w_0_140_s_3_500 = 3.29e-11 ++ mcm2l1_ca_w_1_120_s_0_140 = 3.70e-05 mcm2l1_cc_w_1_120_s_0_140 = 1.27e-10 mcm2l1_cf_w_1_120_s_0_140 = 2.57e-12 ++ mcm2l1_ca_w_1_120_s_0_175 = 3.70e-05 mcm2l1_cc_w_1_120_s_0_175 = 1.22e-10 mcm2l1_cf_w_1_120_s_0_175 = 3.21e-12 ++ mcm2l1_ca_w_1_120_s_0_210 = 3.70e-05 mcm2l1_cc_w_1_120_s_0_210 = 1.16e-10 mcm2l1_cf_w_1_120_s_0_210 = 3.85e-12 ++ mcm2l1_ca_w_1_120_s_0_280 = 3.70e-05 mcm2l1_cc_w_1_120_s_0_280 = 1.02e-10 mcm2l1_cf_w_1_120_s_0_280 = 5.10e-12 ++ mcm2l1_ca_w_1_120_s_0_350 = 3.70e-05 mcm2l1_cc_w_1_120_s_0_350 = 8.96e-11 mcm2l1_cf_w_1_120_s_0_350 = 6.32e-12 ++ mcm2l1_ca_w_1_120_s_0_420 = 3.70e-05 mcm2l1_cc_w_1_120_s_0_420 = 7.92e-11 mcm2l1_cf_w_1_120_s_0_420 = 7.52e-12 ++ mcm2l1_ca_w_1_120_s_0_560 = 3.70e-05 mcm2l1_cc_w_1_120_s_0_560 = 6.41e-11 mcm2l1_cf_w_1_120_s_0_560 = 9.79e-12 ++ mcm2l1_ca_w_1_120_s_0_840 = 3.70e-05 mcm2l1_cc_w_1_120_s_0_840 = 4.73e-11 mcm2l1_cf_w_1_120_s_0_840 = 1.40e-11 ++ mcm2l1_ca_w_1_120_s_1_540 = 3.70e-05 mcm2l1_cc_w_1_120_s_1_540 = 2.86e-11 mcm2l1_cf_w_1_120_s_1_540 = 2.25e-11 ++ mcm2l1_ca_w_1_120_s_3_500 = 3.70e-05 mcm2l1_cc_w_1_120_s_3_500 = 1.18e-11 mcm2l1_cf_w_1_120_s_3_500 = 3.52e-11 ++ mcm2m1_ca_w_0_140_s_0_140 = 1.28e-04 mcm2m1_cc_w_0_140_s_0_140 = 9.46e-11 mcm2m1_cf_w_0_140_s_0_140 = 8.24e-12 ++ mcm2m1_ca_w_0_140_s_0_175 = 1.28e-04 mcm2m1_cc_w_0_140_s_0_175 = 9.27e-11 mcm2m1_cf_w_0_140_s_0_175 = 1.04e-11 ++ mcm2m1_ca_w_0_140_s_0_210 = 1.28e-04 mcm2m1_cc_w_0_140_s_0_210 = 8.69e-11 mcm2m1_cf_w_0_140_s_0_210 = 1.25e-11 ++ mcm2m1_ca_w_0_140_s_0_280 = 1.28e-04 mcm2m1_cc_w_0_140_s_0_280 = 7.48e-11 mcm2m1_cf_w_0_140_s_0_280 = 1.65e-11 ++ mcm2m1_ca_w_0_140_s_0_350 = 1.28e-04 mcm2m1_cc_w_0_140_s_0_350 = 6.32e-11 mcm2m1_cf_w_0_140_s_0_350 = 2.01e-11 ++ mcm2m1_ca_w_0_140_s_0_420 = 1.28e-04 mcm2m1_cc_w_0_140_s_0_420 = 5.31e-11 mcm2m1_cf_w_0_140_s_0_420 = 2.34e-11 ++ mcm2m1_ca_w_0_140_s_0_560 = 1.28e-04 mcm2m1_cc_w_0_140_s_0_560 = 4.01e-11 mcm2m1_cf_w_0_140_s_0_560 = 2.91e-11 ++ mcm2m1_ca_w_0_140_s_0_840 = 1.28e-04 mcm2m1_cc_w_0_140_s_0_840 = 2.60e-11 mcm2m1_cf_w_0_140_s_0_840 = 3.77e-11 ++ mcm2m1_ca_w_0_140_s_1_540 = 1.28e-04 mcm2m1_cc_w_0_140_s_1_540 = 1.19e-11 mcm2m1_cf_w_0_140_s_1_540 = 4.94e-11 ++ mcm2m1_ca_w_0_140_s_3_500 = 1.28e-04 mcm2m1_cc_w_0_140_s_3_500 = 3.35e-12 mcm2m1_cf_w_0_140_s_3_500 = 5.79e-11 ++ mcm2m1_ca_w_1_120_s_0_140 = 1.28e-04 mcm2m1_cc_w_1_120_s_0_140 = 1.13e-10 mcm2m1_cf_w_1_120_s_0_140 = 8.25e-12 ++ mcm2m1_ca_w_1_120_s_0_175 = 1.28e-04 mcm2m1_cc_w_1_120_s_0_175 = 1.09e-10 mcm2m1_cf_w_1_120_s_0_175 = 1.05e-11 ++ mcm2m1_ca_w_1_120_s_0_210 = 1.28e-04 mcm2m1_cc_w_1_120_s_0_210 = 1.02e-10 mcm2m1_cf_w_1_120_s_0_210 = 1.25e-11 ++ mcm2m1_ca_w_1_120_s_0_280 = 1.28e-04 mcm2m1_cc_w_1_120_s_0_280 = 8.91e-11 mcm2m1_cf_w_1_120_s_0_280 = 1.65e-11 ++ mcm2m1_ca_w_1_120_s_0_350 = 1.28e-04 mcm2m1_cc_w_1_120_s_0_350 = 7.62e-11 mcm2m1_cf_w_1_120_s_0_350 = 2.01e-11 ++ mcm2m1_ca_w_1_120_s_0_420 = 1.28e-04 mcm2m1_cc_w_1_120_s_0_420 = 6.60e-11 mcm2m1_cf_w_1_120_s_0_420 = 2.34e-11 ++ mcm2m1_ca_w_1_120_s_0_560 = 1.28e-04 mcm2m1_cc_w_1_120_s_0_560 = 5.15e-11 mcm2m1_cf_w_1_120_s_0_560 = 2.91e-11 ++ mcm2m1_ca_w_1_120_s_0_840 = 1.28e-04 mcm2m1_cc_w_1_120_s_0_840 = 3.55e-11 mcm2m1_cf_w_1_120_s_0_840 = 3.78e-11 ++ mcm2m1_ca_w_1_120_s_1_540 = 1.28e-04 mcm2m1_cc_w_1_120_s_1_540 = 1.90e-11 mcm2m1_cf_w_1_120_s_1_540 = 5.05e-11 ++ mcm2m1_ca_w_1_120_s_3_500 = 1.28e-04 mcm2m1_cc_w_1_120_s_3_500 = 6.75e-12 mcm2m1_cf_w_1_120_s_3_500 = 6.23e-11 ++ mcm3f_ca_w_0_300_s_0_300 = 1.26e-05 mcm3f_cc_w_0_300_s_0_300 = 1.06e-10 mcm3f_cf_w_0_300_s_0_300 = 1.86e-12 ++ mcm3f_ca_w_0_300_s_0_360 = 1.26e-05 mcm3f_cc_w_0_300_s_0_360 = 9.95e-11 mcm3f_cf_w_0_300_s_0_360 = 2.22e-12 ++ mcm3f_ca_w_0_300_s_0_450 = 1.26e-05 mcm3f_cc_w_0_300_s_0_450 = 8.98e-11 mcm3f_cf_w_0_300_s_0_450 = 2.79e-12 ++ mcm3f_ca_w_0_300_s_0_600 = 1.26e-05 mcm3f_cc_w_0_300_s_0_600 = 7.73e-11 mcm3f_cf_w_0_300_s_0_600 = 3.70e-12 ++ mcm3f_ca_w_0_300_s_0_800 = 1.26e-05 mcm3f_cc_w_0_300_s_0_800 = 6.53e-11 mcm3f_cf_w_0_300_s_0_800 = 4.80e-12 ++ mcm3f_ca_w_0_300_s_1_000 = 1.26e-05 mcm3f_cc_w_0_300_s_1_000 = 5.62e-11 mcm3f_cf_w_0_300_s_1_000 = 5.93e-12 ++ mcm3f_ca_w_0_300_s_1_200 = 1.26e-05 mcm3f_cc_w_0_300_s_1_200 = 4.95e-11 mcm3f_cf_w_0_300_s_1_200 = 7.00e-12 ++ mcm3f_ca_w_0_300_s_2_100 = 1.26e-05 mcm3f_cc_w_0_300_s_2_100 = 3.26e-11 mcm3f_cf_w_0_300_s_2_100 = 1.18e-11 ++ mcm3f_ca_w_0_300_s_3_300 = 1.26e-05 mcm3f_cc_w_0_300_s_3_300 = 2.26e-11 mcm3f_cf_w_0_300_s_3_300 = 1.64e-11 ++ mcm3f_ca_w_0_300_s_9_000 = 1.26e-05 mcm3f_cc_w_0_300_s_9_000 = 6.81e-12 mcm3f_cf_w_0_300_s_9_000 = 2.82e-11 ++ mcm3f_ca_w_2_400_s_0_300 = 1.26e-05 mcm3f_cc_w_2_400_s_0_300 = 1.32e-10 mcm3f_cf_w_2_400_s_0_300 = 1.89e-12 ++ mcm3f_ca_w_2_400_s_0_360 = 1.26e-05 mcm3f_cc_w_2_400_s_0_360 = 1.23e-10 mcm3f_cf_w_2_400_s_0_360 = 2.26e-12 ++ mcm3f_ca_w_2_400_s_0_450 = 1.26e-05 mcm3f_cc_w_2_400_s_0_450 = 1.12e-10 mcm3f_cf_w_2_400_s_0_450 = 2.80e-12 ++ mcm3f_ca_w_2_400_s_0_600 = 1.26e-05 mcm3f_cc_w_2_400_s_0_600 = 9.72e-11 mcm3f_cf_w_2_400_s_0_600 = 3.69e-12 ++ mcm3f_ca_w_2_400_s_0_800 = 1.26e-05 mcm3f_cc_w_2_400_s_0_800 = 8.27e-11 mcm3f_cf_w_2_400_s_0_800 = 4.86e-12 ++ mcm3f_ca_w_2_400_s_1_000 = 1.26e-05 mcm3f_cc_w_2_400_s_1_000 = 7.19e-11 mcm3f_cf_w_2_400_s_1_000 = 6.00e-12 ++ mcm3f_ca_w_2_400_s_1_200 = 1.26e-05 mcm3f_cc_w_2_400_s_1_200 = 6.38e-11 mcm3f_cf_w_2_400_s_1_200 = 7.11e-12 ++ mcm3f_ca_w_2_400_s_2_100 = 1.26e-05 mcm3f_cc_w_2_400_s_2_100 = 4.35e-11 mcm3f_cf_w_2_400_s_2_100 = 1.17e-11 ++ mcm3f_ca_w_2_400_s_3_300 = 1.26e-05 mcm3f_cc_w_2_400_s_3_300 = 3.06e-11 mcm3f_cf_w_2_400_s_3_300 = 1.69e-11 ++ mcm3f_ca_w_2_400_s_9_000 = 1.26e-05 mcm3f_cc_w_2_400_s_9_000 = 1.05e-11 mcm3f_cf_w_2_400_s_9_000 = 3.09e-11 ++ mcm3d_ca_w_0_300_s_0_300 = 1.42e-05 mcm3d_cc_w_0_300_s_0_300 = 1.06e-10 mcm3d_cf_w_0_300_s_0_300 = 2.09e-12 ++ mcm3d_ca_w_0_300_s_0_360 = 1.42e-05 mcm3d_cc_w_0_300_s_0_360 = 9.90e-11 mcm3d_cf_w_0_300_s_0_360 = 2.50e-12 ++ mcm3d_ca_w_0_300_s_0_450 = 1.42e-05 mcm3d_cc_w_0_300_s_0_450 = 8.93e-11 mcm3d_cf_w_0_300_s_0_450 = 3.13e-12 ++ mcm3d_ca_w_0_300_s_0_600 = 1.42e-05 mcm3d_cc_w_0_300_s_0_600 = 7.68e-11 mcm3d_cf_w_0_300_s_0_600 = 4.15e-12 ++ mcm3d_ca_w_0_300_s_0_800 = 1.42e-05 mcm3d_cc_w_0_300_s_0_800 = 6.46e-11 mcm3d_cf_w_0_300_s_0_800 = 5.39e-12 ++ mcm3d_ca_w_0_300_s_1_000 = 1.42e-05 mcm3d_cc_w_0_300_s_1_000 = 5.55e-11 mcm3d_cf_w_0_300_s_1_000 = 6.64e-12 ++ mcm3d_ca_w_0_300_s_1_200 = 1.42e-05 mcm3d_cc_w_0_300_s_1_200 = 4.86e-11 mcm3d_cf_w_0_300_s_1_200 = 7.84e-12 ++ mcm3d_ca_w_0_300_s_2_100 = 1.42e-05 mcm3d_cc_w_0_300_s_2_100 = 3.16e-11 mcm3d_cf_w_0_300_s_2_100 = 1.31e-11 ++ mcm3d_ca_w_0_300_s_3_300 = 1.42e-05 mcm3d_cc_w_0_300_s_3_300 = 2.16e-11 mcm3d_cf_w_0_300_s_3_300 = 1.80e-11 ++ mcm3d_ca_w_0_300_s_9_000 = 1.42e-05 mcm3d_cc_w_0_300_s_9_000 = 6.17e-12 mcm3d_cf_w_0_300_s_9_000 = 2.99e-11 ++ mcm3d_ca_w_2_400_s_0_300 = 1.42e-05 mcm3d_cc_w_2_400_s_0_300 = 1.30e-10 mcm3d_cf_w_2_400_s_0_300 = 2.14e-12 ++ mcm3d_ca_w_2_400_s_0_360 = 1.42e-05 mcm3d_cc_w_2_400_s_0_360 = 1.22e-10 mcm3d_cf_w_2_400_s_0_360 = 2.55e-12 ++ mcm3d_ca_w_2_400_s_0_450 = 1.42e-05 mcm3d_cc_w_2_400_s_0_450 = 1.11e-10 mcm3d_cf_w_2_400_s_0_450 = 3.16e-12 ++ mcm3d_ca_w_2_400_s_0_600 = 1.42e-05 mcm3d_cc_w_2_400_s_0_600 = 9.60e-11 mcm3d_cf_w_2_400_s_0_600 = 4.15e-12 ++ mcm3d_ca_w_2_400_s_0_800 = 1.42e-05 mcm3d_cc_w_2_400_s_0_800 = 8.14e-11 mcm3d_cf_w_2_400_s_0_800 = 5.45e-12 ++ mcm3d_ca_w_2_400_s_1_000 = 1.42e-05 mcm3d_cc_w_2_400_s_1_000 = 7.06e-11 mcm3d_cf_w_2_400_s_1_000 = 6.72e-12 ++ mcm3d_ca_w_2_400_s_1_200 = 1.42e-05 mcm3d_cc_w_2_400_s_1_200 = 6.25e-11 mcm3d_cf_w_2_400_s_1_200 = 7.94e-12 ++ mcm3d_ca_w_2_400_s_2_100 = 1.42e-05 mcm3d_cc_w_2_400_s_2_100 = 4.22e-11 mcm3d_cf_w_2_400_s_2_100 = 1.30e-11 ++ mcm3d_ca_w_2_400_s_3_300 = 1.42e-05 mcm3d_cc_w_2_400_s_3_300 = 2.95e-11 mcm3d_cf_w_2_400_s_3_300 = 1.86e-11 ++ mcm3d_ca_w_2_400_s_9_000 = 1.42e-05 mcm3d_cc_w_2_400_s_9_000 = 9.73e-12 mcm3d_cf_w_2_400_s_9_000 = 3.28e-11 ++ mcm3p1_ca_w_0_300_s_0_300 = 1.58e-05 mcm3p1_cc_w_0_300_s_0_300 = 1.06e-10 mcm3p1_cf_w_0_300_s_0_300 = 2.34e-12 ++ mcm3p1_ca_w_0_300_s_0_360 = 1.58e-05 mcm3p1_cc_w_0_300_s_0_360 = 9.84e-11 mcm3p1_cf_w_0_300_s_0_360 = 2.80e-12 ++ mcm3p1_ca_w_0_300_s_0_450 = 1.58e-05 mcm3p1_cc_w_0_300_s_0_450 = 8.89e-11 mcm3p1_cf_w_0_300_s_0_450 = 3.50e-12 ++ mcm3p1_ca_w_0_300_s_0_600 = 1.58e-05 mcm3p1_cc_w_0_300_s_0_600 = 7.62e-11 mcm3p1_cf_w_0_300_s_0_600 = 4.63e-12 ++ mcm3p1_ca_w_0_300_s_0_800 = 1.58e-05 mcm3p1_cc_w_0_300_s_0_800 = 6.40e-11 mcm3p1_cf_w_0_300_s_0_800 = 6.00e-12 ++ mcm3p1_ca_w_0_300_s_1_000 = 1.58e-05 mcm3p1_cc_w_0_300_s_1_000 = 5.48e-11 mcm3p1_cf_w_0_300_s_1_000 = 7.39e-12 ++ mcm3p1_ca_w_0_300_s_1_200 = 1.58e-05 mcm3p1_cc_w_0_300_s_1_200 = 4.79e-11 mcm3p1_cf_w_0_300_s_1_200 = 8.70e-12 ++ mcm3p1_ca_w_0_300_s_2_100 = 1.58e-05 mcm3p1_cc_w_0_300_s_2_100 = 3.07e-11 mcm3p1_cf_w_0_300_s_2_100 = 1.44e-11 ++ mcm3p1_ca_w_0_300_s_3_300 = 1.58e-05 mcm3p1_cc_w_0_300_s_3_300 = 2.06e-11 mcm3p1_cf_w_0_300_s_3_300 = 1.96e-11 ++ mcm3p1_ca_w_0_300_s_9_000 = 1.58e-05 mcm3p1_cc_w_0_300_s_9_000 = 5.69e-12 mcm3p1_cf_w_0_300_s_9_000 = 3.15e-11 ++ mcm3p1_ca_w_2_400_s_0_300 = 1.58e-05 mcm3p1_cc_w_2_400_s_0_300 = 1.29e-10 mcm3p1_cf_w_2_400_s_0_300 = 2.42e-12 ++ mcm3p1_ca_w_2_400_s_0_360 = 1.58e-05 mcm3p1_cc_w_2_400_s_0_360 = 1.21e-10 mcm3p1_cf_w_2_400_s_0_360 = 2.87e-12 ++ mcm3p1_ca_w_2_400_s_0_450 = 1.58e-05 mcm3p1_cc_w_2_400_s_0_450 = 1.09e-10 mcm3p1_cf_w_2_400_s_0_450 = 3.55e-12 ++ mcm3p1_ca_w_2_400_s_0_600 = 1.58e-05 mcm3p1_cc_w_2_400_s_0_600 = 9.49e-11 mcm3p1_cf_w_2_400_s_0_600 = 4.66e-12 ++ mcm3p1_ca_w_2_400_s_0_800 = 1.58e-05 mcm3p1_cc_w_2_400_s_0_800 = 8.02e-11 mcm3p1_cf_w_2_400_s_0_800 = 6.10e-12 ++ mcm3p1_ca_w_2_400_s_1_000 = 1.58e-05 mcm3p1_cc_w_2_400_s_1_000 = 6.94e-11 mcm3p1_cf_w_2_400_s_1_000 = 7.49e-12 ++ mcm3p1_ca_w_2_400_s_1_200 = 1.58e-05 mcm3p1_cc_w_2_400_s_1_200 = 6.13e-11 mcm3p1_cf_w_2_400_s_1_200 = 8.85e-12 ++ mcm3p1_ca_w_2_400_s_2_100 = 1.58e-05 mcm3p1_cc_w_2_400_s_2_100 = 4.10e-11 mcm3p1_cf_w_2_400_s_2_100 = 1.43e-11 ++ mcm3p1_ca_w_2_400_s_3_300 = 1.58e-05 mcm3p1_cc_w_2_400_s_3_300 = 2.83e-11 mcm3p1_cf_w_2_400_s_3_300 = 2.03e-11 ++ mcm3p1_ca_w_2_400_s_9_000 = 1.58e-05 mcm3p1_cc_w_2_400_s_9_000 = 9.05e-12 mcm3p1_cf_w_2_400_s_9_000 = 3.46e-11 ++ mcm3l1_ca_w_0_300_s_0_300 = 2.02e-05 mcm3l1_cc_w_0_300_s_0_300 = 1.05e-10 mcm3l1_cf_w_0_300_s_0_300 = 2.95e-12 ++ mcm3l1_ca_w_0_300_s_0_360 = 2.02e-05 mcm3l1_cc_w_0_300_s_0_360 = 9.73e-11 mcm3l1_cf_w_0_300_s_0_360 = 3.52e-12 ++ mcm3l1_ca_w_0_300_s_0_450 = 2.02e-05 mcm3l1_cc_w_0_300_s_0_450 = 8.75e-11 mcm3l1_cf_w_0_300_s_0_450 = 4.38e-12 ++ mcm3l1_ca_w_0_300_s_0_600 = 2.02e-05 mcm3l1_cc_w_0_300_s_0_600 = 7.49e-11 mcm3l1_cf_w_0_300_s_0_600 = 5.78e-12 ++ mcm3l1_ca_w_0_300_s_0_800 = 2.02e-05 mcm3l1_cc_w_0_300_s_0_800 = 6.24e-11 mcm3l1_cf_w_0_300_s_0_800 = 7.48e-12 ++ mcm3l1_ca_w_0_300_s_1_000 = 2.02e-05 mcm3l1_cc_w_0_300_s_1_000 = 5.32e-11 mcm3l1_cf_w_0_300_s_1_000 = 9.16e-12 ++ mcm3l1_ca_w_0_300_s_1_200 = 2.02e-05 mcm3l1_cc_w_0_300_s_1_200 = 4.62e-11 mcm3l1_cf_w_0_300_s_1_200 = 1.08e-11 ++ mcm3l1_ca_w_0_300_s_2_100 = 2.02e-05 mcm3l1_cc_w_0_300_s_2_100 = 2.86e-11 mcm3l1_cf_w_0_300_s_2_100 = 1.74e-11 ++ mcm3l1_ca_w_0_300_s_3_300 = 2.02e-05 mcm3l1_cc_w_0_300_s_3_300 = 1.86e-11 mcm3l1_cf_w_0_300_s_3_300 = 2.32e-11 ++ mcm3l1_ca_w_0_300_s_9_000 = 2.02e-05 mcm3l1_cc_w_0_300_s_9_000 = 4.69e-12 mcm3l1_cf_w_0_300_s_9_000 = 3.48e-11 ++ mcm3l1_ca_w_2_400_s_0_300 = 2.02e-05 mcm3l1_cc_w_2_400_s_0_300 = 1.27e-10 mcm3l1_cf_w_2_400_s_0_300 = 2.99e-12 ++ mcm3l1_ca_w_2_400_s_0_360 = 2.02e-05 mcm3l1_cc_w_2_400_s_0_360 = 1.18e-10 mcm3l1_cf_w_2_400_s_0_360 = 3.56e-12 ++ mcm3l1_ca_w_2_400_s_0_450 = 2.02e-05 mcm3l1_cc_w_2_400_s_0_450 = 1.07e-10 mcm3l1_cf_w_2_400_s_0_450 = 4.41e-12 ++ mcm3l1_ca_w_2_400_s_0_600 = 2.02e-05 mcm3l1_cc_w_2_400_s_0_600 = 9.24e-11 mcm3l1_cf_w_2_400_s_0_600 = 5.78e-12 ++ mcm3l1_ca_w_2_400_s_0_800 = 2.02e-05 mcm3l1_cc_w_2_400_s_0_800 = 7.77e-11 mcm3l1_cf_w_2_400_s_0_800 = 7.55e-12 ++ mcm3l1_ca_w_2_400_s_1_000 = 2.02e-05 mcm3l1_cc_w_2_400_s_1_000 = 6.68e-11 mcm3l1_cf_w_2_400_s_1_000 = 9.25e-12 ++ mcm3l1_ca_w_2_400_s_1_200 = 2.02e-05 mcm3l1_cc_w_2_400_s_1_200 = 5.87e-11 mcm3l1_cf_w_2_400_s_1_200 = 1.09e-11 ++ mcm3l1_ca_w_2_400_s_2_100 = 2.02e-05 mcm3l1_cc_w_2_400_s_2_100 = 3.86e-11 mcm3l1_cf_w_2_400_s_2_100 = 1.73e-11 ++ mcm3l1_ca_w_2_400_s_3_300 = 2.02e-05 mcm3l1_cc_w_2_400_s_3_300 = 2.60e-11 mcm3l1_cf_w_2_400_s_3_300 = 2.40e-11 ++ mcm3l1_ca_w_2_400_s_9_000 = 2.02e-05 mcm3l1_cc_w_2_400_s_9_000 = 7.85e-12 mcm3l1_cf_w_2_400_s_9_000 = 3.84e-11 ++ mcm3m1_ca_w_0_300_s_0_300 = 3.29e-05 mcm3m1_cc_w_0_300_s_0_300 = 1.02e-10 mcm3m1_cf_w_0_300_s_0_300 = 4.72e-12 ++ mcm3m1_ca_w_0_300_s_0_360 = 3.29e-05 mcm3m1_cc_w_0_300_s_0_360 = 9.42e-11 mcm3m1_cf_w_0_300_s_0_360 = 5.62e-12 ++ mcm3m1_ca_w_0_300_s_0_450 = 3.29e-05 mcm3m1_cc_w_0_300_s_0_450 = 8.43e-11 mcm3m1_cf_w_0_300_s_0_450 = 6.94e-12 ++ mcm3m1_ca_w_0_300_s_0_600 = 3.29e-05 mcm3m1_cc_w_0_300_s_0_600 = 7.13e-11 mcm3m1_cf_w_0_300_s_0_600 = 9.07e-12 ++ mcm3m1_ca_w_0_300_s_0_800 = 3.29e-05 mcm3m1_cc_w_0_300_s_0_800 = 5.87e-11 mcm3m1_cf_w_0_300_s_0_800 = 1.16e-11 ++ mcm3m1_ca_w_0_300_s_1_000 = 3.29e-05 mcm3m1_cc_w_0_300_s_1_000 = 4.91e-11 mcm3m1_cf_w_0_300_s_1_000 = 1.41e-11 ++ mcm3m1_ca_w_0_300_s_1_200 = 3.29e-05 mcm3m1_cc_w_0_300_s_1_200 = 4.20e-11 mcm3m1_cf_w_0_300_s_1_200 = 1.64e-11 ++ mcm3m1_ca_w_0_300_s_2_100 = 3.29e-05 mcm3m1_cc_w_0_300_s_2_100 = 2.43e-11 mcm3m1_cf_w_0_300_s_2_100 = 2.51e-11 ++ mcm3m1_ca_w_0_300_s_3_300 = 3.29e-05 mcm3m1_cc_w_0_300_s_3_300 = 1.48e-11 mcm3m1_cf_w_0_300_s_3_300 = 3.17e-11 ++ mcm3m1_ca_w_0_300_s_9_000 = 3.29e-05 mcm3m1_cc_w_0_300_s_9_000 = 3.27e-12 mcm3m1_cf_w_0_300_s_9_000 = 4.21e-11 ++ mcm3m1_ca_w_2_400_s_0_300 = 3.29e-05 mcm3m1_cc_w_2_400_s_0_300 = 1.21e-10 mcm3m1_cf_w_2_400_s_0_300 = 4.73e-12 ++ mcm3m1_ca_w_2_400_s_0_360 = 3.29e-05 mcm3m1_cc_w_2_400_s_0_360 = 1.13e-10 mcm3m1_cf_w_2_400_s_0_360 = 5.64e-12 ++ mcm3m1_ca_w_2_400_s_0_450 = 3.29e-05 mcm3m1_cc_w_2_400_s_0_450 = 1.02e-10 mcm3m1_cf_w_2_400_s_0_450 = 6.95e-12 ++ mcm3m1_ca_w_2_400_s_0_600 = 3.29e-05 mcm3m1_cc_w_2_400_s_0_600 = 8.70e-11 mcm3m1_cf_w_2_400_s_0_600 = 9.05e-12 ++ mcm3m1_ca_w_2_400_s_0_800 = 3.29e-05 mcm3m1_cc_w_2_400_s_0_800 = 7.25e-11 mcm3m1_cf_w_2_400_s_0_800 = 1.17e-11 ++ mcm3m1_ca_w_2_400_s_1_000 = 3.29e-05 mcm3m1_cc_w_2_400_s_1_000 = 6.18e-11 mcm3m1_cf_w_2_400_s_1_000 = 1.42e-11 ++ mcm3m1_ca_w_2_400_s_1_200 = 3.29e-05 mcm3m1_cc_w_2_400_s_1_200 = 5.38e-11 mcm3m1_cf_w_2_400_s_1_200 = 1.65e-11 ++ mcm3m1_ca_w_2_400_s_2_100 = 3.29e-05 mcm3m1_cc_w_2_400_s_2_100 = 3.39e-11 mcm3m1_cf_w_2_400_s_2_100 = 2.49e-11 ++ mcm3m1_ca_w_2_400_s_3_300 = 3.29e-05 mcm3m1_cc_w_2_400_s_3_300 = 2.20e-11 mcm3m1_cf_w_2_400_s_3_300 = 3.27e-11 ++ mcm3m1_ca_w_2_400_s_9_000 = 3.29e-05 mcm3m1_cc_w_2_400_s_9_000 = 6.00e-12 mcm3m1_cf_w_2_400_s_9_000 = 4.65e-11 ++ mcm3m2_ca_w_0_300_s_0_300 = 8.22e-05 mcm3m2_cc_w_0_300_s_0_300 = 9.39e-11 mcm3m2_cf_w_0_300_s_0_300 = 1.11e-11 ++ mcm3m2_ca_w_0_300_s_0_360 = 8.22e-05 mcm3m2_cc_w_0_300_s_0_360 = 8.63e-11 mcm3m2_cf_w_0_300_s_0_360 = 1.30e-11 ++ mcm3m2_ca_w_0_300_s_0_450 = 8.22e-05 mcm3m2_cc_w_0_300_s_0_450 = 7.63e-11 mcm3m2_cf_w_0_300_s_0_450 = 1.57e-11 ++ mcm3m2_ca_w_0_300_s_0_600 = 8.22e-05 mcm3m2_cc_w_0_300_s_0_600 = 6.30e-11 mcm3m2_cf_w_0_300_s_0_600 = 1.99e-11 ++ mcm3m2_ca_w_0_300_s_0_800 = 8.22e-05 mcm3m2_cc_w_0_300_s_0_800 = 5.03e-11 mcm3m2_cf_w_0_300_s_0_800 = 2.45e-11 ++ mcm3m2_ca_w_0_300_s_1_000 = 8.22e-05 mcm3m2_cc_w_0_300_s_1_000 = 4.10e-11 mcm3m2_cf_w_0_300_s_1_000 = 2.85e-11 ++ mcm3m2_ca_w_0_300_s_1_200 = 8.22e-05 mcm3m2_cc_w_0_300_s_1_200 = 3.42e-11 mcm3m2_cf_w_0_300_s_1_200 = 3.19e-11 ++ mcm3m2_ca_w_0_300_s_2_100 = 8.22e-05 mcm3m2_cc_w_0_300_s_2_100 = 1.76e-11 mcm3m2_cf_w_0_300_s_2_100 = 4.31e-11 ++ mcm3m2_ca_w_0_300_s_3_300 = 8.22e-05 mcm3m2_cc_w_0_300_s_3_300 = 9.90e-12 mcm3m2_cf_w_0_300_s_3_300 = 4.95e-11 ++ mcm3m2_ca_w_0_300_s_9_000 = 8.22e-05 mcm3m2_cc_w_0_300_s_9_000 = 1.95e-12 mcm3m2_cf_w_0_300_s_9_000 = 5.72e-11 ++ mcm3m2_ca_w_2_400_s_0_300 = 8.22e-05 mcm3m2_cc_w_2_400_s_0_300 = 1.11e-10 mcm3m2_cf_w_2_400_s_0_300 = 1.11e-11 ++ mcm3m2_ca_w_2_400_s_0_360 = 8.22e-05 mcm3m2_cc_w_2_400_s_0_360 = 1.03e-10 mcm3m2_cf_w_2_400_s_0_360 = 1.30e-11 ++ mcm3m2_ca_w_2_400_s_0_450 = 8.22e-05 mcm3m2_cc_w_2_400_s_0_450 = 9.20e-11 mcm3m2_cf_w_2_400_s_0_450 = 1.57e-11 ++ mcm3m2_ca_w_2_400_s_0_600 = 8.22e-05 mcm3m2_cc_w_2_400_s_0_600 = 7.76e-11 mcm3m2_cf_w_2_400_s_0_600 = 1.98e-11 ++ mcm3m2_ca_w_2_400_s_0_800 = 8.22e-05 mcm3m2_cc_w_2_400_s_0_800 = 6.33e-11 mcm3m2_cf_w_2_400_s_0_800 = 2.45e-11 ++ mcm3m2_ca_w_2_400_s_1_000 = 8.22e-05 mcm3m2_cc_w_2_400_s_1_000 = 5.31e-11 mcm3m2_cf_w_2_400_s_1_000 = 2.86e-11 ++ mcm3m2_ca_w_2_400_s_1_200 = 8.22e-05 mcm3m2_cc_w_2_400_s_1_200 = 4.56e-11 mcm3m2_cf_w_2_400_s_1_200 = 3.20e-11 ++ mcm3m2_ca_w_2_400_s_2_100 = 8.22e-05 mcm3m2_cc_w_2_400_s_2_100 = 2.72e-11 mcm3m2_cf_w_2_400_s_2_100 = 4.29e-11 ++ mcm3m2_ca_w_2_400_s_3_300 = 8.22e-05 mcm3m2_cc_w_2_400_s_3_300 = 1.68e-11 mcm3m2_cf_w_2_400_s_3_300 = 5.12e-11 ++ mcm3m2_ca_w_2_400_s_9_000 = 8.22e-05 mcm3m2_cc_w_2_400_s_9_000 = 4.25e-12 mcm3m2_cf_w_2_400_s_9_000 = 6.29e-11 ++ mcm4f_ca_w_0_300_s_0_300 = 8.67e-06 mcm4f_cc_w_0_300_s_0_300 = 1.08e-10 mcm4f_cf_w_0_300_s_0_300 = 1.29e-12 ++ mcm4f_ca_w_0_300_s_0_360 = 8.67e-06 mcm4f_cc_w_0_300_s_0_360 = 1.01e-10 mcm4f_cf_w_0_300_s_0_360 = 1.54e-12 ++ mcm4f_ca_w_0_300_s_0_450 = 8.67e-06 mcm4f_cc_w_0_300_s_0_450 = 9.17e-11 mcm4f_cf_w_0_300_s_0_450 = 1.94e-12 ++ mcm4f_ca_w_0_300_s_0_600 = 8.67e-06 mcm4f_cc_w_0_300_s_0_600 = 7.96e-11 mcm4f_cf_w_0_300_s_0_600 = 2.59e-12 ++ mcm4f_ca_w_0_300_s_0_800 = 8.67e-06 mcm4f_cc_w_0_300_s_0_800 = 6.78e-11 mcm4f_cf_w_0_300_s_0_800 = 3.35e-12 ++ mcm4f_ca_w_0_300_s_1_000 = 8.67e-06 mcm4f_cc_w_0_300_s_1_000 = 5.90e-11 mcm4f_cf_w_0_300_s_1_000 = 4.16e-12 ++ mcm4f_ca_w_0_300_s_1_200 = 8.67e-06 mcm4f_cc_w_0_300_s_1_200 = 5.24e-11 mcm4f_cf_w_0_300_s_1_200 = 4.94e-12 ++ mcm4f_ca_w_0_300_s_2_100 = 8.67e-06 mcm4f_cc_w_0_300_s_2_100 = 3.60e-11 mcm4f_cf_w_0_300_s_2_100 = 8.49e-12 ++ mcm4f_ca_w_0_300_s_3_300 = 8.67e-06 mcm4f_cc_w_0_300_s_3_300 = 2.59e-11 mcm4f_cf_w_0_300_s_3_300 = 1.21e-11 ++ mcm4f_ca_w_0_300_s_9_000 = 8.67e-06 mcm4f_cc_w_0_300_s_9_000 = 8.98e-12 mcm4f_cf_w_0_300_s_9_000 = 2.31e-11 ++ mcm4f_ca_w_2_400_s_0_300 = 8.67e-06 mcm4f_cc_w_2_400_s_0_300 = 1.36e-10 mcm4f_cf_w_2_400_s_0_300 = 1.31e-12 ++ mcm4f_ca_w_2_400_s_0_360 = 8.67e-06 mcm4f_cc_w_2_400_s_0_360 = 1.28e-10 mcm4f_cf_w_2_400_s_0_360 = 1.56e-12 ++ mcm4f_ca_w_2_400_s_0_450 = 8.67e-06 mcm4f_cc_w_2_400_s_0_450 = 1.17e-10 mcm4f_cf_w_2_400_s_0_450 = 1.94e-12 ++ mcm4f_ca_w_2_400_s_0_600 = 8.67e-06 mcm4f_cc_w_2_400_s_0_600 = 1.02e-10 mcm4f_cf_w_2_400_s_0_600 = 2.57e-12 ++ mcm4f_ca_w_2_400_s_0_800 = 8.67e-06 mcm4f_cc_w_2_400_s_0_800 = 8.75e-11 mcm4f_cf_w_2_400_s_0_800 = 3.39e-12 ++ mcm4f_ca_w_2_400_s_1_000 = 8.67e-06 mcm4f_cc_w_2_400_s_1_000 = 7.66e-11 mcm4f_cf_w_2_400_s_1_000 = 4.20e-12 ++ mcm4f_ca_w_2_400_s_1_200 = 8.67e-06 mcm4f_cc_w_2_400_s_1_200 = 6.84e-11 mcm4f_cf_w_2_400_s_1_200 = 4.99e-12 ++ mcm4f_ca_w_2_400_s_2_100 = 8.67e-06 mcm4f_cc_w_2_400_s_2_100 = 4.77e-11 mcm4f_cf_w_2_400_s_2_100 = 8.38e-12 ++ mcm4f_ca_w_2_400_s_3_300 = 8.67e-06 mcm4f_cc_w_2_400_s_3_300 = 3.44e-11 mcm4f_cf_w_2_400_s_3_300 = 1.24e-11 ++ mcm4f_ca_w_2_400_s_9_000 = 8.67e-06 mcm4f_cc_w_2_400_s_9_000 = 1.29e-11 mcm4f_cf_w_2_400_s_9_000 = 2.51e-11 ++ mcm4d_ca_w_0_300_s_0_300 = 9.41e-06 mcm4d_cc_w_0_300_s_0_300 = 1.08e-10 mcm4d_cf_w_0_300_s_0_300 = 1.39e-12 ++ mcm4d_ca_w_0_300_s_0_360 = 9.41e-06 mcm4d_cc_w_0_300_s_0_360 = 1.01e-10 mcm4d_cf_w_0_300_s_0_360 = 1.67e-12 ++ mcm4d_ca_w_0_300_s_0_450 = 9.41e-06 mcm4d_cc_w_0_300_s_0_450 = 9.14e-11 mcm4d_cf_w_0_300_s_0_450 = 2.10e-12 ++ mcm4d_ca_w_0_300_s_0_600 = 9.41e-06 mcm4d_cc_w_0_300_s_0_600 = 7.93e-11 mcm4d_cf_w_0_300_s_0_600 = 2.80e-12 ++ mcm4d_ca_w_0_300_s_0_800 = 9.41e-06 mcm4d_cc_w_0_300_s_0_800 = 6.75e-11 mcm4d_cf_w_0_300_s_0_800 = 3.63e-12 ++ mcm4d_ca_w_0_300_s_1_000 = 9.41e-06 mcm4d_cc_w_0_300_s_1_000 = 5.87e-11 mcm4d_cf_w_0_300_s_1_000 = 4.49e-12 ++ mcm4d_ca_w_0_300_s_1_200 = 9.41e-06 mcm4d_cc_w_0_300_s_1_200 = 5.20e-11 mcm4d_cf_w_0_300_s_1_200 = 5.34e-12 ++ mcm4d_ca_w_0_300_s_2_100 = 9.41e-06 mcm4d_cc_w_0_300_s_2_100 = 3.54e-11 mcm4d_cf_w_0_300_s_2_100 = 9.13e-12 ++ mcm4d_ca_w_0_300_s_3_300 = 9.41e-06 mcm4d_cc_w_0_300_s_3_300 = 2.53e-11 mcm4d_cf_w_0_300_s_3_300 = 1.29e-11 ++ mcm4d_ca_w_0_300_s_9_000 = 9.41e-06 mcm4d_cc_w_0_300_s_9_000 = 8.44e-12 mcm4d_cf_w_0_300_s_9_000 = 2.42e-11 ++ mcm4d_ca_w_2_400_s_0_300 = 9.41e-06 mcm4d_cc_w_2_400_s_0_300 = 1.36e-10 mcm4d_cf_w_2_400_s_0_300 = 1.42e-12 ++ mcm4d_ca_w_2_400_s_0_360 = 9.41e-06 mcm4d_cc_w_2_400_s_0_360 = 1.27e-10 mcm4d_cf_w_2_400_s_0_360 = 1.69e-12 ++ mcm4d_ca_w_2_400_s_0_450 = 9.41e-06 mcm4d_cc_w_2_400_s_0_450 = 1.17e-10 mcm4d_cf_w_2_400_s_0_450 = 2.10e-12 ++ mcm4d_ca_w_2_400_s_0_600 = 9.41e-06 mcm4d_cc_w_2_400_s_0_600 = 1.01e-10 mcm4d_cf_w_2_400_s_0_600 = 2.78e-12 ++ mcm4d_ca_w_2_400_s_0_800 = 9.41e-06 mcm4d_cc_w_2_400_s_0_800 = 8.67e-11 mcm4d_cf_w_2_400_s_0_800 = 3.67e-12 ++ mcm4d_ca_w_2_400_s_1_000 = 9.41e-06 mcm4d_cc_w_2_400_s_1_000 = 7.59e-11 mcm4d_cf_w_2_400_s_1_000 = 4.54e-12 ++ mcm4d_ca_w_2_400_s_1_200 = 9.41e-06 mcm4d_cc_w_2_400_s_1_200 = 6.77e-11 mcm4d_cf_w_2_400_s_1_200 = 5.40e-12 ++ mcm4d_ca_w_2_400_s_2_100 = 9.41e-06 mcm4d_cc_w_2_400_s_2_100 = 4.69e-11 mcm4d_cf_w_2_400_s_2_100 = 9.02e-12 ++ mcm4d_ca_w_2_400_s_3_300 = 9.41e-06 mcm4d_cc_w_2_400_s_3_300 = 3.36e-11 mcm4d_cf_w_2_400_s_3_300 = 1.33e-11 ++ mcm4d_ca_w_2_400_s_9_000 = 9.41e-06 mcm4d_cc_w_2_400_s_9_000 = 1.23e-11 mcm4d_cf_w_2_400_s_9_000 = 2.63e-11 ++ mcm4p1_ca_w_0_300_s_0_300 = 1.01e-05 mcm4p1_cc_w_0_300_s_0_300 = 1.07e-10 mcm4p1_cf_w_0_300_s_0_300 = 1.50e-12 ++ mcm4p1_ca_w_0_300_s_0_360 = 1.01e-05 mcm4p1_cc_w_0_300_s_0_360 = 1.01e-10 mcm4p1_cf_w_0_300_s_0_360 = 1.80e-12 ++ mcm4p1_ca_w_0_300_s_0_450 = 1.01e-05 mcm4p1_cc_w_0_300_s_0_450 = 9.12e-11 mcm4p1_cf_w_0_300_s_0_450 = 2.26e-12 ++ mcm4p1_ca_w_0_300_s_0_600 = 1.01e-05 mcm4p1_cc_w_0_300_s_0_600 = 7.90e-11 mcm4p1_cf_w_0_300_s_0_600 = 3.01e-12 ++ mcm4p1_ca_w_0_300_s_0_800 = 1.01e-05 mcm4p1_cc_w_0_300_s_0_800 = 6.71e-11 mcm4p1_cf_w_0_300_s_0_800 = 3.90e-12 ++ mcm4p1_ca_w_0_300_s_1_000 = 1.01e-05 mcm4p1_cc_w_0_300_s_1_000 = 5.83e-11 mcm4p1_cf_w_0_300_s_1_000 = 4.83e-12 ++ mcm4p1_ca_w_0_300_s_1_200 = 1.01e-05 mcm4p1_cc_w_0_300_s_1_200 = 5.16e-11 mcm4p1_cf_w_0_300_s_1_200 = 5.73e-12 ++ mcm4p1_ca_w_0_300_s_2_100 = 1.01e-05 mcm4p1_cc_w_0_300_s_2_100 = 3.49e-11 mcm4p1_cf_w_0_300_s_2_100 = 9.75e-12 ++ mcm4p1_ca_w_0_300_s_3_300 = 1.01e-05 mcm4p1_cc_w_0_300_s_3_300 = 2.47e-11 mcm4p1_cf_w_0_300_s_3_300 = 1.38e-11 ++ mcm4p1_ca_w_0_300_s_9_000 = 1.01e-05 mcm4p1_cc_w_0_300_s_9_000 = 7.99e-12 mcm4p1_cf_w_0_300_s_9_000 = 2.53e-11 ++ mcm4p1_ca_w_2_400_s_0_300 = 1.01e-05 mcm4p1_cc_w_2_400_s_0_300 = 1.35e-10 mcm4p1_cf_w_2_400_s_0_300 = 1.54e-12 ++ mcm4p1_ca_w_2_400_s_0_360 = 1.01e-05 mcm4p1_cc_w_2_400_s_0_360 = 1.27e-10 mcm4p1_cf_w_2_400_s_0_360 = 1.84e-12 ++ mcm4p1_ca_w_2_400_s_0_450 = 1.01e-05 mcm4p1_cc_w_2_400_s_0_450 = 1.15e-10 mcm4p1_cf_w_2_400_s_0_450 = 2.28e-12 ++ mcm4p1_ca_w_2_400_s_0_600 = 1.01e-05 mcm4p1_cc_w_2_400_s_0_600 = 1.01e-10 mcm4p1_cf_w_2_400_s_0_600 = 3.01e-12 ++ mcm4p1_ca_w_2_400_s_0_800 = 1.01e-05 mcm4p1_cc_w_2_400_s_0_800 = 8.61e-11 mcm4p1_cf_w_2_400_s_0_800 = 3.96e-12 ++ mcm4p1_ca_w_2_400_s_1_000 = 1.01e-05 mcm4p1_cc_w_2_400_s_1_000 = 7.52e-11 mcm4p1_cf_w_2_400_s_1_000 = 4.89e-12 ++ mcm4p1_ca_w_2_400_s_1_200 = 1.01e-05 mcm4p1_cc_w_2_400_s_1_200 = 6.70e-11 mcm4p1_cf_w_2_400_s_1_200 = 5.81e-12 ++ mcm4p1_ca_w_2_400_s_2_100 = 1.01e-05 mcm4p1_cc_w_2_400_s_2_100 = 4.61e-11 mcm4p1_cf_w_2_400_s_2_100 = 9.67e-12 ++ mcm4p1_ca_w_2_400_s_3_300 = 1.01e-05 mcm4p1_cc_w_2_400_s_3_300 = 3.29e-11 mcm4p1_cf_w_2_400_s_3_300 = 1.42e-11 ++ mcm4p1_ca_w_2_400_s_9_000 = 1.01e-05 mcm4p1_cc_w_2_400_s_9_000 = 1.17e-11 mcm4p1_cf_w_2_400_s_9_000 = 2.75e-11 ++ mcm4l1_ca_w_0_300_s_0_300 = 1.17e-05 mcm4l1_cc_w_0_300_s_0_300 = 1.08e-10 mcm4l1_cf_w_0_300_s_0_300 = 1.73e-12 ++ mcm4l1_ca_w_0_300_s_0_360 = 1.17e-05 mcm4l1_cc_w_0_300_s_0_360 = 1.00e-10 mcm4l1_cf_w_0_300_s_0_360 = 2.07e-12 ++ mcm4l1_ca_w_0_300_s_0_450 = 1.17e-05 mcm4l1_cc_w_0_300_s_0_450 = 9.07e-11 mcm4l1_cf_w_0_300_s_0_450 = 2.60e-12 ++ mcm4l1_ca_w_0_300_s_0_600 = 1.17e-05 mcm4l1_cc_w_0_300_s_0_600 = 7.85e-11 mcm4l1_cf_w_0_300_s_0_600 = 3.46e-12 ++ mcm4l1_ca_w_0_300_s_0_800 = 1.17e-05 mcm4l1_cc_w_0_300_s_0_800 = 6.65e-11 mcm4l1_cf_w_0_300_s_0_800 = 4.48e-12 ++ mcm4l1_ca_w_0_300_s_1_000 = 1.17e-05 mcm4l1_cc_w_0_300_s_1_000 = 5.76e-11 mcm4l1_cf_w_0_300_s_1_000 = 5.53e-12 ++ mcm4l1_ca_w_0_300_s_1_200 = 1.17e-05 mcm4l1_cc_w_0_300_s_1_200 = 5.08e-11 mcm4l1_cf_w_0_300_s_1_200 = 6.55e-12 ++ mcm4l1_ca_w_0_300_s_2_100 = 1.17e-05 mcm4l1_cc_w_0_300_s_2_100 = 3.38e-11 mcm4l1_cf_w_0_300_s_2_100 = 1.10e-11 ++ mcm4l1_ca_w_0_300_s_3_300 = 1.17e-05 mcm4l1_cc_w_0_300_s_3_300 = 2.35e-11 mcm4l1_cf_w_0_300_s_3_300 = 1.55e-11 ++ mcm4l1_ca_w_0_300_s_9_000 = 1.17e-05 mcm4l1_cc_w_0_300_s_9_000 = 7.13e-12 mcm4l1_cf_w_0_300_s_9_000 = 2.73e-11 ++ mcm4l1_ca_w_2_400_s_0_300 = 1.17e-05 mcm4l1_cc_w_2_400_s_0_300 = 1.34e-10 mcm4l1_cf_w_2_400_s_0_300 = 1.75e-12 ++ mcm4l1_ca_w_2_400_s_0_360 = 1.17e-05 mcm4l1_cc_w_2_400_s_0_360 = 1.25e-10 mcm4l1_cf_w_2_400_s_0_360 = 2.09e-12 ++ mcm4l1_ca_w_2_400_s_0_450 = 1.17e-05 mcm4l1_cc_w_2_400_s_0_450 = 1.14e-10 mcm4l1_cf_w_2_400_s_0_450 = 2.60e-12 ++ mcm4l1_ca_w_2_400_s_0_600 = 1.17e-05 mcm4l1_cc_w_2_400_s_0_600 = 9.95e-11 mcm4l1_cf_w_2_400_s_0_600 = 3.43e-12 ++ mcm4l1_ca_w_2_400_s_0_800 = 1.17e-05 mcm4l1_cc_w_2_400_s_0_800 = 8.47e-11 mcm4l1_cf_w_2_400_s_0_800 = 4.52e-12 ++ mcm4l1_ca_w_2_400_s_1_000 = 1.17e-05 mcm4l1_cc_w_2_400_s_1_000 = 7.38e-11 mcm4l1_cf_w_2_400_s_1_000 = 5.58e-12 ++ mcm4l1_ca_w_2_400_s_1_200 = 1.17e-05 mcm4l1_cc_w_2_400_s_1_200 = 6.56e-11 mcm4l1_cf_w_2_400_s_1_200 = 6.62e-12 ++ mcm4l1_ca_w_2_400_s_2_100 = 1.17e-05 mcm4l1_cc_w_2_400_s_2_100 = 4.47e-11 mcm4l1_cf_w_2_400_s_2_100 = 1.10e-11 ++ mcm4l1_ca_w_2_400_s_3_300 = 1.17e-05 mcm4l1_cc_w_2_400_s_3_300 = 3.14e-11 mcm4l1_cf_w_2_400_s_3_300 = 1.60e-11 ++ mcm4l1_ca_w_2_400_s_9_000 = 1.17e-05 mcm4l1_cc_w_2_400_s_9_000 = 1.07e-11 mcm4l1_cf_w_2_400_s_9_000 = 2.98e-11 ++ mcm4m1_ca_w_0_300_s_0_300 = 1.51e-05 mcm4m1_cc_w_0_300_s_0_300 = 1.06e-10 mcm4m1_cf_w_0_300_s_0_300 = 2.22e-12 ++ mcm4m1_ca_w_0_300_s_0_360 = 1.51e-05 mcm4m1_cc_w_0_300_s_0_360 = 9.94e-11 mcm4m1_cf_w_0_300_s_0_360 = 2.65e-12 ++ mcm4m1_ca_w_0_300_s_0_450 = 1.51e-05 mcm4m1_cc_w_0_300_s_0_450 = 8.98e-11 mcm4m1_cf_w_0_300_s_0_450 = 3.32e-12 ++ mcm4m1_ca_w_0_300_s_0_600 = 1.51e-05 mcm4m1_cc_w_0_300_s_0_600 = 7.73e-11 mcm4m1_cf_w_0_300_s_0_600 = 4.40e-12 ++ mcm4m1_ca_w_0_300_s_0_800 = 1.51e-05 mcm4m1_cc_w_0_300_s_0_800 = 6.51e-11 mcm4m1_cf_w_0_300_s_0_800 = 5.70e-12 ++ mcm4m1_ca_w_0_300_s_1_000 = 1.51e-05 mcm4m1_cc_w_0_300_s_1_000 = 5.61e-11 mcm4m1_cf_w_0_300_s_1_000 = 7.02e-12 ++ mcm4m1_ca_w_0_300_s_1_200 = 1.51e-05 mcm4m1_cc_w_0_300_s_1_200 = 4.92e-11 mcm4m1_cf_w_0_300_s_1_200 = 8.29e-12 ++ mcm4m1_ca_w_0_300_s_2_100 = 1.51e-05 mcm4m1_cc_w_0_300_s_2_100 = 3.18e-11 mcm4m1_cf_w_0_300_s_2_100 = 1.37e-11 ++ mcm4m1_ca_w_0_300_s_3_300 = 1.51e-05 mcm4m1_cc_w_0_300_s_3_300 = 2.14e-11 mcm4m1_cf_w_0_300_s_3_300 = 1.89e-11 ++ mcm4m1_ca_w_0_300_s_9_000 = 1.51e-05 mcm4m1_cc_w_0_300_s_9_000 = 5.86e-12 mcm4m1_cf_w_0_300_s_9_000 = 3.09e-11 ++ mcm4m1_ca_w_2_400_s_0_300 = 1.51e-05 mcm4m1_cc_w_2_400_s_0_300 = 1.32e-10 mcm4m1_cf_w_2_400_s_0_300 = 2.23e-12 ++ mcm4m1_ca_w_2_400_s_0_360 = 1.51e-05 mcm4m1_cc_w_2_400_s_0_360 = 1.23e-10 mcm4m1_cf_w_2_400_s_0_360 = 2.66e-12 ++ mcm4m1_ca_w_2_400_s_0_450 = 1.51e-05 mcm4m1_cc_w_2_400_s_0_450 = 1.12e-10 mcm4m1_cf_w_2_400_s_0_450 = 3.31e-12 ++ mcm4m1_ca_w_2_400_s_0_600 = 1.51e-05 mcm4m1_cc_w_2_400_s_0_600 = 9.70e-11 mcm4m1_cf_w_2_400_s_0_600 = 4.36e-12 ++ mcm4m1_ca_w_2_400_s_0_800 = 1.51e-05 mcm4m1_cc_w_2_400_s_0_800 = 8.21e-11 mcm4m1_cf_w_2_400_s_0_800 = 5.74e-12 ++ mcm4m1_ca_w_2_400_s_1_000 = 1.51e-05 mcm4m1_cc_w_2_400_s_1_000 = 7.12e-11 mcm4m1_cf_w_2_400_s_1_000 = 7.07e-12 ++ mcm4m1_ca_w_2_400_s_1_200 = 1.51e-05 mcm4m1_cc_w_2_400_s_1_200 = 6.30e-11 mcm4m1_cf_w_2_400_s_1_200 = 8.35e-12 ++ mcm4m1_ca_w_2_400_s_2_100 = 1.51e-05 mcm4m1_cc_w_2_400_s_2_100 = 4.21e-11 mcm4m1_cf_w_2_400_s_2_100 = 1.36e-11 ++ mcm4m1_ca_w_2_400_s_3_300 = 1.51e-05 mcm4m1_cc_w_2_400_s_3_300 = 2.89e-11 mcm4m1_cf_w_2_400_s_3_300 = 1.95e-11 ++ mcm4m1_ca_w_2_400_s_9_000 = 1.51e-05 mcm4m1_cc_w_2_400_s_9_000 = 9.10e-12 mcm4m1_cf_w_2_400_s_9_000 = 3.39e-11 ++ mcm4m2_ca_w_0_300_s_0_300 = 2.09e-05 mcm4m2_cc_w_0_300_s_0_300 = 1.05e-10 mcm4m2_cf_w_0_300_s_0_300 = 3.04e-12 ++ mcm4m2_ca_w_0_300_s_0_360 = 2.09e-05 mcm4m2_cc_w_0_300_s_0_360 = 9.77e-11 mcm4m2_cf_w_0_300_s_0_360 = 3.63e-12 ++ mcm4m2_ca_w_0_300_s_0_450 = 2.09e-05 mcm4m2_cc_w_0_300_s_0_450 = 8.80e-11 mcm4m2_cf_w_0_300_s_0_450 = 4.52e-12 ++ mcm4m2_ca_w_0_300_s_0_600 = 2.09e-05 mcm4m2_cc_w_0_300_s_0_600 = 7.54e-11 mcm4m2_cf_w_0_300_s_0_600 = 5.97e-12 ++ mcm4m2_ca_w_0_300_s_0_800 = 2.09e-05 mcm4m2_cc_w_0_300_s_0_800 = 6.31e-11 mcm4m2_cf_w_0_300_s_0_800 = 7.72e-12 ++ mcm4m2_ca_w_0_300_s_1_000 = 2.09e-05 mcm4m2_cc_w_0_300_s_1_000 = 5.38e-11 mcm4m2_cf_w_0_300_s_1_000 = 9.44e-12 ++ mcm4m2_ca_w_0_300_s_1_200 = 2.09e-05 mcm4m2_cc_w_0_300_s_1_200 = 4.68e-11 mcm4m2_cf_w_0_300_s_1_200 = 1.11e-11 ++ mcm4m2_ca_w_0_300_s_2_100 = 2.09e-05 mcm4m2_cc_w_0_300_s_2_100 = 2.90e-11 mcm4m2_cf_w_0_300_s_2_100 = 1.78e-11 ++ mcm4m2_ca_w_0_300_s_3_300 = 2.09e-05 mcm4m2_cc_w_0_300_s_3_300 = 1.88e-11 mcm4m2_cf_w_0_300_s_3_300 = 2.38e-11 ++ mcm4m2_ca_w_0_300_s_9_000 = 2.09e-05 mcm4m2_cc_w_0_300_s_9_000 = 4.49e-12 mcm4m2_cf_w_0_300_s_9_000 = 3.56e-11 ++ mcm4m2_ca_w_2_400_s_0_300 = 2.09e-05 mcm4m2_cc_w_2_400_s_0_300 = 1.28e-10 mcm4m2_cf_w_2_400_s_0_300 = 3.05e-12 ++ mcm4m2_ca_w_2_400_s_0_360 = 2.09e-05 mcm4m2_cc_w_2_400_s_0_360 = 1.20e-10 mcm4m2_cf_w_2_400_s_0_360 = 3.64e-12 ++ mcm4m2_ca_w_2_400_s_0_450 = 2.09e-05 mcm4m2_cc_w_2_400_s_0_450 = 1.08e-10 mcm4m2_cf_w_2_400_s_0_450 = 4.52e-12 ++ mcm4m2_ca_w_2_400_s_0_600 = 2.09e-05 mcm4m2_cc_w_2_400_s_0_600 = 9.36e-11 mcm4m2_cf_w_2_400_s_0_600 = 5.93e-12 ++ mcm4m2_ca_w_2_400_s_0_800 = 2.09e-05 mcm4m2_cc_w_2_400_s_0_800 = 7.88e-11 mcm4m2_cf_w_2_400_s_0_800 = 7.76e-12 ++ mcm4m2_ca_w_2_400_s_1_000 = 2.09e-05 mcm4m2_cc_w_2_400_s_1_000 = 6.78e-11 mcm4m2_cf_w_2_400_s_1_000 = 9.53e-12 ++ mcm4m2_ca_w_2_400_s_1_200 = 2.09e-05 mcm4m2_cc_w_2_400_s_1_200 = 5.95e-11 mcm4m2_cf_w_2_400_s_1_200 = 1.12e-11 ++ mcm4m2_ca_w_2_400_s_2_100 = 2.09e-05 mcm4m2_cc_w_2_400_s_2_100 = 3.89e-11 mcm4m2_cf_w_2_400_s_2_100 = 1.78e-11 ++ mcm4m2_ca_w_2_400_s_3_300 = 2.09e-05 mcm4m2_cc_w_2_400_s_3_300 = 2.59e-11 mcm4m2_cf_w_2_400_s_3_300 = 2.46e-11 ++ mcm4m2_ca_w_2_400_s_9_000 = 2.09e-05 mcm4m2_cc_w_2_400_s_9_000 = 7.40e-12 mcm4m2_cf_w_2_400_s_9_000 = 3.92e-11 ++ mcm4m3_ca_w_0_300_s_0_300 = 8.85e-05 mcm4m3_cc_w_0_300_s_0_300 = 9.36e-11 mcm4m3_cf_w_0_300_s_0_300 = 1.18e-11 ++ mcm4m3_ca_w_0_300_s_0_360 = 8.85e-05 mcm4m3_cc_w_0_300_s_0_360 = 8.61e-11 mcm4m3_cf_w_0_300_s_0_360 = 1.39e-11 ++ mcm4m3_ca_w_0_300_s_0_450 = 8.85e-05 mcm4m3_cc_w_0_300_s_0_450 = 7.62e-11 mcm4m3_cf_w_0_300_s_0_450 = 1.67e-11 ++ mcm4m3_ca_w_0_300_s_0_600 = 8.85e-05 mcm4m3_cc_w_0_300_s_0_600 = 6.30e-11 mcm4m3_cf_w_0_300_s_0_600 = 2.11e-11 ++ mcm4m3_ca_w_0_300_s_0_800 = 8.85e-05 mcm4m3_cc_w_0_300_s_0_800 = 5.05e-11 mcm4m3_cf_w_0_300_s_0_800 = 2.58e-11 ++ mcm4m3_ca_w_0_300_s_1_000 = 8.85e-05 mcm4m3_cc_w_0_300_s_1_000 = 4.13e-11 mcm4m3_cf_w_0_300_s_1_000 = 2.99e-11 ++ mcm4m3_ca_w_0_300_s_1_200 = 8.85e-05 mcm4m3_cc_w_0_300_s_1_200 = 3.45e-11 mcm4m3_cf_w_0_300_s_1_200 = 3.34e-11 ++ mcm4m3_ca_w_0_300_s_2_100 = 8.85e-05 mcm4m3_cc_w_0_300_s_2_100 = 1.80e-11 mcm4m3_cf_w_0_300_s_2_100 = 4.46e-11 ++ mcm4m3_ca_w_0_300_s_3_300 = 8.85e-05 mcm4m3_cc_w_0_300_s_3_300 = 1.01e-11 mcm4m3_cf_w_0_300_s_3_300 = 5.12e-11 ++ mcm4m3_ca_w_0_300_s_9_000 = 8.85e-05 mcm4m3_cc_w_0_300_s_9_000 = 1.90e-12 mcm4m3_cf_w_0_300_s_9_000 = 5.91e-11 ++ mcm4m3_ca_w_2_400_s_0_300 = 8.85e-05 mcm4m3_cc_w_2_400_s_0_300 = 1.12e-10 mcm4m3_cf_w_2_400_s_0_300 = 1.18e-11 ++ mcm4m3_ca_w_2_400_s_0_360 = 8.85e-05 mcm4m3_cc_w_2_400_s_0_360 = 1.04e-10 mcm4m3_cf_w_2_400_s_0_360 = 1.39e-11 ++ mcm4m3_ca_w_2_400_s_0_450 = 8.85e-05 mcm4m3_cc_w_2_400_s_0_450 = 9.29e-11 mcm4m3_cf_w_2_400_s_0_450 = 1.68e-11 ++ mcm4m3_ca_w_2_400_s_0_600 = 8.85e-05 mcm4m3_cc_w_2_400_s_0_600 = 7.84e-11 mcm4m3_cf_w_2_400_s_0_600 = 2.10e-11 ++ mcm4m3_ca_w_2_400_s_0_800 = 8.85e-05 mcm4m3_cc_w_2_400_s_0_800 = 6.42e-11 mcm4m3_cf_w_2_400_s_0_800 = 2.59e-11 ++ mcm4m3_ca_w_2_400_s_1_000 = 8.85e-05 mcm4m3_cc_w_2_400_s_1_000 = 5.38e-11 mcm4m3_cf_w_2_400_s_1_000 = 3.00e-11 ++ mcm4m3_ca_w_2_400_s_1_200 = 8.85e-05 mcm4m3_cc_w_2_400_s_1_200 = 4.61e-11 mcm4m3_cf_w_2_400_s_1_200 = 3.35e-11 ++ mcm4m3_ca_w_2_400_s_2_100 = 8.85e-05 mcm4m3_cc_w_2_400_s_2_100 = 2.75e-11 mcm4m3_cf_w_2_400_s_2_100 = 4.46e-11 ++ mcm4m3_ca_w_2_400_s_3_300 = 8.85e-05 mcm4m3_cc_w_2_400_s_3_300 = 1.67e-11 mcm4m3_cf_w_2_400_s_3_300 = 5.31e-11 ++ mcm4m3_ca_w_2_400_s_9_000 = 8.85e-05 mcm4m3_cc_w_2_400_s_9_000 = 3.95e-12 mcm4m3_cf_w_2_400_s_9_000 = 6.50e-11 ++ mcm5f_ca_w_1_600_s_1_600 = 6.48e-06 mcm5f_cc_w_1_600_s_1_600 = 7.35e-11 mcm5f_cf_w_1_600_s_1_600 = 5.01e-12 ++ mcm5f_ca_w_1_600_s_1_700 = 6.48e-06 mcm5f_cc_w_1_600_s_1_700 = 6.99e-11 mcm5f_cf_w_1_600_s_1_700 = 5.31e-12 ++ mcm5f_ca_w_1_600_s_1_900 = 6.48e-06 mcm5f_cc_w_1_600_s_1_900 = 6.37e-11 mcm5f_cf_w_1_600_s_1_900 = 5.90e-12 ++ mcm5f_ca_w_1_600_s_2_000 = 6.48e-06 mcm5f_cc_w_1_600_s_2_000 = 6.11e-11 mcm5f_cf_w_1_600_s_2_000 = 6.19e-12 ++ mcm5f_ca_w_1_600_s_2_400 = 6.48e-06 mcm5f_cc_w_1_600_s_2_400 = 5.27e-11 mcm5f_cf_w_1_600_s_2_400 = 7.34e-12 ++ mcm5f_ca_w_1_600_s_2_800 = 6.48e-06 mcm5f_cc_w_1_600_s_2_800 = 4.65e-11 mcm5f_cf_w_1_600_s_2_800 = 8.47e-12 ++ mcm5f_ca_w_1_600_s_3_200 = 6.48e-06 mcm5f_cc_w_1_600_s_3_200 = 4.18e-11 mcm5f_cf_w_1_600_s_3_200 = 9.54e-12 ++ mcm5f_ca_w_1_600_s_4_800 = 6.48e-06 mcm5f_cc_w_1_600_s_4_800 = 2.97e-11 mcm5f_cf_w_1_600_s_4_800 = 1.35e-11 ++ mcm5f_ca_w_1_600_s_10_000 = 6.48e-06 mcm5f_cc_w_1_600_s_10_000 = 1.40e-11 mcm5f_cf_w_1_600_s_10_000 = 2.27e-11 ++ mcm5f_ca_w_1_600_s_12_000 = 6.48e-06 mcm5f_cc_w_1_600_s_12_000 = 1.11e-11 mcm5f_cf_w_1_600_s_12_000 = 2.50e-11 ++ mcm5f_ca_w_4_000_s_1_600 = 6.48e-06 mcm5f_cc_w_4_000_s_1_600 = 8.04e-11 mcm5f_cf_w_4_000_s_1_600 = 5.02e-12 ++ mcm5f_ca_w_4_000_s_1_700 = 6.48e-06 mcm5f_cc_w_4_000_s_1_700 = 7.64e-11 mcm5f_cf_w_4_000_s_1_700 = 5.32e-12 ++ mcm5f_ca_w_4_000_s_1_900 = 6.48e-06 mcm5f_cc_w_4_000_s_1_900 = 6.99e-11 mcm5f_cf_w_4_000_s_1_900 = 5.91e-12 ++ mcm5f_ca_w_4_000_s_2_000 = 6.48e-06 mcm5f_cc_w_4_000_s_2_000 = 6.72e-11 mcm5f_cf_w_4_000_s_2_000 = 6.20e-12 ++ mcm5f_ca_w_4_000_s_2_400 = 6.48e-06 mcm5f_cc_w_4_000_s_2_400 = 5.83e-11 mcm5f_cf_w_4_000_s_2_400 = 7.35e-12 ++ mcm5f_ca_w_4_000_s_2_800 = 6.48e-06 mcm5f_cc_w_4_000_s_2_800 = 5.18e-11 mcm5f_cf_w_4_000_s_2_800 = 8.48e-12 ++ mcm5f_ca_w_4_000_s_3_200 = 6.48e-06 mcm5f_cc_w_4_000_s_3_200 = 4.67e-11 mcm5f_cf_w_4_000_s_3_200 = 9.57e-12 ++ mcm5f_ca_w_4_000_s_4_800 = 6.48e-06 mcm5f_cc_w_4_000_s_4_800 = 3.36e-11 mcm5f_cf_w_4_000_s_4_800 = 1.36e-11 ++ mcm5f_ca_w_4_000_s_10_000 = 6.48e-06 mcm5f_cc_w_4_000_s_10_000 = 1.66e-11 mcm5f_cf_w_4_000_s_10_000 = 2.31e-11 ++ mcm5f_ca_w_4_000_s_12_000 = 6.48e-06 mcm5f_cc_w_4_000_s_12_000 = 1.34e-11 mcm5f_cf_w_4_000_s_12_000 = 2.56e-11 ++ mcm5d_ca_w_1_600_s_1_600 = 6.88e-06 mcm5d_cc_w_1_600_s_1_600 = 7.31e-11 mcm5d_cf_w_1_600_s_1_600 = 5.31e-12 ++ mcm5d_ca_w_1_600_s_1_700 = 6.88e-06 mcm5d_cc_w_1_600_s_1_700 = 6.95e-11 mcm5d_cf_w_1_600_s_1_700 = 5.62e-12 ++ mcm5d_ca_w_1_600_s_1_900 = 6.88e-06 mcm5d_cc_w_1_600_s_1_900 = 6.32e-11 mcm5d_cf_w_1_600_s_1_900 = 6.25e-12 ++ mcm5d_ca_w_1_600_s_2_000 = 6.88e-06 mcm5d_cc_w_1_600_s_2_000 = 6.06e-11 mcm5d_cf_w_1_600_s_2_000 = 6.56e-12 ++ mcm5d_ca_w_1_600_s_2_400 = 6.88e-06 mcm5d_cc_w_1_600_s_2_400 = 5.22e-11 mcm5d_cf_w_1_600_s_2_400 = 7.77e-12 ++ mcm5d_ca_w_1_600_s_2_800 = 6.88e-06 mcm5d_cc_w_1_600_s_2_800 = 4.60e-11 mcm5d_cf_w_1_600_s_2_800 = 8.95e-12 ++ mcm5d_ca_w_1_600_s_3_200 = 6.88e-06 mcm5d_cc_w_1_600_s_3_200 = 4.13e-11 mcm5d_cf_w_1_600_s_3_200 = 1.01e-11 ++ mcm5d_ca_w_1_600_s_4_800 = 6.88e-06 mcm5d_cc_w_1_600_s_4_800 = 2.91e-11 mcm5d_cf_w_1_600_s_4_800 = 1.42e-11 ++ mcm5d_ca_w_1_600_s_10_000 = 6.88e-06 mcm5d_cc_w_1_600_s_10_000 = 1.35e-11 mcm5d_cf_w_1_600_s_10_000 = 2.36e-11 ++ mcm5d_ca_w_1_600_s_12_000 = 6.88e-06 mcm5d_cc_w_1_600_s_12_000 = 1.07e-11 mcm5d_cf_w_1_600_s_12_000 = 2.59e-11 ++ mcm5d_ca_w_4_000_s_1_600 = 6.88e-06 mcm5d_cc_w_4_000_s_1_600 = 7.98e-11 mcm5d_cf_w_4_000_s_1_600 = 5.31e-12 ++ mcm5d_ca_w_4_000_s_1_700 = 6.88e-06 mcm5d_cc_w_4_000_s_1_700 = 7.59e-11 mcm5d_cf_w_4_000_s_1_700 = 5.63e-12 ++ mcm5d_ca_w_4_000_s_1_900 = 6.88e-06 mcm5d_cc_w_4_000_s_1_900 = 6.94e-11 mcm5d_cf_w_4_000_s_1_900 = 6.26e-12 ++ mcm5d_ca_w_4_000_s_2_000 = 6.88e-06 mcm5d_cc_w_4_000_s_2_000 = 6.66e-11 mcm5d_cf_w_4_000_s_2_000 = 6.57e-12 ++ mcm5d_ca_w_4_000_s_2_400 = 6.88e-06 mcm5d_cc_w_4_000_s_2_400 = 5.77e-11 mcm5d_cf_w_4_000_s_2_400 = 7.78e-12 ++ mcm5d_ca_w_4_000_s_2_800 = 6.88e-06 mcm5d_cc_w_4_000_s_2_800 = 5.12e-11 mcm5d_cf_w_4_000_s_2_800 = 8.97e-12 ++ mcm5d_ca_w_4_000_s_3_200 = 6.88e-06 mcm5d_cc_w_4_000_s_3_200 = 4.61e-11 mcm5d_cf_w_4_000_s_3_200 = 1.01e-11 ++ mcm5d_ca_w_4_000_s_4_800 = 6.88e-06 mcm5d_cc_w_4_000_s_4_800 = 3.30e-11 mcm5d_cf_w_4_000_s_4_800 = 1.43e-11 ++ mcm5d_ca_w_4_000_s_10_000 = 6.88e-06 mcm5d_cc_w_4_000_s_10_000 = 1.61e-11 mcm5d_cf_w_4_000_s_10_000 = 2.41e-11 ++ mcm5d_ca_w_4_000_s_12_000 = 6.88e-06 mcm5d_cc_w_4_000_s_12_000 = 1.30e-11 mcm5d_cf_w_4_000_s_12_000 = 2.65e-11 ++ mcm5p1_ca_w_1_600_s_1_600 = 7.26e-06 mcm5p1_cc_w_1_600_s_1_600 = 7.27e-11 mcm5p1_cf_w_1_600_s_1_600 = 5.59e-12 ++ mcm5p1_ca_w_1_600_s_1_700 = 7.26e-06 mcm5p1_cc_w_1_600_s_1_700 = 6.91e-11 mcm5p1_cf_w_1_600_s_1_700 = 5.92e-12 ++ mcm5p1_ca_w_1_600_s_1_900 = 7.26e-06 mcm5p1_cc_w_1_600_s_1_900 = 6.28e-11 mcm5p1_cf_w_1_600_s_1_900 = 6.58e-12 ++ mcm5p1_ca_w_1_600_s_2_000 = 7.26e-06 mcm5p1_cc_w_1_600_s_2_000 = 6.02e-11 mcm5p1_cf_w_1_600_s_2_000 = 6.91e-12 ++ mcm5p1_ca_w_1_600_s_2_400 = 7.26e-06 mcm5p1_cc_w_1_600_s_2_400 = 5.18e-11 mcm5p1_cf_w_1_600_s_2_400 = 8.18e-12 ++ mcm5p1_ca_w_1_600_s_2_800 = 7.26e-06 mcm5p1_cc_w_1_600_s_2_800 = 4.56e-11 mcm5p1_cf_w_1_600_s_2_800 = 9.41e-12 ++ mcm5p1_ca_w_1_600_s_3_200 = 7.26e-06 mcm5p1_cc_w_1_600_s_3_200 = 4.08e-11 mcm5p1_cf_w_1_600_s_3_200 = 1.06e-11 ++ mcm5p1_ca_w_1_600_s_4_800 = 7.26e-06 mcm5p1_cc_w_1_600_s_4_800 = 2.86e-11 mcm5p1_cf_w_1_600_s_4_800 = 1.49e-11 ++ mcm5p1_ca_w_1_600_s_10_000 = 7.26e-06 mcm5p1_cc_w_1_600_s_10_000 = 1.31e-11 mcm5p1_cf_w_1_600_s_10_000 = 2.45e-11 ++ mcm5p1_ca_w_1_600_s_12_000 = 7.26e-06 mcm5p1_cc_w_1_600_s_12_000 = 1.03e-11 mcm5p1_cf_w_1_600_s_12_000 = 2.68e-11 ++ mcm5p1_ca_w_4_000_s_1_600 = 7.26e-06 mcm5p1_cc_w_4_000_s_1_600 = 7.93e-11 mcm5p1_cf_w_4_000_s_1_600 = 5.61e-12 ++ mcm5p1_ca_w_4_000_s_1_700 = 7.26e-06 mcm5p1_cc_w_4_000_s_1_700 = 7.54e-11 mcm5p1_cf_w_4_000_s_1_700 = 5.94e-12 ++ mcm5p1_ca_w_4_000_s_1_900 = 7.26e-06 mcm5p1_cc_w_4_000_s_1_900 = 6.89e-11 mcm5p1_cf_w_4_000_s_1_900 = 6.59e-12 ++ mcm5p1_ca_w_4_000_s_2_000 = 7.26e-06 mcm5p1_cc_w_4_000_s_2_000 = 6.62e-11 mcm5p1_cf_w_4_000_s_2_000 = 6.92e-12 ++ mcm5p1_ca_w_4_000_s_2_400 = 7.26e-06 mcm5p1_cc_w_4_000_s_2_400 = 5.71e-11 mcm5p1_cf_w_4_000_s_2_400 = 8.19e-12 ++ mcm5p1_ca_w_4_000_s_2_800 = 7.26e-06 mcm5p1_cc_w_4_000_s_2_800 = 5.06e-11 mcm5p1_cf_w_4_000_s_2_800 = 9.43e-12 ++ mcm5p1_ca_w_4_000_s_3_200 = 7.26e-06 mcm5p1_cc_w_4_000_s_3_200 = 4.55e-11 mcm5p1_cf_w_4_000_s_3_200 = 1.06e-11 ++ mcm5p1_ca_w_4_000_s_4_800 = 7.26e-06 mcm5p1_cc_w_4_000_s_4_800 = 3.25e-11 mcm5p1_cf_w_4_000_s_4_800 = 1.50e-11 ++ mcm5p1_ca_w_4_000_s_10_000 = 7.26e-06 mcm5p1_cc_w_4_000_s_10_000 = 1.56e-11 mcm5p1_cf_w_4_000_s_10_000 = 2.49e-11 ++ mcm5p1_ca_w_4_000_s_12_000 = 7.26e-06 mcm5p1_cc_w_4_000_s_12_000 = 1.26e-11 mcm5p1_cf_w_4_000_s_12_000 = 2.74e-11 ++ mcm5l1_ca_w_1_600_s_1_600 = 8.04e-06 mcm5l1_cc_w_1_600_s_1_600 = 7.19e-11 mcm5l1_cf_w_1_600_s_1_600 = 6.16e-12 ++ mcm5l1_ca_w_1_600_s_1_700 = 8.04e-06 mcm5l1_cc_w_1_600_s_1_700 = 6.82e-11 mcm5l1_cf_w_1_600_s_1_700 = 6.52e-12 ++ mcm5l1_ca_w_1_600_s_1_900 = 8.04e-06 mcm5l1_cc_w_1_600_s_1_900 = 6.20e-11 mcm5l1_cf_w_1_600_s_1_900 = 7.24e-12 ++ mcm5l1_ca_w_1_600_s_2_000 = 8.04e-06 mcm5l1_cc_w_1_600_s_2_000 = 5.94e-11 mcm5l1_cf_w_1_600_s_2_000 = 7.59e-12 ++ mcm5l1_ca_w_1_600_s_2_400 = 8.04e-06 mcm5l1_cc_w_1_600_s_2_400 = 5.09e-11 mcm5l1_cf_w_1_600_s_2_400 = 8.98e-12 ++ mcm5l1_ca_w_1_600_s_2_800 = 8.04e-06 mcm5l1_cc_w_1_600_s_2_800 = 4.47e-11 mcm5l1_cf_w_1_600_s_2_800 = 1.03e-11 ++ mcm5l1_ca_w_1_600_s_3_200 = 8.04e-06 mcm5l1_cc_w_1_600_s_3_200 = 3.99e-11 mcm5l1_cf_w_1_600_s_3_200 = 1.16e-11 ++ mcm5l1_ca_w_1_600_s_4_800 = 8.04e-06 mcm5l1_cc_w_1_600_s_4_800 = 2.77e-11 mcm5l1_cf_w_1_600_s_4_800 = 1.62e-11 ++ mcm5l1_ca_w_1_600_s_10_000 = 8.04e-06 mcm5l1_cc_w_1_600_s_10_000 = 1.23e-11 mcm5l1_cf_w_1_600_s_10_000 = 2.61e-11 ++ mcm5l1_ca_w_1_600_s_12_000 = 8.04e-06 mcm5l1_cc_w_1_600_s_12_000 = 9.59e-12 mcm5l1_cf_w_1_600_s_12_000 = 2.84e-11 ++ mcm5l1_ca_w_4_000_s_1_600 = 8.04e-06 mcm5l1_cc_w_4_000_s_1_600 = 7.83e-11 mcm5l1_cf_w_4_000_s_1_600 = 6.16e-12 ++ mcm5l1_ca_w_4_000_s_1_700 = 8.04e-06 mcm5l1_cc_w_4_000_s_1_700 = 7.44e-11 mcm5l1_cf_w_4_000_s_1_700 = 6.53e-12 ++ mcm5l1_ca_w_4_000_s_1_900 = 8.04e-06 mcm5l1_cc_w_4_000_s_1_900 = 6.78e-11 mcm5l1_cf_w_4_000_s_1_900 = 7.25e-12 ++ mcm5l1_ca_w_4_000_s_2_000 = 8.04e-06 mcm5l1_cc_w_4_000_s_2_000 = 6.51e-11 mcm5l1_cf_w_4_000_s_2_000 = 7.60e-12 ++ mcm5l1_ca_w_4_000_s_2_400 = 8.04e-06 mcm5l1_cc_w_4_000_s_2_400 = 5.62e-11 mcm5l1_cf_w_4_000_s_2_400 = 9.00e-12 ++ mcm5l1_ca_w_4_000_s_2_800 = 8.04e-06 mcm5l1_cc_w_4_000_s_2_800 = 4.96e-11 mcm5l1_cf_w_4_000_s_2_800 = 1.03e-11 ++ mcm5l1_ca_w_4_000_s_3_200 = 8.04e-06 mcm5l1_cc_w_4_000_s_3_200 = 4.44e-11 mcm5l1_cf_w_4_000_s_3_200 = 1.16e-11 ++ mcm5l1_ca_w_4_000_s_4_800 = 8.04e-06 mcm5l1_cc_w_4_000_s_4_800 = 3.15e-11 mcm5l1_cf_w_4_000_s_4_800 = 1.63e-11 ++ mcm5l1_ca_w_4_000_s_10_000 = 8.04e-06 mcm5l1_cc_w_4_000_s_10_000 = 1.48e-11 mcm5l1_cf_w_4_000_s_10_000 = 2.66e-11 ++ mcm5l1_ca_w_4_000_s_12_000 = 8.04e-06 mcm5l1_cc_w_4_000_s_12_000 = 1.18e-11 mcm5l1_cf_w_4_000_s_12_000 = 2.91e-11 ++ mcm5m1_ca_w_1_600_s_1_600 = 9.50e-06 mcm5m1_cc_w_1_600_s_1_600 = 7.04e-11 mcm5m1_cf_w_1_600_s_1_600 = 7.21e-12 ++ mcm5m1_ca_w_1_600_s_1_700 = 9.50e-06 mcm5m1_cc_w_1_600_s_1_700 = 6.68e-11 mcm5m1_cf_w_1_600_s_1_700 = 7.63e-12 ++ mcm5m1_ca_w_1_600_s_1_900 = 9.50e-06 mcm5m1_cc_w_1_600_s_1_900 = 6.05e-11 mcm5m1_cf_w_1_600_s_1_900 = 8.47e-12 ++ mcm5m1_ca_w_1_600_s_2_000 = 9.50e-06 mcm5m1_cc_w_1_600_s_2_000 = 5.79e-11 mcm5m1_cf_w_1_600_s_2_000 = 8.88e-12 ++ mcm5m1_ca_w_1_600_s_2_400 = 9.50e-06 mcm5m1_cc_w_1_600_s_2_400 = 4.94e-11 mcm5m1_cf_w_1_600_s_2_400 = 1.05e-11 ++ mcm5m1_ca_w_1_600_s_2_800 = 9.50e-06 mcm5m1_cc_w_1_600_s_2_800 = 4.32e-11 mcm5m1_cf_w_1_600_s_2_800 = 1.20e-11 ++ mcm5m1_ca_w_1_600_s_3_200 = 9.50e-06 mcm5m1_cc_w_1_600_s_3_200 = 3.84e-11 mcm5m1_cf_w_1_600_s_3_200 = 1.35e-11 ++ mcm5m1_ca_w_1_600_s_4_800 = 9.50e-06 mcm5m1_cc_w_1_600_s_4_800 = 2.61e-11 mcm5m1_cf_w_1_600_s_4_800 = 1.86e-11 ++ mcm5m1_ca_w_1_600_s_10_000 = 9.50e-06 mcm5m1_cc_w_1_600_s_10_000 = 1.10e-11 mcm5m1_cf_w_1_600_s_10_000 = 2.90e-11 ++ mcm5m1_ca_w_1_600_s_12_000 = 9.50e-06 mcm5m1_cc_w_1_600_s_12_000 = 8.51e-12 mcm5m1_cf_w_1_600_s_12_000 = 3.11e-11 ++ mcm5m1_ca_w_4_000_s_1_600 = 9.50e-06 mcm5m1_cc_w_4_000_s_1_600 = 7.66e-11 mcm5m1_cf_w_4_000_s_1_600 = 7.22e-12 ++ mcm5m1_ca_w_4_000_s_1_700 = 9.50e-06 mcm5m1_cc_w_4_000_s_1_700 = 7.27e-11 mcm5m1_cf_w_4_000_s_1_700 = 7.64e-12 ++ mcm5m1_ca_w_4_000_s_1_900 = 9.50e-06 mcm5m1_cc_w_4_000_s_1_900 = 6.61e-11 mcm5m1_cf_w_4_000_s_1_900 = 8.47e-12 ++ mcm5m1_ca_w_4_000_s_2_000 = 9.50e-06 mcm5m1_cc_w_4_000_s_2_000 = 6.33e-11 mcm5m1_cf_w_4_000_s_2_000 = 8.88e-12 ++ mcm5m1_ca_w_4_000_s_2_400 = 9.50e-06 mcm5m1_cc_w_4_000_s_2_400 = 5.45e-11 mcm5m1_cf_w_4_000_s_2_400 = 1.05e-11 ++ mcm5m1_ca_w_4_000_s_2_800 = 9.50e-06 mcm5m1_cc_w_4_000_s_2_800 = 4.78e-11 mcm5m1_cf_w_4_000_s_2_800 = 1.20e-11 ++ mcm5m1_ca_w_4_000_s_3_200 = 9.50e-06 mcm5m1_cc_w_4_000_s_3_200 = 4.27e-11 mcm5m1_cf_w_4_000_s_3_200 = 1.35e-11 ++ mcm5m1_ca_w_4_000_s_4_800 = 9.50e-06 mcm5m1_cc_w_4_000_s_4_800 = 2.98e-11 mcm5m1_cf_w_4_000_s_4_800 = 1.87e-11 ++ mcm5m1_ca_w_4_000_s_10_000 = 9.50e-06 mcm5m1_cc_w_4_000_s_10_000 = 1.35e-11 mcm5m1_cf_w_4_000_s_10_000 = 2.95e-11 ++ mcm5m1_ca_w_4_000_s_12_000 = 9.50e-06 mcm5m1_cc_w_4_000_s_12_000 = 1.07e-11 mcm5m1_cf_w_4_000_s_12_000 = 3.19e-11 ++ mcm5m2_ca_w_1_600_s_1_600 = 1.15e-05 mcm5m2_cc_w_1_600_s_1_600 = 6.87e-11 mcm5m2_cf_w_1_600_s_1_600 = 8.63e-12 ++ mcm5m2_ca_w_1_600_s_1_700 = 1.15e-05 mcm5m2_cc_w_1_600_s_1_700 = 6.51e-11 mcm5m2_cf_w_1_600_s_1_700 = 9.13e-12 ++ mcm5m2_ca_w_1_600_s_1_900 = 1.15e-05 mcm5m2_cc_w_1_600_s_1_900 = 5.88e-11 mcm5m2_cf_w_1_600_s_1_900 = 1.01e-11 ++ mcm5m2_ca_w_1_600_s_2_000 = 1.15e-05 mcm5m2_cc_w_1_600_s_2_000 = 5.61e-11 mcm5m2_cf_w_1_600_s_2_000 = 1.06e-11 ++ mcm5m2_ca_w_1_600_s_2_400 = 1.15e-05 mcm5m2_cc_w_1_600_s_2_400 = 4.77e-11 mcm5m2_cf_w_1_600_s_2_400 = 1.24e-11 ++ mcm5m2_ca_w_1_600_s_2_800 = 1.15e-05 mcm5m2_cc_w_1_600_s_2_800 = 4.14e-11 mcm5m2_cf_w_1_600_s_2_800 = 1.42e-11 ++ mcm5m2_ca_w_1_600_s_3_200 = 1.15e-05 mcm5m2_cc_w_1_600_s_3_200 = 3.65e-11 mcm5m2_cf_w_1_600_s_3_200 = 1.59e-11 ++ mcm5m2_ca_w_1_600_s_4_800 = 1.15e-05 mcm5m2_cc_w_1_600_s_4_800 = 2.43e-11 mcm5m2_cf_w_1_600_s_4_800 = 2.16e-11 ++ mcm5m2_ca_w_1_600_s_10_000 = 1.15e-05 mcm5m2_cc_w_1_600_s_10_000 = 9.75e-12 mcm5m2_cf_w_1_600_s_10_000 = 3.23e-11 ++ mcm5m2_ca_w_1_600_s_12_000 = 1.15e-05 mcm5m2_cc_w_1_600_s_12_000 = 7.42e-12 mcm5m2_cf_w_1_600_s_12_000 = 3.44e-11 ++ mcm5m2_ca_w_4_000_s_1_600 = 1.15e-05 mcm5m2_cc_w_4_000_s_1_600 = 7.45e-11 mcm5m2_cf_w_4_000_s_1_600 = 8.63e-12 ++ mcm5m2_ca_w_4_000_s_1_700 = 1.15e-05 mcm5m2_cc_w_4_000_s_1_700 = 7.06e-11 mcm5m2_cf_w_4_000_s_1_700 = 9.13e-12 ++ mcm5m2_ca_w_4_000_s_1_900 = 1.15e-05 mcm5m2_cc_w_4_000_s_1_900 = 6.41e-11 mcm5m2_cf_w_4_000_s_1_900 = 1.01e-11 ++ mcm5m2_ca_w_4_000_s_2_000 = 1.15e-05 mcm5m2_cc_w_4_000_s_2_000 = 6.13e-11 mcm5m2_cf_w_4_000_s_2_000 = 1.06e-11 ++ mcm5m2_ca_w_4_000_s_2_400 = 1.15e-05 mcm5m2_cc_w_4_000_s_2_400 = 5.25e-11 mcm5m2_cf_w_4_000_s_2_400 = 1.25e-11 ++ mcm5m2_ca_w_4_000_s_2_800 = 1.15e-05 mcm5m2_cc_w_4_000_s_2_800 = 4.59e-11 mcm5m2_cf_w_4_000_s_2_800 = 1.42e-11 ++ mcm5m2_ca_w_4_000_s_3_200 = 1.15e-05 mcm5m2_cc_w_4_000_s_3_200 = 4.07e-11 mcm5m2_cf_w_4_000_s_3_200 = 1.59e-11 ++ mcm5m2_ca_w_4_000_s_4_800 = 1.15e-05 mcm5m2_cc_w_4_000_s_4_800 = 2.79e-11 mcm5m2_cf_w_4_000_s_4_800 = 2.17e-11 ++ mcm5m2_ca_w_4_000_s_10_000 = 1.15e-05 mcm5m2_cc_w_4_000_s_10_000 = 1.21e-11 mcm5m2_cf_w_4_000_s_10_000 = 3.29e-11 ++ mcm5m2_ca_w_4_000_s_12_000 = 1.15e-05 mcm5m2_cc_w_4_000_s_12_000 = 9.55e-12 mcm5m2_cf_w_4_000_s_12_000 = 3.52e-11 ++ mcm5m3_ca_w_1_600_s_1_600 = 1.99e-05 mcm5m3_cc_w_1_600_s_1_600 = 6.33e-11 mcm5m3_cf_w_1_600_s_1_600 = 1.42e-11 ++ mcm5m3_ca_w_1_600_s_1_700 = 1.99e-05 mcm5m3_cc_w_1_600_s_1_700 = 5.96e-11 mcm5m3_cf_w_1_600_s_1_700 = 1.50e-11 ++ mcm5m3_ca_w_1_600_s_1_900 = 1.99e-05 mcm5m3_cc_w_1_600_s_1_900 = 5.33e-11 mcm5m3_cf_w_1_600_s_1_900 = 1.65e-11 ++ mcm5m3_ca_w_1_600_s_2_000 = 1.99e-05 mcm5m3_cc_w_1_600_s_2_000 = 5.06e-11 mcm5m3_cf_w_1_600_s_2_000 = 1.72e-11 ++ mcm5m3_ca_w_1_600_s_2_400 = 1.99e-05 mcm5m3_cc_w_1_600_s_2_400 = 4.21e-11 mcm5m3_cf_w_1_600_s_2_400 = 2.00e-11 ++ mcm5m3_ca_w_1_600_s_2_800 = 1.99e-05 mcm5m3_cc_w_1_600_s_2_800 = 3.58e-11 mcm5m3_cf_w_1_600_s_2_800 = 2.25e-11 ++ mcm5m3_ca_w_1_600_s_3_200 = 1.99e-05 mcm5m3_cc_w_1_600_s_3_200 = 3.11e-11 mcm5m3_cf_w_1_600_s_3_200 = 2.48e-11 ++ mcm5m3_ca_w_1_600_s_4_800 = 1.99e-05 mcm5m3_cc_w_1_600_s_4_800 = 1.94e-11 mcm5m3_cf_w_1_600_s_4_800 = 3.19e-11 ++ mcm5m3_ca_w_1_600_s_10_000 = 1.99e-05 mcm5m3_cc_w_1_600_s_10_000 = 6.90e-12 mcm5m3_cf_w_1_600_s_10_000 = 4.24e-11 ++ mcm5m3_ca_w_1_600_s_12_000 = 1.99e-05 mcm5m3_cc_w_1_600_s_12_000 = 5.20e-12 mcm5m3_cf_w_1_600_s_12_000 = 4.41e-11 ++ mcm5m3_ca_w_4_000_s_1_600 = 1.99e-05 mcm5m3_cc_w_4_000_s_1_600 = 6.86e-11 mcm5m3_cf_w_4_000_s_1_600 = 1.42e-11 ++ mcm5m3_ca_w_4_000_s_1_700 = 1.99e-05 mcm5m3_cc_w_4_000_s_1_700 = 6.48e-11 mcm5m3_cf_w_4_000_s_1_700 = 1.50e-11 ++ mcm5m3_ca_w_4_000_s_1_900 = 1.99e-05 mcm5m3_cc_w_4_000_s_1_900 = 5.83e-11 mcm5m3_cf_w_4_000_s_1_900 = 1.65e-11 ++ mcm5m3_ca_w_4_000_s_2_000 = 1.99e-05 mcm5m3_cc_w_4_000_s_2_000 = 5.55e-11 mcm5m3_cf_w_4_000_s_2_000 = 1.72e-11 ++ mcm5m3_ca_w_4_000_s_2_400 = 1.99e-05 mcm5m3_cc_w_4_000_s_2_400 = 4.66e-11 mcm5m3_cf_w_4_000_s_2_400 = 2.00e-11 ++ mcm5m3_ca_w_4_000_s_2_800 = 1.99e-05 mcm5m3_cc_w_4_000_s_2_800 = 4.01e-11 mcm5m3_cf_w_4_000_s_2_800 = 2.25e-11 ++ mcm5m3_ca_w_4_000_s_3_200 = 1.99e-05 mcm5m3_cc_w_4_000_s_3_200 = 3.52e-11 mcm5m3_cf_w_4_000_s_3_200 = 2.48e-11 ++ mcm5m3_ca_w_4_000_s_4_800 = 1.99e-05 mcm5m3_cc_w_4_000_s_4_800 = 2.30e-11 mcm5m3_cf_w_4_000_s_4_800 = 3.21e-11 ++ mcm5m3_ca_w_4_000_s_10_000 = 1.99e-05 mcm5m3_cc_w_4_000_s_10_000 = 9.15e-12 mcm5m3_cf_w_4_000_s_10_000 = 4.33e-11 ++ mcm5m3_ca_w_4_000_s_12_000 = 1.99e-05 mcm5m3_cc_w_4_000_s_12_000 = 7.10e-12 mcm5m3_cf_w_4_000_s_12_000 = 4.53e-11 ++ mcm5m4_ca_w_1_600_s_1_600 = 6.84e-05 mcm5m4_cc_w_1_600_s_1_600 = 5.05e-11 mcm5m4_cf_w_1_600_s_1_600 = 3.82e-11 ++ mcm5m4_ca_w_1_600_s_1_700 = 6.84e-05 mcm5m4_cc_w_1_600_s_1_700 = 4.69e-11 mcm5m4_cf_w_1_600_s_1_700 = 3.97e-11 ++ mcm5m4_ca_w_1_600_s_1_900 = 6.84e-05 mcm5m4_cc_w_1_600_s_1_900 = 4.09e-11 mcm5m4_cf_w_1_600_s_1_900 = 4.24e-11 ++ mcm5m4_ca_w_1_600_s_2_000 = 6.84e-05 mcm5m4_cc_w_1_600_s_2_000 = 3.84e-11 mcm5m4_cf_w_1_600_s_2_000 = 4.37e-11 ++ mcm5m4_ca_w_1_600_s_2_400 = 6.84e-05 mcm5m4_cc_w_1_600_s_2_400 = 3.06e-11 mcm5m4_cf_w_1_600_s_2_400 = 4.81e-11 ++ mcm5m4_ca_w_1_600_s_2_800 = 6.84e-05 mcm5m4_cc_w_1_600_s_2_800 = 2.51e-11 mcm5m4_cf_w_1_600_s_2_800 = 5.17e-11 ++ mcm5m4_ca_w_1_600_s_3_200 = 6.84e-05 mcm5m4_cc_w_1_600_s_3_200 = 2.11e-11 mcm5m4_cf_w_1_600_s_3_200 = 5.45e-11 ++ mcm5m4_ca_w_1_600_s_4_800 = 6.84e-05 mcm5m4_cc_w_1_600_s_4_800 = 1.20e-11 mcm5m4_cf_w_1_600_s_4_800 = 6.19e-11 ++ mcm5m4_ca_w_1_600_s_10_000 = 6.84e-05 mcm5m4_cc_w_1_600_s_10_000 = 4.00e-12 mcm5m4_cf_w_1_600_s_10_000 = 6.96e-11 ++ mcm5m4_ca_w_1_600_s_12_000 = 6.84e-05 mcm5m4_cc_w_1_600_s_12_000 = 2.95e-12 mcm5m4_cf_w_1_600_s_12_000 = 7.06e-11 ++ mcm5m4_ca_w_4_000_s_1_600 = 6.84e-05 mcm5m4_cc_w_4_000_s_1_600 = 5.57e-11 mcm5m4_cf_w_4_000_s_1_600 = 3.82e-11 ++ mcm5m4_ca_w_4_000_s_1_700 = 6.84e-05 mcm5m4_cc_w_4_000_s_1_700 = 5.21e-11 mcm5m4_cf_w_4_000_s_1_700 = 3.97e-11 ++ mcm5m4_ca_w_4_000_s_1_900 = 6.84e-05 mcm5m4_cc_w_4_000_s_1_900 = 4.59e-11 mcm5m4_cf_w_4_000_s_1_900 = 4.24e-11 ++ mcm5m4_ca_w_4_000_s_2_000 = 6.84e-05 mcm5m4_cc_w_4_000_s_2_000 = 4.33e-11 mcm5m4_cf_w_4_000_s_2_000 = 4.37e-11 ++ mcm5m4_ca_w_4_000_s_2_400 = 6.84e-05 mcm5m4_cc_w_4_000_s_2_400 = 3.52e-11 mcm5m4_cf_w_4_000_s_2_400 = 4.82e-11 ++ mcm5m4_ca_w_4_000_s_2_800 = 6.84e-05 mcm5m4_cc_w_4_000_s_2_800 = 2.95e-11 mcm5m4_cf_w_4_000_s_2_800 = 5.17e-11 ++ mcm5m4_ca_w_4_000_s_3_200 = 6.84e-05 mcm5m4_cc_w_4_000_s_3_200 = 2.52e-11 mcm5m4_cf_w_4_000_s_3_200 = 5.46e-11 ++ mcm5m4_ca_w_4_000_s_4_800 = 6.84e-05 mcm5m4_cc_w_4_000_s_4_800 = 1.56e-11 mcm5m4_cf_w_4_000_s_4_800 = 6.23e-11 ++ mcm5m4_ca_w_4_000_s_10_000 = 6.84e-05 mcm5m4_cc_w_4_000_s_10_000 = 6.00e-12 mcm5m4_cf_w_4_000_s_10_000 = 7.12e-11 ++ mcm5m4_ca_w_4_000_s_12_000 = 6.84e-05 mcm5m4_cc_w_4_000_s_12_000 = 4.60e-12 mcm5m4_cf_w_4_000_s_12_000 = 7.26e-11 ++ mcrdlf_ca_w_10_000_s_5_000 = 2.57e-06 mcrdlf_cc_w_10_000_s_5_000 = 5.16e-11 mcrdlf_cf_w_10_000_s_5_000 = 5.97e-12 ++ mcrdlf_ca_w_10_000_s_8_000 = 2.57e-06 mcrdlf_cc_w_10_000_s_8_000 = 3.75e-11 mcrdlf_cf_w_10_000_s_8_000 = 9.04e-12 ++ mcrdlf_ca_w_10_000_s_10_000 = 2.57e-06 mcrdlf_cc_w_10_000_s_10_000 = 3.20e-11 mcrdlf_cf_w_10_000_s_10_000 = 1.09e-11 ++ mcrdlf_ca_w_10_000_s_12_000 = 2.57e-06 mcrdlf_cc_w_10_000_s_12_000 = 2.80e-11 mcrdlf_cf_w_10_000_s_12_000 = 1.26e-11 ++ mcrdlf_ca_w_10_000_s_30_000 = 2.57e-06 mcrdlf_cc_w_10_000_s_30_000 = 1.23e-11 mcrdlf_cf_w_10_000_s_30_000 = 2.28e-11 ++ mcrdlf_ca_w_40_000_s_5_000 = 2.57e-06 mcrdlf_cc_w_40_000_s_5_000 = 6.29e-11 mcrdlf_cf_w_40_000_s_5_000 = 6.08e-12 ++ mcrdlf_ca_w_40_000_s_8_000 = 2.57e-06 mcrdlf_cc_w_40_000_s_8_000 = 4.79e-11 mcrdlf_cf_w_40_000_s_8_000 = 9.16e-12 ++ mcrdlf_ca_w_40_000_s_10_000 = 2.57e-06 mcrdlf_cc_w_40_000_s_10_000 = 4.20e-11 mcrdlf_cf_w_40_000_s_10_000 = 1.10e-11 ++ mcrdlf_ca_w_40_000_s_12_000 = 2.57e-06 mcrdlf_cc_w_40_000_s_12_000 = 3.74e-11 mcrdlf_cf_w_40_000_s_12_000 = 1.27e-11 ++ mcrdlf_ca_w_40_000_s_30_000 = 2.57e-06 mcrdlf_cc_w_40_000_s_30_000 = 1.95e-11 mcrdlf_cf_w_40_000_s_30_000 = 2.35e-11 ++ mcrdld_ca_w_10_000_s_5_000 = 2.63e-06 mcrdld_cc_w_10_000_s_5_000 = 5.14e-11 mcrdld_cf_w_10_000_s_5_000 = 6.11e-12 ++ mcrdld_ca_w_10_000_s_8_000 = 2.63e-06 mcrdld_cc_w_10_000_s_8_000 = 3.73e-11 mcrdld_cf_w_10_000_s_8_000 = 9.23e-12 ++ mcrdld_ca_w_10_000_s_10_000 = 2.63e-06 mcrdld_cc_w_10_000_s_10_000 = 3.18e-11 mcrdld_cf_w_10_000_s_10_000 = 1.11e-11 ++ mcrdld_ca_w_10_000_s_12_000 = 2.63e-06 mcrdld_cc_w_10_000_s_12_000 = 2.78e-11 mcrdld_cf_w_10_000_s_12_000 = 1.28e-11 ++ mcrdld_ca_w_10_000_s_30_000 = 2.63e-06 mcrdld_cc_w_10_000_s_30_000 = 1.22e-11 mcrdld_cf_w_10_000_s_30_000 = 2.31e-11 ++ mcrdld_ca_w_40_000_s_5_000 = 2.63e-06 mcrdld_cc_w_40_000_s_5_000 = 6.27e-11 mcrdld_cf_w_40_000_s_5_000 = 6.23e-12 ++ mcrdld_ca_w_40_000_s_8_000 = 2.63e-06 mcrdld_cc_w_40_000_s_8_000 = 4.76e-11 mcrdld_cf_w_40_000_s_8_000 = 9.36e-12 ++ mcrdld_ca_w_40_000_s_10_000 = 2.63e-06 mcrdld_cc_w_40_000_s_10_000 = 4.17e-11 mcrdld_cf_w_40_000_s_10_000 = 1.12e-11 ++ mcrdld_ca_w_40_000_s_12_000 = 2.63e-06 mcrdld_cc_w_40_000_s_12_000 = 3.72e-11 mcrdld_cf_w_40_000_s_12_000 = 1.30e-11 ++ mcrdld_ca_w_40_000_s_30_000 = 2.63e-06 mcrdld_cc_w_40_000_s_30_000 = 1.94e-11 mcrdld_cf_w_40_000_s_30_000 = 2.38e-11 ++ mcrdlp1_ca_w_10_000_s_5_000 = 2.68e-06 mcrdlp1_cc_w_10_000_s_5_000 = 5.12e-11 mcrdlp1_cf_w_10_000_s_5_000 = 6.21e-12 ++ mcrdlp1_ca_w_10_000_s_8_000 = 2.68e-06 mcrdlp1_cc_w_10_000_s_8_000 = 3.71e-11 mcrdlp1_cf_w_10_000_s_8_000 = 9.38e-12 ++ mcrdlp1_ca_w_10_000_s_10_000 = 2.68e-06 mcrdlp1_cc_w_10_000_s_10_000 = 3.16e-11 mcrdlp1_cf_w_10_000_s_10_000 = 1.13e-11 ++ mcrdlp1_ca_w_10_000_s_12_000 = 2.68e-06 mcrdlp1_cc_w_10_000_s_12_000 = 2.76e-11 mcrdlp1_cf_w_10_000_s_12_000 = 1.31e-11 ++ mcrdlp1_ca_w_10_000_s_30_000 = 2.68e-06 mcrdlp1_cc_w_10_000_s_30_000 = 1.21e-11 mcrdlp1_cf_w_10_000_s_30_000 = 2.34e-11 ++ mcrdlp1_ca_w_40_000_s_5_000 = 2.68e-06 mcrdlp1_cc_w_40_000_s_5_000 = 6.25e-11 mcrdlp1_cf_w_40_000_s_5_000 = 6.36e-12 ++ mcrdlp1_ca_w_40_000_s_8_000 = 2.68e-06 mcrdlp1_cc_w_40_000_s_8_000 = 4.75e-11 mcrdlp1_cf_w_40_000_s_8_000 = 9.54e-12 ++ mcrdlp1_ca_w_40_000_s_10_000 = 2.68e-06 mcrdlp1_cc_w_40_000_s_10_000 = 4.15e-11 mcrdlp1_cf_w_40_000_s_10_000 = 1.15e-11 ++ mcrdlp1_ca_w_40_000_s_12_000 = 2.68e-06 mcrdlp1_cc_w_40_000_s_12_000 = 3.71e-11 mcrdlp1_cf_w_40_000_s_12_000 = 1.32e-11 ++ mcrdlp1_ca_w_40_000_s_30_000 = 2.68e-06 mcrdlp1_cc_w_40_000_s_30_000 = 1.92e-11 mcrdlp1_cf_w_40_000_s_30_000 = 2.41e-11 ++ mcrdll1_ca_w_10_000_s_5_000 = 2.78e-06 mcrdll1_cc_w_10_000_s_5_000 = 5.09e-11 mcrdll1_cf_w_10_000_s_5_000 = 6.41e-12 ++ mcrdll1_ca_w_10_000_s_8_000 = 2.78e-06 mcrdll1_cc_w_10_000_s_8_000 = 3.68e-11 mcrdll1_cf_w_10_000_s_8_000 = 9.67e-12 ++ mcrdll1_ca_w_10_000_s_10_000 = 2.78e-06 mcrdll1_cc_w_10_000_s_10_000 = 3.13e-11 mcrdll1_cf_w_10_000_s_10_000 = 1.16e-11 ++ mcrdll1_ca_w_10_000_s_12_000 = 2.78e-06 mcrdll1_cc_w_10_000_s_12_000 = 2.74e-11 mcrdll1_cf_w_10_000_s_12_000 = 1.34e-11 ++ mcrdll1_ca_w_10_000_s_30_000 = 2.78e-06 mcrdll1_cc_w_10_000_s_30_000 = 1.18e-11 mcrdll1_cf_w_10_000_s_30_000 = 2.38e-11 ++ mcrdll1_ca_w_40_000_s_5_000 = 2.78e-06 mcrdll1_cc_w_40_000_s_5_000 = 6.22e-11 mcrdll1_cf_w_40_000_s_5_000 = 6.49e-12 ++ mcrdll1_ca_w_40_000_s_8_000 = 2.78e-06 mcrdll1_cc_w_40_000_s_8_000 = 4.72e-11 mcrdll1_cf_w_40_000_s_8_000 = 9.76e-12 ++ mcrdll1_ca_w_40_000_s_10_000 = 2.78e-06 mcrdll1_cc_w_40_000_s_10_000 = 4.12e-11 mcrdll1_cf_w_40_000_s_10_000 = 1.17e-11 ++ mcrdll1_ca_w_40_000_s_12_000 = 2.78e-06 mcrdll1_cc_w_40_000_s_12_000 = 3.68e-11 mcrdll1_cf_w_40_000_s_12_000 = 1.35e-11 ++ mcrdll1_ca_w_40_000_s_30_000 = 2.78e-06 mcrdll1_cc_w_40_000_s_30_000 = 1.90e-11 mcrdll1_cf_w_40_000_s_30_000 = 2.45e-11 ++ mcrdlm1_ca_w_10_000_s_5_000 = 2.93e-06 mcrdlm1_cc_w_10_000_s_5_000 = 5.04e-11 mcrdlm1_cf_w_10_000_s_5_000 = 6.73e-12 ++ mcrdlm1_ca_w_10_000_s_8_000 = 2.93e-06 mcrdlm1_cc_w_10_000_s_8_000 = 3.63e-11 mcrdlm1_cf_w_10_000_s_8_000 = 1.01e-11 ++ mcrdlm1_ca_w_10_000_s_10_000 = 2.93e-06 mcrdlm1_cc_w_10_000_s_10_000 = 3.09e-11 mcrdlm1_cf_w_10_000_s_10_000 = 1.21e-11 ++ mcrdlm1_ca_w_10_000_s_12_000 = 2.93e-06 mcrdlm1_cc_w_10_000_s_12_000 = 2.69e-11 mcrdlm1_cf_w_10_000_s_12_000 = 1.40e-11 ++ mcrdlm1_ca_w_10_000_s_30_000 = 2.93e-06 mcrdlm1_cc_w_10_000_s_30_000 = 1.15e-11 mcrdlm1_cf_w_10_000_s_30_000 = 2.45e-11 ++ mcrdlm1_ca_w_40_000_s_5_000 = 2.93e-06 mcrdlm1_cc_w_40_000_s_5_000 = 6.17e-11 mcrdlm1_cf_w_40_000_s_5_000 = 6.82e-12 ++ mcrdlm1_ca_w_40_000_s_8_000 = 2.93e-06 mcrdlm1_cc_w_40_000_s_8_000 = 4.67e-11 mcrdlm1_cf_w_40_000_s_8_000 = 1.02e-11 ++ mcrdlm1_ca_w_40_000_s_10_000 = 2.93e-06 mcrdlm1_cc_w_40_000_s_10_000 = 4.08e-11 mcrdlm1_cf_w_40_000_s_10_000 = 1.22e-11 ++ mcrdlm1_ca_w_40_000_s_12_000 = 2.93e-06 mcrdlm1_cc_w_40_000_s_12_000 = 3.64e-11 mcrdlm1_cf_w_40_000_s_12_000 = 1.41e-11 ++ mcrdlm1_ca_w_40_000_s_30_000 = 2.93e-06 mcrdlm1_cc_w_40_000_s_30_000 = 1.86e-11 mcrdlm1_cf_w_40_000_s_30_000 = 2.53e-11 ++ mcrdlm2_ca_w_10_000_s_5_000 = 3.10e-06 mcrdlm2_cc_w_10_000_s_5_000 = 4.99e-11 mcrdlm2_cf_w_10_000_s_5_000 = 7.07e-12 ++ mcrdlm2_ca_w_10_000_s_8_000 = 3.10e-06 mcrdlm2_cc_w_10_000_s_8_000 = 3.59e-11 mcrdlm2_cf_w_10_000_s_8_000 = 1.06e-11 ++ mcrdlm2_ca_w_10_000_s_10_000 = 3.10e-06 mcrdlm2_cc_w_10_000_s_10_000 = 3.04e-11 mcrdlm2_cf_w_10_000_s_10_000 = 1.27e-11 ++ mcrdlm2_ca_w_10_000_s_12_000 = 3.10e-06 mcrdlm2_cc_w_10_000_s_12_000 = 2.65e-11 mcrdlm2_cf_w_10_000_s_12_000 = 1.46e-11 ++ mcrdlm2_ca_w_10_000_s_30_000 = 3.10e-06 mcrdlm2_cc_w_10_000_s_30_000 = 1.12e-11 mcrdlm2_cf_w_10_000_s_30_000 = 2.52e-11 ++ mcrdlm2_ca_w_40_000_s_5_000 = 3.10e-06 mcrdlm2_cc_w_40_000_s_5_000 = 6.12e-11 mcrdlm2_cf_w_40_000_s_5_000 = 7.14e-12 ++ mcrdlm2_ca_w_40_000_s_8_000 = 3.10e-06 mcrdlm2_cc_w_40_000_s_8_000 = 4.62e-11 mcrdlm2_cf_w_40_000_s_8_000 = 1.07e-11 ++ mcrdlm2_ca_w_40_000_s_10_000 = 3.10e-06 mcrdlm2_cc_w_40_000_s_10_000 = 4.03e-11 mcrdlm2_cf_w_40_000_s_10_000 = 1.28e-11 ++ mcrdlm2_ca_w_40_000_s_12_000 = 3.10e-06 mcrdlm2_cc_w_40_000_s_12_000 = 3.58e-11 mcrdlm2_cf_w_40_000_s_12_000 = 1.47e-11 ++ mcrdlm2_ca_w_40_000_s_30_000 = 3.10e-06 mcrdlm2_cc_w_40_000_s_30_000 = 1.83e-11 mcrdlm2_cf_w_40_000_s_30_000 = 2.60e-11 ++ mcrdlm3_ca_w_10_000_s_5_000 = 3.50e-06 mcrdlm3_cc_w_10_000_s_5_000 = 4.89e-11 mcrdlm3_cf_w_10_000_s_5_000 = 7.87e-12 ++ mcrdlm3_ca_w_10_000_s_8_000 = 3.50e-06 mcrdlm3_cc_w_10_000_s_8_000 = 3.49e-11 mcrdlm3_cf_w_10_000_s_8_000 = 1.17e-11 ++ mcrdlm3_ca_w_10_000_s_10_000 = 3.50e-06 mcrdlm3_cc_w_10_000_s_10_000 = 2.95e-11 mcrdlm3_cf_w_10_000_s_10_000 = 1.39e-11 ++ mcrdlm3_ca_w_10_000_s_12_000 = 3.50e-06 mcrdlm3_cc_w_10_000_s_12_000 = 2.55e-11 mcrdlm3_cf_w_10_000_s_12_000 = 1.59e-11 ++ mcrdlm3_ca_w_10_000_s_30_000 = 3.50e-06 mcrdlm3_cc_w_10_000_s_30_000 = 1.06e-11 mcrdlm3_cf_w_10_000_s_30_000 = 2.67e-11 ++ mcrdlm3_ca_w_40_000_s_5_000 = 3.50e-06 mcrdlm3_cc_w_40_000_s_5_000 = 6.02e-11 mcrdlm3_cf_w_40_000_s_5_000 = 7.93e-12 ++ mcrdlm3_ca_w_40_000_s_8_000 = 3.50e-06 mcrdlm3_cc_w_40_000_s_8_000 = 4.52e-11 mcrdlm3_cf_w_40_000_s_8_000 = 1.18e-11 ++ mcrdlm3_ca_w_40_000_s_10_000 = 3.50e-06 mcrdlm3_cc_w_40_000_s_10_000 = 3.93e-11 mcrdlm3_cf_w_40_000_s_10_000 = 1.40e-11 ++ mcrdlm3_ca_w_40_000_s_12_000 = 3.50e-06 mcrdlm3_cc_w_40_000_s_12_000 = 3.50e-11 mcrdlm3_cf_w_40_000_s_12_000 = 1.60e-11 ++ mcrdlm3_ca_w_40_000_s_30_000 = 3.50e-06 mcrdlm3_cc_w_40_000_s_30_000 = 1.77e-11 mcrdlm3_cf_w_40_000_s_30_000 = 2.77e-11 ++ mcrdlm4_ca_w_10_000_s_5_000 = 4.00e-06 mcrdlm4_cc_w_10_000_s_5_000 = 4.78e-11 mcrdlm4_cf_w_10_000_s_5_000 = 8.79e-12 ++ mcrdlm4_ca_w_10_000_s_8_000 = 4.00e-06 mcrdlm4_cc_w_10_000_s_8_000 = 3.38e-11 mcrdlm4_cf_w_10_000_s_8_000 = 1.30e-11 ++ mcrdlm4_ca_w_10_000_s_10_000 = 4.00e-06 mcrdlm4_cc_w_10_000_s_10_000 = 2.85e-11 mcrdlm4_cf_w_10_000_s_10_000 = 1.54e-11 ++ mcrdlm4_ca_w_10_000_s_12_000 = 4.00e-06 mcrdlm4_cc_w_10_000_s_12_000 = 2.45e-11 mcrdlm4_cf_w_10_000_s_12_000 = 1.75e-11 ++ mcrdlm4_ca_w_10_000_s_30_000 = 4.00e-06 mcrdlm4_cc_w_10_000_s_30_000 = 9.97e-12 mcrdlm4_cf_w_10_000_s_30_000 = 2.84e-11 ++ mcrdlm4_ca_w_40_000_s_5_000 = 4.00e-06 mcrdlm4_cc_w_40_000_s_5_000 = 5.90e-11 mcrdlm4_cf_w_40_000_s_5_000 = 8.71e-12 ++ mcrdlm4_ca_w_40_000_s_8_000 = 4.00e-06 mcrdlm4_cc_w_40_000_s_8_000 = 4.41e-11 mcrdlm4_cf_w_40_000_s_8_000 = 1.29e-11 ++ mcrdlm4_ca_w_40_000_s_10_000 = 4.00e-06 mcrdlm4_cc_w_40_000_s_10_000 = 3.83e-11 mcrdlm4_cf_w_40_000_s_10_000 = 1.53e-11 ++ mcrdlm4_ca_w_40_000_s_12_000 = 4.00e-06 mcrdlm4_cc_w_40_000_s_12_000 = 3.40e-11 mcrdlm4_cf_w_40_000_s_12_000 = 1.75e-11 ++ mcrdlm4_ca_w_40_000_s_30_000 = 4.00e-06 mcrdlm4_cc_w_40_000_s_30_000 = 1.70e-11 mcrdlm4_cf_w_40_000_s_30_000 = 2.94e-11 ++ mcrdlm5_ca_w_10_000_s_5_000 = 5.44e-06 mcrdlm5_cc_w_10_000_s_5_000 = 4.54e-11 mcrdlm5_cf_w_10_000_s_5_000 = 1.14e-11 ++ mcrdlm5_ca_w_10_000_s_8_000 = 5.44e-06 mcrdlm5_cc_w_10_000_s_8_000 = 3.16e-11 mcrdlm5_cf_w_10_000_s_8_000 = 1.64e-11 ++ mcrdlm5_ca_w_10_000_s_10_000 = 5.44e-06 mcrdlm5_cc_w_10_000_s_10_000 = 2.63e-11 mcrdlm5_cf_w_10_000_s_10_000 = 1.92e-11 ++ mcrdlm5_ca_w_10_000_s_12_000 = 5.44e-06 mcrdlm5_cc_w_10_000_s_12_000 = 2.25e-11 mcrdlm5_cf_w_10_000_s_12_000 = 2.15e-11 ++ mcrdlm5_ca_w_10_000_s_30_000 = 5.44e-06 mcrdlm5_cc_w_10_000_s_30_000 = 8.70e-12 mcrdlm5_cf_w_10_000_s_30_000 = 3.26e-11 ++ mcrdlm5_ca_w_40_000_s_5_000 = 5.44e-06 mcrdlm5_cc_w_40_000_s_5_000 = 5.67e-11 mcrdlm5_cf_w_40_000_s_5_000 = 1.14e-11 ++ mcrdlm5_ca_w_40_000_s_8_000 = 5.44e-06 mcrdlm5_cc_w_40_000_s_8_000 = 4.19e-11 mcrdlm5_cf_w_40_000_s_8_000 = 1.64e-11 ++ mcrdlm5_ca_w_40_000_s_10_000 = 5.44e-06 mcrdlm5_cc_w_40_000_s_10_000 = 3.62e-11 mcrdlm5_cf_w_40_000_s_10_000 = 1.92e-11 ++ mcrdlm5_ca_w_40_000_s_12_000 = 5.44e-06 mcrdlm5_cc_w_40_000_s_12_000 = 3.20e-11 mcrdlm5_cf_w_40_000_s_12_000 = 2.16e-11 ++ mcrdlm5_ca_w_40_000_s_30_000 = 5.44e-06 mcrdlm5_cc_w_40_000_s_30_000 = 1.57e-11 mcrdlm5_cf_w_40_000_s_30_000 = 3.38e-11 ++ mcl1p1f_ca_w_0_150_s_0_210 = 2.00e-04 mcl1p1f_cc_w_0_150_s_0_210 = 6.42e-11 mcl1p1f_cf_w_0_150_s_0_210 = 1.91e-11 ++ mcl1p1f_ca_w_0_150_s_0_263 = 2.00e-04 mcl1p1f_cc_w_0_150_s_0_263 = 4.90e-11 mcl1p1f_cf_w_0_150_s_0_263 = 2.32e-11 ++ mcl1p1f_ca_w_0_150_s_0_315 = 2.00e-04 mcl1p1f_cc_w_0_150_s_0_315 = 3.87e-11 mcl1p1f_cf_w_0_150_s_0_315 = 2.68e-11 ++ mcl1p1f_ca_w_0_150_s_0_420 = 2.00e-04 mcl1p1f_cc_w_0_150_s_0_420 = 2.56e-11 mcl1p1f_cf_w_0_150_s_0_420 = 3.33e-11 ++ mcl1p1f_ca_w_0_150_s_0_525 = 2.00e-04 mcl1p1f_cc_w_0_150_s_0_525 = 1.74e-11 mcl1p1f_cf_w_0_150_s_0_525 = 3.84e-11 ++ mcl1p1f_ca_w_0_150_s_0_630 = 2.00e-04 mcl1p1f_cc_w_0_150_s_0_630 = 1.21e-11 mcl1p1f_cf_w_0_150_s_0_630 = 4.23e-11 ++ mcl1p1f_ca_w_0_150_s_0_840 = 2.00e-04 mcl1p1f_cc_w_0_150_s_0_840 = 5.89e-12 mcl1p1f_cf_w_0_150_s_0_840 = 4.74e-11 ++ mcl1p1f_ca_w_0_150_s_1_260 = 2.00e-04 mcl1p1f_cc_w_0_150_s_1_260 = 1.52e-12 mcl1p1f_cf_w_0_150_s_1_260 = 5.15e-11 ++ mcl1p1f_ca_w_0_150_s_2_310 = 2.00e-04 mcl1p1f_cc_w_0_150_s_2_310 = 1.10e-13 mcl1p1f_cf_w_0_150_s_2_310 = 5.29e-11 ++ mcl1p1f_ca_w_0_150_s_5_250 = 2.00e-04 mcl1p1f_cc_w_0_150_s_5_250 = 5.00e-15 mcl1p1f_cf_w_0_150_s_5_250 = 5.30e-11 ++ mcl1p1f_ca_w_1_200_s_0_210 = 2.00e-04 mcl1p1f_cc_w_1_200_s_0_210 = 6.64e-11 mcl1p1f_cf_w_1_200_s_0_210 = 1.90e-11 ++ mcl1p1f_ca_w_1_200_s_0_263 = 2.00e-04 mcl1p1f_cc_w_1_200_s_0_263 = 5.07e-11 mcl1p1f_cf_w_1_200_s_0_263 = 2.31e-11 ++ mcl1p1f_ca_w_1_200_s_0_315 = 2.00e-04 mcl1p1f_cc_w_1_200_s_0_315 = 4.02e-11 mcl1p1f_cf_w_1_200_s_0_315 = 2.69e-11 ++ mcl1p1f_ca_w_1_200_s_0_420 = 2.00e-04 mcl1p1f_cc_w_1_200_s_0_420 = 2.66e-11 mcl1p1f_cf_w_1_200_s_0_420 = 3.34e-11 ++ mcl1p1f_ca_w_1_200_s_0_525 = 2.00e-04 mcl1p1f_cc_w_1_200_s_0_525 = 1.81e-11 mcl1p1f_cf_w_1_200_s_0_525 = 3.86e-11 ++ mcl1p1f_ca_w_1_200_s_0_630 = 2.00e-04 mcl1p1f_cc_w_1_200_s_0_630 = 1.26e-11 mcl1p1f_cf_w_1_200_s_0_630 = 4.26e-11 ++ mcl1p1f_ca_w_1_200_s_0_840 = 2.00e-04 mcl1p1f_cc_w_1_200_s_0_840 = 6.20e-12 mcl1p1f_cf_w_1_200_s_0_840 = 4.80e-11 ++ mcl1p1f_ca_w_1_200_s_1_260 = 2.00e-04 mcl1p1f_cc_w_1_200_s_1_260 = 1.60e-12 mcl1p1f_cf_w_1_200_s_1_260 = 5.23e-11 ++ mcl1p1f_ca_w_1_200_s_2_310 = 2.00e-04 mcl1p1f_cc_w_1_200_s_2_310 = 1.50e-13 mcl1p1f_cf_w_1_200_s_2_310 = 5.37e-11 ++ mcl1p1f_ca_w_1_200_s_5_250 = 2.00e-04 mcl1p1f_cc_w_1_200_s_5_250 = 0.00e+00 mcl1p1f_cf_w_1_200_s_5_250 = 5.38e-11 ++ mcm1p1f_ca_w_0_150_s_0_210 = 1.51e-04 mcm1p1f_cc_w_0_150_s_0_210 = 6.98e-11 mcm1p1f_cf_w_0_150_s_0_210 = 1.48e-11 ++ mcm1p1f_ca_w_0_150_s_0_263 = 1.51e-04 mcm1p1f_cc_w_0_150_s_0_263 = 5.50e-11 mcm1p1f_cf_w_0_150_s_0_263 = 1.80e-11 ++ mcm1p1f_ca_w_0_150_s_0_315 = 1.51e-04 mcm1p1f_cc_w_0_150_s_0_315 = 4.48e-11 mcm1p1f_cf_w_0_150_s_0_315 = 2.09e-11 ++ mcm1p1f_ca_w_0_150_s_0_420 = 1.51e-04 mcm1p1f_cc_w_0_150_s_0_420 = 3.17e-11 mcm1p1f_cf_w_0_150_s_0_420 = 2.64e-11 ++ mcm1p1f_ca_w_0_150_s_0_525 = 1.51e-04 mcm1p1f_cc_w_0_150_s_0_525 = 2.33e-11 mcm1p1f_cf_w_0_150_s_0_525 = 3.09e-11 ++ mcm1p1f_ca_w_0_150_s_0_630 = 1.51e-04 mcm1p1f_cc_w_0_150_s_0_630 = 1.75e-11 mcm1p1f_cf_w_0_150_s_0_630 = 3.45e-11 ++ mcm1p1f_ca_w_0_150_s_0_840 = 1.51e-04 mcm1p1f_cc_w_0_150_s_0_840 = 1.03e-11 mcm1p1f_cf_w_0_150_s_0_840 = 4.00e-11 ++ mcm1p1f_ca_w_0_150_s_1_260 = 1.51e-04 mcm1p1f_cc_w_0_150_s_1_260 = 3.78e-12 mcm1p1f_cf_w_0_150_s_1_260 = 4.57e-11 ++ mcm1p1f_ca_w_0_150_s_2_310 = 1.51e-04 mcm1p1f_cc_w_0_150_s_2_310 = 3.70e-13 mcm1p1f_cf_w_0_150_s_2_310 = 4.88e-11 ++ mcm1p1f_ca_w_0_150_s_5_250 = 1.51e-04 mcm1p1f_cc_w_0_150_s_5_250 = 4.00e-14 mcm1p1f_cf_w_0_150_s_5_250 = 4.92e-11 ++ mcm1p1f_ca_w_1_200_s_0_210 = 1.51e-04 mcm1p1f_cc_w_1_200_s_0_210 = 7.52e-11 mcm1p1f_cf_w_1_200_s_0_210 = 1.47e-11 ++ mcm1p1f_ca_w_1_200_s_0_263 = 1.51e-04 mcm1p1f_cc_w_1_200_s_0_263 = 5.93e-11 mcm1p1f_cf_w_1_200_s_0_263 = 1.79e-11 ++ mcm1p1f_ca_w_1_200_s_0_315 = 1.51e-04 mcm1p1f_cc_w_1_200_s_0_315 = 4.87e-11 mcm1p1f_cf_w_1_200_s_0_315 = 2.09e-11 ++ mcm1p1f_ca_w_1_200_s_0_420 = 1.51e-04 mcm1p1f_cc_w_1_200_s_0_420 = 3.46e-11 mcm1p1f_cf_w_1_200_s_0_420 = 2.64e-11 ++ mcm1p1f_ca_w_1_200_s_0_525 = 1.51e-04 mcm1p1f_cc_w_1_200_s_0_525 = 2.57e-11 mcm1p1f_cf_w_1_200_s_0_525 = 3.11e-11 ++ mcm1p1f_ca_w_1_200_s_0_630 = 1.51e-04 mcm1p1f_cc_w_1_200_s_0_630 = 1.95e-11 mcm1p1f_cf_w_1_200_s_0_630 = 3.49e-11 ++ mcm1p1f_ca_w_1_200_s_0_840 = 1.51e-04 mcm1p1f_cc_w_1_200_s_0_840 = 1.16e-11 mcm1p1f_cf_w_1_200_s_0_840 = 4.07e-11 ++ mcm1p1f_ca_w_1_200_s_1_260 = 1.51e-04 mcm1p1f_cc_w_1_200_s_1_260 = 4.37e-12 mcm1p1f_cf_w_1_200_s_1_260 = 4.70e-11 ++ mcm1p1f_ca_w_1_200_s_2_310 = 1.51e-04 mcm1p1f_cc_w_1_200_s_2_310 = 4.60e-13 mcm1p1f_cf_w_1_200_s_2_310 = 5.07e-11 ++ mcm1p1f_ca_w_1_200_s_5_250 = 1.51e-04 mcm1p1f_cc_w_1_200_s_5_250 = 0.00e+00 mcm1p1f_cf_w_1_200_s_5_250 = 5.11e-11 ++ mcm2p1f_ca_w_0_150_s_0_210 = 1.31e-04 mcm2p1f_cc_w_0_150_s_0_210 = 7.25e-11 mcm2p1f_cf_w_0_150_s_0_210 = 1.28e-11 ++ mcm2p1f_ca_w_0_150_s_0_263 = 1.31e-04 mcm2p1f_cc_w_0_150_s_0_263 = 5.80e-11 mcm2p1f_cf_w_0_150_s_0_263 = 1.56e-11 ++ mcm2p1f_ca_w_0_150_s_0_315 = 1.31e-04 mcm2p1f_cc_w_0_150_s_0_315 = 4.81e-11 mcm2p1f_cf_w_0_150_s_0_315 = 1.82e-11 ++ mcm2p1f_ca_w_0_150_s_0_420 = 1.31e-04 mcm2p1f_cc_w_0_150_s_0_420 = 3.53e-11 mcm2p1f_cf_w_0_150_s_0_420 = 2.30e-11 ++ mcm2p1f_ca_w_0_150_s_0_525 = 1.31e-04 mcm2p1f_cc_w_0_150_s_0_525 = 2.71e-11 mcm2p1f_cf_w_0_150_s_0_525 = 2.71e-11 ++ mcm2p1f_ca_w_0_150_s_0_630 = 1.31e-04 mcm2p1f_cc_w_0_150_s_0_630 = 2.13e-11 mcm2p1f_cf_w_0_150_s_0_630 = 3.05e-11 ++ mcm2p1f_ca_w_0_150_s_0_840 = 1.31e-04 mcm2p1f_cc_w_0_150_s_0_840 = 1.37e-11 mcm2p1f_cf_w_0_150_s_0_840 = 3.58e-11 ++ mcm2p1f_ca_w_0_150_s_1_260 = 1.31e-04 mcm2p1f_cc_w_0_150_s_1_260 = 6.29e-12 mcm2p1f_cf_w_0_150_s_1_260 = 4.20e-11 ++ mcm2p1f_ca_w_0_150_s_2_310 = 1.31e-04 mcm2p1f_cc_w_0_150_s_2_310 = 1.12e-12 mcm2p1f_cf_w_0_150_s_2_310 = 4.67e-11 ++ mcm2p1f_ca_w_0_150_s_5_250 = 1.31e-04 mcm2p1f_cc_w_0_150_s_5_250 = 5.00e-14 mcm2p1f_cf_w_0_150_s_5_250 = 4.78e-11 ++ mcm2p1f_ca_w_1_200_s_0_210 = 1.31e-04 mcm2p1f_cc_w_1_200_s_0_210 = 8.17e-11 mcm2p1f_cf_w_1_200_s_0_210 = 1.27e-11 ++ mcm2p1f_ca_w_1_200_s_0_263 = 1.31e-04 mcm2p1f_cc_w_1_200_s_0_263 = 6.59e-11 mcm2p1f_cf_w_1_200_s_0_263 = 1.56e-11 ++ mcm2p1f_ca_w_1_200_s_0_315 = 1.31e-04 mcm2p1f_cc_w_1_200_s_0_315 = 5.52e-11 mcm2p1f_cf_w_1_200_s_0_315 = 1.82e-11 ++ mcm2p1f_ca_w_1_200_s_0_420 = 1.31e-04 mcm2p1f_cc_w_1_200_s_0_420 = 4.11e-11 mcm2p1f_cf_w_1_200_s_0_420 = 2.30e-11 ++ mcm2p1f_ca_w_1_200_s_0_525 = 1.31e-04 mcm2p1f_cc_w_1_200_s_0_525 = 3.20e-11 mcm2p1f_cf_w_1_200_s_0_525 = 2.72e-11 ++ mcm2p1f_ca_w_1_200_s_0_630 = 1.31e-04 mcm2p1f_cc_w_1_200_s_0_630 = 2.56e-11 mcm2p1f_cf_w_1_200_s_0_630 = 3.08e-11 ++ mcm2p1f_ca_w_1_200_s_0_840 = 1.31e-04 mcm2p1f_cc_w_1_200_s_0_840 = 1.70e-11 mcm2p1f_cf_w_1_200_s_0_840 = 3.65e-11 ++ mcm2p1f_ca_w_1_200_s_1_260 = 1.31e-04 mcm2p1f_cc_w_1_200_s_1_260 = 8.21e-12 mcm2p1f_cf_w_1_200_s_1_260 = 4.36e-11 ++ mcm2p1f_ca_w_1_200_s_2_310 = 1.31e-04 mcm2p1f_cc_w_1_200_s_2_310 = 1.59e-12 mcm2p1f_cf_w_1_200_s_2_310 = 4.97e-11 ++ mcm2p1f_ca_w_1_200_s_5_250 = 1.31e-04 mcm2p1f_cc_w_1_200_s_5_250 = 3.50e-14 mcm2p1f_cf_w_1_200_s_5_250 = 5.12e-11 ++ mcm3p1f_ca_w_0_150_s_0_210 = 1.22e-04 mcm3p1f_cc_w_0_150_s_0_210 = 7.38e-11 mcm3p1f_cf_w_0_150_s_0_210 = 1.19e-11 ++ mcm3p1f_ca_w_0_150_s_0_263 = 1.22e-04 mcm3p1f_cc_w_0_150_s_0_263 = 5.94e-11 mcm3p1f_cf_w_0_150_s_0_263 = 1.45e-11 ++ mcm3p1f_ca_w_0_150_s_0_315 = 1.22e-04 mcm3p1f_cc_w_0_150_s_0_315 = 4.99e-11 mcm3p1f_cf_w_0_150_s_0_315 = 1.69e-11 ++ mcm3p1f_ca_w_0_150_s_0_420 = 1.22e-04 mcm3p1f_cc_w_0_150_s_0_420 = 3.71e-11 mcm3p1f_cf_w_0_150_s_0_420 = 2.14e-11 ++ mcm3p1f_ca_w_0_150_s_0_525 = 1.22e-04 mcm3p1f_cc_w_0_150_s_0_525 = 2.91e-11 mcm3p1f_cf_w_0_150_s_0_525 = 2.53e-11 ++ mcm3p1f_ca_w_0_150_s_0_630 = 1.22e-04 mcm3p1f_cc_w_0_150_s_0_630 = 2.34e-11 mcm3p1f_cf_w_0_150_s_0_630 = 2.85e-11 ++ mcm3p1f_ca_w_0_150_s_0_840 = 1.22e-04 mcm3p1f_cc_w_0_150_s_0_840 = 1.58e-11 mcm3p1f_cf_w_0_150_s_0_840 = 3.37e-11 ++ mcm3p1f_ca_w_0_150_s_1_260 = 1.22e-04 mcm3p1f_cc_w_0_150_s_1_260 = 7.92e-12 mcm3p1f_cf_w_0_150_s_1_260 = 4.01e-11 ++ mcm3p1f_ca_w_0_150_s_2_310 = 1.22e-04 mcm3p1f_cc_w_0_150_s_2_310 = 1.95e-12 mcm3p1f_cf_w_0_150_s_2_310 = 4.55e-11 ++ mcm3p1f_ca_w_0_150_s_5_250 = 1.22e-04 mcm3p1f_cc_w_0_150_s_5_250 = 1.05e-13 mcm3p1f_cf_w_0_150_s_5_250 = 4.73e-11 ++ mcm3p1f_ca_w_1_200_s_0_210 = 1.22e-04 mcm3p1f_cc_w_1_200_s_0_210 = 8.57e-11 mcm3p1f_cf_w_1_200_s_0_210 = 1.19e-11 ++ mcm3p1f_ca_w_1_200_s_0_263 = 1.22e-04 mcm3p1f_cc_w_1_200_s_0_263 = 6.98e-11 mcm3p1f_cf_w_1_200_s_0_263 = 1.45e-11 ++ mcm3p1f_ca_w_1_200_s_0_315 = 1.22e-04 mcm3p1f_cc_w_1_200_s_0_315 = 5.93e-11 mcm3p1f_cf_w_1_200_s_0_315 = 1.69e-11 ++ mcm3p1f_ca_w_1_200_s_0_420 = 1.22e-04 mcm3p1f_cc_w_1_200_s_0_420 = 4.51e-11 mcm3p1f_cf_w_1_200_s_0_420 = 2.14e-11 ++ mcm3p1f_ca_w_1_200_s_0_525 = 1.22e-04 mcm3p1f_cc_w_1_200_s_0_525 = 3.60e-11 mcm3p1f_cf_w_1_200_s_0_525 = 2.54e-11 ++ mcm3p1f_ca_w_1_200_s_0_630 = 1.22e-04 mcm3p1f_cc_w_1_200_s_0_630 = 2.96e-11 mcm3p1f_cf_w_1_200_s_0_630 = 2.88e-11 ++ mcm3p1f_ca_w_1_200_s_0_840 = 1.22e-04 mcm3p1f_cc_w_1_200_s_0_840 = 2.08e-11 mcm3p1f_cf_w_1_200_s_0_840 = 3.44e-11 ++ mcm3p1f_ca_w_1_200_s_1_260 = 1.22e-04 mcm3p1f_cc_w_1_200_s_1_260 = 1.13e-11 mcm3p1f_cf_w_1_200_s_1_260 = 4.16e-11 ++ mcm3p1f_ca_w_1_200_s_2_310 = 1.22e-04 mcm3p1f_cc_w_1_200_s_2_310 = 3.11e-12 mcm3p1f_cf_w_1_200_s_2_310 = 4.90e-11 ++ mcm3p1f_ca_w_1_200_s_5_250 = 1.22e-04 mcm3p1f_cc_w_1_200_s_5_250 = 1.50e-13 mcm3p1f_cf_w_1_200_s_5_250 = 5.19e-11 ++ mcm4p1f_ca_w_0_150_s_0_210 = 1.16e-04 mcm4p1f_cc_w_0_150_s_0_210 = 7.48e-11 mcm4p1f_cf_w_0_150_s_0_210 = 1.14e-11 ++ mcm4p1f_ca_w_0_150_s_0_263 = 1.16e-04 mcm4p1f_cc_w_0_150_s_0_263 = 6.03e-11 mcm4p1f_cf_w_0_150_s_0_263 = 1.38e-11 ++ mcm4p1f_ca_w_0_150_s_0_315 = 1.16e-04 mcm4p1f_cc_w_0_150_s_0_315 = 5.10e-11 mcm4p1f_cf_w_0_150_s_0_315 = 1.61e-11 ++ mcm4p1f_ca_w_0_150_s_0_420 = 1.16e-04 mcm4p1f_cc_w_0_150_s_0_420 = 3.83e-11 mcm4p1f_cf_w_0_150_s_0_420 = 2.04e-11 ++ mcm4p1f_ca_w_0_150_s_0_525 = 1.16e-04 mcm4p1f_cc_w_0_150_s_0_525 = 3.05e-11 mcm4p1f_cf_w_0_150_s_0_525 = 2.40e-11 ++ mcm4p1f_ca_w_0_150_s_0_630 = 1.16e-04 mcm4p1f_cc_w_0_150_s_0_630 = 2.49e-11 mcm4p1f_cf_w_0_150_s_0_630 = 2.72e-11 ++ mcm4p1f_ca_w_0_150_s_0_840 = 1.16e-04 mcm4p1f_cc_w_0_150_s_0_840 = 1.74e-11 mcm4p1f_cf_w_0_150_s_0_840 = 3.22e-11 ++ mcm4p1f_ca_w_0_150_s_1_260 = 1.16e-04 mcm4p1f_cc_w_0_150_s_1_260 = 9.22e-12 mcm4p1f_cf_w_0_150_s_1_260 = 3.88e-11 ++ mcm4p1f_ca_w_0_150_s_2_310 = 1.16e-04 mcm4p1f_cc_w_0_150_s_2_310 = 2.78e-12 mcm4p1f_cf_w_0_150_s_2_310 = 4.45e-11 ++ mcm4p1f_ca_w_0_150_s_5_250 = 1.16e-04 mcm4p1f_cc_w_0_150_s_5_250 = 2.45e-13 mcm4p1f_cf_w_0_150_s_5_250 = 4.71e-11 ++ mcm4p1f_ca_w_1_200_s_0_210 = 1.16e-04 mcm4p1f_cc_w_1_200_s_0_210 = 8.87e-11 mcm4p1f_cf_w_1_200_s_0_210 = 1.13e-11 ++ mcm4p1f_ca_w_1_200_s_0_263 = 1.16e-04 mcm4p1f_cc_w_1_200_s_0_263 = 7.30e-11 mcm4p1f_cf_w_1_200_s_0_263 = 1.38e-11 ++ mcm4p1f_ca_w_1_200_s_0_315 = 1.16e-04 mcm4p1f_cc_w_1_200_s_0_315 = 6.24e-11 mcm4p1f_cf_w_1_200_s_0_315 = 1.61e-11 ++ mcm4p1f_ca_w_1_200_s_0_420 = 1.16e-04 mcm4p1f_cc_w_1_200_s_0_420 = 4.83e-11 mcm4p1f_cf_w_1_200_s_0_420 = 2.04e-11 ++ mcm4p1f_ca_w_1_200_s_0_525 = 1.16e-04 mcm4p1f_cc_w_1_200_s_0_525 = 3.93e-11 mcm4p1f_cf_w_1_200_s_0_525 = 2.42e-11 ++ mcm4p1f_ca_w_1_200_s_0_630 = 1.16e-04 mcm4p1f_cc_w_1_200_s_0_630 = 3.28e-11 mcm4p1f_cf_w_1_200_s_0_630 = 2.75e-11 ++ mcm4p1f_ca_w_1_200_s_0_840 = 1.16e-04 mcm4p1f_cc_w_1_200_s_0_840 = 2.40e-11 mcm4p1f_cf_w_1_200_s_0_840 = 3.29e-11 ++ mcm4p1f_ca_w_1_200_s_1_260 = 1.16e-04 mcm4p1f_cc_w_1_200_s_1_260 = 1.42e-11 mcm4p1f_cf_w_1_200_s_1_260 = 4.01e-11 ++ mcm4p1f_ca_w_1_200_s_2_310 = 1.16e-04 mcm4p1f_cc_w_1_200_s_2_310 = 4.91e-12 mcm4p1f_cf_w_1_200_s_2_310 = 4.84e-11 ++ mcm4p1f_ca_w_1_200_s_5_250 = 1.16e-04 mcm4p1f_cc_w_1_200_s_5_250 = 4.80e-13 mcm4p1f_cf_w_1_200_s_5_250 = 5.27e-11 ++ mcm5p1f_ca_w_0_150_s_0_210 = 1.13e-04 mcm5p1f_cc_w_0_150_s_0_210 = 7.51e-11 mcm5p1f_cf_w_0_150_s_0_210 = 1.11e-11 ++ mcm5p1f_ca_w_0_150_s_0_263 = 1.13e-04 mcm5p1f_cc_w_0_150_s_0_263 = 6.08e-11 mcm5p1f_cf_w_0_150_s_0_263 = 1.34e-11 ++ mcm5p1f_ca_w_0_150_s_0_315 = 1.13e-04 mcm5p1f_cc_w_0_150_s_0_315 = 5.15e-11 mcm5p1f_cf_w_0_150_s_0_315 = 1.57e-11 ++ mcm5p1f_ca_w_0_150_s_0_420 = 1.13e-04 mcm5p1f_cc_w_0_150_s_0_420 = 3.89e-11 mcm5p1f_cf_w_0_150_s_0_420 = 1.99e-11 ++ mcm5p1f_ca_w_0_150_s_0_525 = 1.13e-04 mcm5p1f_cc_w_0_150_s_0_525 = 3.12e-11 mcm5p1f_cf_w_0_150_s_0_525 = 2.34e-11 ++ mcm5p1f_ca_w_0_150_s_0_630 = 1.13e-04 mcm5p1f_cc_w_0_150_s_0_630 = 2.56e-11 mcm5p1f_cf_w_0_150_s_0_630 = 2.65e-11 ++ mcm5p1f_ca_w_0_150_s_0_840 = 1.13e-04 mcm5p1f_cc_w_0_150_s_0_840 = 1.81e-11 mcm5p1f_cf_w_0_150_s_0_840 = 3.15e-11 ++ mcm5p1f_ca_w_0_150_s_1_260 = 1.13e-04 mcm5p1f_cc_w_0_150_s_1_260 = 9.93e-12 mcm5p1f_cf_w_0_150_s_1_260 = 3.81e-11 ++ mcm5p1f_ca_w_0_150_s_2_310 = 1.13e-04 mcm5p1f_cc_w_0_150_s_2_310 = 3.34e-12 mcm5p1f_cf_w_0_150_s_2_310 = 4.40e-11 ++ mcm5p1f_ca_w_0_150_s_5_250 = 1.13e-04 mcm5p1f_cc_w_0_150_s_5_250 = 4.63e-13 mcm5p1f_cf_w_0_150_s_5_250 = 4.69e-11 ++ mcm5p1f_ca_w_1_200_s_0_210 = 1.13e-04 mcm5p1f_cc_w_1_200_s_0_210 = 9.02e-11 mcm5p1f_cf_w_1_200_s_0_210 = 1.10e-11 ++ mcm5p1f_ca_w_1_200_s_0_263 = 1.13e-04 mcm5p1f_cc_w_1_200_s_0_263 = 7.46e-11 mcm5p1f_cf_w_1_200_s_0_263 = 1.34e-11 ++ mcm5p1f_ca_w_1_200_s_0_315 = 1.13e-04 mcm5p1f_cc_w_1_200_s_0_315 = 6.41e-11 mcm5p1f_cf_w_1_200_s_0_315 = 1.57e-11 ++ mcm5p1f_ca_w_1_200_s_0_420 = 1.13e-04 mcm5p1f_cc_w_1_200_s_0_420 = 5.02e-11 mcm5p1f_cf_w_1_200_s_0_420 = 1.98e-11 ++ mcm5p1f_ca_w_1_200_s_0_525 = 1.13e-04 mcm5p1f_cc_w_1_200_s_0_525 = 4.11e-11 mcm5p1f_cf_w_1_200_s_0_525 = 2.35e-11 ++ mcm5p1f_ca_w_1_200_s_0_630 = 1.13e-04 mcm5p1f_cc_w_1_200_s_0_630 = 3.47e-11 mcm5p1f_cf_w_1_200_s_0_630 = 2.67e-11 ++ mcm5p1f_ca_w_1_200_s_0_840 = 1.13e-04 mcm5p1f_cc_w_1_200_s_0_840 = 2.59e-11 mcm5p1f_cf_w_1_200_s_0_840 = 3.21e-11 ++ mcm5p1f_ca_w_1_200_s_1_260 = 1.13e-04 mcm5p1f_cc_w_1_200_s_1_260 = 1.60e-11 mcm5p1f_cf_w_1_200_s_1_260 = 3.94e-11 ++ mcm5p1f_ca_w_1_200_s_2_310 = 1.13e-04 mcm5p1f_cc_w_1_200_s_2_310 = 6.20e-12 mcm5p1f_cf_w_1_200_s_2_310 = 4.79e-11 ++ mcm5p1f_ca_w_1_200_s_5_250 = 1.13e-04 mcm5p1f_cc_w_1_200_s_5_250 = 8.40e-13 mcm5p1f_cf_w_1_200_s_5_250 = 5.31e-11 ++ mcrdlp1f_ca_w_0_150_s_0_210 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_0_210 = 7.57e-11 mcrdlp1f_cf_w_0_150_s_0_210 = 1.06e-11 ++ mcrdlp1f_ca_w_0_150_s_0_263 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_0_263 = 6.15e-11 mcrdlp1f_cf_w_0_150_s_0_263 = 1.28e-11 ++ mcrdlp1f_ca_w_0_150_s_0_315 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_0_315 = 5.24e-11 mcrdlp1f_cf_w_0_150_s_0_315 = 1.50e-11 ++ mcrdlp1f_ca_w_0_150_s_0_420 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_0_420 = 3.98e-11 mcrdlp1f_cf_w_0_150_s_0_420 = 1.90e-11 ++ mcrdlp1f_ca_w_0_150_s_0_525 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_0_525 = 3.23e-11 mcrdlp1f_cf_w_0_150_s_0_525 = 2.24e-11 ++ mcrdlp1f_ca_w_0_150_s_0_630 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_0_630 = 2.69e-11 mcrdlp1f_cf_w_0_150_s_0_630 = 2.53e-11 ++ mcrdlp1f_ca_w_0_150_s_0_840 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_0_840 = 1.95e-11 mcrdlp1f_cf_w_0_150_s_0_840 = 3.02e-11 ++ mcrdlp1f_ca_w_0_150_s_1_260 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_1_260 = 1.11e-11 mcrdlp1f_cf_w_0_150_s_1_260 = 3.69e-11 ++ mcrdlp1f_ca_w_0_150_s_2_310 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_2_310 = 4.42e-12 mcrdlp1f_cf_w_0_150_s_2_310 = 4.30e-11 ++ mcrdlp1f_ca_w_0_150_s_5_250 = 1.09e-04 mcrdlp1f_cc_w_0_150_s_5_250 = 8.77e-13 mcrdlp1f_cf_w_0_150_s_5_250 = 4.65e-11 ++ mcrdlp1f_ca_w_1_200_s_0_210 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_0_210 = 9.30e-11 mcrdlp1f_cf_w_1_200_s_0_210 = 1.05e-11 ++ mcrdlp1f_ca_w_1_200_s_0_263 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_0_263 = 7.73e-11 mcrdlp1f_cf_w_1_200_s_0_263 = 1.28e-11 ++ mcrdlp1f_ca_w_1_200_s_0_315 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_0_315 = 6.69e-11 mcrdlp1f_cf_w_1_200_s_0_315 = 1.50e-11 ++ mcrdlp1f_ca_w_1_200_s_0_420 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_0_420 = 5.31e-11 mcrdlp1f_cf_w_1_200_s_0_420 = 1.90e-11 ++ mcrdlp1f_ca_w_1_200_s_0_525 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_0_525 = 4.42e-11 mcrdlp1f_cf_w_1_200_s_0_525 = 2.25e-11 ++ mcrdlp1f_ca_w_1_200_s_0_630 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_0_630 = 3.79e-11 mcrdlp1f_cf_w_1_200_s_0_630 = 2.56e-11 ++ mcrdlp1f_ca_w_1_200_s_0_840 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_0_840 = 2.92e-11 mcrdlp1f_cf_w_1_200_s_0_840 = 3.08e-11 ++ mcrdlp1f_ca_w_1_200_s_1_260 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_1_260 = 1.93e-11 mcrdlp1f_cf_w_1_200_s_1_260 = 3.80e-11 ++ mcrdlp1f_ca_w_1_200_s_2_310 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_2_310 = 8.91e-12 mcrdlp1f_cf_w_1_200_s_2_310 = 4.71e-11 ++ mcrdlp1f_ca_w_1_200_s_5_250 = 1.09e-04 mcrdlp1f_cc_w_1_200_s_5_250 = 2.15e-12 mcrdlp1f_cf_w_1_200_s_5_250 = 5.35e-11 ++ mcm1l1f_ca_w_0_170_s_0_180 = 1.51e-04 mcm1l1f_cc_w_0_170_s_0_180 = 6.64e-11 mcm1l1f_cf_w_0_170_s_0_180 = 1.26e-11 ++ mcm1l1f_ca_w_0_170_s_0_225 = 1.51e-04 mcm1l1f_cc_w_0_170_s_0_225 = 5.39e-11 mcm1l1f_cf_w_0_170_s_0_225 = 1.53e-11 ++ mcm1l1f_ca_w_0_170_s_0_270 = 1.51e-04 mcm1l1f_cc_w_0_170_s_0_270 = 4.51e-11 mcm1l1f_cf_w_0_170_s_0_270 = 1.79e-11 ++ mcm1l1f_ca_w_0_170_s_0_360 = 1.51e-04 mcm1l1f_cc_w_0_170_s_0_360 = 3.31e-11 mcm1l1f_cf_w_0_170_s_0_360 = 2.27e-11 ++ mcm1l1f_ca_w_0_170_s_0_450 = 1.51e-04 mcm1l1f_cc_w_0_170_s_0_450 = 2.52e-11 mcm1l1f_cf_w_0_170_s_0_450 = 2.67e-11 ++ mcm1l1f_ca_w_0_170_s_0_540 = 1.51e-04 mcm1l1f_cc_w_0_170_s_0_540 = 1.96e-11 mcm1l1f_cf_w_0_170_s_0_540 = 3.02e-11 ++ mcm1l1f_ca_w_0_170_s_0_720 = 1.51e-04 mcm1l1f_cc_w_0_170_s_0_720 = 1.22e-11 mcm1l1f_cf_w_0_170_s_0_720 = 3.55e-11 ++ mcm1l1f_ca_w_0_170_s_1_080 = 1.51e-04 mcm1l1f_cc_w_0_170_s_1_080 = 5.14e-12 mcm1l1f_cf_w_0_170_s_1_080 = 4.15e-11 ++ mcm1l1f_ca_w_0_170_s_1_980 = 1.51e-04 mcm1l1f_cc_w_0_170_s_1_980 = 7.00e-13 mcm1l1f_cf_w_0_170_s_1_980 = 4.57e-11 ++ mcm1l1f_ca_w_0_170_s_4_500 = 1.51e-04 mcm1l1f_cc_w_0_170_s_4_500 = 0.00e+00 mcm1l1f_cf_w_0_170_s_4_500 = 4.63e-11 ++ mcm1l1f_ca_w_1_360_s_0_180 = 1.51e-04 mcm1l1f_cc_w_1_360_s_0_180 = 7.19e-11 mcm1l1f_cf_w_1_360_s_0_180 = 1.25e-11 ++ mcm1l1f_ca_w_1_360_s_0_225 = 1.51e-04 mcm1l1f_cc_w_1_360_s_0_225 = 5.86e-11 mcm1l1f_cf_w_1_360_s_0_225 = 1.53e-11 ++ mcm1l1f_ca_w_1_360_s_0_270 = 1.51e-04 mcm1l1f_cc_w_1_360_s_0_270 = 4.93e-11 mcm1l1f_cf_w_1_360_s_0_270 = 1.79e-11 ++ mcm1l1f_ca_w_1_360_s_0_360 = 1.51e-04 mcm1l1f_cc_w_1_360_s_0_360 = 3.65e-11 mcm1l1f_cf_w_1_360_s_0_360 = 2.27e-11 ++ mcm1l1f_ca_w_1_360_s_0_450 = 1.51e-04 mcm1l1f_cc_w_1_360_s_0_450 = 2.80e-11 mcm1l1f_cf_w_1_360_s_0_450 = 2.69e-11 ++ mcm1l1f_ca_w_1_360_s_0_540 = 1.51e-04 mcm1l1f_cc_w_1_360_s_0_540 = 2.19e-11 mcm1l1f_cf_w_1_360_s_0_540 = 3.05e-11 ++ mcm1l1f_ca_w_1_360_s_0_720 = 1.51e-04 mcm1l1f_cc_w_1_360_s_0_720 = 1.39e-11 mcm1l1f_cf_w_1_360_s_0_720 = 3.60e-11 ++ mcm1l1f_ca_w_1_360_s_1_080 = 1.51e-04 mcm1l1f_cc_w_1_360_s_1_080 = 5.95e-12 mcm1l1f_cf_w_1_360_s_1_080 = 4.26e-11 ++ mcm1l1f_ca_w_1_360_s_1_980 = 1.51e-04 mcm1l1f_cc_w_1_360_s_1_980 = 8.15e-13 mcm1l1f_cf_w_1_360_s_1_980 = 4.75e-11 ++ mcm1l1f_ca_w_1_360_s_4_500 = 1.51e-04 mcm1l1f_cc_w_1_360_s_4_500 = 0.00e+00 mcm1l1f_cf_w_1_360_s_4_500 = 4.83e-11 ++ mcm1l1d_ca_w_0_170_s_0_180 = 1.69e-04 mcm1l1d_cc_w_0_170_s_0_180 = 6.40e-11 mcm1l1d_cf_w_0_170_s_0_180 = 1.41e-11 ++ mcm1l1d_ca_w_0_170_s_0_225 = 1.69e-04 mcm1l1d_cc_w_0_170_s_0_225 = 5.15e-11 mcm1l1d_cf_w_0_170_s_0_225 = 1.72e-11 ++ mcm1l1d_ca_w_0_170_s_0_270 = 1.69e-04 mcm1l1d_cc_w_0_170_s_0_270 = 4.25e-11 mcm1l1d_cf_w_0_170_s_0_270 = 2.01e-11 ++ mcm1l1d_ca_w_0_170_s_0_360 = 1.69e-04 mcm1l1d_cc_w_0_170_s_0_360 = 3.03e-11 mcm1l1d_cf_w_0_170_s_0_360 = 2.53e-11 ++ mcm1l1d_ca_w_0_170_s_0_450 = 1.69e-04 mcm1l1d_cc_w_0_170_s_0_450 = 2.25e-11 mcm1l1d_cf_w_0_170_s_0_450 = 2.96e-11 ++ mcm1l1d_ca_w_0_170_s_0_540 = 1.69e-04 mcm1l1d_cc_w_0_170_s_0_540 = 1.69e-11 mcm1l1d_cf_w_0_170_s_0_540 = 3.32e-11 ++ mcm1l1d_ca_w_0_170_s_0_720 = 1.69e-04 mcm1l1d_cc_w_0_170_s_0_720 = 9.88e-12 mcm1l1d_cf_w_0_170_s_0_720 = 3.85e-11 ++ mcm1l1d_ca_w_0_170_s_1_080 = 1.69e-04 mcm1l1d_cc_w_0_170_s_1_080 = 3.53e-12 mcm1l1d_cf_w_0_170_s_1_080 = 4.41e-11 ++ mcm1l1d_ca_w_0_170_s_1_980 = 1.69e-04 mcm1l1d_cc_w_0_170_s_1_980 = 3.45e-13 mcm1l1d_cf_w_0_170_s_1_980 = 4.72e-11 ++ mcm1l1d_ca_w_0_170_s_4_500 = 1.69e-04 mcm1l1d_cc_w_0_170_s_4_500 = 3.00e-14 mcm1l1d_cf_w_0_170_s_4_500 = 4.75e-11 ++ mcm1l1d_ca_w_1_360_s_0_180 = 1.69e-04 mcm1l1d_cc_w_1_360_s_0_180 = 6.78e-11 mcm1l1d_cf_w_1_360_s_0_180 = 1.40e-11 ++ mcm1l1d_ca_w_1_360_s_0_225 = 1.69e-04 mcm1l1d_cc_w_1_360_s_0_225 = 5.46e-11 mcm1l1d_cf_w_1_360_s_0_225 = 1.71e-11 ++ mcm1l1d_ca_w_1_360_s_0_270 = 1.69e-04 mcm1l1d_cc_w_1_360_s_0_270 = 4.53e-11 mcm1l1d_cf_w_1_360_s_0_270 = 2.00e-11 ++ mcm1l1d_ca_w_1_360_s_0_360 = 1.69e-04 mcm1l1d_cc_w_1_360_s_0_360 = 3.25e-11 mcm1l1d_cf_w_1_360_s_0_360 = 2.52e-11 ++ mcm1l1d_ca_w_1_360_s_0_450 = 1.69e-04 mcm1l1d_cc_w_1_360_s_0_450 = 2.40e-11 mcm1l1d_cf_w_1_360_s_0_450 = 2.97e-11 ++ mcm1l1d_ca_w_1_360_s_0_540 = 1.69e-04 mcm1l1d_cc_w_1_360_s_0_540 = 1.83e-11 mcm1l1d_cf_w_1_360_s_0_540 = 3.34e-11 ++ mcm1l1d_ca_w_1_360_s_0_720 = 1.69e-04 mcm1l1d_cc_w_1_360_s_0_720 = 1.07e-11 mcm1l1d_cf_w_1_360_s_0_720 = 3.90e-11 ++ mcm1l1d_ca_w_1_360_s_1_080 = 1.69e-04 mcm1l1d_cc_w_1_360_s_1_080 = 3.90e-12 mcm1l1d_cf_w_1_360_s_1_080 = 4.49e-11 ++ mcm1l1d_ca_w_1_360_s_1_980 = 1.69e-04 mcm1l1d_cc_w_1_360_s_1_980 = 3.50e-13 mcm1l1d_cf_w_1_360_s_1_980 = 4.83e-11 ++ mcm1l1d_ca_w_1_360_s_4_500 = 1.69e-04 mcm1l1d_cc_w_1_360_s_4_500 = 1.29e-26 mcm1l1d_cf_w_1_360_s_4_500 = 4.86e-11 ++ mcm1l1p1_ca_w_0_170_s_0_180 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_0_180 = 5.98e-11 mcm1l1p1_cf_w_0_170_s_0_180 = 1.73e-11 ++ mcm1l1p1_ca_w_0_170_s_0_225 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_0_225 = 4.72e-11 mcm1l1p1_cf_w_0_170_s_0_225 = 2.09e-11 ++ mcm1l1p1_ca_w_0_170_s_0_270 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_0_270 = 3.81e-11 mcm1l1p1_cf_w_0_170_s_0_270 = 2.43e-11 ++ mcm1l1p1_ca_w_0_170_s_0_360 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_0_360 = 2.60e-11 mcm1l1p1_cf_w_0_170_s_0_360 = 3.03e-11 ++ mcm1l1p1_ca_w_0_170_s_0_450 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_0_450 = 1.82e-11 mcm1l1p1_cf_w_0_170_s_0_450 = 3.51e-11 ++ mcm1l1p1_ca_w_0_170_s_0_540 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_0_540 = 1.30e-11 mcm1l1p1_cf_w_0_170_s_0_540 = 3.89e-11 ++ mcm1l1p1_ca_w_0_170_s_0_720 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_0_720 = 6.77e-12 mcm1l1p1_cf_w_0_170_s_0_720 = 4.40e-11 ++ mcm1l1p1_ca_w_0_170_s_1_080 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_1_080 = 1.87e-12 mcm1l1p1_cf_w_0_170_s_1_080 = 4.85e-11 ++ mcm1l1p1_ca_w_0_170_s_1_980 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_1_980 = 9.50e-14 mcm1l1p1_cf_w_0_170_s_1_980 = 5.03e-11 ++ mcm1l1p1_ca_w_0_170_s_4_500 = 2.08e-04 mcm1l1p1_cc_w_0_170_s_4_500 = 0.00e+00 mcm1l1p1_cf_w_0_170_s_4_500 = 5.04e-11 ++ mcm1l1p1_ca_w_1_360_s_0_180 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_0_180 = 6.16e-11 mcm1l1p1_cf_w_1_360_s_0_180 = 1.71e-11 ++ mcm1l1p1_ca_w_1_360_s_0_225 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_0_225 = 4.86e-11 mcm1l1p1_cf_w_1_360_s_0_225 = 2.08e-11 ++ mcm1l1p1_ca_w_1_360_s_0_270 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_0_270 = 3.93e-11 mcm1l1p1_cf_w_1_360_s_0_270 = 2.43e-11 ++ mcm1l1p1_ca_w_1_360_s_0_360 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_0_360 = 2.69e-11 mcm1l1p1_cf_w_1_360_s_0_360 = 3.02e-11 ++ mcm1l1p1_ca_w_1_360_s_0_450 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_0_450 = 1.88e-11 mcm1l1p1_cf_w_1_360_s_0_450 = 3.52e-11 ++ mcm1l1p1_ca_w_1_360_s_0_540 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_0_540 = 1.35e-11 mcm1l1p1_cf_w_1_360_s_0_540 = 3.90e-11 ++ mcm1l1p1_ca_w_1_360_s_0_720 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_0_720 = 7.00e-12 mcm1l1p1_cf_w_1_360_s_0_720 = 4.43e-11 ++ mcm1l1p1_ca_w_1_360_s_1_080 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_1_080 = 1.95e-12 mcm1l1p1_cf_w_1_360_s_1_080 = 4.90e-11 ++ mcm1l1p1_ca_w_1_360_s_1_980 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_1_980 = 1.50e-13 mcm1l1p1_cf_w_1_360_s_1_980 = 5.08e-11 ++ mcm1l1p1_ca_w_1_360_s_4_500 = 2.08e-04 mcm1l1p1_cc_w_1_360_s_4_500 = 0.00e+00 mcm1l1p1_cf_w_1_360_s_4_500 = 5.08e-11 ++ mcm2l1f_ca_w_0_170_s_0_180 = 7.40e-05 mcm2l1f_cc_w_0_170_s_0_180 = 7.49e-11 mcm2l1f_cf_w_0_170_s_0_180 = 6.47e-12 ++ mcm2l1f_ca_w_0_170_s_0_225 = 7.40e-05 mcm2l1f_cc_w_0_170_s_0_225 = 6.27e-11 mcm2l1f_cf_w_0_170_s_0_225 = 8.02e-12 ++ mcm2l1f_ca_w_0_170_s_0_270 = 7.40e-05 mcm2l1f_cc_w_0_170_s_0_270 = 5.41e-11 mcm2l1f_cf_w_0_170_s_0_270 = 9.48e-12 ++ mcm2l1f_ca_w_0_170_s_0_360 = 7.40e-05 mcm2l1f_cc_w_0_170_s_0_360 = 4.24e-11 mcm2l1f_cf_w_0_170_s_0_360 = 1.24e-11 ++ mcm2l1f_ca_w_0_170_s_0_450 = 7.40e-05 mcm2l1f_cc_w_0_170_s_0_450 = 3.45e-11 mcm2l1f_cf_w_0_170_s_0_450 = 1.50e-11 ++ mcm2l1f_ca_w_0_170_s_0_540 = 7.40e-05 mcm2l1f_cc_w_0_170_s_0_540 = 2.87e-11 mcm2l1f_cf_w_0_170_s_0_540 = 1.75e-11 ++ mcm2l1f_ca_w_0_170_s_0_720 = 7.40e-05 mcm2l1f_cc_w_0_170_s_0_720 = 2.06e-11 mcm2l1f_cf_w_0_170_s_0_720 = 2.17e-11 ++ mcm2l1f_ca_w_0_170_s_1_080 = 7.40e-05 mcm2l1f_cc_w_0_170_s_1_080 = 1.13e-11 mcm2l1f_cf_w_0_170_s_1_080 = 2.79e-11 ++ mcm2l1f_ca_w_0_170_s_1_980 = 7.40e-05 mcm2l1f_cc_w_0_170_s_1_980 = 2.81e-12 mcm2l1f_cf_w_0_170_s_1_980 = 3.50e-11 ++ mcm2l1f_ca_w_0_170_s_4_500 = 7.40e-05 mcm2l1f_cc_w_0_170_s_4_500 = 9.50e-14 mcm2l1f_cf_w_0_170_s_4_500 = 3.76e-11 ++ mcm2l1f_ca_w_1_360_s_0_180 = 7.40e-05 mcm2l1f_cc_w_1_360_s_0_180 = 8.41e-11 mcm2l1f_cf_w_1_360_s_0_180 = 6.43e-12 ++ mcm2l1f_ca_w_1_360_s_0_225 = 7.40e-05 mcm2l1f_cc_w_1_360_s_0_225 = 7.09e-11 mcm2l1f_cf_w_1_360_s_0_225 = 7.97e-12 ++ mcm2l1f_ca_w_1_360_s_0_270 = 7.40e-05 mcm2l1f_cc_w_1_360_s_0_270 = 6.14e-11 mcm2l1f_cf_w_1_360_s_0_270 = 9.48e-12 ++ mcm2l1f_ca_w_1_360_s_0_360 = 7.40e-05 mcm2l1f_cc_w_1_360_s_0_360 = 4.83e-11 mcm2l1f_cf_w_1_360_s_0_360 = 1.24e-11 ++ mcm2l1f_ca_w_1_360_s_0_450 = 7.40e-05 mcm2l1f_cc_w_1_360_s_0_450 = 3.94e-11 mcm2l1f_cf_w_1_360_s_0_450 = 1.51e-11 ++ mcm2l1f_ca_w_1_360_s_0_540 = 7.40e-05 mcm2l1f_cc_w_1_360_s_0_540 = 3.29e-11 mcm2l1f_cf_w_1_360_s_0_540 = 1.76e-11 ++ mcm2l1f_ca_w_1_360_s_0_720 = 7.40e-05 mcm2l1f_cc_w_1_360_s_0_720 = 2.37e-11 mcm2l1f_cf_w_1_360_s_0_720 = 2.21e-11 ++ mcm2l1f_ca_w_1_360_s_1_080 = 7.40e-05 mcm2l1f_cc_w_1_360_s_1_080 = 1.32e-11 mcm2l1f_cf_w_1_360_s_1_080 = 2.88e-11 ++ mcm2l1f_ca_w_1_360_s_1_980 = 7.40e-05 mcm2l1f_cc_w_1_360_s_1_980 = 3.32e-12 mcm2l1f_cf_w_1_360_s_1_980 = 3.70e-11 ++ mcm2l1f_ca_w_1_360_s_4_500 = 7.40e-05 mcm2l1f_cc_w_1_360_s_4_500 = 1.30e-13 mcm2l1f_cf_w_1_360_s_4_500 = 4.01e-11 ++ mcm2l1d_ca_w_0_170_s_0_180 = 9.23e-05 mcm2l1d_cc_w_0_170_s_0_180 = 7.24e-11 mcm2l1d_cf_w_0_170_s_0_180 = 8.03e-12 ++ mcm2l1d_ca_w_0_170_s_0_225 = 9.23e-05 mcm2l1d_cc_w_0_170_s_0_225 = 6.02e-11 mcm2l1d_cf_w_0_170_s_0_225 = 9.90e-12 ++ mcm2l1d_ca_w_0_170_s_0_270 = 9.23e-05 mcm2l1d_cc_w_0_170_s_0_270 = 5.14e-11 mcm2l1d_cf_w_0_170_s_0_270 = 1.17e-11 ++ mcm2l1d_ca_w_0_170_s_0_360 = 9.23e-05 mcm2l1d_cc_w_0_170_s_0_360 = 3.94e-11 mcm2l1d_cf_w_0_170_s_0_360 = 1.52e-11 ++ mcm2l1d_ca_w_0_170_s_0_450 = 9.23e-05 mcm2l1d_cc_w_0_170_s_0_450 = 3.15e-11 mcm2l1d_cf_w_0_170_s_0_450 = 1.83e-11 ++ mcm2l1d_ca_w_0_170_s_0_540 = 9.23e-05 mcm2l1d_cc_w_0_170_s_0_540 = 2.56e-11 mcm2l1d_cf_w_0_170_s_0_540 = 2.11e-11 ++ mcm2l1d_ca_w_0_170_s_0_720 = 9.23e-05 mcm2l1d_cc_w_0_170_s_0_720 = 1.76e-11 mcm2l1d_cf_w_0_170_s_0_720 = 2.58e-11 ++ mcm2l1d_ca_w_0_170_s_1_080 = 9.23e-05 mcm2l1d_cc_w_0_170_s_1_080 = 8.77e-12 mcm2l1d_cf_w_0_170_s_1_080 = 3.23e-11 ++ mcm2l1d_ca_w_0_170_s_1_980 = 9.23e-05 mcm2l1d_cc_w_0_170_s_1_980 = 1.71e-12 mcm2l1d_cf_w_0_170_s_1_980 = 3.85e-11 ++ mcm2l1d_ca_w_0_170_s_4_500 = 9.23e-05 mcm2l1d_cc_w_0_170_s_4_500 = 4.50e-14 mcm2l1d_cf_w_0_170_s_4_500 = 4.01e-11 ++ mcm2l1d_ca_w_1_360_s_0_180 = 9.23e-05 mcm2l1d_cc_w_1_360_s_0_180 = 7.96e-11 mcm2l1d_cf_w_1_360_s_0_180 = 7.99e-12 ++ mcm2l1d_ca_w_1_360_s_0_225 = 9.23e-05 mcm2l1d_cc_w_1_360_s_0_225 = 6.65e-11 mcm2l1d_cf_w_1_360_s_0_225 = 9.88e-12 ++ mcm2l1d_ca_w_1_360_s_0_270 = 9.23e-05 mcm2l1d_cc_w_1_360_s_0_270 = 5.70e-11 mcm2l1d_cf_w_1_360_s_0_270 = 1.17e-11 ++ mcm2l1d_ca_w_1_360_s_0_360 = 9.23e-05 mcm2l1d_cc_w_1_360_s_0_360 = 4.39e-11 mcm2l1d_cf_w_1_360_s_0_360 = 1.52e-11 ++ mcm2l1d_ca_w_1_360_s_0_450 = 9.23e-05 mcm2l1d_cc_w_1_360_s_0_450 = 3.51e-11 mcm2l1d_cf_w_1_360_s_0_450 = 1.84e-11 ++ mcm2l1d_ca_w_1_360_s_0_540 = 9.23e-05 mcm2l1d_cc_w_1_360_s_0_540 = 2.87e-11 mcm2l1d_cf_w_1_360_s_0_540 = 2.13e-11 ++ mcm2l1d_ca_w_1_360_s_0_720 = 9.23e-05 mcm2l1d_cc_w_1_360_s_0_720 = 1.98e-11 mcm2l1d_cf_w_1_360_s_0_720 = 2.63e-11 ++ mcm2l1d_ca_w_1_360_s_1_080 = 9.23e-05 mcm2l1d_cc_w_1_360_s_1_080 = 1.01e-11 mcm2l1d_cf_w_1_360_s_1_080 = 3.33e-11 ++ mcm2l1d_ca_w_1_360_s_1_980 = 9.23e-05 mcm2l1d_cc_w_1_360_s_1_980 = 1.97e-12 mcm2l1d_cf_w_1_360_s_1_980 = 4.04e-11 ++ mcm2l1d_ca_w_1_360_s_4_500 = 9.23e-05 mcm2l1d_cc_w_1_360_s_4_500 = 9.50e-14 mcm2l1d_cf_w_1_360_s_4_500 = 4.23e-11 ++ mcm2l1p1_ca_w_0_170_s_0_180 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_0_180 = 6.82e-11 mcm2l1p1_cf_w_0_170_s_0_180 = 1.12e-11 ++ mcm2l1p1_ca_w_0_170_s_0_225 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_0_225 = 5.56e-11 mcm2l1p1_cf_w_0_170_s_0_225 = 1.38e-11 ++ mcm2l1p1_ca_w_0_170_s_0_270 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_0_270 = 4.68e-11 mcm2l1p1_cf_w_0_170_s_0_270 = 1.62e-11 ++ mcm2l1p1_ca_w_0_170_s_0_360 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_0_360 = 3.47e-11 mcm2l1p1_cf_w_0_170_s_0_360 = 2.08e-11 ++ mcm2l1p1_ca_w_0_170_s_0_450 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_0_450 = 2.68e-11 mcm2l1p1_cf_w_0_170_s_0_450 = 2.46e-11 ++ mcm2l1p1_ca_w_0_170_s_0_540 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_0_540 = 2.10e-11 mcm2l1p1_cf_w_0_170_s_0_540 = 2.80e-11 ++ mcm2l1p1_ca_w_0_170_s_0_720 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_0_720 = 1.34e-11 mcm2l1p1_cf_w_0_170_s_0_720 = 3.33e-11 ++ mcm2l1p1_ca_w_0_170_s_1_080 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_1_080 = 5.79e-12 mcm2l1p1_cf_w_0_170_s_1_080 = 3.95e-11 ++ mcm2l1p1_ca_w_0_170_s_1_980 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_1_980 = 8.00e-13 mcm2l1p1_cf_w_0_170_s_1_980 = 4.41e-11 ++ mcm2l1p1_ca_w_0_170_s_4_500 = 1.31e-04 mcm2l1p1_cc_w_0_170_s_4_500 = 5.00e-15 mcm2l1p1_cf_w_0_170_s_4_500 = 4.49e-11 ++ mcm2l1p1_ca_w_1_360_s_0_180 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_0_180 = 7.38e-11 mcm2l1p1_cf_w_1_360_s_0_180 = 1.12e-11 ++ mcm2l1p1_ca_w_1_360_s_0_225 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_0_225 = 6.07e-11 mcm2l1p1_cf_w_1_360_s_0_225 = 1.37e-11 ++ mcm2l1p1_ca_w_1_360_s_0_270 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_0_270 = 5.13e-11 mcm2l1p1_cf_w_1_360_s_0_270 = 1.62e-11 ++ mcm2l1p1_ca_w_1_360_s_0_360 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_0_360 = 3.83e-11 mcm2l1p1_cf_w_1_360_s_0_360 = 2.07e-11 ++ mcm2l1p1_ca_w_1_360_s_0_450 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_0_450 = 2.97e-11 mcm2l1p1_cf_w_1_360_s_0_450 = 2.47e-11 ++ mcm2l1p1_ca_w_1_360_s_0_540 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_0_540 = 2.35e-11 mcm2l1p1_cf_w_1_360_s_0_540 = 2.82e-11 ++ mcm2l1p1_ca_w_1_360_s_0_720 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_0_720 = 1.52e-11 mcm2l1p1_cf_w_1_360_s_0_720 = 3.37e-11 ++ mcm2l1p1_ca_w_1_360_s_1_080 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_1_080 = 6.72e-12 mcm2l1p1_cf_w_1_360_s_1_080 = 4.06e-11 ++ mcm2l1p1_ca_w_1_360_s_1_980 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_1_980 = 9.95e-13 mcm2l1p1_cf_w_1_360_s_1_980 = 4.59e-11 ++ mcm2l1p1_ca_w_1_360_s_4_500 = 1.31e-04 mcm2l1p1_cc_w_1_360_s_4_500 = 6.00e-14 mcm2l1p1_cf_w_1_360_s_4_500 = 4.69e-11 ++ mcm3l1f_ca_w_0_170_s_0_180 = 5.71e-05 mcm3l1f_cc_w_0_170_s_0_180 = 7.71e-11 mcm3l1f_cf_w_0_170_s_0_180 = 5.03e-12 ++ mcm3l1f_ca_w_0_170_s_0_225 = 5.71e-05 mcm3l1f_cc_w_0_170_s_0_225 = 6.52e-11 mcm3l1f_cf_w_0_170_s_0_225 = 6.25e-12 ++ mcm3l1f_ca_w_0_170_s_0_270 = 5.71e-05 mcm3l1f_cc_w_0_170_s_0_270 = 5.70e-11 mcm3l1f_cf_w_0_170_s_0_270 = 7.41e-12 ++ mcm3l1f_ca_w_0_170_s_0_360 = 5.71e-05 mcm3l1f_cc_w_0_170_s_0_360 = 4.55e-11 mcm3l1f_cf_w_0_170_s_0_360 = 9.84e-12 ++ mcm3l1f_ca_w_0_170_s_0_450 = 5.71e-05 mcm3l1f_cc_w_0_170_s_0_450 = 3.81e-11 mcm3l1f_cf_w_0_170_s_0_450 = 1.18e-11 ++ mcm3l1f_ca_w_0_170_s_0_540 = 5.71e-05 mcm3l1f_cc_w_0_170_s_0_540 = 3.22e-11 mcm3l1f_cf_w_0_170_s_0_540 = 1.40e-11 ++ mcm3l1f_ca_w_0_170_s_0_720 = 5.71e-05 mcm3l1f_cc_w_0_170_s_0_720 = 2.43e-11 mcm3l1f_cf_w_0_170_s_0_720 = 1.76e-11 ++ mcm3l1f_ca_w_0_170_s_1_080 = 5.71e-05 mcm3l1f_cc_w_0_170_s_1_080 = 1.48e-11 mcm3l1f_cf_w_0_170_s_1_080 = 2.32e-11 ++ mcm3l1f_ca_w_0_170_s_1_980 = 5.71e-05 mcm3l1f_cc_w_0_170_s_1_980 = 4.96e-12 mcm3l1f_cf_w_0_170_s_1_980 = 3.10e-11 ++ mcm3l1f_ca_w_0_170_s_4_500 = 5.71e-05 mcm3l1f_cc_w_0_170_s_4_500 = 3.10e-13 mcm3l1f_cf_w_0_170_s_4_500 = 3.53e-11 ++ mcm3l1f_ca_w_1_360_s_0_180 = 5.71e-05 mcm3l1f_cc_w_1_360_s_0_180 = 9.02e-11 mcm3l1f_cf_w_1_360_s_0_180 = 5.01e-12 ++ mcm3l1f_ca_w_1_360_s_0_225 = 5.71e-05 mcm3l1f_cc_w_1_360_s_0_225 = 7.69e-11 mcm3l1f_cf_w_1_360_s_0_225 = 6.22e-12 ++ mcm3l1f_ca_w_1_360_s_0_270 = 5.71e-05 mcm3l1f_cc_w_1_360_s_0_270 = 6.75e-11 mcm3l1f_cf_w_1_360_s_0_270 = 7.41e-12 ++ mcm3l1f_ca_w_1_360_s_0_360 = 5.71e-05 mcm3l1f_cc_w_1_360_s_0_360 = 5.44e-11 mcm3l1f_cf_w_1_360_s_0_360 = 9.72e-12 ++ mcm3l1f_ca_w_1_360_s_0_450 = 5.71e-05 mcm3l1f_cc_w_1_360_s_0_450 = 4.55e-11 mcm3l1f_cf_w_1_360_s_0_450 = 1.19e-11 ++ mcm3l1f_ca_w_1_360_s_0_540 = 5.71e-05 mcm3l1f_cc_w_1_360_s_0_540 = 3.89e-11 mcm3l1f_cf_w_1_360_s_0_540 = 1.40e-11 ++ mcm3l1f_ca_w_1_360_s_0_720 = 5.71e-05 mcm3l1f_cc_w_1_360_s_0_720 = 2.95e-11 mcm3l1f_cf_w_1_360_s_0_720 = 1.78e-11 ++ mcm3l1f_ca_w_1_360_s_1_080 = 5.71e-05 mcm3l1f_cc_w_1_360_s_1_080 = 1.83e-11 mcm3l1f_cf_w_1_360_s_1_080 = 2.40e-11 ++ mcm3l1f_ca_w_1_360_s_1_980 = 5.71e-05 mcm3l1f_cc_w_1_360_s_1_980 = 6.38e-12 mcm3l1f_cf_w_1_360_s_1_980 = 3.31e-11 ++ mcm3l1f_ca_w_1_360_s_4_500 = 5.71e-05 mcm3l1f_cc_w_1_360_s_4_500 = 3.95e-13 mcm3l1f_cf_w_1_360_s_4_500 = 3.86e-11 ++ mcm3l1d_ca_w_0_170_s_0_180 = 7.54e-05 mcm3l1d_cc_w_0_170_s_0_180 = 7.47e-11 mcm3l1d_cf_w_0_170_s_0_180 = 6.60e-12 ++ mcm3l1d_ca_w_0_170_s_0_225 = 7.54e-05 mcm3l1d_cc_w_0_170_s_0_225 = 6.26e-11 mcm3l1d_cf_w_0_170_s_0_225 = 8.16e-12 ++ mcm3l1d_ca_w_0_170_s_0_270 = 7.54e-05 mcm3l1d_cc_w_0_170_s_0_270 = 5.43e-11 mcm3l1d_cf_w_0_170_s_0_270 = 9.66e-12 ++ mcm3l1d_ca_w_0_170_s_0_360 = 7.54e-05 mcm3l1d_cc_w_0_170_s_0_360 = 4.25e-11 mcm3l1d_cf_w_0_170_s_0_360 = 1.27e-11 ++ mcm3l1d_ca_w_0_170_s_0_450 = 7.54e-05 mcm3l1d_cc_w_0_170_s_0_450 = 3.49e-11 mcm3l1d_cf_w_0_170_s_0_450 = 1.52e-11 ++ mcm3l1d_ca_w_0_170_s_0_540 = 7.54e-05 mcm3l1d_cc_w_0_170_s_0_540 = 2.90e-11 mcm3l1d_cf_w_0_170_s_0_540 = 1.78e-11 ++ mcm3l1d_ca_w_0_170_s_0_720 = 7.54e-05 mcm3l1d_cc_w_0_170_s_0_720 = 2.10e-11 mcm3l1d_cf_w_0_170_s_0_720 = 2.20e-11 ++ mcm3l1d_ca_w_0_170_s_1_080 = 7.54e-05 mcm3l1d_cc_w_0_170_s_1_080 = 1.19e-11 mcm3l1d_cf_w_0_170_s_1_080 = 2.82e-11 ++ mcm3l1d_ca_w_0_170_s_1_980 = 7.54e-05 mcm3l1d_cc_w_0_170_s_1_980 = 3.34e-12 mcm3l1d_cf_w_0_170_s_1_980 = 3.54e-11 ++ mcm3l1d_ca_w_0_170_s_4_500 = 7.54e-05 mcm3l1d_cc_w_0_170_s_4_500 = 1.60e-13 mcm3l1d_cf_w_0_170_s_4_500 = 3.85e-11 ++ mcm3l1d_ca_w_1_360_s_0_180 = 7.54e-05 mcm3l1d_cc_w_1_360_s_0_180 = 8.58e-11 mcm3l1d_cf_w_1_360_s_0_180 = 6.57e-12 ++ mcm3l1d_ca_w_1_360_s_0_225 = 7.54e-05 mcm3l1d_cc_w_1_360_s_0_225 = 7.25e-11 mcm3l1d_cf_w_1_360_s_0_225 = 8.14e-12 ++ mcm3l1d_ca_w_1_360_s_0_270 = 7.54e-05 mcm3l1d_cc_w_1_360_s_0_270 = 6.31e-11 mcm3l1d_cf_w_1_360_s_0_270 = 9.67e-12 ++ mcm3l1d_ca_w_1_360_s_0_360 = 7.54e-05 mcm3l1d_cc_w_1_360_s_0_360 = 5.01e-11 mcm3l1d_cf_w_1_360_s_0_360 = 1.26e-11 ++ mcm3l1d_ca_w_1_360_s_0_450 = 7.54e-05 mcm3l1d_cc_w_1_360_s_0_450 = 4.12e-11 mcm3l1d_cf_w_1_360_s_0_450 = 1.53e-11 ++ mcm3l1d_ca_w_1_360_s_0_540 = 7.54e-05 mcm3l1d_cc_w_1_360_s_0_540 = 3.46e-11 mcm3l1d_cf_w_1_360_s_0_540 = 1.79e-11 ++ mcm3l1d_ca_w_1_360_s_0_720 = 7.54e-05 mcm3l1d_cc_w_1_360_s_0_720 = 2.55e-11 mcm3l1d_cf_w_1_360_s_0_720 = 2.24e-11 ++ mcm3l1d_ca_w_1_360_s_1_080 = 7.54e-05 mcm3l1d_cc_w_1_360_s_1_080 = 1.48e-11 mcm3l1d_cf_w_1_360_s_1_080 = 2.92e-11 ++ mcm3l1d_ca_w_1_360_s_1_980 = 7.54e-05 mcm3l1d_cc_w_1_360_s_1_980 = 4.42e-12 mcm3l1d_cf_w_1_360_s_1_980 = 3.78e-11 ++ mcm3l1d_ca_w_1_360_s_4_500 = 7.54e-05 mcm3l1d_cc_w_1_360_s_4_500 = 1.80e-13 mcm3l1d_cf_w_1_360_s_4_500 = 4.19e-11 ++ mcm3l1p1_ca_w_0_170_s_0_180 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_0_180 = 7.05e-11 mcm3l1p1_cf_w_0_170_s_0_180 = 9.84e-12 ++ mcm3l1p1_ca_w_0_170_s_0_225 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_0_225 = 5.82e-11 mcm3l1p1_cf_w_0_170_s_0_225 = 1.21e-11 ++ mcm3l1p1_ca_w_0_170_s_0_270 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_0_270 = 4.96e-11 mcm3l1p1_cf_w_0_170_s_0_270 = 1.42e-11 ++ mcm3l1p1_ca_w_0_170_s_0_360 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_0_360 = 3.76e-11 mcm3l1p1_cf_w_0_170_s_0_360 = 1.84e-11 ++ mcm3l1p1_ca_w_0_170_s_0_450 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_0_450 = 3.00e-11 mcm3l1p1_cf_w_0_170_s_0_450 = 2.18e-11 ++ mcm3l1p1_ca_w_0_170_s_0_540 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_0_540 = 2.42e-11 mcm3l1p1_cf_w_0_170_s_0_540 = 2.51e-11 ++ mcm3l1p1_ca_w_0_170_s_0_720 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_0_720 = 1.64e-11 mcm3l1p1_cf_w_0_170_s_0_720 = 3.01e-11 ++ mcm3l1p1_ca_w_0_170_s_1_080 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_1_080 = 8.31e-12 mcm3l1p1_cf_w_0_170_s_1_080 = 3.65e-11 ++ mcm3l1p1_ca_w_0_170_s_1_980 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_1_980 = 1.89e-12 mcm3l1p1_cf_w_0_170_s_1_980 = 4.22e-11 ++ mcm3l1p1_ca_w_0_170_s_4_500 = 1.14e-04 mcm3l1p1_cc_w_0_170_s_4_500 = 7.00e-14 mcm3l1p1_cf_w_0_170_s_4_500 = 4.40e-11 ++ mcm3l1p1_ca_w_1_360_s_0_180 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_0_180 = 7.98e-11 mcm3l1p1_cf_w_1_360_s_0_180 = 9.83e-12 ++ mcm3l1p1_ca_w_1_360_s_0_225 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_0_225 = 6.68e-11 mcm3l1p1_cf_w_1_360_s_0_225 = 1.21e-11 ++ mcm3l1p1_ca_w_1_360_s_0_270 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_0_270 = 5.72e-11 mcm3l1p1_cf_w_1_360_s_0_270 = 1.42e-11 ++ mcm3l1p1_ca_w_1_360_s_0_360 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_0_360 = 4.43e-11 mcm3l1p1_cf_w_1_360_s_0_360 = 1.83e-11 ++ mcm3l1p1_ca_w_1_360_s_0_450 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_0_450 = 3.56e-11 mcm3l1p1_cf_w_1_360_s_0_450 = 2.19e-11 ++ mcm3l1p1_ca_w_1_360_s_0_540 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_0_540 = 2.92e-11 mcm3l1p1_cf_w_1_360_s_0_540 = 2.52e-11 ++ mcm3l1p1_ca_w_1_360_s_0_720 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_0_720 = 2.05e-11 mcm3l1p1_cf_w_1_360_s_0_720 = 3.05e-11 ++ mcm3l1p1_ca_w_1_360_s_1_080 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_1_080 = 1.09e-11 mcm3l1p1_cf_w_1_360_s_1_080 = 3.78e-11 ++ mcm3l1p1_ca_w_1_360_s_1_980 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_1_980 = 2.72e-12 mcm3l1p1_cf_w_1_360_s_1_980 = 4.51e-11 ++ mcm3l1p1_ca_w_1_360_s_4_500 = 1.14e-04 mcm3l1p1_cc_w_1_360_s_4_500 = 1.05e-13 mcm3l1p1_cf_w_1_360_s_4_500 = 4.77e-11 ++ mcm4l1f_ca_w_0_170_s_0_180 = 4.86e-05 mcm4l1f_cc_w_0_170_s_0_180 = 7.83e-11 mcm4l1f_cf_w_0_170_s_0_180 = 4.30e-12 ++ mcm4l1f_ca_w_0_170_s_0_225 = 4.86e-05 mcm4l1f_cc_w_0_170_s_0_225 = 6.66e-11 mcm4l1f_cf_w_0_170_s_0_225 = 5.34e-12 ++ mcm4l1f_ca_w_0_170_s_0_270 = 4.86e-05 mcm4l1f_cc_w_0_170_s_0_270 = 5.85e-11 mcm4l1f_cf_w_0_170_s_0_270 = 6.33e-12 ++ mcm4l1f_ca_w_0_170_s_0_360 = 4.86e-05 mcm4l1f_cc_w_0_170_s_0_360 = 4.72e-11 mcm4l1f_cf_w_0_170_s_0_360 = 8.48e-12 ++ mcm4l1f_ca_w_0_170_s_0_450 = 4.86e-05 mcm4l1f_cc_w_0_170_s_0_450 = 4.00e-11 mcm4l1f_cf_w_0_170_s_0_450 = 1.02e-11 ++ mcm4l1f_ca_w_0_170_s_0_540 = 4.86e-05 mcm4l1f_cc_w_0_170_s_0_540 = 3.43e-11 mcm4l1f_cf_w_0_170_s_0_540 = 1.21e-11 ++ mcm4l1f_ca_w_0_170_s_0_720 = 4.86e-05 mcm4l1f_cc_w_0_170_s_0_720 = 2.66e-11 mcm4l1f_cf_w_0_170_s_0_720 = 1.54e-11 ++ mcm4l1f_ca_w_0_170_s_1_080 = 4.86e-05 mcm4l1f_cc_w_0_170_s_1_080 = 1.73e-11 mcm4l1f_cf_w_0_170_s_1_080 = 2.06e-11 ++ mcm4l1f_ca_w_0_170_s_1_980 = 4.86e-05 mcm4l1f_cc_w_0_170_s_1_980 = 6.95e-12 mcm4l1f_cf_w_0_170_s_1_980 = 2.83e-11 ++ mcm4l1f_ca_w_0_170_s_4_500 = 4.86e-05 mcm4l1f_cc_w_0_170_s_4_500 = 8.15e-13 mcm4l1f_cf_w_0_170_s_4_500 = 3.39e-11 ++ mcm4l1f_ca_w_1_360_s_0_180 = 4.86e-05 mcm4l1f_cc_w_1_360_s_0_180 = 9.45e-11 mcm4l1f_cf_w_1_360_s_0_180 = 4.29e-12 ++ mcm4l1f_ca_w_1_360_s_0_225 = 4.86e-05 mcm4l1f_cc_w_1_360_s_0_225 = 8.12e-11 mcm4l1f_cf_w_1_360_s_0_225 = 5.32e-12 ++ mcm4l1f_ca_w_1_360_s_0_270 = 4.86e-05 mcm4l1f_cc_w_1_360_s_0_270 = 7.19e-11 mcm4l1f_cf_w_1_360_s_0_270 = 6.35e-12 ++ mcm4l1f_ca_w_1_360_s_0_360 = 4.86e-05 mcm4l1f_cc_w_1_360_s_0_360 = 5.89e-11 mcm4l1f_cf_w_1_360_s_0_360 = 8.33e-12 ++ mcm4l1f_ca_w_1_360_s_0_450 = 4.86e-05 mcm4l1f_cc_w_1_360_s_0_450 = 5.00e-11 mcm4l1f_cf_w_1_360_s_0_450 = 1.02e-11 ++ mcm4l1f_ca_w_1_360_s_0_540 = 4.86e-05 mcm4l1f_cc_w_1_360_s_0_540 = 4.35e-11 mcm4l1f_cf_w_1_360_s_0_540 = 1.21e-11 ++ mcm4l1f_ca_w_1_360_s_0_720 = 4.86e-05 mcm4l1f_cc_w_1_360_s_0_720 = 3.41e-11 mcm4l1f_cf_w_1_360_s_0_720 = 1.54e-11 ++ mcm4l1f_ca_w_1_360_s_1_080 = 4.86e-05 mcm4l1f_cc_w_1_360_s_1_080 = 2.28e-11 mcm4l1f_cf_w_1_360_s_1_080 = 2.11e-11 ++ mcm4l1f_ca_w_1_360_s_1_980 = 4.86e-05 mcm4l1f_cc_w_1_360_s_1_980 = 9.76e-12 mcm4l1f_cf_w_1_360_s_1_980 = 3.03e-11 ++ mcm4l1f_ca_w_1_360_s_4_500 = 4.86e-05 mcm4l1f_cc_w_1_360_s_4_500 = 1.23e-12 mcm4l1f_cf_w_1_360_s_4_500 = 3.80e-11 ++ mcm4l1d_ca_w_0_170_s_0_180 = 6.70e-05 mcm4l1d_cc_w_0_170_s_0_180 = 7.59e-11 mcm4l1d_cf_w_0_170_s_0_180 = 5.86e-12 ++ mcm4l1d_ca_w_0_170_s_0_225 = 6.70e-05 mcm4l1d_cc_w_0_170_s_0_225 = 6.39e-11 mcm4l1d_cf_w_0_170_s_0_225 = 7.26e-12 ++ mcm4l1d_ca_w_0_170_s_0_270 = 6.70e-05 mcm4l1d_cc_w_0_170_s_0_270 = 5.58e-11 mcm4l1d_cf_w_0_170_s_0_270 = 8.60e-12 ++ mcm4l1d_ca_w_0_170_s_0_360 = 6.70e-05 mcm4l1d_cc_w_0_170_s_0_360 = 4.42e-11 mcm4l1d_cf_w_0_170_s_0_360 = 1.14e-11 ++ mcm4l1d_ca_w_0_170_s_0_450 = 6.70e-05 mcm4l1d_cc_w_0_170_s_0_450 = 3.68e-11 mcm4l1d_cf_w_0_170_s_0_450 = 1.36e-11 ++ mcm4l1d_ca_w_0_170_s_0_540 = 6.70e-05 mcm4l1d_cc_w_0_170_s_0_540 = 3.09e-11 mcm4l1d_cf_w_0_170_s_0_540 = 1.61e-11 ++ mcm4l1d_ca_w_0_170_s_0_720 = 6.70e-05 mcm4l1d_cc_w_0_170_s_0_720 = 2.31e-11 mcm4l1d_cf_w_0_170_s_0_720 = 2.00e-11 ++ mcm4l1d_ca_w_0_170_s_1_080 = 6.70e-05 mcm4l1d_cc_w_0_170_s_1_080 = 1.40e-11 mcm4l1d_cf_w_0_170_s_1_080 = 2.60e-11 ++ mcm4l1d_ca_w_0_170_s_1_980 = 6.70e-05 mcm4l1d_cc_w_0_170_s_1_980 = 4.91e-12 mcm4l1d_cf_w_0_170_s_1_980 = 3.35e-11 ++ mcm4l1d_ca_w_0_170_s_4_500 = 6.70e-05 mcm4l1d_cc_w_0_170_s_4_500 = 4.70e-13 mcm4l1d_cf_w_0_170_s_4_500 = 3.76e-11 ++ mcm4l1d_ca_w_1_360_s_0_180 = 6.70e-05 mcm4l1d_cc_w_1_360_s_0_180 = 9.01e-11 mcm4l1d_cf_w_1_360_s_0_180 = 5.85e-12 ++ mcm4l1d_ca_w_1_360_s_0_225 = 6.70e-05 mcm4l1d_cc_w_1_360_s_0_225 = 7.69e-11 mcm4l1d_cf_w_1_360_s_0_225 = 7.25e-12 ++ mcm4l1d_ca_w_1_360_s_0_270 = 6.70e-05 mcm4l1d_cc_w_1_360_s_0_270 = 6.75e-11 mcm4l1d_cf_w_1_360_s_0_270 = 8.62e-12 ++ mcm4l1d_ca_w_1_360_s_0_360 = 6.70e-05 mcm4l1d_cc_w_1_360_s_0_360 = 5.45e-11 mcm4l1d_cf_w_1_360_s_0_360 = 1.12e-11 ++ mcm4l1d_ca_w_1_360_s_0_450 = 6.70e-05 mcm4l1d_cc_w_1_360_s_0_450 = 4.57e-11 mcm4l1d_cf_w_1_360_s_0_450 = 1.37e-11 ++ mcm4l1d_ca_w_1_360_s_0_540 = 6.70e-05 mcm4l1d_cc_w_1_360_s_0_540 = 3.92e-11 mcm4l1d_cf_w_1_360_s_0_540 = 1.61e-11 ++ mcm4l1d_ca_w_1_360_s_0_720 = 6.70e-05 mcm4l1d_cc_w_1_360_s_0_720 = 2.99e-11 mcm4l1d_cf_w_1_360_s_0_720 = 2.02e-11 ++ mcm4l1d_ca_w_1_360_s_1_080 = 6.70e-05 mcm4l1d_cc_w_1_360_s_1_080 = 1.90e-11 mcm4l1d_cf_w_1_360_s_1_080 = 2.68e-11 ++ mcm4l1d_ca_w_1_360_s_1_980 = 6.70e-05 mcm4l1d_cc_w_1_360_s_1_980 = 7.34e-12 mcm4l1d_cf_w_1_360_s_1_980 = 3.60e-11 ++ mcm4l1d_ca_w_1_360_s_4_500 = 6.70e-05 mcm4l1d_cc_w_1_360_s_4_500 = 7.80e-13 mcm4l1d_cf_w_1_360_s_4_500 = 4.22e-11 ++ mcm4l1p1_ca_w_0_170_s_0_180 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_0_180 = 7.17e-11 mcm4l1p1_cf_w_0_170_s_0_180 = 9.12e-12 ++ mcm4l1p1_ca_w_0_170_s_0_225 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_0_225 = 5.95e-11 mcm4l1p1_cf_w_0_170_s_0_225 = 1.12e-11 ++ mcm4l1p1_ca_w_0_170_s_0_270 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_0_270 = 5.11e-11 mcm4l1p1_cf_w_0_170_s_0_270 = 1.32e-11 ++ mcm4l1p1_ca_w_0_170_s_0_360 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_0_360 = 3.92e-11 mcm4l1p1_cf_w_0_170_s_0_360 = 1.72e-11 ++ mcm4l1p1_ca_w_0_170_s_0_450 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_0_450 = 3.18e-11 mcm4l1p1_cf_w_0_170_s_0_450 = 2.03e-11 ++ mcm4l1p1_ca_w_0_170_s_0_540 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_0_540 = 2.59e-11 mcm4l1p1_cf_w_0_170_s_0_540 = 2.35e-11 ++ mcm4l1p1_ca_w_0_170_s_0_720 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_0_720 = 1.83e-11 mcm4l1p1_cf_w_0_170_s_0_720 = 2.83e-11 ++ mcm4l1p1_ca_w_0_170_s_1_080 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_1_080 = 1.00e-11 mcm4l1p1_cf_w_0_170_s_1_080 = 3.48e-11 ++ mcm4l1p1_ca_w_0_170_s_1_980 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_1_980 = 2.96e-12 mcm4l1p1_cf_w_0_170_s_1_980 = 4.10e-11 ++ mcm4l1p1_ca_w_0_170_s_4_500 = 1.06e-04 mcm4l1p1_cc_w_0_170_s_4_500 = 2.65e-13 mcm4l1p1_cf_w_0_170_s_4_500 = 4.37e-11 ++ mcm4l1p1_ca_w_1_360_s_0_180 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_0_180 = 8.41e-11 mcm4l1p1_cf_w_1_360_s_0_180 = 9.16e-12 ++ mcm4l1p1_ca_w_1_360_s_0_225 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_0_225 = 7.11e-11 mcm4l1p1_cf_w_1_360_s_0_225 = 1.13e-11 ++ mcm4l1p1_ca_w_1_360_s_0_270 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_0_270 = 6.17e-11 mcm4l1p1_cf_w_1_360_s_0_270 = 1.33e-11 ++ mcm4l1p1_ca_w_1_360_s_0_360 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_0_360 = 4.88e-11 mcm4l1p1_cf_w_1_360_s_0_360 = 1.70e-11 ++ mcm4l1p1_ca_w_1_360_s_0_450 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_0_450 = 4.00e-11 mcm4l1p1_cf_w_1_360_s_0_450 = 2.05e-11 ++ mcm4l1p1_ca_w_1_360_s_0_540 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_0_540 = 3.37e-11 mcm4l1p1_cf_w_1_360_s_0_540 = 2.36e-11 ++ mcm4l1p1_ca_w_1_360_s_0_720 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_0_720 = 2.48e-11 mcm4l1p1_cf_w_1_360_s_0_720 = 2.87e-11 ++ mcm4l1p1_ca_w_1_360_s_1_080 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_1_080 = 1.48e-11 mcm4l1p1_cf_w_1_360_s_1_080 = 3.61e-11 ++ mcm4l1p1_ca_w_1_360_s_1_980 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_1_980 = 5.02e-12 mcm4l1p1_cf_w_1_360_s_1_980 = 4.46e-11 ++ mcm4l1p1_ca_w_1_360_s_4_500 = 1.06e-04 mcm4l1p1_cc_w_1_360_s_4_500 = 4.55e-13 mcm4l1p1_cf_w_1_360_s_4_500 = 4.90e-11 ++ mcm5l1f_ca_w_0_170_s_0_180 = 4.49e-05 mcm5l1f_cc_w_0_170_s_0_180 = 7.88e-11 mcm5l1f_cf_w_0_170_s_0_180 = 3.98e-12 ++ mcm5l1f_ca_w_0_170_s_0_225 = 4.49e-05 mcm5l1f_cc_w_0_170_s_0_225 = 6.71e-11 mcm5l1f_cf_w_0_170_s_0_225 = 4.94e-12 ++ mcm5l1f_ca_w_0_170_s_0_270 = 4.49e-05 mcm5l1f_cc_w_0_170_s_0_270 = 5.92e-11 mcm5l1f_cf_w_0_170_s_0_270 = 5.86e-12 ++ mcm5l1f_ca_w_0_170_s_0_360 = 4.49e-05 mcm5l1f_cc_w_0_170_s_0_360 = 4.80e-11 mcm5l1f_cf_w_0_170_s_0_360 = 7.86e-12 ++ mcm5l1f_ca_w_0_170_s_0_450 = 4.49e-05 mcm5l1f_cc_w_0_170_s_0_450 = 4.09e-11 mcm5l1f_cf_w_0_170_s_0_450 = 9.44e-12 ++ mcm5l1f_ca_w_0_170_s_0_540 = 4.49e-05 mcm5l1f_cc_w_0_170_s_0_540 = 3.52e-11 mcm5l1f_cf_w_0_170_s_0_540 = 1.13e-11 ++ mcm5l1f_ca_w_0_170_s_0_720 = 4.49e-05 mcm5l1f_cc_w_0_170_s_0_720 = 2.77e-11 mcm5l1f_cf_w_0_170_s_0_720 = 1.43e-11 ++ mcm5l1f_ca_w_0_170_s_1_080 = 4.49e-05 mcm5l1f_cc_w_0_170_s_1_080 = 1.85e-11 mcm5l1f_cf_w_0_170_s_1_080 = 1.94e-11 ++ mcm5l1f_ca_w_0_170_s_1_980 = 4.49e-05 mcm5l1f_cc_w_0_170_s_1_980 = 8.13e-12 mcm5l1f_cf_w_0_170_s_1_980 = 2.70e-11 ++ mcm5l1f_ca_w_0_170_s_4_500 = 4.49e-05 mcm5l1f_cc_w_0_170_s_4_500 = 1.29e-12 mcm5l1f_cf_w_0_170_s_4_500 = 3.31e-11 ++ mcm5l1f_ca_w_1_360_s_0_180 = 4.49e-05 mcm5l1f_cc_w_1_360_s_0_180 = 9.67e-11 mcm5l1f_cf_w_1_360_s_0_180 = 3.97e-12 ++ mcm5l1f_ca_w_1_360_s_0_225 = 4.49e-05 mcm5l1f_cc_w_1_360_s_0_225 = 8.35e-11 mcm5l1f_cf_w_1_360_s_0_225 = 4.93e-12 ++ mcm5l1f_ca_w_1_360_s_0_270 = 4.49e-05 mcm5l1f_cc_w_1_360_s_0_270 = 7.42e-11 mcm5l1f_cf_w_1_360_s_0_270 = 5.87e-12 ++ mcm5l1f_ca_w_1_360_s_0_360 = 4.49e-05 mcm5l1f_cc_w_1_360_s_0_360 = 6.12e-11 mcm5l1f_cf_w_1_360_s_0_360 = 7.72e-12 ++ mcm5l1f_ca_w_1_360_s_0_450 = 4.49e-05 mcm5l1f_cc_w_1_360_s_0_450 = 5.24e-11 mcm5l1f_cf_w_1_360_s_0_450 = 9.51e-12 ++ mcm5l1f_ca_w_1_360_s_0_540 = 4.49e-05 mcm5l1f_cc_w_1_360_s_0_540 = 4.59e-11 mcm5l1f_cf_w_1_360_s_0_540 = 1.12e-11 ++ mcm5l1f_ca_w_1_360_s_0_720 = 4.49e-05 mcm5l1f_cc_w_1_360_s_0_720 = 3.67e-11 mcm5l1f_cf_w_1_360_s_0_720 = 1.44e-11 ++ mcm5l1f_ca_w_1_360_s_1_080 = 4.49e-05 mcm5l1f_cc_w_1_360_s_1_080 = 2.53e-11 mcm5l1f_cf_w_1_360_s_1_080 = 1.98e-11 ++ mcm5l1f_ca_w_1_360_s_1_980 = 4.49e-05 mcm5l1f_cc_w_1_360_s_1_980 = 1.20e-11 mcm5l1f_cf_w_1_360_s_1_980 = 2.90e-11 ++ mcm5l1f_ca_w_1_360_s_4_500 = 4.49e-05 mcm5l1f_cc_w_1_360_s_4_500 = 2.14e-12 mcm5l1f_cf_w_1_360_s_4_500 = 3.76e-11 ++ mcm5l1d_ca_w_0_170_s_0_180 = 6.33e-05 mcm5l1d_cc_w_0_170_s_0_180 = 7.65e-11 mcm5l1d_cf_w_0_170_s_0_180 = 5.54e-12 ++ mcm5l1d_ca_w_0_170_s_0_225 = 6.33e-05 mcm5l1d_cc_w_0_170_s_0_225 = 6.45e-11 mcm5l1d_cf_w_0_170_s_0_225 = 6.86e-12 ++ mcm5l1d_ca_w_0_170_s_0_270 = 6.33e-05 mcm5l1d_cc_w_0_170_s_0_270 = 5.64e-11 mcm5l1d_cf_w_0_170_s_0_270 = 8.13e-12 ++ mcm5l1d_ca_w_0_170_s_0_360 = 6.33e-05 mcm5l1d_cc_w_0_170_s_0_360 = 4.49e-11 mcm5l1d_cf_w_0_170_s_0_360 = 1.08e-11 ++ mcm5l1d_ca_w_0_170_s_0_450 = 6.33e-05 mcm5l1d_cc_w_0_170_s_0_450 = 3.77e-11 mcm5l1d_cf_w_0_170_s_0_450 = 1.29e-11 ++ mcm5l1d_ca_w_0_170_s_0_540 = 6.33e-05 mcm5l1d_cc_w_0_170_s_0_540 = 3.18e-11 mcm5l1d_cf_w_0_170_s_0_540 = 1.53e-11 ++ mcm5l1d_ca_w_0_170_s_0_720 = 6.33e-05 mcm5l1d_cc_w_0_170_s_0_720 = 2.41e-11 mcm5l1d_cf_w_0_170_s_0_720 = 1.91e-11 ++ mcm5l1d_ca_w_0_170_s_1_080 = 6.33e-05 mcm5l1d_cc_w_0_170_s_1_080 = 1.51e-11 mcm5l1d_cf_w_0_170_s_1_080 = 2.50e-11 ++ mcm5l1d_ca_w_0_170_s_1_980 = 6.33e-05 mcm5l1d_cc_w_0_170_s_1_980 = 5.84e-12 mcm5l1d_cf_w_0_170_s_1_980 = 3.25e-11 ++ mcm5l1d_ca_w_0_170_s_4_500 = 6.33e-05 mcm5l1d_cc_w_0_170_s_4_500 = 7.85e-13 mcm5l1d_cf_w_0_170_s_4_500 = 3.72e-11 ++ mcm5l1d_ca_w_1_360_s_0_180 = 6.33e-05 mcm5l1d_cc_w_1_360_s_0_180 = 9.23e-11 mcm5l1d_cf_w_1_360_s_0_180 = 5.53e-12 ++ mcm5l1d_ca_w_1_360_s_0_225 = 6.33e-05 mcm5l1d_cc_w_1_360_s_0_225 = 7.91e-11 mcm5l1d_cf_w_1_360_s_0_225 = 6.86e-12 ++ mcm5l1d_ca_w_1_360_s_0_270 = 6.33e-05 mcm5l1d_cc_w_1_360_s_0_270 = 6.98e-11 mcm5l1d_cf_w_1_360_s_0_270 = 8.15e-12 ++ mcm5l1d_ca_w_1_360_s_0_360 = 6.33e-05 mcm5l1d_cc_w_1_360_s_0_360 = 5.68e-11 mcm5l1d_cf_w_1_360_s_0_360 = 1.06e-11 ++ mcm5l1d_ca_w_1_360_s_0_450 = 6.33e-05 mcm5l1d_cc_w_1_360_s_0_450 = 4.81e-11 mcm5l1d_cf_w_1_360_s_0_450 = 1.30e-11 ++ mcm5l1d_ca_w_1_360_s_0_540 = 6.33e-05 mcm5l1d_cc_w_1_360_s_0_540 = 4.16e-11 mcm5l1d_cf_w_1_360_s_0_540 = 1.52e-11 ++ mcm5l1d_ca_w_1_360_s_0_720 = 6.33e-05 mcm5l1d_cc_w_1_360_s_0_720 = 3.25e-11 mcm5l1d_cf_w_1_360_s_0_720 = 1.92e-11 ++ mcm5l1d_ca_w_1_360_s_1_080 = 6.33e-05 mcm5l1d_cc_w_1_360_s_1_080 = 2.14e-11 mcm5l1d_cf_w_1_360_s_1_080 = 2.56e-11 ++ mcm5l1d_ca_w_1_360_s_1_980 = 6.33e-05 mcm5l1d_cc_w_1_360_s_1_980 = 9.30e-12 mcm5l1d_cf_w_1_360_s_1_980 = 3.51e-11 ++ mcm5l1d_ca_w_1_360_s_4_500 = 6.33e-05 mcm5l1d_cc_w_1_360_s_4_500 = 1.49e-12 mcm5l1d_cf_w_1_360_s_4_500 = 4.23e-11 ++ mcm5l1p1_ca_w_0_170_s_0_180 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_0_180 = 7.21e-11 mcm5l1p1_cf_w_0_170_s_0_180 = 8.78e-12 ++ mcm5l1p1_ca_w_0_170_s_0_225 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_0_225 = 6.01e-11 mcm5l1p1_cf_w_0_170_s_0_225 = 1.08e-11 ++ mcm5l1p1_ca_w_0_170_s_0_270 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_0_270 = 5.17e-11 mcm5l1p1_cf_w_0_170_s_0_270 = 1.27e-11 ++ mcm5l1p1_ca_w_0_170_s_0_360 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_0_360 = 4.00e-11 mcm5l1p1_cf_w_0_170_s_0_360 = 1.66e-11 ++ mcm5l1p1_ca_w_0_170_s_0_450 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_0_450 = 3.27e-11 mcm5l1p1_cf_w_0_170_s_0_450 = 1.96e-11 ++ mcm5l1p1_ca_w_0_170_s_0_540 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_0_540 = 2.68e-11 mcm5l1p1_cf_w_0_170_s_0_540 = 2.28e-11 ++ mcm5l1p1_ca_w_0_170_s_0_720 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_0_720 = 1.92e-11 mcm5l1p1_cf_w_0_170_s_0_720 = 2.76e-11 ++ mcm5l1p1_ca_w_0_170_s_1_080 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_1_080 = 1.09e-11 mcm5l1p1_cf_w_0_170_s_1_080 = 3.40e-11 ++ mcm5l1p1_ca_w_0_170_s_1_980 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_1_980 = 3.61e-12 mcm5l1p1_cf_w_0_170_s_1_980 = 4.05e-11 ++ mcm5l1p1_ca_w_0_170_s_4_500 = 1.02e-04 mcm5l1p1_cc_w_0_170_s_4_500 = 4.47e-13 mcm5l1p1_cf_w_0_170_s_4_500 = 4.35e-11 ++ mcm5l1p1_ca_w_1_360_s_0_180 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_0_180 = 8.63e-11 mcm5l1p1_cf_w_1_360_s_0_180 = 8.86e-12 ++ mcm5l1p1_ca_w_1_360_s_0_225 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_0_225 = 7.34e-11 mcm5l1p1_cf_w_1_360_s_0_225 = 1.09e-11 ++ mcm5l1p1_ca_w_1_360_s_0_270 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_0_270 = 6.39e-11 mcm5l1p1_cf_w_1_360_s_0_270 = 1.28e-11 ++ mcm5l1p1_ca_w_1_360_s_0_360 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_0_360 = 5.11e-11 mcm5l1p1_cf_w_1_360_s_0_360 = 1.65e-11 ++ mcm5l1p1_ca_w_1_360_s_0_450 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_0_450 = 4.24e-11 mcm5l1p1_cf_w_1_360_s_0_450 = 1.98e-11 ++ mcm5l1p1_ca_w_1_360_s_0_540 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_0_540 = 3.60e-11 mcm5l1p1_cf_w_1_360_s_0_540 = 2.28e-11 ++ mcm5l1p1_ca_w_1_360_s_0_720 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_0_720 = 2.72e-11 mcm5l1p1_cf_w_1_360_s_0_720 = 2.79e-11 ++ mcm5l1p1_ca_w_1_360_s_1_080 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_1_080 = 1.70e-11 mcm5l1p1_cf_w_1_360_s_1_080 = 3.53e-11 ++ mcm5l1p1_ca_w_1_360_s_1_980 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_1_980 = 6.69e-12 mcm5l1p1_cf_w_1_360_s_1_980 = 4.41e-11 ++ mcm5l1p1_ca_w_1_360_s_4_500 = 1.02e-04 mcm5l1p1_cc_w_1_360_s_4_500 = 9.85e-13 mcm5l1p1_cf_w_1_360_s_4_500 = 4.96e-11 ++ mcrdll1f_ca_w_0_170_s_0_180 = 3.97e-05 mcrdll1f_cc_w_0_170_s_0_180 = 7.95e-11 mcrdll1f_cf_w_0_170_s_0_180 = 3.51e-12 ++ mcrdll1f_ca_w_0_170_s_0_225 = 3.97e-05 mcrdll1f_cc_w_0_170_s_0_225 = 6.78e-11 mcrdll1f_cf_w_0_170_s_0_225 = 4.35e-12 ++ mcrdll1f_ca_w_0_170_s_0_270 = 3.97e-05 mcrdll1f_cc_w_0_170_s_0_270 = 6.01e-11 mcrdll1f_cf_w_0_170_s_0_270 = 5.18e-12 ++ mcrdll1f_ca_w_0_170_s_0_360 = 3.97e-05 mcrdll1f_cc_w_0_170_s_0_360 = 4.91e-11 mcrdll1f_cf_w_0_170_s_0_360 = 6.97e-12 ++ mcrdll1f_ca_w_0_170_s_0_450 = 3.97e-05 mcrdll1f_cc_w_0_170_s_0_450 = 4.22e-11 mcrdll1f_cf_w_0_170_s_0_450 = 8.36e-12 ++ mcrdll1f_ca_w_0_170_s_0_540 = 3.97e-05 mcrdll1f_cc_w_0_170_s_0_540 = 3.66e-11 mcrdll1f_cf_w_0_170_s_0_540 = 1.01e-11 ++ mcrdll1f_ca_w_0_170_s_0_720 = 3.97e-05 mcrdll1f_cc_w_0_170_s_0_720 = 2.92e-11 mcrdll1f_cf_w_0_170_s_0_720 = 1.28e-11 ++ mcrdll1f_ca_w_0_170_s_1_080 = 3.97e-05 mcrdll1f_cc_w_0_170_s_1_080 = 2.03e-11 mcrdll1f_cf_w_0_170_s_1_080 = 1.76e-11 ++ mcrdll1f_ca_w_0_170_s_1_980 = 3.97e-05 mcrdll1f_cc_w_0_170_s_1_980 = 1.02e-11 mcrdll1f_cf_w_0_170_s_1_980 = 2.49e-11 ++ mcrdll1f_ca_w_0_170_s_4_500 = 3.97e-05 mcrdll1f_cc_w_0_170_s_4_500 = 2.50e-12 mcrdll1f_cf_w_0_170_s_4_500 = 3.18e-11 ++ mcrdll1f_ca_w_1_360_s_0_180 = 3.97e-05 mcrdll1f_cc_w_1_360_s_0_180 = 1.00e-10 mcrdll1f_cf_w_1_360_s_0_180 = 3.51e-12 ++ mcrdll1f_ca_w_1_360_s_0_225 = 3.97e-05 mcrdll1f_cc_w_1_360_s_0_225 = 8.69e-11 mcrdll1f_cf_w_1_360_s_0_225 = 4.36e-12 ++ mcrdll1f_ca_w_1_360_s_0_270 = 3.97e-05 mcrdll1f_cc_w_1_360_s_0_270 = 7.76e-11 mcrdll1f_cf_w_1_360_s_0_270 = 5.19e-12 ++ mcrdll1f_ca_w_1_360_s_0_360 = 3.97e-05 mcrdll1f_cc_w_1_360_s_0_360 = 6.49e-11 mcrdll1f_cf_w_1_360_s_0_360 = 6.83e-12 ++ mcrdll1f_ca_w_1_360_s_0_450 = 3.97e-05 mcrdll1f_cc_w_1_360_s_0_450 = 5.63e-11 mcrdll1f_cf_w_1_360_s_0_450 = 8.42e-12 ++ mcrdll1f_ca_w_1_360_s_0_540 = 3.97e-05 mcrdll1f_cc_w_1_360_s_0_540 = 4.98e-11 mcrdll1f_cf_w_1_360_s_0_540 = 9.94e-12 ++ mcrdll1f_ca_w_1_360_s_0_720 = 3.97e-05 mcrdll1f_cc_w_1_360_s_0_720 = 4.08e-11 mcrdll1f_cf_w_1_360_s_0_720 = 1.28e-11 ++ mcrdll1f_ca_w_1_360_s_1_080 = 3.97e-05 mcrdll1f_cc_w_1_360_s_1_080 = 2.98e-11 mcrdll1f_cf_w_1_360_s_1_080 = 1.78e-11 ++ mcrdll1f_ca_w_1_360_s_1_980 = 3.97e-05 mcrdll1f_cc_w_1_360_s_1_980 = 1.63e-11 mcrdll1f_cf_w_1_360_s_1_980 = 2.67e-11 ++ mcrdll1f_ca_w_1_360_s_4_500 = 3.97e-05 mcrdll1f_cc_w_1_360_s_4_500 = 4.82e-12 mcrdll1f_cf_w_1_360_s_4_500 = 3.66e-11 ++ mcrdll1d_ca_w_0_170_s_0_180 = 5.80e-05 mcrdll1d_cc_w_0_170_s_0_180 = 7.71e-11 mcrdll1d_cf_w_0_170_s_0_180 = 5.08e-12 ++ mcrdll1d_ca_w_0_170_s_0_225 = 5.80e-05 mcrdll1d_cc_w_0_170_s_0_225 = 6.53e-11 mcrdll1d_cf_w_0_170_s_0_225 = 6.29e-12 ++ mcrdll1d_ca_w_0_170_s_0_270 = 5.80e-05 mcrdll1d_cc_w_0_170_s_0_270 = 5.73e-11 mcrdll1d_cf_w_0_170_s_0_270 = 7.44e-12 ++ mcrdll1d_ca_w_0_170_s_0_360 = 5.80e-05 mcrdll1d_cc_w_0_170_s_0_360 = 4.60e-11 mcrdll1d_cf_w_0_170_s_0_360 = 9.92e-12 ++ mcrdll1d_ca_w_0_170_s_0_450 = 5.80e-05 mcrdll1d_cc_w_0_170_s_0_450 = 3.89e-11 mcrdll1d_cf_w_0_170_s_0_450 = 1.19e-11 ++ mcrdll1d_ca_w_0_170_s_0_540 = 5.80e-05 mcrdll1d_cc_w_0_170_s_0_540 = 3.32e-11 mcrdll1d_cf_w_0_170_s_0_540 = 1.41e-11 ++ mcrdll1d_ca_w_0_170_s_0_720 = 5.80e-05 mcrdll1d_cc_w_0_170_s_0_720 = 2.56e-11 mcrdll1d_cf_w_0_170_s_0_720 = 1.77e-11 ++ mcrdll1d_ca_w_0_170_s_1_080 = 5.80e-05 mcrdll1d_cc_w_0_170_s_1_080 = 1.67e-11 mcrdll1d_cf_w_0_170_s_1_080 = 2.34e-11 ++ mcrdll1d_ca_w_0_170_s_1_980 = 5.80e-05 mcrdll1d_cc_w_0_170_s_1_980 = 7.48e-12 mcrdll1d_cf_w_0_170_s_1_980 = 3.09e-11 ++ mcrdll1d_ca_w_0_170_s_4_500 = 5.80e-05 mcrdll1d_cc_w_0_170_s_4_500 = 1.61e-12 mcrdll1d_cf_w_0_170_s_4_500 = 3.64e-11 ++ mcrdll1d_ca_w_1_360_s_0_180 = 5.80e-05 mcrdll1d_cc_w_1_360_s_0_180 = 9.55e-11 mcrdll1d_cf_w_1_360_s_0_180 = 5.08e-12 ++ mcrdll1d_ca_w_1_360_s_0_225 = 5.80e-05 mcrdll1d_cc_w_1_360_s_0_225 = 8.26e-11 mcrdll1d_cf_w_1_360_s_0_225 = 6.29e-12 ++ mcrdll1d_ca_w_1_360_s_0_270 = 5.80e-05 mcrdll1d_cc_w_1_360_s_0_270 = 7.32e-11 mcrdll1d_cf_w_1_360_s_0_270 = 7.47e-12 ++ mcrdll1d_ca_w_1_360_s_0_360 = 5.80e-05 mcrdll1d_cc_w_1_360_s_0_360 = 6.05e-11 mcrdll1d_cf_w_1_360_s_0_360 = 9.77e-12 ++ mcrdll1d_ca_w_1_360_s_0_450 = 5.80e-05 mcrdll1d_cc_w_1_360_s_0_450 = 5.19e-11 mcrdll1d_cf_w_1_360_s_0_450 = 1.19e-11 ++ mcrdll1d_ca_w_1_360_s_0_540 = 5.80e-05 mcrdll1d_cc_w_1_360_s_0_540 = 4.55e-11 mcrdll1d_cf_w_1_360_s_0_540 = 1.40e-11 ++ mcrdll1d_ca_w_1_360_s_0_720 = 5.80e-05 mcrdll1d_cc_w_1_360_s_0_720 = 3.65e-11 mcrdll1d_cf_w_1_360_s_0_720 = 1.78e-11 ++ mcrdll1d_ca_w_1_360_s_1_080 = 5.80e-05 mcrdll1d_cc_w_1_360_s_1_080 = 2.56e-11 mcrdll1d_cf_w_1_360_s_1_080 = 2.39e-11 ++ mcrdll1d_ca_w_1_360_s_1_980 = 5.80e-05 mcrdll1d_cc_w_1_360_s_1_980 = 1.31e-11 mcrdll1d_cf_w_1_360_s_1_980 = 3.34e-11 ++ mcrdll1d_ca_w_1_360_s_4_500 = 5.80e-05 mcrdll1d_cc_w_1_360_s_4_500 = 3.58e-12 mcrdll1d_cf_w_1_360_s_4_500 = 4.22e-11 ++ mcrdll1p1_ca_w_0_170_s_0_180 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_0_180 = 7.29e-11 mcrdll1p1_cf_w_0_170_s_0_180 = 8.32e-12 ++ mcrdll1p1_ca_w_0_170_s_0_225 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_0_225 = 6.09e-11 mcrdll1p1_cf_w_0_170_s_0_225 = 1.02e-11 ++ mcrdll1p1_ca_w_0_170_s_0_270 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_0_270 = 5.27e-11 mcrdll1p1_cf_w_0_170_s_0_270 = 1.20e-11 ++ mcrdll1p1_ca_w_0_170_s_0_360 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_0_360 = 4.10e-11 mcrdll1p1_cf_w_0_170_s_0_360 = 1.58e-11 ++ mcrdll1p1_ca_w_0_170_s_0_450 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_0_450 = 3.39e-11 mcrdll1p1_cf_w_0_170_s_0_450 = 1.86e-11 ++ mcrdll1p1_ca_w_0_170_s_0_540 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_0_540 = 2.80e-11 mcrdll1p1_cf_w_0_170_s_0_540 = 2.17e-11 ++ mcrdll1p1_ca_w_0_170_s_0_720 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_0_720 = 2.05e-11 mcrdll1p1_cf_w_0_170_s_0_720 = 2.64e-11 ++ mcrdll1p1_ca_w_0_170_s_1_080 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_1_080 = 1.21e-11 mcrdll1p1_cf_w_0_170_s_1_080 = 3.28e-11 ++ mcrdll1p1_ca_w_0_170_s_1_980 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_1_980 = 4.79e-12 mcrdll1p1_cf_w_0_170_s_1_980 = 3.95e-11 ++ mcrdll1p1_ca_w_0_170_s_4_500 = 9.69e-05 mcrdll1p1_cc_w_0_170_s_4_500 = 9.61e-13 mcrdll1p1_cf_w_0_170_s_4_500 = 4.32e-11 ++ mcrdll1p1_ca_w_1_360_s_0_180 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_0_180 = 8.97e-11 mcrdll1p1_cf_w_1_360_s_0_180 = 8.41e-12 ++ mcrdll1p1_ca_w_1_360_s_0_225 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_0_225 = 7.67e-11 mcrdll1p1_cf_w_1_360_s_0_225 = 1.03e-11 ++ mcrdll1p1_ca_w_1_360_s_0_270 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_0_270 = 6.74e-11 mcrdll1p1_cf_w_1_360_s_0_270 = 1.22e-11 ++ mcrdll1p1_ca_w_1_360_s_0_360 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_0_360 = 5.46e-11 mcrdll1p1_cf_w_1_360_s_0_360 = 1.56e-11 ++ mcrdll1p1_ca_w_1_360_s_0_450 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_0_450 = 4.61e-11 mcrdll1p1_cf_w_1_360_s_0_450 = 1.88e-11 ++ mcrdll1p1_ca_w_1_360_s_0_540 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_0_540 = 3.98e-11 mcrdll1p1_cf_w_1_360_s_0_540 = 2.17e-11 ++ mcrdll1p1_ca_w_1_360_s_0_720 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_0_720 = 3.11e-11 mcrdll1p1_cf_w_1_360_s_0_720 = 2.67e-11 ++ mcrdll1p1_ca_w_1_360_s_1_080 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_1_080 = 2.09e-11 mcrdll1p1_cf_w_1_360_s_1_080 = 3.39e-11 ++ mcrdll1p1_ca_w_1_360_s_1_980 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_1_980 = 9.91e-12 mcrdll1p1_cf_w_1_360_s_1_980 = 4.34e-11 ++ mcrdll1p1_ca_w_1_360_s_4_500 = 9.69e-05 mcrdll1p1_cc_w_1_360_s_4_500 = 2.56e-12 mcrdll1p1_cf_w_1_360_s_4_500 = 5.04e-11 ++ mcm2m1f_ca_w_0_140_s_0_140 = 1.54e-04 mcm2m1f_cc_w_0_140_s_0_140 = 9.21e-11 mcm2m1f_cf_w_0_140_s_0_140 = 9.79e-12 ++ mcm2m1f_ca_w_0_140_s_0_175 = 1.54e-04 mcm2m1f_cc_w_0_140_s_0_175 = 9.00e-11 mcm2m1f_cf_w_0_140_s_0_175 = 1.20e-11 ++ mcm2m1f_ca_w_0_140_s_0_210 = 1.54e-04 mcm2m1f_cc_w_0_140_s_0_210 = 8.45e-11 mcm2m1f_cf_w_0_140_s_0_210 = 1.42e-11 ++ mcm2m1f_ca_w_0_140_s_0_280 = 1.54e-04 mcm2m1f_cc_w_0_140_s_0_280 = 7.12e-11 mcm2m1f_cf_w_0_140_s_0_280 = 1.84e-11 ++ mcm2m1f_ca_w_0_140_s_0_350 = 1.54e-04 mcm2m1f_cc_w_0_140_s_0_350 = 5.93e-11 mcm2m1f_cf_w_0_140_s_0_350 = 2.23e-11 ++ mcm2m1f_ca_w_0_140_s_0_420 = 1.54e-04 mcm2m1f_cc_w_0_140_s_0_420 = 4.91e-11 mcm2m1f_cf_w_0_140_s_0_420 = 2.61e-11 ++ mcm2m1f_ca_w_0_140_s_0_560 = 1.54e-04 mcm2m1f_cc_w_0_140_s_0_560 = 3.50e-11 mcm2m1f_cf_w_0_140_s_0_560 = 3.22e-11 ++ mcm2m1f_ca_w_0_140_s_0_840 = 1.54e-04 mcm2m1f_cc_w_0_140_s_0_840 = 1.97e-11 mcm2m1f_cf_w_0_140_s_0_840 = 4.17e-11 ++ mcm2m1f_ca_w_0_140_s_1_540 = 1.54e-04 mcm2m1f_cc_w_0_140_s_1_540 = 5.79e-12 mcm2m1f_cf_w_0_140_s_1_540 = 5.32e-11 ++ mcm2m1f_ca_w_0_140_s_3_500 = 1.54e-04 mcm2m1f_cc_w_0_140_s_3_500 = 3.20e-13 mcm2m1f_cf_w_0_140_s_3_500 = 5.86e-11 ++ mcm2m1f_ca_w_1_120_s_0_140 = 1.54e-04 mcm2m1f_cc_w_1_120_s_0_140 = 1.01e-10 mcm2m1f_cf_w_1_120_s_0_140 = 9.82e-12 ++ mcm2m1f_ca_w_1_120_s_0_175 = 1.54e-04 mcm2m1f_cc_w_1_120_s_0_175 = 9.83e-11 mcm2m1f_cf_w_1_120_s_0_175 = 1.21e-11 ++ mcm2m1f_ca_w_1_120_s_0_210 = 1.54e-04 mcm2m1f_cc_w_1_120_s_0_210 = 9.13e-11 mcm2m1f_cf_w_1_120_s_0_210 = 1.43e-11 ++ mcm2m1f_ca_w_1_120_s_0_280 = 1.54e-04 mcm2m1f_cc_w_1_120_s_0_280 = 7.75e-11 mcm2m1f_cf_w_1_120_s_0_280 = 1.84e-11 ++ mcm2m1f_ca_w_1_120_s_0_350 = 1.54e-04 mcm2m1f_cc_w_1_120_s_0_350 = 6.48e-11 mcm2m1f_cf_w_1_120_s_0_350 = 2.24e-11 ++ mcm2m1f_ca_w_1_120_s_0_420 = 1.54e-04 mcm2m1f_cc_w_1_120_s_0_420 = 5.39e-11 mcm2m1f_cf_w_1_120_s_0_420 = 2.61e-11 ++ mcm2m1f_ca_w_1_120_s_0_560 = 1.54e-04 mcm2m1f_cc_w_1_120_s_0_560 = 3.86e-11 mcm2m1f_cf_w_1_120_s_0_560 = 3.25e-11 ++ mcm2m1f_ca_w_1_120_s_0_840 = 1.54e-04 mcm2m1f_cc_w_1_120_s_0_840 = 2.21e-11 mcm2m1f_cf_w_1_120_s_0_840 = 4.21e-11 ++ mcm2m1f_ca_w_1_120_s_1_540 = 1.54e-04 mcm2m1f_cc_w_1_120_s_1_540 = 6.77e-12 mcm2m1f_cf_w_1_120_s_1_540 = 5.43e-11 ++ mcm2m1f_ca_w_1_120_s_3_500 = 1.54e-04 mcm2m1f_cc_w_1_120_s_3_500 = 3.65e-13 mcm2m1f_cf_w_1_120_s_3_500 = 6.08e-11 ++ mcm2m1d_ca_w_0_140_s_0_140 = 1.62e-04 mcm2m1d_cc_w_0_140_s_0_140 = 9.13e-11 mcm2m1d_cf_w_0_140_s_0_140 = 1.03e-11 ++ mcm2m1d_ca_w_0_140_s_0_175 = 1.62e-04 mcm2m1d_cc_w_0_140_s_0_175 = 8.90e-11 mcm2m1d_cf_w_0_140_s_0_175 = 1.27e-11 ++ mcm2m1d_ca_w_0_140_s_0_210 = 1.62e-04 mcm2m1d_cc_w_0_140_s_0_210 = 8.32e-11 mcm2m1d_cf_w_0_140_s_0_210 = 1.50e-11 ++ mcm2m1d_ca_w_0_140_s_0_280 = 1.62e-04 mcm2m1d_cc_w_0_140_s_0_280 = 6.98e-11 mcm2m1d_cf_w_0_140_s_0_280 = 1.95e-11 ++ mcm2m1d_ca_w_0_140_s_0_350 = 1.62e-04 mcm2m1d_cc_w_0_140_s_0_350 = 5.79e-11 mcm2m1d_cf_w_0_140_s_0_350 = 2.36e-11 ++ mcm2m1d_ca_w_0_140_s_0_420 = 1.62e-04 mcm2m1d_cc_w_0_140_s_0_420 = 4.76e-11 mcm2m1d_cf_w_0_140_s_0_420 = 2.76e-11 ++ mcm2m1d_ca_w_0_140_s_0_560 = 1.62e-04 mcm2m1d_cc_w_0_140_s_0_560 = 3.33e-11 mcm2m1d_cf_w_0_140_s_0_560 = 3.41e-11 ++ mcm2m1d_ca_w_0_140_s_0_840 = 1.62e-04 mcm2m1d_cc_w_0_140_s_0_840 = 1.78e-11 mcm2m1d_cf_w_0_140_s_0_840 = 4.39e-11 ++ mcm2m1d_ca_w_0_140_s_1_540 = 1.62e-04 mcm2m1d_cc_w_0_140_s_1_540 = 4.57e-12 mcm2m1d_cf_w_0_140_s_1_540 = 5.53e-11 ++ mcm2m1d_ca_w_0_140_s_3_500 = 1.62e-04 mcm2m1d_cc_w_0_140_s_3_500 = 1.75e-13 mcm2m1d_cf_w_0_140_s_3_500 = 5.99e-11 ++ mcm2m1d_ca_w_1_120_s_0_140 = 1.62e-04 mcm2m1d_cc_w_1_120_s_0_140 = 9.89e-11 mcm2m1d_cf_w_1_120_s_0_140 = 1.04e-11 ++ mcm2m1d_ca_w_1_120_s_0_175 = 1.62e-04 mcm2m1d_cc_w_1_120_s_0_175 = 9.56e-11 mcm2m1d_cf_w_1_120_s_0_175 = 1.28e-11 ++ mcm2m1d_ca_w_1_120_s_0_210 = 1.62e-04 mcm2m1d_cc_w_1_120_s_0_210 = 8.86e-11 mcm2m1d_cf_w_1_120_s_0_210 = 1.51e-11 ++ mcm2m1d_ca_w_1_120_s_0_280 = 1.62e-04 mcm2m1d_cc_w_1_120_s_0_280 = 7.49e-11 mcm2m1d_cf_w_1_120_s_0_280 = 1.95e-11 ++ mcm2m1d_ca_w_1_120_s_0_350 = 1.62e-04 mcm2m1d_cc_w_1_120_s_0_350 = 6.19e-11 mcm2m1d_cf_w_1_120_s_0_350 = 2.37e-11 ++ mcm2m1d_ca_w_1_120_s_0_420 = 1.62e-04 mcm2m1d_cc_w_1_120_s_0_420 = 5.10e-11 mcm2m1d_cf_w_1_120_s_0_420 = 2.76e-11 ++ mcm2m1d_ca_w_1_120_s_0_560 = 1.62e-04 mcm2m1d_cc_w_1_120_s_0_560 = 3.58e-11 mcm2m1d_cf_w_1_120_s_0_560 = 3.44e-11 ++ mcm2m1d_ca_w_1_120_s_0_840 = 1.62e-04 mcm2m1d_cc_w_1_120_s_0_840 = 1.95e-11 mcm2m1d_cf_w_1_120_s_0_840 = 4.44e-11 ++ mcm2m1d_ca_w_1_120_s_1_540 = 1.62e-04 mcm2m1d_cc_w_1_120_s_1_540 = 5.09e-12 mcm2m1d_cf_w_1_120_s_1_540 = 5.64e-11 ++ mcm2m1d_ca_w_1_120_s_3_500 = 1.62e-04 mcm2m1d_cc_w_1_120_s_3_500 = 1.70e-13 mcm2m1d_cf_w_1_120_s_3_500 = 6.15e-11 ++ mcm2m1p1_ca_w_0_140_s_0_140 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_0_140 = 9.03e-11 mcm2m1p1_cf_w_0_140_s_0_140 = 1.11e-11 ++ mcm2m1p1_ca_w_0_140_s_0_175 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_0_175 = 8.77e-11 mcm2m1p1_cf_w_0_140_s_0_175 = 1.37e-11 ++ mcm2m1p1_ca_w_0_140_s_0_210 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_0_210 = 8.13e-11 mcm2m1p1_cf_w_0_140_s_0_210 = 1.62e-11 ++ mcm2m1p1_ca_w_0_140_s_0_280 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_0_280 = 6.80e-11 mcm2m1p1_cf_w_0_140_s_0_280 = 2.10e-11 ++ mcm2m1p1_ca_w_0_140_s_0_350 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_0_350 = 5.59e-11 mcm2m1p1_cf_w_0_140_s_0_350 = 2.54e-11 ++ mcm2m1p1_ca_w_0_140_s_0_420 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_0_420 = 4.55e-11 mcm2m1p1_cf_w_0_140_s_0_420 = 2.97e-11 ++ mcm2m1p1_ca_w_0_140_s_0_560 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_0_560 = 3.09e-11 mcm2m1p1_cf_w_0_140_s_0_560 = 3.67e-11 ++ mcm2m1p1_ca_w_0_140_s_0_840 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_0_840 = 1.56e-11 mcm2m1p1_cf_w_0_140_s_0_840 = 4.70e-11 ++ mcm2m1p1_ca_w_0_140_s_1_540 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_1_540 = 3.31e-12 mcm2m1p1_cf_w_0_140_s_1_540 = 5.80e-11 ++ mcm2m1p1_ca_w_0_140_s_3_500 = 1.73e-04 mcm2m1p1_cc_w_0_140_s_3_500 = 1.25e-13 mcm2m1p1_cf_w_0_140_s_3_500 = 6.16e-11 ++ mcm2m1p1_ca_w_1_120_s_0_140 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_0_140 = 9.55e-11 mcm2m1p1_cf_w_1_120_s_0_140 = 1.12e-11 ++ mcm2m1p1_ca_w_1_120_s_0_175 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_0_175 = 9.24e-11 mcm2m1p1_cf_w_1_120_s_0_175 = 1.38e-11 ++ mcm2m1p1_ca_w_1_120_s_0_210 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_0_210 = 8.64e-11 mcm2m1p1_cf_w_1_120_s_0_210 = 1.63e-11 ++ mcm2m1p1_ca_w_1_120_s_0_280 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_0_280 = 7.16e-11 mcm2m1p1_cf_w_1_120_s_0_280 = 2.11e-11 ++ mcm2m1p1_ca_w_1_120_s_0_350 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_0_350 = 5.87e-11 mcm2m1p1_cf_w_1_120_s_0_350 = 2.56e-11 ++ mcm2m1p1_ca_w_1_120_s_0_420 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_0_420 = 4.79e-11 mcm2m1p1_cf_w_1_120_s_0_420 = 2.98e-11 ++ mcm2m1p1_ca_w_1_120_s_0_560 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_0_560 = 3.25e-11 mcm2m1p1_cf_w_1_120_s_0_560 = 3.70e-11 ++ mcm2m1p1_ca_w_1_120_s_0_840 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_0_840 = 1.66e-11 mcm2m1p1_cf_w_1_120_s_0_840 = 4.75e-11 ++ mcm2m1p1_ca_w_1_120_s_1_540 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_1_540 = 3.54e-12 mcm2m1p1_cf_w_1_120_s_1_540 = 5.88e-11 ++ mcm2m1p1_ca_w_1_120_s_3_500 = 1.73e-04 mcm2m1p1_cc_w_1_120_s_3_500 = 1.20e-13 mcm2m1p1_cf_w_1_120_s_3_500 = 6.28e-11 ++ mcm2m1l1_ca_w_0_140_s_0_140 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_0_140 = 8.35e-11 mcm2m1l1_cf_w_0_140_s_0_140 = 1.54e-11 ++ mcm2m1l1_ca_w_0_140_s_0_175 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_0_175 = 8.06e-11 mcm2m1l1_cf_w_0_140_s_0_175 = 1.92e-11 ++ mcm2m1l1_ca_w_0_140_s_0_210 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_0_210 = 7.38e-11 mcm2m1l1_cf_w_0_140_s_0_210 = 2.28e-11 ++ mcm2m1l1_ca_w_0_140_s_0_280 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_0_280 = 6.02e-11 mcm2m1l1_cf_w_0_140_s_0_280 = 2.96e-11 ++ mcm2m1l1_ca_w_0_140_s_0_350 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_0_350 = 4.74e-11 mcm2m1l1_cf_w_0_140_s_0_350 = 3.60e-11 ++ mcm2m1l1_ca_w_0_140_s_0_420 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_0_420 = 3.68e-11 mcm2m1l1_cf_w_0_140_s_0_420 = 4.16e-11 ++ mcm2m1l1_ca_w_0_140_s_0_560 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_0_560 = 2.26e-11 mcm2m1l1_cf_w_0_140_s_0_560 = 5.08e-11 ++ mcm2m1l1_ca_w_0_140_s_0_840 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_0_840 = 8.81e-12 mcm2m1l1_cf_w_0_140_s_0_840 = 6.23e-11 ++ mcm2m1l1_ca_w_0_140_s_1_540 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_1_540 = 9.45e-13 mcm2m1l1_cf_w_0_140_s_1_540 = 7.06e-11 ++ mcm2m1l1_ca_w_0_140_s_3_500 = 2.42e-04 mcm2m1l1_cc_w_0_140_s_3_500 = 2.50e-14 mcm2m1l1_cf_w_0_140_s_3_500 = 7.20e-11 ++ mcm2m1l1_ca_w_1_120_s_0_140 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_0_140 = 8.49e-11 mcm2m1l1_cf_w_1_120_s_0_140 = 1.55e-11 ++ mcm2m1l1_ca_w_1_120_s_0_175 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_0_175 = 8.16e-11 mcm2m1l1_cf_w_1_120_s_0_175 = 1.93e-11 ++ mcm2m1l1_ca_w_1_120_s_0_210 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_0_210 = 7.54e-11 mcm2m1l1_cf_w_1_120_s_0_210 = 2.29e-11 ++ mcm2m1l1_ca_w_1_120_s_0_280 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_0_280 = 6.07e-11 mcm2m1l1_cf_w_1_120_s_0_280 = 2.97e-11 ++ mcm2m1l1_ca_w_1_120_s_0_350 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_0_350 = 4.79e-11 mcm2m1l1_cf_w_1_120_s_0_350 = 3.60e-11 ++ mcm2m1l1_ca_w_1_120_s_0_420 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_0_420 = 3.72e-11 mcm2m1l1_cf_w_1_120_s_0_420 = 4.17e-11 ++ mcm2m1l1_ca_w_1_120_s_0_560 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_0_560 = 2.28e-11 mcm2m1l1_cf_w_1_120_s_0_560 = 5.10e-11 ++ mcm2m1l1_ca_w_1_120_s_0_840 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_0_840 = 9.00e-12 mcm2m1l1_cf_w_1_120_s_0_840 = 6.26e-11 ++ mcm2m1l1_ca_w_1_120_s_1_540 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_1_540 = 9.50e-13 mcm2m1l1_cf_w_1_120_s_1_540 = 7.09e-11 ++ mcm2m1l1_ca_w_1_120_s_3_500 = 2.42e-04 mcm2m1l1_cc_w_1_120_s_3_500 = 5.00e-14 mcm2m1l1_cf_w_1_120_s_3_500 = 7.24e-11 ++ mcm3m1f_ca_w_0_140_s_0_140 = 5.87e-05 mcm3m1f_cc_w_0_140_s_0_140 = 1.01e-10 mcm3m1f_cf_w_0_140_s_0_140 = 4.03e-12 ++ mcm3m1f_ca_w_0_140_s_0_175 = 5.87e-05 mcm3m1f_cc_w_0_140_s_0_175 = 9.91e-11 mcm3m1f_cf_w_0_140_s_0_175 = 5.02e-12 ++ mcm3m1f_ca_w_0_140_s_0_210 = 5.87e-05 mcm3m1f_cc_w_0_140_s_0_210 = 9.37e-11 mcm3m1f_cf_w_0_140_s_0_210 = 6.02e-12 ++ mcm3m1f_ca_w_0_140_s_0_280 = 5.87e-05 mcm3m1f_cc_w_0_140_s_0_280 = 8.17e-11 mcm3m1f_cf_w_0_140_s_0_280 = 7.95e-12 ++ mcm3m1f_ca_w_0_140_s_0_350 = 5.87e-05 mcm3m1f_cc_w_0_140_s_0_350 = 7.03e-11 mcm3m1f_cf_w_0_140_s_0_350 = 9.85e-12 ++ mcm3m1f_ca_w_0_140_s_0_420 = 5.87e-05 mcm3m1f_cc_w_0_140_s_0_420 = 5.99e-11 mcm3m1f_cf_w_0_140_s_0_420 = 1.18e-11 ++ mcm3m1f_ca_w_0_140_s_0_560 = 5.87e-05 mcm3m1f_cc_w_0_140_s_0_560 = 4.61e-11 mcm3m1f_cf_w_0_140_s_0_560 = 1.52e-11 ++ mcm3m1f_ca_w_0_140_s_0_840 = 5.87e-05 mcm3m1f_cc_w_0_140_s_0_840 = 3.00e-11 mcm3m1f_cf_w_0_140_s_0_840 = 2.14e-11 ++ mcm3m1f_ca_w_0_140_s_1_540 = 5.87e-05 mcm3m1f_cc_w_0_140_s_1_540 = 1.25e-11 mcm3m1f_cf_w_0_140_s_1_540 = 3.23e-11 ++ mcm3m1f_ca_w_0_140_s_3_500 = 5.87e-05 mcm3m1f_cc_w_0_140_s_3_500 = 1.40e-12 mcm3m1f_cf_w_0_140_s_3_500 = 4.19e-11 ++ mcm3m1f_ca_w_1_120_s_0_140 = 5.87e-05 mcm3m1f_cc_w_1_120_s_0_140 = 1.16e-10 mcm3m1f_cf_w_1_120_s_0_140 = 4.06e-12 ++ mcm3m1f_ca_w_1_120_s_0_175 = 5.87e-05 mcm3m1f_cc_w_1_120_s_0_175 = 1.13e-10 mcm3m1f_cf_w_1_120_s_0_175 = 5.06e-12 ++ mcm3m1f_ca_w_1_120_s_0_210 = 5.87e-05 mcm3m1f_cc_w_1_120_s_0_210 = 1.06e-10 mcm3m1f_cf_w_1_120_s_0_210 = 6.05e-12 ++ mcm3m1f_ca_w_1_120_s_0_280 = 5.87e-05 mcm3m1f_cc_w_1_120_s_0_280 = 9.17e-11 mcm3m1f_cf_w_1_120_s_0_280 = 7.99e-12 ++ mcm3m1f_ca_w_1_120_s_0_350 = 5.87e-05 mcm3m1f_cc_w_1_120_s_0_350 = 7.90e-11 mcm3m1f_cf_w_1_120_s_0_350 = 9.92e-12 ++ mcm3m1f_ca_w_1_120_s_0_420 = 5.87e-05 mcm3m1f_cc_w_1_120_s_0_420 = 6.80e-11 mcm3m1f_cf_w_1_120_s_0_420 = 1.18e-11 ++ mcm3m1f_ca_w_1_120_s_0_560 = 5.87e-05 mcm3m1f_cc_w_1_120_s_0_560 = 5.21e-11 mcm3m1f_cf_w_1_120_s_0_560 = 1.54e-11 ++ mcm3m1f_ca_w_1_120_s_0_840 = 5.87e-05 mcm3m1f_cc_w_1_120_s_0_840 = 3.39e-11 mcm3m1f_cf_w_1_120_s_0_840 = 2.17e-11 ++ mcm3m1f_ca_w_1_120_s_1_540 = 5.87e-05 mcm3m1f_cc_w_1_120_s_1_540 = 1.43e-11 mcm3m1f_cf_w_1_120_s_1_540 = 3.33e-11 ++ mcm3m1f_ca_w_1_120_s_3_500 = 5.87e-05 mcm3m1f_cc_w_1_120_s_3_500 = 1.61e-12 mcm3m1f_cf_w_1_120_s_3_500 = 4.41e-11 ++ mcm3m1d_ca_w_0_140_s_0_140 = 6.65e-05 mcm3m1d_cc_w_0_140_s_0_140 = 9.98e-11 mcm3m1d_cf_w_0_140_s_0_140 = 4.57e-12 ++ mcm3m1d_ca_w_0_140_s_0_175 = 6.65e-05 mcm3m1d_cc_w_0_140_s_0_175 = 9.81e-11 mcm3m1d_cf_w_0_140_s_0_175 = 5.69e-12 ++ mcm3m1d_ca_w_0_140_s_0_210 = 6.65e-05 mcm3m1d_cc_w_0_140_s_0_210 = 9.26e-11 mcm3m1d_cf_w_0_140_s_0_210 = 6.82e-12 ++ mcm3m1d_ca_w_0_140_s_0_280 = 6.65e-05 mcm3m1d_cc_w_0_140_s_0_280 = 8.03e-11 mcm3m1d_cf_w_0_140_s_0_280 = 9.01e-12 ++ mcm3m1d_ca_w_0_140_s_0_350 = 6.65e-05 mcm3m1d_cc_w_0_140_s_0_350 = 6.89e-11 mcm3m1d_cf_w_0_140_s_0_350 = 1.12e-11 ++ mcm3m1d_ca_w_0_140_s_0_420 = 6.65e-05 mcm3m1d_cc_w_0_140_s_0_420 = 5.84e-11 mcm3m1d_cf_w_0_140_s_0_420 = 1.33e-11 ++ mcm3m1d_ca_w_0_140_s_0_560 = 6.65e-05 mcm3m1d_cc_w_0_140_s_0_560 = 4.41e-11 mcm3m1d_cf_w_0_140_s_0_560 = 1.72e-11 ++ mcm3m1d_ca_w_0_140_s_0_840 = 6.65e-05 mcm3m1d_cc_w_0_140_s_0_840 = 2.81e-11 mcm3m1d_cf_w_0_140_s_0_840 = 2.40e-11 ++ mcm3m1d_ca_w_0_140_s_1_540 = 6.65e-05 mcm3m1d_cc_w_0_140_s_1_540 = 1.07e-11 mcm3m1d_cf_w_0_140_s_1_540 = 3.53e-11 ++ mcm3m1d_ca_w_0_140_s_3_500 = 6.65e-05 mcm3m1d_cc_w_0_140_s_3_500 = 9.30e-13 mcm3m1d_cf_w_0_140_s_3_500 = 4.43e-11 ++ mcm3m1d_ca_w_1_120_s_0_140 = 6.65e-05 mcm3m1d_cc_w_1_120_s_0_140 = 1.15e-10 mcm3m1d_cf_w_1_120_s_0_140 = 4.62e-12 ++ mcm3m1d_ca_w_1_120_s_0_175 = 6.65e-05 mcm3m1d_cc_w_1_120_s_0_175 = 1.11e-10 mcm3m1d_cf_w_1_120_s_0_175 = 5.75e-12 ++ mcm3m1d_ca_w_1_120_s_0_210 = 6.65e-05 mcm3m1d_cc_w_1_120_s_0_210 = 1.03e-10 mcm3m1d_cf_w_1_120_s_0_210 = 6.88e-12 ++ mcm3m1d_ca_w_1_120_s_0_280 = 6.65e-05 mcm3m1d_cc_w_1_120_s_0_280 = 8.87e-11 mcm3m1d_cf_w_1_120_s_0_280 = 9.07e-12 ++ mcm3m1d_ca_w_1_120_s_0_350 = 6.65e-05 mcm3m1d_cc_w_1_120_s_0_350 = 7.63e-11 mcm3m1d_cf_w_1_120_s_0_350 = 1.13e-11 ++ mcm3m1d_ca_w_1_120_s_0_420 = 6.65e-05 mcm3m1d_cc_w_1_120_s_0_420 = 6.51e-11 mcm3m1d_cf_w_1_120_s_0_420 = 1.33e-11 ++ mcm3m1d_ca_w_1_120_s_0_560 = 6.65e-05 mcm3m1d_cc_w_1_120_s_0_560 = 4.92e-11 mcm3m1d_cf_w_1_120_s_0_560 = 1.73e-11 ++ mcm3m1d_ca_w_1_120_s_0_840 = 6.65e-05 mcm3m1d_cc_w_1_120_s_0_840 = 3.11e-11 mcm3m1d_cf_w_1_120_s_0_840 = 2.43e-11 ++ mcm3m1d_ca_w_1_120_s_1_540 = 6.65e-05 mcm3m1d_cc_w_1_120_s_1_540 = 1.20e-11 mcm3m1d_cf_w_1_120_s_1_540 = 3.64e-11 ++ mcm3m1d_ca_w_1_120_s_3_500 = 6.65e-05 mcm3m1d_cc_w_1_120_s_3_500 = 1.08e-12 mcm3m1d_cf_w_1_120_s_3_500 = 4.62e-11 ++ mcm3m1p1_ca_w_0_140_s_0_140 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_0_140 = 9.86e-11 mcm3m1p1_cf_w_0_140_s_0_140 = 5.34e-12 ++ mcm3m1p1_ca_w_0_140_s_0_175 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_0_175 = 9.67e-11 mcm3m1p1_cf_w_0_140_s_0_175 = 6.66e-12 ++ mcm3m1p1_ca_w_0_140_s_0_210 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_0_210 = 9.06e-11 mcm3m1p1_cf_w_0_140_s_0_210 = 7.99e-12 ++ mcm3m1p1_ca_w_0_140_s_0_280 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_0_280 = 7.85e-11 mcm3m1p1_cf_w_0_140_s_0_280 = 1.05e-11 ++ mcm3m1p1_ca_w_0_140_s_0_350 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_0_350 = 6.65e-11 mcm3m1p1_cf_w_0_140_s_0_350 = 1.30e-11 ++ mcm3m1p1_ca_w_0_140_s_0_420 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_0_420 = 5.61e-11 mcm3m1p1_cf_w_0_140_s_0_420 = 1.54e-11 ++ mcm3m1p1_ca_w_0_140_s_0_560 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_0_560 = 4.20e-11 mcm3m1p1_cf_w_0_140_s_0_560 = 1.99e-11 ++ mcm3m1p1_ca_w_0_140_s_0_840 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_0_840 = 2.56e-11 mcm3m1p1_cf_w_0_140_s_0_840 = 2.75e-11 ++ mcm3m1p1_ca_w_0_140_s_1_540 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_1_540 = 8.79e-12 mcm3m1p1_cf_w_0_140_s_1_540 = 3.93e-11 ++ mcm3m1p1_ca_w_0_140_s_3_500 = 7.78e-05 mcm3m1p1_cc_w_0_140_s_3_500 = 5.90e-13 mcm3m1p1_cf_w_0_140_s_3_500 = 4.72e-11 ++ mcm3m1p1_ca_w_1_120_s_0_140 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_0_140 = 1.10e-10 mcm3m1p1_cf_w_1_120_s_0_140 = 5.45e-12 ++ mcm3m1p1_ca_w_1_120_s_0_175 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_0_175 = 1.07e-10 mcm3m1p1_cf_w_1_120_s_0_175 = 6.77e-12 ++ mcm3m1p1_ca_w_1_120_s_0_210 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_0_210 = 9.98e-11 mcm3m1p1_cf_w_1_120_s_0_210 = 8.09e-12 ++ mcm3m1p1_ca_w_1_120_s_0_280 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_0_280 = 8.54e-11 mcm3m1p1_cf_w_1_120_s_0_280 = 1.06e-11 ++ mcm3m1p1_ca_w_1_120_s_0_350 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_0_350 = 7.26e-11 mcm3m1p1_cf_w_1_120_s_0_350 = 1.32e-11 ++ mcm3m1p1_ca_w_1_120_s_0_420 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_0_420 = 6.14e-11 mcm3m1p1_cf_w_1_120_s_0_420 = 1.56e-11 ++ mcm3m1p1_ca_w_1_120_s_0_560 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_0_560 = 4.58e-11 mcm3m1p1_cf_w_1_120_s_0_560 = 2.01e-11 ++ mcm3m1p1_ca_w_1_120_s_0_840 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_0_840 = 2.81e-11 mcm3m1p1_cf_w_1_120_s_0_840 = 2.79e-11 ++ mcm3m1p1_ca_w_1_120_s_1_540 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_1_540 = 9.77e-12 mcm3m1p1_cf_w_1_120_s_1_540 = 4.05e-11 ++ mcm3m1p1_ca_w_1_120_s_3_500 = 7.78e-05 mcm3m1p1_cc_w_1_120_s_3_500 = 6.25e-13 mcm3m1p1_cf_w_1_120_s_3_500 = 4.89e-11 ++ mcm3m1l1_ca_w_0_140_s_0_140 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_0_140 = 9.23e-11 mcm3m1l1_cf_w_0_140_s_0_140 = 9.69e-12 ++ mcm3m1l1_ca_w_0_140_s_0_175 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_0_175 = 8.99e-11 mcm3m1l1_cf_w_0_140_s_0_175 = 1.22e-11 ++ mcm3m1l1_ca_w_0_140_s_0_210 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_0_210 = 8.35e-11 mcm3m1l1_cf_w_0_140_s_0_210 = 1.46e-11 ++ mcm3m1l1_ca_w_0_140_s_0_280 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_0_280 = 7.03e-11 mcm3m1l1_cf_w_0_140_s_0_280 = 1.92e-11 ++ mcm3m1l1_ca_w_0_140_s_0_350 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_0_350 = 5.81e-11 mcm3m1l1_cf_w_0_140_s_0_350 = 2.36e-11 ++ mcm3m1l1_ca_w_0_140_s_0_420 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_0_420 = 4.76e-11 mcm3m1l1_cf_w_0_140_s_0_420 = 2.76e-11 ++ mcm3m1l1_ca_w_0_140_s_0_560 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_0_560 = 3.32e-11 mcm3m1l1_cf_w_0_140_s_0_560 = 3.45e-11 ++ mcm3m1l1_ca_w_0_140_s_0_840 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_0_840 = 1.76e-11 mcm3m1l1_cf_w_0_140_s_0_840 = 4.47e-11 ++ mcm3m1l1_ca_w_0_140_s_1_540 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_1_540 = 4.42e-12 mcm3m1l1_cf_w_0_140_s_1_540 = 5.63e-11 ++ mcm3m1l1_ca_w_0_140_s_3_500 = 1.47e-04 mcm3m1l1_cc_w_0_140_s_3_500 = 2.10e-13 mcm3m1l1_cf_w_0_140_s_3_500 = 6.09e-11 ++ mcm3m1l1_ca_w_1_120_s_0_140 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_0_140 = 9.93e-11 mcm3m1l1_cf_w_1_120_s_0_140 = 9.78e-12 ++ mcm3m1l1_ca_w_1_120_s_0_175 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_0_175 = 9.67e-11 mcm3m1l1_cf_w_1_120_s_0_175 = 1.23e-11 ++ mcm3m1l1_ca_w_1_120_s_0_210 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_0_210 = 8.96e-11 mcm3m1l1_cf_w_1_120_s_0_210 = 1.47e-11 ++ mcm3m1l1_ca_w_1_120_s_0_280 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_0_280 = 7.50e-11 mcm3m1l1_cf_w_1_120_s_0_280 = 1.93e-11 ++ mcm3m1l1_ca_w_1_120_s_0_350 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_0_350 = 6.21e-11 mcm3m1l1_cf_w_1_120_s_0_350 = 2.36e-11 ++ mcm3m1l1_ca_w_1_120_s_0_420 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_0_420 = 5.11e-11 mcm3m1l1_cf_w_1_120_s_0_420 = 2.76e-11 ++ mcm3m1l1_ca_w_1_120_s_0_560 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_0_560 = 3.58e-11 mcm3m1l1_cf_w_1_120_s_0_560 = 3.47e-11 ++ mcm3m1l1_ca_w_1_120_s_0_840 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_0_840 = 1.93e-11 mcm3m1l1_cf_w_1_120_s_0_840 = 4.52e-11 ++ mcm3m1l1_ca_w_1_120_s_1_540 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_1_540 = 4.97e-12 mcm3m1l1_cf_w_1_120_s_1_540 = 5.75e-11 ++ mcm3m1l1_ca_w_1_120_s_3_500 = 1.47e-04 mcm3m1l1_cc_w_1_120_s_3_500 = 2.35e-13 mcm3m1l1_cf_w_1_120_s_3_500 = 6.25e-11 ++ mcm4m1f_ca_w_0_140_s_0_140 = 4.09e-05 mcm4m1f_cc_w_0_140_s_0_140 = 1.04e-10 mcm4m1f_cf_w_0_140_s_0_140 = 2.84e-12 ++ mcm4m1f_ca_w_0_140_s_0_175 = 4.09e-05 mcm4m1f_cc_w_0_140_s_0_175 = 1.02e-10 mcm4m1f_cf_w_0_140_s_0_175 = 3.54e-12 ++ mcm4m1f_ca_w_0_140_s_0_210 = 4.09e-05 mcm4m1f_cc_w_0_140_s_0_210 = 9.63e-11 mcm4m1f_cf_w_0_140_s_0_210 = 4.25e-12 ++ mcm4m1f_ca_w_0_140_s_0_280 = 4.09e-05 mcm4m1f_cc_w_0_140_s_0_280 = 8.48e-11 mcm4m1f_cf_w_0_140_s_0_280 = 5.64e-12 ++ mcm4m1f_ca_w_0_140_s_0_350 = 4.09e-05 mcm4m1f_cc_w_0_140_s_0_350 = 7.33e-11 mcm4m1f_cf_w_0_140_s_0_350 = 7.00e-12 ++ mcm4m1f_ca_w_0_140_s_0_420 = 4.09e-05 mcm4m1f_cc_w_0_140_s_0_420 = 6.36e-11 mcm4m1f_cf_w_0_140_s_0_420 = 8.39e-12 ++ mcm4m1f_ca_w_0_140_s_0_560 = 4.09e-05 mcm4m1f_cc_w_0_140_s_0_560 = 5.03e-11 mcm4m1f_cf_w_0_140_s_0_560 = 1.10e-11 ++ mcm4m1f_ca_w_0_140_s_0_840 = 4.09e-05 mcm4m1f_cc_w_0_140_s_0_840 = 3.51e-11 mcm4m1f_cf_w_0_140_s_0_840 = 1.57e-11 ++ mcm4m1f_ca_w_0_140_s_1_540 = 4.09e-05 mcm4m1f_cc_w_0_140_s_1_540 = 1.74e-11 mcm4m1f_cf_w_0_140_s_1_540 = 2.48e-11 ++ mcm4m1f_ca_w_0_140_s_3_500 = 4.09e-05 mcm4m1f_cc_w_0_140_s_3_500 = 3.40e-12 mcm4m1f_cf_w_0_140_s_3_500 = 3.59e-11 ++ mcm4m1f_ca_w_1_120_s_0_140 = 4.09e-05 mcm4m1f_cc_w_1_120_s_0_140 = 1.23e-10 mcm4m1f_cf_w_1_120_s_0_140 = 2.88e-12 ++ mcm4m1f_ca_w_1_120_s_0_175 = 4.09e-05 mcm4m1f_cc_w_1_120_s_0_175 = 1.20e-10 mcm4m1f_cf_w_1_120_s_0_175 = 3.58e-12 ++ mcm4m1f_ca_w_1_120_s_0_210 = 4.09e-05 mcm4m1f_cc_w_1_120_s_0_210 = 1.13e-10 mcm4m1f_cf_w_1_120_s_0_210 = 4.29e-12 ++ mcm4m1f_ca_w_1_120_s_0_280 = 4.09e-05 mcm4m1f_cc_w_1_120_s_0_280 = 9.88e-11 mcm4m1f_cf_w_1_120_s_0_280 = 5.68e-12 ++ mcm4m1f_ca_w_1_120_s_0_350 = 4.09e-05 mcm4m1f_cc_w_1_120_s_0_350 = 8.60e-11 mcm4m1f_cf_w_1_120_s_0_350 = 7.06e-12 ++ mcm4m1f_ca_w_1_120_s_0_420 = 4.09e-05 mcm4m1f_cc_w_1_120_s_0_420 = 7.51e-11 mcm4m1f_cf_w_1_120_s_0_420 = 8.40e-12 ++ mcm4m1f_ca_w_1_120_s_0_560 = 4.09e-05 mcm4m1f_cc_w_1_120_s_0_560 = 5.93e-11 mcm4m1f_cf_w_1_120_s_0_560 = 1.10e-11 ++ mcm4m1f_ca_w_1_120_s_0_840 = 4.09e-05 mcm4m1f_cc_w_1_120_s_0_840 = 4.12e-11 mcm4m1f_cf_w_1_120_s_0_840 = 1.59e-11 ++ mcm4m1f_ca_w_1_120_s_1_540 = 4.09e-05 mcm4m1f_cc_w_1_120_s_1_540 = 2.07e-11 mcm4m1f_cf_w_1_120_s_1_540 = 2.56e-11 ++ mcm4m1f_ca_w_1_120_s_3_500 = 4.09e-05 mcm4m1f_cc_w_1_120_s_3_500 = 4.21e-12 mcm4m1f_cf_w_1_120_s_3_500 = 3.82e-11 ++ mcm4m1d_ca_w_0_140_s_0_140 = 4.87e-05 mcm4m1d_cc_w_0_140_s_0_140 = 1.03e-10 mcm4m1d_cf_w_0_140_s_0_140 = 3.37e-12 ++ mcm4m1d_ca_w_0_140_s_0_175 = 4.87e-05 mcm4m1d_cc_w_0_140_s_0_175 = 1.00e-10 mcm4m1d_cf_w_0_140_s_0_175 = 4.21e-12 ++ mcm4m1d_ca_w_0_140_s_0_210 = 4.87e-05 mcm4m1d_cc_w_0_140_s_0_210 = 9.52e-11 mcm4m1d_cf_w_0_140_s_0_210 = 5.06e-12 ++ mcm4m1d_ca_w_0_140_s_0_280 = 4.87e-05 mcm4m1d_cc_w_0_140_s_0_280 = 8.35e-11 mcm4m1d_cf_w_0_140_s_0_280 = 6.70e-12 ++ mcm4m1d_ca_w_0_140_s_0_350 = 4.87e-05 mcm4m1d_cc_w_0_140_s_0_350 = 7.19e-11 mcm4m1d_cf_w_0_140_s_0_350 = 8.31e-12 ++ mcm4m1d_ca_w_0_140_s_0_420 = 4.87e-05 mcm4m1d_cc_w_0_140_s_0_420 = 6.21e-11 mcm4m1d_cf_w_0_140_s_0_420 = 9.94e-12 ++ mcm4m1d_ca_w_0_140_s_0_560 = 4.87e-05 mcm4m1d_cc_w_0_140_s_0_560 = 4.83e-11 mcm4m1d_cf_w_0_140_s_0_560 = 1.29e-11 ++ mcm4m1d_ca_w_0_140_s_0_840 = 4.87e-05 mcm4m1d_cc_w_0_140_s_0_840 = 3.29e-11 mcm4m1d_cf_w_0_140_s_0_840 = 1.83e-11 ++ mcm4m1d_ca_w_0_140_s_1_540 = 4.87e-05 mcm4m1d_cc_w_0_140_s_1_540 = 1.53e-11 mcm4m1d_cf_w_0_140_s_1_540 = 2.83e-11 ++ mcm4m1d_ca_w_0_140_s_3_500 = 4.87e-05 mcm4m1d_cc_w_0_140_s_3_500 = 2.56e-12 mcm4m1d_cf_w_0_140_s_3_500 = 3.90e-11 ++ mcm4m1d_ca_w_1_120_s_0_140 = 4.87e-05 mcm4m1d_cc_w_1_120_s_0_140 = 1.20e-10 mcm4m1d_cf_w_1_120_s_0_140 = 3.44e-12 ++ mcm4m1d_ca_w_1_120_s_0_175 = 4.87e-05 mcm4m1d_cc_w_1_120_s_0_175 = 1.17e-10 mcm4m1d_cf_w_1_120_s_0_175 = 4.28e-12 ++ mcm4m1d_ca_w_1_120_s_0_210 = 4.87e-05 mcm4m1d_cc_w_1_120_s_0_210 = 1.10e-10 mcm4m1d_cf_w_1_120_s_0_210 = 5.12e-12 ++ mcm4m1d_ca_w_1_120_s_0_280 = 4.87e-05 mcm4m1d_cc_w_1_120_s_0_280 = 9.59e-11 mcm4m1d_cf_w_1_120_s_0_280 = 6.77e-12 ++ mcm4m1d_ca_w_1_120_s_0_350 = 4.87e-05 mcm4m1d_cc_w_1_120_s_0_350 = 8.32e-11 mcm4m1d_cf_w_1_120_s_0_350 = 8.39e-12 ++ mcm4m1d_ca_w_1_120_s_0_420 = 4.87e-05 mcm4m1d_cc_w_1_120_s_0_420 = 7.20e-11 mcm4m1d_cf_w_1_120_s_0_420 = 9.98e-12 ++ mcm4m1d_ca_w_1_120_s_0_560 = 4.87e-05 mcm4m1d_cc_w_1_120_s_0_560 = 5.66e-11 mcm4m1d_cf_w_1_120_s_0_560 = 1.30e-11 ++ mcm4m1d_ca_w_1_120_s_0_840 = 4.87e-05 mcm4m1d_cc_w_1_120_s_0_840 = 3.84e-11 mcm4m1d_cf_w_1_120_s_0_840 = 1.86e-11 ++ mcm4m1d_ca_w_1_120_s_1_540 = 4.87e-05 mcm4m1d_cc_w_1_120_s_1_540 = 1.83e-11 mcm4m1d_cf_w_1_120_s_1_540 = 2.92e-11 ++ mcm4m1d_ca_w_1_120_s_3_500 = 4.87e-05 mcm4m1d_cc_w_1_120_s_3_500 = 3.17e-12 mcm4m1d_cf_w_1_120_s_3_500 = 4.14e-11 ++ mcm4m1p1_ca_w_0_140_s_0_140 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_0_140 = 1.02e-10 mcm4m1p1_cf_w_0_140_s_0_140 = 4.15e-12 ++ mcm4m1p1_ca_w_0_140_s_0_175 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_0_175 = 9.91e-11 mcm4m1p1_cf_w_0_140_s_0_175 = 5.18e-12 ++ mcm4m1p1_ca_w_0_140_s_0_210 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_0_210 = 9.32e-11 mcm4m1p1_cf_w_0_140_s_0_210 = 6.23e-12 ++ mcm4m1p1_ca_w_0_140_s_0_280 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_0_280 = 8.17e-11 mcm4m1p1_cf_w_0_140_s_0_280 = 8.23e-12 ++ mcm4m1p1_ca_w_0_140_s_0_350 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_0_350 = 6.97e-11 mcm4m1p1_cf_w_0_140_s_0_350 = 1.02e-11 ++ mcm4m1p1_ca_w_0_140_s_0_420 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_0_420 = 6.00e-11 mcm4m1p1_cf_w_0_140_s_0_420 = 1.21e-11 ++ mcm4m1p1_ca_w_0_140_s_0_560 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_0_560 = 4.62e-11 mcm4m1p1_cf_w_0_140_s_0_560 = 1.57e-11 ++ mcm4m1p1_ca_w_0_140_s_0_840 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_0_840 = 3.03e-11 mcm4m1p1_cf_w_0_140_s_0_840 = 2.20e-11 ++ mcm4m1p1_ca_w_0_140_s_1_540 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_1_540 = 1.31e-11 mcm4m1p1_cf_w_0_140_s_1_540 = 3.28e-11 ++ mcm4m1p1_ca_w_0_140_s_3_500 = 6.00e-05 mcm4m1p1_cc_w_0_140_s_3_500 = 1.84e-12 mcm4m1p1_cf_w_0_140_s_3_500 = 4.27e-11 ++ mcm4m1p1_ca_w_1_120_s_0_140 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_0_140 = 1.17e-10 mcm4m1p1_cf_w_1_120_s_0_140 = 4.31e-12 ++ mcm4m1p1_ca_w_1_120_s_0_175 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_0_175 = 1.13e-10 mcm4m1p1_cf_w_1_120_s_0_175 = 5.32e-12 ++ mcm4m1p1_ca_w_1_120_s_0_210 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_0_210 = 1.07e-10 mcm4m1p1_cf_w_1_120_s_0_210 = 6.34e-12 ++ mcm4m1p1_ca_w_1_120_s_0_280 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_0_280 = 9.24e-11 mcm4m1p1_cf_w_1_120_s_0_280 = 8.35e-12 ++ mcm4m1p1_ca_w_1_120_s_0_350 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_0_350 = 7.96e-11 mcm4m1p1_cf_w_1_120_s_0_350 = 1.03e-11 ++ mcm4m1p1_ca_w_1_120_s_0_420 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_0_420 = 6.88e-11 mcm4m1p1_cf_w_1_120_s_0_420 = 1.22e-11 ++ mcm4m1p1_ca_w_1_120_s_0_560 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_0_560 = 5.30e-11 mcm4m1p1_cf_w_1_120_s_0_560 = 1.59e-11 ++ mcm4m1p1_ca_w_1_120_s_0_840 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_0_840 = 3.52e-11 mcm4m1p1_cf_w_1_120_s_0_840 = 2.23e-11 ++ mcm4m1p1_ca_w_1_120_s_1_540 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_1_540 = 1.57e-11 mcm4m1p1_cf_w_1_120_s_1_540 = 3.38e-11 ++ mcm4m1p1_ca_w_1_120_s_3_500 = 6.00e-05 mcm4m1p1_cc_w_1_120_s_3_500 = 2.33e-12 mcm4m1p1_cf_w_1_120_s_3_500 = 4.52e-11 ++ mcm4m1l1_ca_w_0_140_s_0_140 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_0_140 = 9.48e-11 mcm4m1l1_cf_w_0_140_s_0_140 = 8.49e-12 ++ mcm4m1l1_ca_w_0_140_s_0_175 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_0_175 = 9.24e-11 mcm4m1l1_cf_w_0_140_s_0_175 = 1.07e-11 ++ mcm4m1l1_ca_w_0_140_s_0_210 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_0_210 = 8.59e-11 mcm4m1l1_cf_w_0_140_s_0_210 = 1.29e-11 ++ mcm4m1l1_ca_w_0_140_s_0_280 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_0_280 = 7.35e-11 mcm4m1l1_cf_w_0_140_s_0_280 = 1.69e-11 ++ mcm4m1l1_ca_w_0_140_s_0_350 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_0_350 = 6.15e-11 mcm4m1l1_cf_w_0_140_s_0_350 = 2.07e-11 ++ mcm4m1l1_ca_w_0_140_s_0_420 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_0_420 = 5.10e-11 mcm4m1l1_cf_w_0_140_s_0_420 = 2.42e-11 ++ mcm4m1l1_ca_w_0_140_s_0_560 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_0_560 = 3.72e-11 mcm4m1l1_cf_w_0_140_s_0_560 = 3.04e-11 ++ mcm4m1l1_ca_w_0_140_s_0_840 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_0_840 = 2.20e-11 mcm4m1l1_cf_w_0_140_s_0_840 = 3.97e-11 ++ mcm4m1l1_ca_w_0_140_s_1_540 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_1_540 = 7.54e-12 mcm4m1l1_cf_w_0_140_s_1_540 = 5.16e-11 ++ mcm4m1l1_ca_w_0_140_s_3_500 = 1.29e-04 mcm4m1l1_cc_w_0_140_s_3_500 = 7.50e-13 mcm4m1l1_cf_w_0_140_s_3_500 = 5.84e-11 ++ mcm4m1l1_ca_w_1_120_s_0_140 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_0_140 = 1.06e-10 mcm4m1l1_cf_w_1_120_s_0_140 = 8.63e-12 ++ mcm4m1l1_ca_w_1_120_s_0_175 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_0_175 = 1.03e-10 mcm4m1l1_cf_w_1_120_s_0_175 = 1.08e-11 ++ mcm4m1l1_ca_w_1_120_s_0_210 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_0_210 = 9.61e-11 mcm4m1l1_cf_w_1_120_s_0_210 = 1.30e-11 ++ mcm4m1l1_ca_w_1_120_s_0_280 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_0_280 = 8.18e-11 mcm4m1l1_cf_w_1_120_s_0_280 = 1.70e-11 ++ mcm4m1l1_ca_w_1_120_s_0_350 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_0_350 = 6.92e-11 mcm4m1l1_cf_w_1_120_s_0_350 = 2.08e-11 ++ mcm4m1l1_ca_w_1_120_s_0_420 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_0_420 = 5.81e-11 mcm4m1l1_cf_w_1_120_s_0_420 = 2.43e-11 ++ mcm4m1l1_ca_w_1_120_s_0_560 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_0_560 = 4.30e-11 mcm4m1l1_cf_w_1_120_s_0_560 = 3.05e-11 ++ mcm4m1l1_ca_w_1_120_s_0_840 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_0_840 = 2.62e-11 mcm4m1l1_cf_w_1_120_s_0_840 = 4.01e-11 ++ mcm4m1l1_ca_w_1_120_s_1_540 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_1_540 = 9.81e-12 mcm4m1l1_cf_w_1_120_s_1_540 = 5.30e-11 ++ mcm4m1l1_ca_w_1_120_s_3_500 = 1.29e-04 mcm4m1l1_cc_w_1_120_s_3_500 = 1.05e-12 mcm4m1l1_cf_w_1_120_s_3_500 = 6.13e-11 ++ mcm5m1f_ca_w_0_140_s_0_140 = 3.53e-05 mcm5m1f_cc_w_0_140_s_0_140 = 1.04e-10 mcm5m1f_cf_w_0_140_s_0_140 = 2.45e-12 ++ mcm5m1f_ca_w_0_140_s_0_175 = 3.53e-05 mcm5m1f_cc_w_0_140_s_0_175 = 1.02e-10 mcm5m1f_cf_w_0_140_s_0_175 = 3.06e-12 ++ mcm5m1f_ca_w_0_140_s_0_210 = 3.53e-05 mcm5m1f_cc_w_0_140_s_0_210 = 9.71e-11 mcm5m1f_cf_w_0_140_s_0_210 = 3.68e-12 ++ mcm5m1f_ca_w_0_140_s_0_280 = 3.53e-05 mcm5m1f_cc_w_0_140_s_0_280 = 8.58e-11 mcm5m1f_cf_w_0_140_s_0_280 = 4.88e-12 ++ mcm5m1f_ca_w_0_140_s_0_350 = 3.53e-05 mcm5m1f_cc_w_0_140_s_0_350 = 7.46e-11 mcm5m1f_cf_w_0_140_s_0_350 = 6.06e-12 ++ mcm5m1f_ca_w_0_140_s_0_420 = 3.53e-05 mcm5m1f_cc_w_0_140_s_0_420 = 6.50e-11 mcm5m1f_cf_w_0_140_s_0_420 = 7.28e-12 ++ mcm5m1f_ca_w_0_140_s_0_560 = 3.53e-05 mcm5m1f_cc_w_0_140_s_0_560 = 5.17e-11 mcm5m1f_cf_w_0_140_s_0_560 = 9.53e-12 ++ mcm5m1f_ca_w_0_140_s_0_840 = 3.53e-05 mcm5m1f_cc_w_0_140_s_0_840 = 3.70e-11 mcm5m1f_cf_w_0_140_s_0_840 = 1.37e-11 ++ mcm5m1f_ca_w_0_140_s_1_540 = 3.53e-05 mcm5m1f_cc_w_0_140_s_1_540 = 1.97e-11 mcm5m1f_cf_w_0_140_s_1_540 = 2.20e-11 ++ mcm5m1f_ca_w_0_140_s_3_500 = 3.53e-05 mcm5m1f_cc_w_0_140_s_3_500 = 4.95e-12 mcm5m1f_cf_w_0_140_s_3_500 = 3.32e-11 ++ mcm5m1f_ca_w_1_120_s_0_140 = 3.53e-05 mcm5m1f_cc_w_1_120_s_0_140 = 1.25e-10 mcm5m1f_cf_w_1_120_s_0_140 = 2.49e-12 ++ mcm5m1f_ca_w_1_120_s_0_175 = 3.53e-05 mcm5m1f_cc_w_1_120_s_0_175 = 1.23e-10 mcm5m1f_cf_w_1_120_s_0_175 = 3.10e-12 ++ mcm5m1f_ca_w_1_120_s_0_210 = 3.53e-05 mcm5m1f_cc_w_1_120_s_0_210 = 1.16e-10 mcm5m1f_cf_w_1_120_s_0_210 = 3.72e-12 ++ mcm5m1f_ca_w_1_120_s_0_280 = 3.53e-05 mcm5m1f_cc_w_1_120_s_0_280 = 1.02e-10 mcm5m1f_cf_w_1_120_s_0_280 = 4.92e-12 ++ mcm5m1f_ca_w_1_120_s_0_350 = 3.53e-05 mcm5m1f_cc_w_1_120_s_0_350 = 8.91e-11 mcm5m1f_cf_w_1_120_s_0_350 = 6.11e-12 ++ mcm5m1f_ca_w_1_120_s_0_420 = 3.53e-05 mcm5m1f_cc_w_1_120_s_0_420 = 7.82e-11 mcm5m1f_cf_w_1_120_s_0_420 = 7.29e-12 ++ mcm5m1f_ca_w_1_120_s_0_560 = 3.53e-05 mcm5m1f_cc_w_1_120_s_0_560 = 6.26e-11 mcm5m1f_cf_w_1_120_s_0_560 = 9.56e-12 ++ mcm5m1f_ca_w_1_120_s_0_840 = 3.53e-05 mcm5m1f_cc_w_1_120_s_0_840 = 4.47e-11 mcm5m1f_cf_w_1_120_s_0_840 = 1.38e-11 ++ mcm5m1f_ca_w_1_120_s_1_540 = 3.53e-05 mcm5m1f_cc_w_1_120_s_1_540 = 2.43e-11 mcm5m1f_cf_w_1_120_s_1_540 = 2.26e-11 ++ mcm5m1f_ca_w_1_120_s_3_500 = 3.53e-05 mcm5m1f_cc_w_1_120_s_3_500 = 6.46e-12 mcm5m1f_cf_w_1_120_s_3_500 = 3.55e-11 ++ mcm5m1d_ca_w_0_140_s_0_140 = 4.31e-05 mcm5m1d_cc_w_0_140_s_0_140 = 1.03e-10 mcm5m1d_cf_w_0_140_s_0_140 = 2.99e-12 ++ mcm5m1d_ca_w_0_140_s_0_175 = 4.31e-05 mcm5m1d_cc_w_0_140_s_0_175 = 1.01e-10 mcm5m1d_cf_w_0_140_s_0_175 = 3.73e-12 ++ mcm5m1d_ca_w_0_140_s_0_210 = 4.31e-05 mcm5m1d_cc_w_0_140_s_0_210 = 9.56e-11 mcm5m1d_cf_w_0_140_s_0_210 = 4.49e-12 ++ mcm5m1d_ca_w_0_140_s_0_280 = 4.31e-05 mcm5m1d_cc_w_0_140_s_0_280 = 8.45e-11 mcm5m1d_cf_w_0_140_s_0_280 = 5.95e-12 ++ mcm5m1d_ca_w_0_140_s_0_350 = 4.31e-05 mcm5m1d_cc_w_0_140_s_0_350 = 7.26e-11 mcm5m1d_cf_w_0_140_s_0_350 = 7.38e-12 ++ mcm5m1d_ca_w_0_140_s_0_420 = 4.31e-05 mcm5m1d_cc_w_0_140_s_0_420 = 6.30e-11 mcm5m1d_cf_w_0_140_s_0_420 = 8.82e-12 ++ mcm5m1d_ca_w_0_140_s_0_560 = 4.31e-05 mcm5m1d_cc_w_0_140_s_0_560 = 5.00e-11 mcm5m1d_cf_w_0_140_s_0_560 = 1.15e-11 ++ mcm5m1d_ca_w_0_140_s_0_840 = 4.31e-05 mcm5m1d_cc_w_0_140_s_0_840 = 3.48e-11 mcm5m1d_cf_w_0_140_s_0_840 = 1.64e-11 ++ mcm5m1d_ca_w_0_140_s_1_540 = 4.31e-05 mcm5m1d_cc_w_0_140_s_1_540 = 1.75e-11 mcm5m1d_cf_w_0_140_s_1_540 = 2.56e-11 ++ mcm5m1d_ca_w_0_140_s_3_500 = 4.31e-05 mcm5m1d_cc_w_0_140_s_3_500 = 3.87e-12 mcm5m1d_cf_w_0_140_s_3_500 = 3.66e-11 ++ mcm5m1d_ca_w_1_120_s_0_140 = 4.31e-05 mcm5m1d_cc_w_1_120_s_0_140 = 1.23e-10 mcm5m1d_cf_w_1_120_s_0_140 = 3.06e-12 ++ mcm5m1d_ca_w_1_120_s_0_175 = 4.31e-05 mcm5m1d_cc_w_1_120_s_0_175 = 1.20e-10 mcm5m1d_cf_w_1_120_s_0_175 = 3.80e-12 ++ mcm5m1d_ca_w_1_120_s_0_210 = 4.31e-05 mcm5m1d_cc_w_1_120_s_0_210 = 1.13e-10 mcm5m1d_cf_w_1_120_s_0_210 = 4.55e-12 ++ mcm5m1d_ca_w_1_120_s_0_280 = 4.31e-05 mcm5m1d_cc_w_1_120_s_0_280 = 9.88e-11 mcm5m1d_cf_w_1_120_s_0_280 = 6.01e-12 ++ mcm5m1d_ca_w_1_120_s_0_350 = 4.31e-05 mcm5m1d_cc_w_1_120_s_0_350 = 8.63e-11 mcm5m1d_cf_w_1_120_s_0_350 = 7.45e-12 ++ mcm5m1d_ca_w_1_120_s_0_420 = 4.31e-05 mcm5m1d_cc_w_1_120_s_0_420 = 7.51e-11 mcm5m1d_cf_w_1_120_s_0_420 = 8.86e-12 ++ mcm5m1d_ca_w_1_120_s_0_560 = 4.31e-05 mcm5m1d_cc_w_1_120_s_0_560 = 5.97e-11 mcm5m1d_cf_w_1_120_s_0_560 = 1.16e-11 ++ mcm5m1d_ca_w_1_120_s_0_840 = 4.31e-05 mcm5m1d_cc_w_1_120_s_0_840 = 4.17e-11 mcm5m1d_cf_w_1_120_s_0_840 = 1.66e-11 ++ mcm5m1d_ca_w_1_120_s_1_540 = 4.31e-05 mcm5m1d_cc_w_1_120_s_1_540 = 2.17e-11 mcm5m1d_cf_w_1_120_s_1_540 = 2.63e-11 ++ mcm5m1d_ca_w_1_120_s_3_500 = 4.31e-05 mcm5m1d_cc_w_1_120_s_3_500 = 5.18e-12 mcm5m1d_cf_w_1_120_s_3_500 = 3.92e-11 ++ mcm5m1p1_ca_w_0_140_s_0_140 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_0_140 = 1.02e-10 mcm5m1p1_cf_w_0_140_s_0_140 = 3.77e-12 ++ mcm5m1p1_ca_w_0_140_s_0_175 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_0_175 = 9.98e-11 mcm5m1p1_cf_w_0_140_s_0_175 = 4.71e-12 ++ mcm5m1p1_ca_w_0_140_s_0_210 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_0_210 = 9.41e-11 mcm5m1p1_cf_w_0_140_s_0_210 = 5.65e-12 ++ mcm5m1p1_ca_w_0_140_s_0_280 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_0_280 = 8.27e-11 mcm5m1p1_cf_w_0_140_s_0_280 = 7.48e-12 ++ mcm5m1p1_ca_w_0_140_s_0_350 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_0_350 = 7.09e-11 mcm5m1p1_cf_w_0_140_s_0_350 = 9.24e-12 ++ mcm5m1p1_ca_w_0_140_s_0_420 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_0_420 = 6.10e-11 mcm5m1p1_cf_w_0_140_s_0_420 = 1.10e-11 ++ mcm5m1p1_ca_w_0_140_s_0_560 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_0_560 = 4.76e-11 mcm5m1p1_cf_w_0_140_s_0_560 = 1.43e-11 ++ mcm5m1p1_ca_w_0_140_s_0_840 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_0_840 = 3.22e-11 mcm5m1p1_cf_w_0_140_s_0_840 = 2.01e-11 ++ mcm5m1p1_ca_w_0_140_s_1_540 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_1_540 = 1.52e-11 mcm5m1p1_cf_w_0_140_s_1_540 = 3.03e-11 ++ mcm5m1p1_ca_w_0_140_s_3_500 = 5.44e-05 mcm5m1p1_cc_w_0_140_s_3_500 = 2.95e-12 mcm5m1p1_cf_w_0_140_s_3_500 = 4.08e-11 ++ mcm5m1p1_ca_w_1_120_s_0_140 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_0_140 = 1.20e-10 mcm5m1p1_cf_w_1_120_s_0_140 = 3.92e-12 ++ mcm5m1p1_ca_w_1_120_s_0_175 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_0_175 = 1.16e-10 mcm5m1p1_cf_w_1_120_s_0_175 = 4.86e-12 ++ mcm5m1p1_ca_w_1_120_s_0_210 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_0_210 = 1.10e-10 mcm5m1p1_cf_w_1_120_s_0_210 = 5.78e-12 ++ mcm5m1p1_ca_w_1_120_s_0_280 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_0_280 = 9.54e-11 mcm5m1p1_cf_w_1_120_s_0_280 = 7.61e-12 ++ mcm5m1p1_ca_w_1_120_s_0_350 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_0_350 = 8.27e-11 mcm5m1p1_cf_w_1_120_s_0_350 = 9.40e-12 ++ mcm5m1p1_ca_w_1_120_s_0_420 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_0_420 = 7.19e-11 mcm5m1p1_cf_w_1_120_s_0_420 = 1.12e-11 ++ mcm5m1p1_ca_w_1_120_s_0_560 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_0_560 = 5.62e-11 mcm5m1p1_cf_w_1_120_s_0_560 = 1.44e-11 ++ mcm5m1p1_ca_w_1_120_s_0_840 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_0_840 = 3.86e-11 mcm5m1p1_cf_w_1_120_s_0_840 = 2.04e-11 ++ mcm5m1p1_ca_w_1_120_s_1_540 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_1_540 = 1.91e-11 mcm5m1p1_cf_w_1_120_s_1_540 = 3.13e-11 ++ mcm5m1p1_ca_w_1_120_s_3_500 = 5.44e-05 mcm5m1p1_cc_w_1_120_s_3_500 = 4.05e-12 mcm5m1p1_cf_w_1_120_s_3_500 = 4.36e-11 ++ mcm5m1l1_ca_w_0_140_s_0_140 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_0_140 = 9.56e-11 mcm5m1l1_cf_w_0_140_s_0_140 = 8.10e-12 ++ mcm5m1l1_ca_w_0_140_s_0_175 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_0_175 = 9.31e-11 mcm5m1l1_cf_w_0_140_s_0_175 = 1.02e-11 ++ mcm5m1l1_ca_w_0_140_s_0_210 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_0_210 = 8.67e-11 mcm5m1l1_cf_w_0_140_s_0_210 = 1.23e-11 ++ mcm5m1l1_ca_w_0_140_s_0_280 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_0_280 = 7.45e-11 mcm5m1l1_cf_w_0_140_s_0_280 = 1.62e-11 ++ mcm5m1l1_ca_w_0_140_s_0_350 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_0_350 = 6.24e-11 mcm5m1l1_cf_w_0_140_s_0_350 = 1.97e-11 ++ mcm5m1l1_ca_w_0_140_s_0_420 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_0_420 = 5.25e-11 mcm5m1l1_cf_w_0_140_s_0_420 = 2.31e-11 ++ mcm5m1l1_ca_w_0_140_s_0_560 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_0_560 = 3.86e-11 mcm5m1l1_cf_w_0_140_s_0_560 = 2.90e-11 ++ mcm5m1l1_ca_w_0_140_s_0_840 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_0_840 = 2.38e-11 mcm5m1l1_cf_w_0_140_s_0_840 = 3.80e-11 ++ mcm5m1l1_ca_w_0_140_s_1_540 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_1_540 = 9.09e-12 mcm5m1l1_cf_w_0_140_s_1_540 = 4.98e-11 ++ mcm5m1l1_ca_w_0_140_s_3_500 = 1.23e-04 mcm5m1l1_cc_w_0_140_s_3_500 = 1.36e-12 mcm5m1l1_cf_w_0_140_s_3_500 = 5.74e-11 ++ mcm5m1l1_ca_w_1_120_s_0_140 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_0_140 = 1.09e-10 mcm5m1l1_cf_w_1_120_s_0_140 = 8.26e-12 ++ mcm5m1l1_ca_w_1_120_s_0_175 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_0_175 = 1.06e-10 mcm5m1l1_cf_w_1_120_s_0_175 = 1.04e-11 ++ mcm5m1l1_ca_w_1_120_s_0_210 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_0_210 = 9.89e-11 mcm5m1l1_cf_w_1_120_s_0_210 = 1.24e-11 ++ mcm5m1l1_ca_w_1_120_s_0_280 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_0_280 = 8.49e-11 mcm5m1l1_cf_w_1_120_s_0_280 = 1.63e-11 ++ mcm5m1l1_ca_w_1_120_s_0_350 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_0_350 = 7.23e-11 mcm5m1l1_cf_w_1_120_s_0_350 = 1.99e-11 ++ mcm5m1l1_ca_w_1_120_s_0_420 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_0_420 = 6.11e-11 mcm5m1l1_cf_w_1_120_s_0_420 = 2.33e-11 ++ mcm5m1l1_ca_w_1_120_s_0_560 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_0_560 = 4.62e-11 mcm5m1l1_cf_w_1_120_s_0_560 = 2.91e-11 ++ mcm5m1l1_ca_w_1_120_s_0_840 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_0_840 = 2.95e-11 mcm5m1l1_cf_w_1_120_s_0_840 = 3.83e-11 ++ mcm5m1l1_ca_w_1_120_s_1_540 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_1_540 = 1.26e-11 mcm5m1l1_cf_w_1_120_s_1_540 = 5.10e-11 ++ mcm5m1l1_ca_w_1_120_s_3_500 = 1.23e-04 mcm5m1l1_cc_w_1_120_s_3_500 = 2.20e-12 mcm5m1l1_cf_w_1_120_s_3_500 = 6.09e-11 ++ mcrdlm1f_ca_w_0_140_s_0_140 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_0_140 = 1.05e-10 mcrdlm1f_cf_w_0_140_s_0_140 = 2.00e-12 ++ mcrdlm1f_ca_w_0_140_s_0_175 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_0_175 = 1.03e-10 mcrdlm1f_cf_w_0_140_s_0_175 = 2.49e-12 ++ mcrdlm1f_ca_w_0_140_s_0_210 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_0_210 = 9.77e-11 mcrdlm1f_cf_w_0_140_s_0_210 = 3.00e-12 ++ mcrdlm1f_ca_w_0_140_s_0_280 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_0_280 = 8.68e-11 mcrdlm1f_cf_w_0_140_s_0_280 = 3.98e-12 ++ mcrdlm1f_ca_w_0_140_s_0_350 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_0_350 = 7.54e-11 mcrdlm1f_cf_w_0_140_s_0_350 = 4.94e-12 ++ mcrdlm1f_ca_w_0_140_s_0_420 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_0_420 = 6.65e-11 mcrdlm1f_cf_w_0_140_s_0_420 = 5.94e-12 ++ mcrdlm1f_ca_w_0_140_s_0_560 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_0_560 = 5.36e-11 mcrdlm1f_cf_w_0_140_s_0_560 = 7.76e-12 ++ mcrdlm1f_ca_w_0_140_s_0_840 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_0_840 = 3.94e-11 mcrdlm1f_cf_w_0_140_s_0_840 = 1.12e-11 ++ mcrdlm1f_ca_w_0_140_s_1_540 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_1_540 = 2.30e-11 mcrdlm1f_cf_w_0_140_s_1_540 = 1.83e-11 ++ mcrdlm1f_ca_w_0_140_s_3_500 = 2.87e-05 mcrdlm1f_cc_w_0_140_s_3_500 = 8.05e-12 mcrdlm1f_cf_w_0_140_s_3_500 = 2.92e-11 ++ mcrdlm1f_ca_w_1_120_s_0_140 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_0_140 = 1.29e-10 mcrdlm1f_cf_w_1_120_s_0_140 = 2.04e-12 ++ mcrdlm1f_ca_w_1_120_s_0_175 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_0_175 = 1.25e-10 mcrdlm1f_cf_w_1_120_s_0_175 = 2.54e-12 ++ mcrdlm1f_ca_w_1_120_s_0_210 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_0_210 = 1.19e-10 mcrdlm1f_cf_w_1_120_s_0_210 = 3.04e-12 ++ mcrdlm1f_ca_w_1_120_s_0_280 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_0_280 = 1.05e-10 mcrdlm1f_cf_w_1_120_s_0_280 = 4.03e-12 ++ mcrdlm1f_ca_w_1_120_s_0_350 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_0_350 = 9.30e-11 mcrdlm1f_cf_w_1_120_s_0_350 = 5.00e-12 ++ mcrdlm1f_ca_w_1_120_s_0_420 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_0_420 = 8.20e-11 mcrdlm1f_cf_w_1_120_s_0_420 = 5.95e-12 ++ mcrdlm1f_ca_w_1_120_s_0_560 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_0_560 = 6.69e-11 mcrdlm1f_cf_w_1_120_s_0_560 = 7.81e-12 ++ mcrdlm1f_ca_w_1_120_s_0_840 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_0_840 = 4.96e-11 mcrdlm1f_cf_w_1_120_s_0_840 = 1.13e-11 ++ mcrdlm1f_ca_w_1_120_s_1_540 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_1_540 = 2.99e-11 mcrdlm1f_cf_w_1_120_s_1_540 = 1.88e-11 ++ mcrdlm1f_ca_w_1_120_s_3_500 = 2.87e-05 mcrdlm1f_cc_w_1_120_s_3_500 = 1.14e-11 mcrdlm1f_cf_w_1_120_s_3_500 = 3.12e-11 ++ mcrdlm1d_ca_w_0_140_s_0_140 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_0_140 = 1.04e-10 mcrdlm1d_cf_w_0_140_s_0_140 = 2.53e-12 ++ mcrdlm1d_ca_w_0_140_s_0_175 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_0_175 = 1.02e-10 mcrdlm1d_cf_w_0_140_s_0_175 = 3.16e-12 ++ mcrdlm1d_ca_w_0_140_s_0_210 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_0_210 = 9.66e-11 mcrdlm1d_cf_w_0_140_s_0_210 = 3.80e-12 ++ mcrdlm1d_ca_w_0_140_s_0_280 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_0_280 = 8.55e-11 mcrdlm1d_cf_w_0_140_s_0_280 = 5.04e-12 ++ mcrdlm1d_ca_w_0_140_s_0_350 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_0_350 = 7.40e-11 mcrdlm1d_cf_w_0_140_s_0_350 = 6.25e-12 ++ mcrdlm1d_ca_w_0_140_s_0_420 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_0_420 = 6.49e-11 mcrdlm1d_cf_w_0_140_s_0_420 = 7.49e-12 ++ mcrdlm1d_ca_w_0_140_s_0_560 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_0_560 = 5.17e-11 mcrdlm1d_cf_w_0_140_s_0_560 = 9.74e-12 ++ mcrdlm1d_ca_w_0_140_s_0_840 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_0_840 = 3.72e-11 mcrdlm1d_cf_w_0_140_s_0_840 = 1.39e-11 ++ mcrdlm1d_ca_w_0_140_s_1_540 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_1_540 = 2.08e-11 mcrdlm1d_cf_w_0_140_s_1_540 = 2.22e-11 ++ mcrdlm1d_ca_w_0_140_s_3_500 = 3.65e-05 mcrdlm1d_cc_w_0_140_s_3_500 = 6.54e-12 mcrdlm1d_cf_w_0_140_s_3_500 = 3.32e-11 ++ mcrdlm1d_ca_w_1_120_s_0_140 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_0_140 = 1.26e-10 mcrdlm1d_cf_w_1_120_s_0_140 = 2.61e-12 ++ mcrdlm1d_ca_w_1_120_s_0_175 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_0_175 = 1.23e-10 mcrdlm1d_cf_w_1_120_s_0_175 = 3.24e-12 ++ mcrdlm1d_ca_w_1_120_s_0_210 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_0_210 = 1.16e-10 mcrdlm1d_cf_w_1_120_s_0_210 = 3.87e-12 ++ mcrdlm1d_ca_w_1_120_s_0_280 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_0_280 = 1.03e-10 mcrdlm1d_cf_w_1_120_s_0_280 = 5.12e-12 ++ mcrdlm1d_ca_w_1_120_s_0_350 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_0_350 = 9.03e-11 mcrdlm1d_cf_w_1_120_s_0_350 = 6.34e-12 ++ mcrdlm1d_ca_w_1_120_s_0_420 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_0_420 = 7.95e-11 mcrdlm1d_cf_w_1_120_s_0_420 = 7.53e-12 ++ mcrdlm1d_ca_w_1_120_s_0_560 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_0_560 = 6.41e-11 mcrdlm1d_cf_w_1_120_s_0_560 = 9.83e-12 ++ mcrdlm1d_ca_w_1_120_s_0_840 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_0_840 = 4.68e-11 mcrdlm1d_cf_w_1_120_s_0_840 = 1.41e-11 ++ mcrdlm1d_ca_w_1_120_s_1_540 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_1_540 = 2.72e-11 mcrdlm1d_cf_w_1_120_s_1_540 = 2.27e-11 ++ mcrdlm1d_ca_w_1_120_s_3_500 = 3.65e-05 mcrdlm1d_cc_w_1_120_s_3_500 = 9.66e-12 mcrdlm1d_cf_w_1_120_s_3_500 = 3.56e-11 ++ mcrdlm1p1_ca_w_0_140_s_0_140 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_0_140 = 1.03e-10 mcrdlm1p1_cf_w_0_140_s_0_140 = 3.31e-12 ++ mcrdlm1p1_ca_w_0_140_s_0_175 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_0_175 = 1.01e-10 mcrdlm1p1_cf_w_0_140_s_0_175 = 4.13e-12 ++ mcrdlm1p1_ca_w_0_140_s_0_210 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_0_210 = 9.50e-11 mcrdlm1p1_cf_w_0_140_s_0_210 = 4.96e-12 ++ mcrdlm1p1_ca_w_0_140_s_0_280 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_0_280 = 8.37e-11 mcrdlm1p1_cf_w_0_140_s_0_280 = 6.57e-12 ++ mcrdlm1p1_ca_w_0_140_s_0_350 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_0_350 = 7.21e-11 mcrdlm1p1_cf_w_0_140_s_0_350 = 8.13e-12 ++ mcrdlm1p1_ca_w_0_140_s_0_420 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_0_420 = 6.25e-11 mcrdlm1p1_cf_w_0_140_s_0_420 = 9.69e-12 ++ mcrdlm1p1_ca_w_0_140_s_0_560 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_0_560 = 4.94e-11 mcrdlm1p1_cf_w_0_140_s_0_560 = 1.25e-11 ++ mcrdlm1p1_ca_w_0_140_s_0_840 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_0_840 = 3.47e-11 mcrdlm1p1_cf_w_0_140_s_0_840 = 1.77e-11 ++ mcrdlm1p1_ca_w_0_140_s_1_540 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_1_540 = 1.81e-11 mcrdlm1p1_cf_w_0_140_s_1_540 = 2.71e-11 ++ mcrdlm1p1_ca_w_0_140_s_3_500 = 4.78e-05 mcrdlm1p1_cc_w_0_140_s_3_500 = 5.22e-12 mcrdlm1p1_cf_w_0_140_s_3_500 = 3.80e-11 ++ mcrdlm1p1_ca_w_1_120_s_0_140 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_0_140 = 1.23e-10 mcrdlm1p1_cf_w_1_120_s_0_140 = 3.46e-12 ++ mcrdlm1p1_ca_w_1_120_s_0_175 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_0_175 = 1.20e-10 mcrdlm1p1_cf_w_1_120_s_0_175 = 4.29e-12 ++ mcrdlm1p1_ca_w_1_120_s_0_210 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_0_210 = 1.13e-10 mcrdlm1p1_cf_w_1_120_s_0_210 = 5.11e-12 ++ mcrdlm1p1_ca_w_1_120_s_0_280 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_0_280 = 9.92e-11 mcrdlm1p1_cf_w_1_120_s_0_280 = 6.72e-12 ++ mcrdlm1p1_ca_w_1_120_s_0_350 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_0_350 = 8.63e-11 mcrdlm1p1_cf_w_1_120_s_0_350 = 8.28e-12 ++ mcrdlm1p1_ca_w_1_120_s_0_420 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_0_420 = 7.59e-11 mcrdlm1p1_cf_w_1_120_s_0_420 = 9.81e-12 ++ mcrdlm1p1_ca_w_1_120_s_0_560 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_0_560 = 6.08e-11 mcrdlm1p1_cf_w_1_120_s_0_560 = 1.27e-11 ++ mcrdlm1p1_ca_w_1_120_s_0_840 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_0_840 = 4.35e-11 mcrdlm1p1_cf_w_1_120_s_0_840 = 1.79e-11 ++ mcrdlm1p1_ca_w_1_120_s_1_540 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_1_540 = 2.44e-11 mcrdlm1p1_cf_w_1_120_s_1_540 = 2.79e-11 ++ mcrdlm1p1_ca_w_1_120_s_3_500 = 4.78e-05 mcrdlm1p1_cc_w_1_120_s_3_500 = 8.04e-12 mcrdlm1p1_cf_w_1_120_s_3_500 = 4.07e-11 ++ mcrdlm1l1_ca_w_0_140_s_0_140 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_0_140 = 9.58e-11 mcrdlm1l1_cf_w_0_140_s_0_140 = 7.64e-12 ++ mcrdlm1l1_ca_w_0_140_s_0_175 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_0_175 = 9.30e-11 mcrdlm1l1_cf_w_0_140_s_0_175 = 9.66e-12 ++ mcrdlm1l1_ca_w_0_140_s_0_210 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_0_210 = 8.74e-11 mcrdlm1l1_cf_w_0_140_s_0_210 = 1.16e-11 ++ mcrdlm1l1_ca_w_0_140_s_0_280 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_0_280 = 7.52e-11 mcrdlm1l1_cf_w_0_140_s_0_280 = 1.53e-11 ++ mcrdlm1l1_ca_w_0_140_s_0_350 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_0_350 = 6.40e-11 mcrdlm1l1_cf_w_0_140_s_0_350 = 1.86e-11 ++ mcrdlm1l1_ca_w_0_140_s_0_420 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_0_420 = 5.38e-11 mcrdlm1l1_cf_w_0_140_s_0_420 = 2.18e-11 ++ mcrdlm1l1_ca_w_0_140_s_0_560 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_0_560 = 4.04e-11 mcrdlm1l1_cf_w_0_140_s_0_560 = 2.73e-11 ++ mcrdlm1l1_ca_w_0_140_s_0_840 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_0_840 = 2.60e-11 mcrdlm1l1_cf_w_0_140_s_0_840 = 3.58e-11 ++ mcrdlm1l1_ca_w_0_140_s_1_540 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_1_540 = 1.14e-11 mcrdlm1l1_cf_w_0_140_s_1_540 = 4.74e-11 ++ mcrdlm1l1_ca_w_0_140_s_3_500 = 1.17e-04 mcrdlm1l1_cc_w_0_140_s_3_500 = 2.65e-12 mcrdlm1l1_cf_w_0_140_s_3_500 = 5.60e-11 ++ mcrdlm1l1_ca_w_1_120_s_0_140 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_0_140 = 1.12e-10 mcrdlm1l1_cf_w_1_120_s_0_140 = 7.79e-12 ++ mcrdlm1l1_ca_w_1_120_s_0_175 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_0_175 = 1.09e-10 mcrdlm1l1_cf_w_1_120_s_0_175 = 9.81e-12 ++ mcrdlm1l1_ca_w_1_120_s_0_210 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_0_210 = 1.03e-10 mcrdlm1l1_cf_w_1_120_s_0_210 = 1.17e-11 ++ mcrdlm1l1_ca_w_1_120_s_0_280 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_0_280 = 8.88e-11 mcrdlm1l1_cf_w_1_120_s_0_280 = 1.54e-11 ++ mcrdlm1l1_ca_w_1_120_s_0_350 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_0_350 = 7.58e-11 mcrdlm1l1_cf_w_1_120_s_0_350 = 1.88e-11 ++ mcrdlm1l1_ca_w_1_120_s_0_420 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_0_420 = 6.55e-11 mcrdlm1l1_cf_w_1_120_s_0_420 = 2.19e-11 ++ mcrdlm1l1_ca_w_1_120_s_0_560 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_0_560 = 5.06e-11 mcrdlm1l1_cf_w_1_120_s_0_560 = 2.74e-11 ++ mcrdlm1l1_ca_w_1_120_s_0_840 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_0_840 = 3.41e-11 mcrdlm1l1_cf_w_1_120_s_0_840 = 3.61e-11 ++ mcrdlm1l1_ca_w_1_120_s_1_540 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_1_540 = 1.72e-11 mcrdlm1l1_cf_w_1_120_s_1_540 = 4.86e-11 ++ mcrdlm1l1_ca_w_1_120_s_3_500 = 1.17e-04 mcrdlm1l1_cc_w_1_120_s_3_500 = 5.02e-12 mcrdlm1l1_cf_w_1_120_s_3_500 = 6.00e-11 ++ mcm3m2f_ca_w_0_140_s_0_140 = 9.98e-05 mcm3m2f_cc_w_0_140_s_0_140 = 9.63e-11 mcm3m2f_cf_w_0_140_s_0_140 = 6.58e-12 ++ mcm3m2f_ca_w_0_140_s_0_175 = 9.98e-05 mcm3m2f_cc_w_0_140_s_0_175 = 9.45e-11 mcm3m2f_cf_w_0_140_s_0_175 = 8.13e-12 ++ mcm3m2f_ca_w_0_140_s_0_210 = 9.98e-05 mcm3m2f_cc_w_0_140_s_0_210 = 8.87e-11 mcm3m2f_cf_w_0_140_s_0_210 = 9.67e-12 ++ mcm3m2f_ca_w_0_140_s_0_280 = 9.98e-05 mcm3m2f_cc_w_0_140_s_0_280 = 7.64e-11 mcm3m2f_cf_w_0_140_s_0_280 = 1.26e-11 ++ mcm3m2f_ca_w_0_140_s_0_350 = 9.98e-05 mcm3m2f_cc_w_0_140_s_0_350 = 6.46e-11 mcm3m2f_cf_w_0_140_s_0_350 = 1.54e-11 ++ mcm3m2f_ca_w_0_140_s_0_420 = 9.98e-05 mcm3m2f_cc_w_0_140_s_0_420 = 5.44e-11 mcm3m2f_cf_w_0_140_s_0_420 = 1.82e-11 ++ mcm3m2f_ca_w_0_140_s_0_560 = 9.98e-05 mcm3m2f_cc_w_0_140_s_0_560 = 4.04e-11 mcm3m2f_cf_w_0_140_s_0_560 = 2.31e-11 ++ mcm3m2f_ca_w_0_140_s_0_840 = 9.98e-05 mcm3m2f_cc_w_0_140_s_0_840 = 2.47e-11 mcm3m2f_cf_w_0_140_s_0_840 = 3.11e-11 ++ mcm3m2f_ca_w_0_140_s_1_540 = 9.98e-05 mcm3m2f_cc_w_0_140_s_1_540 = 8.98e-12 mcm3m2f_cf_w_0_140_s_1_540 = 4.26e-11 ++ mcm3m2f_ca_w_0_140_s_3_500 = 9.98e-05 mcm3m2f_cc_w_0_140_s_3_500 = 8.85e-13 mcm3m2f_cf_w_0_140_s_3_500 = 5.01e-11 ++ mcm3m2f_ca_w_1_120_s_0_140 = 9.98e-05 mcm3m2f_cc_w_1_120_s_0_140 = 1.08e-10 mcm3m2f_cf_w_1_120_s_0_140 = 6.61e-12 ++ mcm3m2f_ca_w_1_120_s_0_175 = 9.98e-05 mcm3m2f_cc_w_1_120_s_0_175 = 1.06e-10 mcm3m2f_cf_w_1_120_s_0_175 = 8.17e-12 ++ mcm3m2f_ca_w_1_120_s_0_210 = 9.98e-05 mcm3m2f_cc_w_1_120_s_0_210 = 9.88e-11 mcm3m2f_cf_w_1_120_s_0_210 = 9.69e-12 ++ mcm3m2f_ca_w_1_120_s_0_280 = 9.98e-05 mcm3m2f_cc_w_1_120_s_0_280 = 8.46e-11 mcm3m2f_cf_w_1_120_s_0_280 = 1.27e-11 ++ mcm3m2f_ca_w_1_120_s_0_350 = 9.98e-05 mcm3m2f_cc_w_1_120_s_0_350 = 7.19e-11 mcm3m2f_cf_w_1_120_s_0_350 = 1.55e-11 ++ mcm3m2f_ca_w_1_120_s_0_420 = 9.98e-05 mcm3m2f_cc_w_1_120_s_0_420 = 6.08e-11 mcm3m2f_cf_w_1_120_s_0_420 = 1.82e-11 ++ mcm3m2f_ca_w_1_120_s_0_560 = 9.98e-05 mcm3m2f_cc_w_1_120_s_0_560 = 4.53e-11 mcm3m2f_cf_w_1_120_s_0_560 = 2.32e-11 ++ mcm3m2f_ca_w_1_120_s_0_840 = 9.98e-05 mcm3m2f_cc_w_1_120_s_0_840 = 2.81e-11 mcm3m2f_cf_w_1_120_s_0_840 = 3.14e-11 ++ mcm3m2f_ca_w_1_120_s_1_540 = 9.98e-05 mcm3m2f_cc_w_1_120_s_1_540 = 1.07e-11 mcm3m2f_cf_w_1_120_s_1_540 = 4.37e-11 ++ mcm3m2f_ca_w_1_120_s_3_500 = 9.98e-05 mcm3m2f_cc_w_1_120_s_3_500 = 1.09e-12 mcm3m2f_cf_w_1_120_s_3_500 = 5.26e-11 ++ mcm3m2d_ca_w_0_140_s_0_140 = 1.03e-04 mcm3m2d_cc_w_0_140_s_0_140 = 9.60e-11 mcm3m2d_cf_w_0_140_s_0_140 = 6.81e-12 ++ mcm3m2d_ca_w_0_140_s_0_175 = 1.03e-04 mcm3m2d_cc_w_0_140_s_0_175 = 9.41e-11 mcm3m2d_cf_w_0_140_s_0_175 = 8.42e-12 ++ mcm3m2d_ca_w_0_140_s_0_210 = 1.03e-04 mcm3m2d_cc_w_0_140_s_0_210 = 8.84e-11 mcm3m2d_cf_w_0_140_s_0_210 = 1.00e-11 ++ mcm3m2d_ca_w_0_140_s_0_280 = 1.03e-04 mcm3m2d_cc_w_0_140_s_0_280 = 7.58e-11 mcm3m2d_cf_w_0_140_s_0_280 = 1.30e-11 ++ mcm3m2d_ca_w_0_140_s_0_350 = 1.03e-04 mcm3m2d_cc_w_0_140_s_0_350 = 6.40e-11 mcm3m2d_cf_w_0_140_s_0_350 = 1.60e-11 ++ mcm3m2d_ca_w_0_140_s_0_420 = 1.03e-04 mcm3m2d_cc_w_0_140_s_0_420 = 5.36e-11 mcm3m2d_cf_w_0_140_s_0_420 = 1.89e-11 ++ mcm3m2d_ca_w_0_140_s_0_560 = 1.03e-04 mcm3m2d_cc_w_0_140_s_0_560 = 3.96e-11 mcm3m2d_cf_w_0_140_s_0_560 = 2.39e-11 ++ mcm3m2d_ca_w_0_140_s_0_840 = 1.03e-04 mcm3m2d_cc_w_0_140_s_0_840 = 2.37e-11 mcm3m2d_cf_w_0_140_s_0_840 = 3.21e-11 ++ mcm3m2d_ca_w_0_140_s_1_540 = 1.03e-04 mcm3m2d_cc_w_0_140_s_1_540 = 8.11e-12 mcm3m2d_cf_w_0_140_s_1_540 = 4.38e-11 ++ mcm3m2d_ca_w_0_140_s_3_500 = 1.03e-04 mcm3m2d_cc_w_0_140_s_3_500 = 6.60e-13 mcm3m2d_cf_w_0_140_s_3_500 = 5.08e-11 ++ mcm3m2d_ca_w_1_120_s_0_140 = 1.03e-04 mcm3m2d_cc_w_1_120_s_0_140 = 1.07e-10 mcm3m2d_cf_w_1_120_s_0_140 = 6.85e-12 ++ mcm3m2d_ca_w_1_120_s_0_175 = 1.03e-04 mcm3m2d_cc_w_1_120_s_0_175 = 1.05e-10 mcm3m2d_cf_w_1_120_s_0_175 = 8.48e-12 ++ mcm3m2d_ca_w_1_120_s_0_210 = 1.03e-04 mcm3m2d_cc_w_1_120_s_0_210 = 9.73e-11 mcm3m2d_cf_w_1_120_s_0_210 = 1.00e-11 ++ mcm3m2d_ca_w_1_120_s_0_280 = 1.03e-04 mcm3m2d_cc_w_1_120_s_0_280 = 8.32e-11 mcm3m2d_cf_w_1_120_s_0_280 = 1.31e-11 ++ mcm3m2d_ca_w_1_120_s_0_350 = 1.03e-04 mcm3m2d_cc_w_1_120_s_0_350 = 7.03e-11 mcm3m2d_cf_w_1_120_s_0_350 = 1.61e-11 ++ mcm3m2d_ca_w_1_120_s_0_420 = 1.03e-04 mcm3m2d_cc_w_1_120_s_0_420 = 5.93e-11 mcm3m2d_cf_w_1_120_s_0_420 = 1.89e-11 ++ mcm3m2d_ca_w_1_120_s_0_560 = 1.03e-04 mcm3m2d_cc_w_1_120_s_0_560 = 4.37e-11 mcm3m2d_cf_w_1_120_s_0_560 = 2.41e-11 ++ mcm3m2d_ca_w_1_120_s_0_840 = 1.03e-04 mcm3m2d_cc_w_1_120_s_0_840 = 2.66e-11 mcm3m2d_cf_w_1_120_s_0_840 = 3.25e-11 ++ mcm3m2d_ca_w_1_120_s_1_540 = 1.03e-04 mcm3m2d_cc_w_1_120_s_1_540 = 9.39e-12 mcm3m2d_cf_w_1_120_s_1_540 = 4.48e-11 ++ mcm3m2d_ca_w_1_120_s_3_500 = 1.03e-04 mcm3m2d_cc_w_1_120_s_3_500 = 7.50e-13 mcm3m2d_cf_w_1_120_s_3_500 = 5.30e-11 ++ mcm3m2p1_ca_w_0_140_s_0_140 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_0_140 = 9.70e-11 mcm3m2p1_cf_w_0_140_s_0_140 = 7.08e-12 ++ mcm3m2p1_ca_w_0_140_s_0_175 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_0_175 = 9.36e-11 mcm3m2p1_cf_w_0_140_s_0_175 = 8.76e-12 ++ mcm3m2p1_ca_w_0_140_s_0_210 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_0_210 = 8.75e-11 mcm3m2p1_cf_w_0_140_s_0_210 = 1.04e-11 ++ mcm3m2p1_ca_w_0_140_s_0_280 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_0_280 = 7.52e-11 mcm3m2p1_cf_w_0_140_s_0_280 = 1.36e-11 ++ mcm3m2p1_ca_w_0_140_s_0_350 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_0_350 = 6.30e-11 mcm3m2p1_cf_w_0_140_s_0_350 = 1.67e-11 ++ mcm3m2p1_ca_w_0_140_s_0_420 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_0_420 = 5.27e-11 mcm3m2p1_cf_w_0_140_s_0_420 = 1.96e-11 ++ mcm3m2p1_ca_w_0_140_s_0_560 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_0_560 = 3.85e-11 mcm3m2p1_cf_w_0_140_s_0_560 = 2.48e-11 ++ mcm3m2p1_ca_w_0_140_s_0_840 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_0_840 = 2.27e-11 mcm3m2p1_cf_w_0_140_s_0_840 = 3.33e-11 ++ mcm3m2p1_ca_w_0_140_s_1_540 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_1_540 = 7.25e-12 mcm3m2p1_cf_w_0_140_s_1_540 = 4.51e-11 ++ mcm3m2p1_ca_w_0_140_s_3_500 = 1.07e-04 mcm3m2p1_cc_w_0_140_s_3_500 = 4.75e-13 mcm3m2p1_cf_w_0_140_s_3_500 = 5.16e-11 ++ mcm3m2p1_ca_w_1_120_s_0_140 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_0_140 = 1.06e-10 mcm3m2p1_cf_w_1_120_s_0_140 = 7.13e-12 ++ mcm3m2p1_ca_w_1_120_s_0_175 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_0_175 = 1.03e-10 mcm3m2p1_cf_w_1_120_s_0_175 = 8.82e-12 ++ mcm3m2p1_ca_w_1_120_s_0_210 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_0_210 = 9.58e-11 mcm3m2p1_cf_w_1_120_s_0_210 = 1.05e-11 ++ mcm3m2p1_ca_w_1_120_s_0_280 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_0_280 = 8.16e-11 mcm3m2p1_cf_w_1_120_s_0_280 = 1.37e-11 ++ mcm3m2p1_ca_w_1_120_s_0_350 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_0_350 = 6.87e-11 mcm3m2p1_cf_w_1_120_s_0_350 = 1.68e-11 ++ mcm3m2p1_ca_w_1_120_s_0_420 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_0_420 = 5.77e-11 mcm3m2p1_cf_w_1_120_s_0_420 = 1.97e-11 ++ mcm3m2p1_ca_w_1_120_s_0_560 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_0_560 = 4.20e-11 mcm3m2p1_cf_w_1_120_s_0_560 = 2.51e-11 ++ mcm3m2p1_ca_w_1_120_s_0_840 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_0_840 = 2.49e-11 mcm3m2p1_cf_w_1_120_s_0_840 = 3.37e-11 ++ mcm3m2p1_ca_w_1_120_s_1_540 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_1_540 = 8.16e-12 mcm3m2p1_cf_w_1_120_s_1_540 = 4.62e-11 ++ mcm3m2p1_ca_w_1_120_s_3_500 = 1.07e-04 mcm3m2p1_cc_w_1_120_s_3_500 = 5.15e-13 mcm3m2p1_cf_w_1_120_s_3_500 = 5.35e-11 ++ mcm3m2l1_ca_w_0_140_s_0_140 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_0_140 = 9.45e-11 mcm3m2l1_cf_w_0_140_s_0_140 = 7.89e-12 ++ mcm3m2l1_ca_w_0_140_s_0_175 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_0_175 = 9.21e-11 mcm3m2l1_cf_w_0_140_s_0_175 = 9.79e-12 ++ mcm3m2l1_ca_w_0_140_s_0_210 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_0_210 = 8.62e-11 mcm3m2l1_cf_w_0_140_s_0_210 = 1.16e-11 ++ mcm3m2l1_ca_w_0_140_s_0_280 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_0_280 = 7.32e-11 mcm3m2l1_cf_w_0_140_s_0_280 = 1.52e-11 ++ mcm3m2l1_ca_w_0_140_s_0_350 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_0_350 = 6.08e-11 mcm3m2l1_cf_w_0_140_s_0_350 = 1.87e-11 ++ mcm3m2l1_ca_w_0_140_s_0_420 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_0_420 = 5.06e-11 mcm3m2l1_cf_w_0_140_s_0_420 = 2.21e-11 ++ mcm3m2l1_ca_w_0_140_s_0_560 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_0_560 = 3.58e-11 mcm3m2l1_cf_w_0_140_s_0_560 = 2.78e-11 ++ mcm3m2l1_ca_w_0_140_s_0_840 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_0_840 = 1.98e-11 mcm3m2l1_cf_w_0_140_s_0_840 = 3.71e-11 ++ mcm3m2l1_ca_w_0_140_s_1_540 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_1_540 = 5.20e-12 mcm3m2l1_cf_w_0_140_s_1_540 = 4.89e-11 ++ mcm3m2l1_ca_w_0_140_s_3_500 = 1.19e-04 mcm3m2l1_cc_w_0_140_s_3_500 = 2.10e-13 mcm3m2l1_cf_w_0_140_s_3_500 = 5.38e-11 ++ mcm3m2l1_ca_w_1_120_s_0_140 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_0_140 = 1.02e-10 mcm3m2l1_cf_w_1_120_s_0_140 = 7.93e-12 ++ mcm3m2l1_ca_w_1_120_s_0_175 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_0_175 = 9.84e-11 mcm3m2l1_cf_w_1_120_s_0_175 = 9.84e-12 ++ mcm3m2l1_ca_w_1_120_s_0_210 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_0_210 = 9.19e-11 mcm3m2l1_cf_w_1_120_s_0_210 = 1.17e-11 ++ mcm3m2l1_ca_w_1_120_s_0_280 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_0_280 = 7.72e-11 mcm3m2l1_cf_w_1_120_s_0_280 = 1.53e-11 ++ mcm3m2l1_ca_w_1_120_s_0_350 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_0_350 = 6.42e-11 mcm3m2l1_cf_w_1_120_s_0_350 = 1.88e-11 ++ mcm3m2l1_ca_w_1_120_s_0_420 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_0_420 = 5.32e-11 mcm3m2l1_cf_w_1_120_s_0_420 = 2.21e-11 ++ mcm3m2l1_ca_w_1_120_s_0_560 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_0_560 = 3.79e-11 mcm3m2l1_cf_w_1_120_s_0_560 = 2.81e-11 ++ mcm3m2l1_ca_w_1_120_s_0_840 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_0_840 = 2.09e-11 mcm3m2l1_cf_w_1_120_s_0_840 = 3.76e-11 ++ mcm3m2l1_ca_w_1_120_s_1_540 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_1_540 = 5.55e-12 mcm3m2l1_cf_w_1_120_s_1_540 = 4.96e-11 ++ mcm3m2l1_ca_w_1_120_s_3_500 = 1.19e-04 mcm3m2l1_cc_w_1_120_s_3_500 = 2.35e-13 mcm3m2l1_cf_w_1_120_s_3_500 = 5.51e-11 ++ mcm3m2m1_ca_w_0_140_s_0_140 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_0_140 = 8.61e-11 mcm3m2m1_cf_w_0_140_s_0_140 = 1.36e-11 ++ mcm3m2m1_ca_w_0_140_s_0_175 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_0_175 = 8.31e-11 mcm3m2m1_cf_w_0_140_s_0_175 = 1.70e-11 ++ mcm3m2m1_ca_w_0_140_s_0_210 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_0_210 = 7.67e-11 mcm3m2m1_cf_w_0_140_s_0_210 = 2.04e-11 ++ mcm3m2m1_ca_w_0_140_s_0_280 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_0_280 = 6.27e-11 mcm3m2m1_cf_w_0_140_s_0_280 = 2.67e-11 ++ mcm3m2m1_ca_w_0_140_s_0_350 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_0_350 = 5.02e-11 mcm3m2m1_cf_w_0_140_s_0_350 = 3.24e-11 ++ mcm3m2m1_ca_w_0_140_s_0_420 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_0_420 = 3.93e-11 mcm3m2m1_cf_w_0_140_s_0_420 = 3.78e-11 ++ mcm3m2m1_ca_w_0_140_s_0_560 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_0_560 = 2.50e-11 mcm3m2m1_cf_w_0_140_s_0_560 = 4.64e-11 ++ mcm3m2m1_ca_w_0_140_s_0_840 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_0_840 = 1.07e-11 mcm3m2m1_cf_w_0_140_s_0_840 = 5.79e-11 ++ mcm3m2m1_ca_w_0_140_s_1_540 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_1_540 = 1.40e-12 mcm3m2m1_cf_w_0_140_s_1_540 = 6.72e-11 ++ mcm3m2m1_ca_w_0_140_s_3_500 = 2.10e-04 mcm3m2m1_cc_w_0_140_s_3_500 = 3.00e-14 mcm3m2m1_cf_w_0_140_s_3_500 = 6.92e-11 ++ mcm3m2m1_ca_w_1_120_s_0_140 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_0_140 = 8.88e-11 mcm3m2m1_cf_w_1_120_s_0_140 = 1.36e-11 ++ mcm3m2m1_ca_w_1_120_s_0_175 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_0_175 = 8.57e-11 mcm3m2m1_cf_w_1_120_s_0_175 = 1.71e-11 ++ mcm3m2m1_ca_w_1_120_s_0_210 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_0_210 = 7.85e-11 mcm3m2m1_cf_w_1_120_s_0_210 = 2.04e-11 ++ mcm3m2m1_ca_w_1_120_s_0_280 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_0_280 = 6.38e-11 mcm3m2m1_cf_w_1_120_s_0_280 = 2.67e-11 ++ mcm3m2m1_ca_w_1_120_s_0_350 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_0_350 = 5.11e-11 mcm3m2m1_cf_w_1_120_s_0_350 = 3.26e-11 ++ mcm3m2m1_ca_w_1_120_s_0_420 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_0_420 = 4.03e-11 mcm3m2m1_cf_w_1_120_s_0_420 = 3.78e-11 ++ mcm3m2m1_ca_w_1_120_s_0_560 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_0_560 = 2.57e-11 mcm3m2m1_cf_w_1_120_s_0_560 = 4.67e-11 ++ mcm3m2m1_ca_w_1_120_s_0_840 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_0_840 = 1.09e-11 mcm3m2m1_cf_w_1_120_s_0_840 = 5.84e-11 ++ mcm3m2m1_ca_w_1_120_s_1_540 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_1_540 = 1.40e-12 mcm3m2m1_cf_w_1_120_s_1_540 = 6.78e-11 ++ mcm3m2m1_ca_w_1_120_s_3_500 = 2.10e-04 mcm3m2m1_cc_w_1_120_s_3_500 = 5.00e-14 mcm3m2m1_cf_w_1_120_s_3_500 = 6.98e-11 ++ mcm4m2f_ca_w_0_140_s_0_140 = 3.84e-05 mcm4m2f_cc_w_0_140_s_0_140 = 1.04e-10 mcm4m2f_cf_w_0_140_s_0_140 = 2.66e-12 ++ mcm4m2f_ca_w_0_140_s_0_175 = 3.84e-05 mcm4m2f_cc_w_0_140_s_0_175 = 1.02e-10 mcm4m2f_cf_w_0_140_s_0_175 = 3.31e-12 ++ mcm4m2f_ca_w_0_140_s_0_210 = 3.84e-05 mcm4m2f_cc_w_0_140_s_0_210 = 9.64e-11 mcm4m2f_cf_w_0_140_s_0_210 = 3.98e-12 ++ mcm4m2f_ca_w_0_140_s_0_280 = 3.84e-05 mcm4m2f_cc_w_0_140_s_0_280 = 8.50e-11 mcm4m2f_cf_w_0_140_s_0_280 = 5.28e-12 ++ mcm4m2f_ca_w_0_140_s_0_350 = 3.84e-05 mcm4m2f_cc_w_0_140_s_0_350 = 7.37e-11 mcm4m2f_cf_w_0_140_s_0_350 = 6.53e-12 ++ mcm4m2f_ca_w_0_140_s_0_420 = 3.84e-05 mcm4m2f_cc_w_0_140_s_0_420 = 6.38e-11 mcm4m2f_cf_w_0_140_s_0_420 = 7.86e-12 ++ mcm4m2f_ca_w_0_140_s_0_560 = 3.84e-05 mcm4m2f_cc_w_0_140_s_0_560 = 5.04e-11 mcm4m2f_cf_w_0_140_s_0_560 = 1.03e-11 ++ mcm4m2f_ca_w_0_140_s_0_840 = 3.84e-05 mcm4m2f_cc_w_0_140_s_0_840 = 3.52e-11 mcm4m2f_cf_w_0_140_s_0_840 = 1.47e-11 ++ mcm4m2f_ca_w_0_140_s_1_540 = 3.84e-05 mcm4m2f_cc_w_0_140_s_1_540 = 1.76e-11 mcm4m2f_cf_w_0_140_s_1_540 = 2.35e-11 ++ mcm4m2f_ca_w_0_140_s_3_500 = 3.84e-05 mcm4m2f_cc_w_0_140_s_3_500 = 3.53e-12 mcm4m2f_cf_w_0_140_s_3_500 = 3.44e-11 ++ mcm4m2f_ca_w_1_120_s_0_140 = 3.84e-05 mcm4m2f_cc_w_1_120_s_0_140 = 1.23e-10 mcm4m2f_cf_w_1_120_s_0_140 = 2.69e-12 ++ mcm4m2f_ca_w_1_120_s_0_175 = 3.84e-05 mcm4m2f_cc_w_1_120_s_0_175 = 1.20e-10 mcm4m2f_cf_w_1_120_s_0_175 = 3.34e-12 ++ mcm4m2f_ca_w_1_120_s_0_210 = 3.84e-05 mcm4m2f_cc_w_1_120_s_0_210 = 1.13e-10 mcm4m2f_cf_w_1_120_s_0_210 = 4.00e-12 ++ mcm4m2f_ca_w_1_120_s_0_280 = 3.84e-05 mcm4m2f_cc_w_1_120_s_0_280 = 9.86e-11 mcm4m2f_cf_w_1_120_s_0_280 = 5.30e-12 ++ mcm4m2f_ca_w_1_120_s_0_350 = 3.84e-05 mcm4m2f_cc_w_1_120_s_0_350 = 8.59e-11 mcm4m2f_cf_w_1_120_s_0_350 = 6.58e-12 ++ mcm4m2f_ca_w_1_120_s_0_420 = 3.84e-05 mcm4m2f_cc_w_1_120_s_0_420 = 7.50e-11 mcm4m2f_cf_w_1_120_s_0_420 = 7.85e-12 ++ mcm4m2f_ca_w_1_120_s_0_560 = 3.84e-05 mcm4m2f_cc_w_1_120_s_0_560 = 5.92e-11 mcm4m2f_cf_w_1_120_s_0_560 = 1.03e-11 ++ mcm4m2f_ca_w_1_120_s_0_840 = 3.84e-05 mcm4m2f_cc_w_1_120_s_0_840 = 4.13e-11 mcm4m2f_cf_w_1_120_s_0_840 = 1.49e-11 ++ mcm4m2f_ca_w_1_120_s_1_540 = 3.84e-05 mcm4m2f_cc_w_1_120_s_1_540 = 2.09e-11 mcm4m2f_cf_w_1_120_s_1_540 = 2.42e-11 ++ mcm4m2f_ca_w_1_120_s_3_500 = 3.84e-05 mcm4m2f_cc_w_1_120_s_3_500 = 4.30e-12 mcm4m2f_cf_w_1_120_s_3_500 = 3.66e-11 ++ mcm4m2d_ca_w_0_140_s_0_140 = 4.17e-05 mcm4m2d_cc_w_0_140_s_0_140 = 1.03e-10 mcm4m2d_cf_w_0_140_s_0_140 = 2.89e-12 ++ mcm4m2d_ca_w_0_140_s_0_175 = 4.17e-05 mcm4m2d_cc_w_0_140_s_0_175 = 1.01e-10 mcm4m2d_cf_w_0_140_s_0_175 = 3.60e-12 ++ mcm4m2d_ca_w_0_140_s_0_210 = 4.17e-05 mcm4m2d_cc_w_0_140_s_0_210 = 9.60e-11 mcm4m2d_cf_w_0_140_s_0_210 = 4.32e-12 ++ mcm4m2d_ca_w_0_140_s_0_280 = 4.17e-05 mcm4m2d_cc_w_0_140_s_0_280 = 8.45e-11 mcm4m2d_cf_w_0_140_s_0_280 = 5.73e-12 ++ mcm4m2d_ca_w_0_140_s_0_350 = 4.17e-05 mcm4m2d_cc_w_0_140_s_0_350 = 7.30e-11 mcm4m2d_cf_w_0_140_s_0_350 = 7.10e-12 ++ mcm4m2d_ca_w_0_140_s_0_420 = 4.17e-05 mcm4m2d_cc_w_0_140_s_0_420 = 6.31e-11 mcm4m2d_cf_w_0_140_s_0_420 = 8.52e-12 ++ mcm4m2d_ca_w_0_140_s_0_560 = 4.17e-05 mcm4m2d_cc_w_0_140_s_0_560 = 4.95e-11 mcm4m2d_cf_w_0_140_s_0_560 = 1.11e-11 ++ mcm4m2d_ca_w_0_140_s_0_840 = 4.17e-05 mcm4m2d_cc_w_0_140_s_0_840 = 3.42e-11 mcm4m2d_cf_w_0_140_s_0_840 = 1.59e-11 ++ mcm4m2d_ca_w_0_140_s_1_540 = 4.17e-05 mcm4m2d_cc_w_0_140_s_1_540 = 1.64e-11 mcm4m2d_cf_w_0_140_s_1_540 = 2.51e-11 ++ mcm4m2d_ca_w_0_140_s_3_500 = 4.17e-05 mcm4m2d_cc_w_0_140_s_3_500 = 2.93e-12 mcm4m2d_cf_w_0_140_s_3_500 = 3.60e-11 ++ mcm4m2d_ca_w_1_120_s_0_140 = 4.17e-05 mcm4m2d_cc_w_1_120_s_0_140 = 1.22e-10 mcm4m2d_cf_w_1_120_s_0_140 = 2.92e-12 ++ mcm4m2d_ca_w_1_120_s_0_175 = 4.17e-05 mcm4m2d_cc_w_1_120_s_0_175 = 1.18e-10 mcm4m2d_cf_w_1_120_s_0_175 = 3.64e-12 ++ mcm4m2d_ca_w_1_120_s_0_210 = 4.17e-05 mcm4m2d_cc_w_1_120_s_0_210 = 1.11e-10 mcm4m2d_cf_w_1_120_s_0_210 = 4.35e-12 ++ mcm4m2d_ca_w_1_120_s_0_280 = 4.17e-05 mcm4m2d_cc_w_1_120_s_0_280 = 9.72e-11 mcm4m2d_cf_w_1_120_s_0_280 = 5.76e-12 ++ mcm4m2d_ca_w_1_120_s_0_350 = 4.17e-05 mcm4m2d_cc_w_1_120_s_0_350 = 8.44e-11 mcm4m2d_cf_w_1_120_s_0_350 = 7.16e-12 ++ mcm4m2d_ca_w_1_120_s_0_420 = 4.17e-05 mcm4m2d_cc_w_1_120_s_0_420 = 7.34e-11 mcm4m2d_cf_w_1_120_s_0_420 = 8.53e-12 ++ mcm4m2d_ca_w_1_120_s_0_560 = 4.17e-05 mcm4m2d_cc_w_1_120_s_0_560 = 5.76e-11 mcm4m2d_cf_w_1_120_s_0_560 = 1.12e-11 ++ mcm4m2d_ca_w_1_120_s_0_840 = 4.17e-05 mcm4m2d_cc_w_1_120_s_0_840 = 3.96e-11 mcm4m2d_cf_w_1_120_s_0_840 = 1.61e-11 ++ mcm4m2d_ca_w_1_120_s_1_540 = 4.17e-05 mcm4m2d_cc_w_1_120_s_1_540 = 1.93e-11 mcm4m2d_cf_w_1_120_s_1_540 = 2.58e-11 ++ mcm4m2d_ca_w_1_120_s_3_500 = 4.17e-05 mcm4m2d_cc_w_1_120_s_3_500 = 3.51e-12 mcm4m2d_cf_w_1_120_s_3_500 = 3.81e-11 ++ mcm4m2p1_ca_w_0_140_s_0_140 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_0_140 = 1.03e-10 mcm4m2p1_cf_w_0_140_s_0_140 = 3.16e-12 ++ mcm4m2p1_ca_w_0_140_s_0_175 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_0_175 = 1.01e-10 mcm4m2p1_cf_w_0_140_s_0_175 = 3.94e-12 ++ mcm4m2p1_ca_w_0_140_s_0_210 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_0_210 = 9.50e-11 mcm4m2p1_cf_w_0_140_s_0_210 = 4.73e-12 ++ mcm4m2p1_ca_w_0_140_s_0_280 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_0_280 = 8.37e-11 mcm4m2p1_cf_w_0_140_s_0_280 = 6.26e-12 ++ mcm4m2p1_ca_w_0_140_s_0_350 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_0_350 = 7.23e-11 mcm4m2p1_cf_w_0_140_s_0_350 = 7.76e-12 ++ mcm4m2p1_ca_w_0_140_s_0_420 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_0_420 = 6.22e-11 mcm4m2p1_cf_w_0_140_s_0_420 = 9.29e-12 ++ mcm4m2p1_ca_w_0_140_s_0_560 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_0_560 = 4.88e-11 mcm4m2p1_cf_w_0_140_s_0_560 = 1.21e-11 ++ mcm4m2p1_ca_w_0_140_s_0_840 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_0_840 = 3.29e-11 mcm4m2p1_cf_w_0_140_s_0_840 = 1.72e-11 ++ mcm4m2p1_ca_w_0_140_s_1_540 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_1_540 = 1.52e-11 mcm4m2p1_cf_w_0_140_s_1_540 = 2.69e-11 ++ mcm4m2p1_ca_w_0_140_s_3_500 = 4.56e-05 mcm4m2p1_cc_w_0_140_s_3_500 = 2.39e-12 mcm4m2p1_cf_w_0_140_s_3_500 = 3.75e-11 ++ mcm4m2p1_ca_w_1_120_s_0_140 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_0_140 = 1.20e-10 mcm4m2p1_cf_w_1_120_s_0_140 = 3.23e-12 ++ mcm4m2p1_ca_w_1_120_s_0_175 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_0_175 = 1.17e-10 mcm4m2p1_cf_w_1_120_s_0_175 = 4.00e-12 ++ mcm4m2p1_ca_w_1_120_s_0_210 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_0_210 = 1.10e-10 mcm4m2p1_cf_w_1_120_s_0_210 = 4.77e-12 ++ mcm4m2p1_ca_w_1_120_s_0_280 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_0_280 = 9.55e-11 mcm4m2p1_cf_w_1_120_s_0_280 = 6.31e-12 ++ mcm4m2p1_ca_w_1_120_s_0_350 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_0_350 = 8.27e-11 mcm4m2p1_cf_w_1_120_s_0_350 = 7.83e-12 ++ mcm4m2p1_ca_w_1_120_s_0_420 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_0_420 = 7.18e-11 mcm4m2p1_cf_w_1_120_s_0_420 = 9.33e-12 ++ mcm4m2p1_ca_w_1_120_s_0_560 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_0_560 = 5.60e-11 mcm4m2p1_cf_w_1_120_s_0_560 = 1.22e-11 ++ mcm4m2p1_ca_w_1_120_s_0_840 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_0_840 = 3.79e-11 mcm4m2p1_cf_w_1_120_s_0_840 = 1.75e-11 ++ mcm4m2p1_ca_w_1_120_s_1_540 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_1_540 = 1.78e-11 mcm4m2p1_cf_w_1_120_s_1_540 = 2.78e-11 ++ mcm4m2p1_ca_w_1_120_s_3_500 = 4.56e-05 mcm4m2p1_cc_w_1_120_s_3_500 = 2.83e-12 mcm4m2p1_cf_w_1_120_s_3_500 = 3.97e-11 ++ mcm4m2l1_ca_w_0_140_s_0_140 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_0_140 = 1.01e-10 mcm4m2l1_cf_w_0_140_s_0_140 = 3.98e-12 ++ mcm4m2l1_ca_w_0_140_s_0_175 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_0_175 = 9.91e-11 mcm4m2l1_cf_w_0_140_s_0_175 = 4.97e-12 ++ mcm4m2l1_ca_w_0_140_s_0_210 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_0_210 = 9.33e-11 mcm4m2l1_cf_w_0_140_s_0_210 = 5.97e-12 ++ mcm4m2l1_ca_w_0_140_s_0_280 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_0_280 = 8.16e-11 mcm4m2l1_cf_w_0_140_s_0_280 = 7.90e-12 ++ mcm4m2l1_ca_w_0_140_s_0_350 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_0_350 = 6.99e-11 mcm4m2l1_cf_w_0_140_s_0_350 = 9.78e-12 ++ mcm4m2l1_ca_w_0_140_s_0_420 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_0_420 = 5.99e-11 mcm4m2l1_cf_w_0_140_s_0_420 = 1.17e-11 ++ mcm4m2l1_ca_w_0_140_s_0_560 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_0_560 = 4.60e-11 mcm4m2l1_cf_w_0_140_s_0_560 = 1.52e-11 ++ mcm4m2l1_ca_w_0_140_s_0_840 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_0_840 = 2.99e-11 mcm4m2l1_cf_w_0_140_s_0_840 = 2.13e-11 ++ mcm4m2l1_ca_w_0_140_s_1_540 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_1_540 = 1.24e-11 mcm4m2l1_cf_w_0_140_s_1_540 = 3.21e-11 ++ mcm4m2l1_ca_w_0_140_s_3_500 = 5.79e-05 mcm4m2l1_cc_w_0_140_s_3_500 = 1.46e-12 mcm4m2l1_cf_w_0_140_s_3_500 = 4.17e-11 ++ mcm4m2l1_ca_w_1_120_s_0_140 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_0_140 = 1.16e-10 mcm4m2l1_cf_w_1_120_s_0_140 = 4.01e-12 ++ mcm4m2l1_ca_w_1_120_s_0_175 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_0_175 = 1.13e-10 mcm4m2l1_cf_w_1_120_s_0_175 = 5.01e-12 ++ mcm4m2l1_ca_w_1_120_s_0_210 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_0_210 = 1.06e-10 mcm4m2l1_cf_w_1_120_s_0_210 = 6.00e-12 ++ mcm4m2l1_ca_w_1_120_s_0_280 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_0_280 = 9.13e-11 mcm4m2l1_cf_w_1_120_s_0_280 = 7.94e-12 ++ mcm4m2l1_ca_w_1_120_s_0_350 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_0_350 = 7.86e-11 mcm4m2l1_cf_w_1_120_s_0_350 = 9.87e-12 ++ mcm4m2l1_ca_w_1_120_s_0_420 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_0_420 = 6.73e-11 mcm4m2l1_cf_w_1_120_s_0_420 = 1.17e-11 ++ mcm4m2l1_ca_w_1_120_s_0_560 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_0_560 = 5.17e-11 mcm4m2l1_cf_w_1_120_s_0_560 = 1.52e-11 ++ mcm4m2l1_ca_w_1_120_s_0_840 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_0_840 = 3.38e-11 mcm4m2l1_cf_w_1_120_s_0_840 = 2.16e-11 ++ mcm4m2l1_ca_w_1_120_s_1_540 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_1_540 = 1.44e-11 mcm4m2l1_cf_w_1_120_s_1_540 = 3.30e-11 ++ mcm4m2l1_ca_w_1_120_s_3_500 = 5.79e-05 mcm4m2l1_cc_w_1_120_s_3_500 = 1.71e-12 mcm4m2l1_cf_w_1_120_s_3_500 = 4.38e-11 ++ mcm4m2m1_ca_w_0_140_s_0_140 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_0_140 = 9.29e-11 mcm4m2m1_cf_w_0_140_s_0_140 = 9.69e-12 ++ mcm4m2m1_ca_w_0_140_s_0_175 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_0_175 = 9.05e-11 mcm4m2m1_cf_w_0_140_s_0_175 = 1.22e-11 ++ mcm4m2m1_ca_w_0_140_s_0_210 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_0_210 = 8.43e-11 mcm4m2m1_cf_w_0_140_s_0_210 = 1.47e-11 ++ mcm4m2m1_ca_w_0_140_s_0_280 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_0_280 = 7.12e-11 mcm4m2m1_cf_w_0_140_s_0_280 = 1.93e-11 ++ mcm4m2m1_ca_w_0_140_s_0_350 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_0_350 = 5.89e-11 mcm4m2m1_cf_w_0_140_s_0_350 = 2.36e-11 ++ mcm4m2m1_ca_w_0_140_s_0_420 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_0_420 = 4.87e-11 mcm4m2m1_cf_w_0_140_s_0_420 = 2.76e-11 ++ mcm4m2m1_ca_w_0_140_s_0_560 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_0_560 = 3.47e-11 mcm4m2m1_cf_w_0_140_s_0_560 = 3.43e-11 ++ mcm4m2m1_ca_w_0_140_s_0_840 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_0_840 = 1.95e-11 mcm4m2m1_cf_w_0_140_s_0_840 = 4.42e-11 ++ mcm4m2m1_ca_w_0_140_s_1_540 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_1_540 = 5.90e-12 mcm4m2m1_cf_w_0_140_s_1_540 = 5.59e-11 ++ mcm4m2m1_ca_w_0_140_s_3_500 = 1.49e-04 mcm4m2m1_cc_w_0_140_s_3_500 = 3.95e-13 mcm4m2m1_cf_w_0_140_s_3_500 = 6.18e-11 ++ mcm4m2m1_ca_w_1_120_s_0_140 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_0_140 = 1.02e-10 mcm4m2m1_cf_w_1_120_s_0_140 = 9.67e-12 ++ mcm4m2m1_ca_w_1_120_s_0_175 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_0_175 = 9.96e-11 mcm4m2m1_cf_w_1_120_s_0_175 = 1.22e-11 ++ mcm4m2m1_ca_w_1_120_s_0_210 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_0_210 = 9.26e-11 mcm4m2m1_cf_w_1_120_s_0_210 = 1.47e-11 ++ mcm4m2m1_ca_w_1_120_s_0_280 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_0_280 = 7.82e-11 mcm4m2m1_cf_w_1_120_s_0_280 = 1.93e-11 ++ mcm4m2m1_ca_w_1_120_s_0_350 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_0_350 = 6.51e-11 mcm4m2m1_cf_w_1_120_s_0_350 = 2.36e-11 ++ mcm4m2m1_ca_w_1_120_s_0_420 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_0_420 = 5.43e-11 mcm4m2m1_cf_w_1_120_s_0_420 = 2.76e-11 ++ mcm4m2m1_ca_w_1_120_s_0_560 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_0_560 = 3.91e-11 mcm4m2m1_cf_w_1_120_s_0_560 = 3.44e-11 ++ mcm4m2m1_ca_w_1_120_s_0_840 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_0_840 = 2.27e-11 mcm4m2m1_cf_w_1_120_s_0_840 = 4.46e-11 ++ mcm4m2m1_ca_w_1_120_s_1_540 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_1_540 = 7.30e-12 mcm4m2m1_cf_w_1_120_s_1_540 = 5.73e-11 ++ mcm4m2m1_ca_w_1_120_s_3_500 = 1.49e-04 mcm4m2m1_cc_w_1_120_s_3_500 = 5.30e-13 mcm4m2m1_cf_w_1_120_s_3_500 = 6.42e-11 ++ mcm5m2f_ca_w_0_140_s_0_140 = 2.91e-05 mcm5m2f_cc_w_0_140_s_0_140 = 1.05e-10 mcm5m2f_cf_w_0_140_s_0_140 = 2.02e-12 ++ mcm5m2f_ca_w_0_140_s_0_175 = 2.91e-05 mcm5m2f_cc_w_0_140_s_0_175 = 1.03e-10 mcm5m2f_cf_w_0_140_s_0_175 = 2.52e-12 ++ mcm5m2f_ca_w_0_140_s_0_210 = 2.91e-05 mcm5m2f_cc_w_0_140_s_0_210 = 9.74e-11 mcm5m2f_cf_w_0_140_s_0_210 = 3.03e-12 ++ mcm5m2f_ca_w_0_140_s_0_280 = 2.91e-05 mcm5m2f_cc_w_0_140_s_0_280 = 8.66e-11 mcm5m2f_cf_w_0_140_s_0_280 = 4.03e-12 ++ mcm5m2f_ca_w_0_140_s_0_350 = 2.91e-05 mcm5m2f_cc_w_0_140_s_0_350 = 7.55e-11 mcm5m2f_cf_w_0_140_s_0_350 = 5.00e-12 ++ mcm5m2f_ca_w_0_140_s_0_420 = 2.91e-05 mcm5m2f_cc_w_0_140_s_0_420 = 6.59e-11 mcm5m2f_cf_w_0_140_s_0_420 = 6.02e-12 ++ mcm5m2f_ca_w_0_140_s_0_560 = 2.91e-05 mcm5m2f_cc_w_0_140_s_0_560 = 5.27e-11 mcm5m2f_cf_w_0_140_s_0_560 = 7.88e-12 ++ mcm5m2f_ca_w_0_140_s_0_840 = 2.91e-05 mcm5m2f_cc_w_0_140_s_0_840 = 3.83e-11 mcm5m2f_cf_w_0_140_s_0_840 = 1.14e-11 ++ mcm5m2f_ca_w_0_140_s_1_540 = 2.91e-05 mcm5m2f_cc_w_0_140_s_1_540 = 2.12e-11 mcm5m2f_cf_w_0_140_s_1_540 = 1.88e-11 ++ mcm5m2f_ca_w_0_140_s_3_500 = 2.91e-05 mcm5m2f_cc_w_0_140_s_3_500 = 5.84e-12 mcm5m2f_cf_w_0_140_s_3_500 = 2.97e-11 ++ mcm5m2f_ca_w_1_120_s_0_140 = 2.91e-05 mcm5m2f_cc_w_1_120_s_0_140 = 1.27e-10 mcm5m2f_cf_w_1_120_s_0_140 = 2.04e-12 ++ mcm5m2f_ca_w_1_120_s_0_175 = 2.91e-05 mcm5m2f_cc_w_1_120_s_0_175 = 1.24e-10 mcm5m2f_cf_w_1_120_s_0_175 = 2.55e-12 ++ mcm5m2f_ca_w_1_120_s_0_210 = 2.91e-05 mcm5m2f_cc_w_1_120_s_0_210 = 1.17e-10 mcm5m2f_cf_w_1_120_s_0_210 = 3.05e-12 ++ mcm5m2f_ca_w_1_120_s_0_280 = 2.91e-05 mcm5m2f_cc_w_1_120_s_0_280 = 1.03e-10 mcm5m2f_cf_w_1_120_s_0_280 = 4.05e-12 ++ mcm5m2f_ca_w_1_120_s_0_350 = 2.91e-05 mcm5m2f_cc_w_1_120_s_0_350 = 9.06e-11 mcm5m2f_cf_w_1_120_s_0_350 = 5.03e-12 ++ mcm5m2f_ca_w_1_120_s_0_420 = 2.91e-05 mcm5m2f_cc_w_1_120_s_0_420 = 7.97e-11 mcm5m2f_cf_w_1_120_s_0_420 = 6.03e-12 ++ mcm5m2f_ca_w_1_120_s_0_560 = 2.91e-05 mcm5m2f_cc_w_1_120_s_0_560 = 6.41e-11 mcm5m2f_cf_w_1_120_s_0_560 = 7.91e-12 ++ mcm5m2f_ca_w_1_120_s_0_840 = 2.91e-05 mcm5m2f_cc_w_1_120_s_0_840 = 4.63e-11 mcm5m2f_cf_w_1_120_s_0_840 = 1.15e-11 ++ mcm5m2f_ca_w_1_120_s_1_540 = 2.91e-05 mcm5m2f_cc_w_1_120_s_1_540 = 2.59e-11 mcm5m2f_cf_w_1_120_s_1_540 = 1.92e-11 ++ mcm5m2f_ca_w_1_120_s_3_500 = 2.91e-05 mcm5m2f_cc_w_1_120_s_3_500 = 7.36e-12 mcm5m2f_cf_w_1_120_s_3_500 = 3.17e-11 ++ mcm5m2d_ca_w_0_140_s_0_140 = 3.23e-05 mcm5m2d_cc_w_0_140_s_0_140 = 1.05e-10 mcm5m2d_cf_w_0_140_s_0_140 = 2.25e-12 ++ mcm5m2d_ca_w_0_140_s_0_175 = 3.23e-05 mcm5m2d_cc_w_0_140_s_0_175 = 1.02e-10 mcm5m2d_cf_w_0_140_s_0_175 = 2.81e-12 ++ mcm5m2d_ca_w_0_140_s_0_210 = 3.23e-05 mcm5m2d_cc_w_0_140_s_0_210 = 9.70e-11 mcm5m2d_cf_w_0_140_s_0_210 = 3.37e-12 ++ mcm5m2d_ca_w_0_140_s_0_280 = 3.23e-05 mcm5m2d_cc_w_0_140_s_0_280 = 8.61e-11 mcm5m2d_cf_w_0_140_s_0_280 = 4.48e-12 ++ mcm5m2d_ca_w_0_140_s_0_350 = 3.23e-05 mcm5m2d_cc_w_0_140_s_0_350 = 7.48e-11 mcm5m2d_cf_w_0_140_s_0_350 = 5.57e-12 ++ mcm5m2d_ca_w_0_140_s_0_420 = 3.23e-05 mcm5m2d_cc_w_0_140_s_0_420 = 6.50e-11 mcm5m2d_cf_w_0_140_s_0_420 = 6.67e-12 ++ mcm5m2d_ca_w_0_140_s_0_560 = 3.23e-05 mcm5m2d_cc_w_0_140_s_0_560 = 5.20e-11 mcm5m2d_cf_w_0_140_s_0_560 = 8.75e-12 ++ mcm5m2d_ca_w_0_140_s_0_840 = 3.23e-05 mcm5m2d_cc_w_0_140_s_0_840 = 3.73e-11 mcm5m2d_cf_w_0_140_s_0_840 = 1.26e-11 ++ mcm5m2d_ca_w_0_140_s_1_540 = 3.23e-05 mcm5m2d_cc_w_0_140_s_1_540 = 1.99e-11 mcm5m2d_cf_w_0_140_s_1_540 = 2.05e-11 ++ mcm5m2d_ca_w_0_140_s_3_500 = 3.23e-05 mcm5m2d_cc_w_0_140_s_3_500 = 5.02e-12 mcm5m2d_cf_w_0_140_s_3_500 = 3.15e-11 ++ mcm5m2d_ca_w_1_120_s_0_140 = 3.23e-05 mcm5m2d_cc_w_1_120_s_0_140 = 1.26e-10 mcm5m2d_cf_w_1_120_s_0_140 = 2.28e-12 ++ mcm5m2d_ca_w_1_120_s_0_175 = 3.23e-05 mcm5m2d_cc_w_1_120_s_0_175 = 1.23e-10 mcm5m2d_cf_w_1_120_s_0_175 = 2.85e-12 ++ mcm5m2d_ca_w_1_120_s_0_210 = 3.23e-05 mcm5m2d_cc_w_1_120_s_0_210 = 1.16e-10 mcm5m2d_cf_w_1_120_s_0_210 = 3.40e-12 ++ mcm5m2d_ca_w_1_120_s_0_280 = 3.23e-05 mcm5m2d_cc_w_1_120_s_0_280 = 1.02e-10 mcm5m2d_cf_w_1_120_s_0_280 = 4.51e-12 ++ mcm5m2d_ca_w_1_120_s_0_350 = 3.23e-05 mcm5m2d_cc_w_1_120_s_0_350 = 8.90e-11 mcm5m2d_cf_w_1_120_s_0_350 = 5.61e-12 ++ mcm5m2d_ca_w_1_120_s_0_420 = 3.23e-05 mcm5m2d_cc_w_1_120_s_0_420 = 7.81e-11 mcm5m2d_cf_w_1_120_s_0_420 = 6.69e-12 ++ mcm5m2d_ca_w_1_120_s_0_560 = 3.23e-05 mcm5m2d_cc_w_1_120_s_0_560 = 6.25e-11 mcm5m2d_cf_w_1_120_s_0_560 = 8.79e-12 ++ mcm5m2d_ca_w_1_120_s_0_840 = 3.23e-05 mcm5m2d_cc_w_1_120_s_0_840 = 4.46e-11 mcm5m2d_cf_w_1_120_s_0_840 = 1.28e-11 ++ mcm5m2d_ca_w_1_120_s_1_540 = 3.23e-05 mcm5m2d_cc_w_1_120_s_1_540 = 2.43e-11 mcm5m2d_cf_w_1_120_s_1_540 = 2.11e-11 ++ mcm5m2d_ca_w_1_120_s_3_500 = 3.23e-05 mcm5m2d_cc_w_1_120_s_3_500 = 6.40e-12 mcm5m2d_cf_w_1_120_s_3_500 = 3.37e-11 ++ mcm5m2p1_ca_w_0_140_s_0_140 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_0_140 = 1.04e-10 mcm5m2p1_cf_w_0_140_s_0_140 = 2.52e-12 ++ mcm5m2p1_ca_w_0_140_s_0_175 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_0_175 = 1.02e-10 mcm5m2p1_cf_w_0_140_s_0_175 = 3.15e-12 ++ mcm5m2p1_ca_w_0_140_s_0_210 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_0_210 = 9.64e-11 mcm5m2p1_cf_w_0_140_s_0_210 = 3.78e-12 ++ mcm5m2p1_ca_w_0_140_s_0_280 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_0_280 = 8.54e-11 mcm5m2p1_cf_w_0_140_s_0_280 = 5.02e-12 ++ mcm5m2p1_ca_w_0_140_s_0_350 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_0_350 = 7.35e-11 mcm5m2p1_cf_w_0_140_s_0_350 = 6.23e-12 ++ mcm5m2p1_ca_w_0_140_s_0_420 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_0_420 = 6.42e-11 mcm5m2p1_cf_w_0_140_s_0_420 = 7.45e-12 ++ mcm5m2p1_ca_w_0_140_s_0_560 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_0_560 = 5.11e-11 mcm5m2p1_cf_w_0_140_s_0_560 = 9.75e-12 ++ mcm5m2p1_ca_w_0_140_s_0_840 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_0_840 = 3.60e-11 mcm5m2p1_cf_w_0_140_s_0_840 = 1.40e-11 ++ mcm5m2p1_ca_w_0_140_s_1_540 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_1_540 = 1.87e-11 mcm5m2p1_cf_w_0_140_s_1_540 = 2.25e-11 ++ mcm5m2p1_ca_w_0_140_s_3_500 = 3.62e-05 mcm5m2p1_cc_w_0_140_s_3_500 = 4.31e-12 mcm5m2p1_cf_w_0_140_s_3_500 = 3.34e-11 ++ mcm5m2p1_ca_w_1_120_s_0_140 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_0_140 = 1.24e-10 mcm5m2p1_cf_w_1_120_s_0_140 = 2.59e-12 ++ mcm5m2p1_ca_w_1_120_s_0_175 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_0_175 = 1.20e-10 mcm5m2p1_cf_w_1_120_s_0_175 = 3.22e-12 ++ mcm5m2p1_ca_w_1_120_s_0_210 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_0_210 = 1.14e-10 mcm5m2p1_cf_w_1_120_s_0_210 = 3.85e-12 ++ mcm5m2p1_ca_w_1_120_s_0_280 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_0_280 = 1.00e-10 mcm5m2p1_cf_w_1_120_s_0_280 = 5.07e-12 ++ mcm5m2p1_ca_w_1_120_s_0_350 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_0_350 = 8.73e-11 mcm5m2p1_cf_w_1_120_s_0_350 = 6.28e-12 ++ mcm5m2p1_ca_w_1_120_s_0_420 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_0_420 = 7.65e-11 mcm5m2p1_cf_w_1_120_s_0_420 = 7.49e-12 ++ mcm5m2p1_ca_w_1_120_s_0_560 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_0_560 = 6.08e-11 mcm5m2p1_cf_w_1_120_s_0_560 = 9.82e-12 ++ mcm5m2p1_ca_w_1_120_s_0_840 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_0_840 = 4.29e-11 mcm5m2p1_cf_w_1_120_s_0_840 = 1.42e-11 ++ mcm5m2p1_ca_w_1_120_s_1_540 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_1_540 = 2.26e-11 mcm5m2p1_cf_w_1_120_s_1_540 = 2.31e-11 ++ mcm5m2p1_ca_w_1_120_s_3_500 = 3.62e-05 mcm5m2p1_cc_w_1_120_s_3_500 = 5.47e-12 mcm5m2p1_cf_w_1_120_s_3_500 = 3.58e-11 ++ mcm5m2l1_ca_w_0_140_s_0_140 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_0_140 = 1.02e-10 mcm5m2l1_cf_w_0_140_s_0_140 = 3.34e-12 ++ mcm5m2l1_ca_w_0_140_s_0_175 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_0_175 = 1.00e-10 mcm5m2l1_cf_w_0_140_s_0_175 = 4.18e-12 ++ mcm5m2l1_ca_w_0_140_s_0_210 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_0_210 = 9.47e-11 mcm5m2l1_cf_w_0_140_s_0_210 = 5.02e-12 ++ mcm5m2l1_ca_w_0_140_s_0_280 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_0_280 = 8.34e-11 mcm5m2l1_cf_w_0_140_s_0_280 = 6.66e-12 ++ mcm5m2l1_ca_w_0_140_s_0_350 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_0_350 = 7.14e-11 mcm5m2l1_cf_w_0_140_s_0_350 = 8.25e-12 ++ mcm5m2l1_ca_w_0_140_s_0_420 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_0_420 = 6.13e-11 mcm5m2l1_cf_w_0_140_s_0_420 = 9.85e-12 ++ mcm5m2l1_ca_w_0_140_s_0_560 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_0_560 = 4.83e-11 mcm5m2l1_cf_w_0_140_s_0_560 = 1.28e-11 ++ mcm5m2l1_ca_w_0_140_s_0_840 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_0_840 = 3.27e-11 mcm5m2l1_cf_w_0_140_s_0_840 = 1.81e-11 ++ mcm5m2l1_ca_w_0_140_s_1_540 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_1_540 = 1.56e-11 mcm5m2l1_cf_w_0_140_s_1_540 = 2.80e-11 ++ mcm5m2l1_ca_w_0_140_s_3_500 = 4.85e-05 mcm5m2l1_cc_w_0_140_s_3_500 = 2.91e-12 mcm5m2l1_cf_w_0_140_s_3_500 = 3.85e-11 ++ mcm5m2l1_ca_w_1_120_s_0_140 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_0_140 = 1.20e-10 mcm5m2l1_cf_w_1_120_s_0_140 = 3.37e-12 ++ mcm5m2l1_ca_w_1_120_s_0_175 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_0_175 = 1.17e-10 mcm5m2l1_cf_w_1_120_s_0_175 = 4.22e-12 ++ mcm5m2l1_ca_w_1_120_s_0_210 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_0_210 = 1.10e-10 mcm5m2l1_cf_w_1_120_s_0_210 = 5.05e-12 ++ mcm5m2l1_ca_w_1_120_s_0_280 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_0_280 = 9.58e-11 mcm5m2l1_cf_w_1_120_s_0_280 = 6.69e-12 ++ mcm5m2l1_ca_w_1_120_s_0_350 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_0_350 = 8.29e-11 mcm5m2l1_cf_w_1_120_s_0_350 = 8.30e-12 ++ mcm5m2l1_ca_w_1_120_s_0_420 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_0_420 = 7.19e-11 mcm5m2l1_cf_w_1_120_s_0_420 = 9.87e-12 ++ mcm5m2l1_ca_w_1_120_s_0_560 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_0_560 = 5.65e-11 mcm5m2l1_cf_w_1_120_s_0_560 = 1.29e-11 ++ mcm5m2l1_ca_w_1_120_s_0_840 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_0_840 = 3.88e-11 mcm5m2l1_cf_w_1_120_s_0_840 = 1.83e-11 ++ mcm5m2l1_ca_w_1_120_s_1_540 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_1_540 = 1.90e-11 mcm5m2l1_cf_w_1_120_s_1_540 = 2.87e-11 ++ mcm5m2l1_ca_w_1_120_s_3_500 = 4.85e-05 mcm5m2l1_cc_w_1_120_s_3_500 = 3.81e-12 mcm5m2l1_cf_w_1_120_s_3_500 = 4.09e-11 ++ mcm5m2m1_ca_w_0_140_s_0_140 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_0_140 = 9.44e-11 mcm5m2m1_cf_w_0_140_s_0_140 = 9.06e-12 ++ mcm5m2m1_ca_w_0_140_s_0_175 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_0_175 = 9.18e-11 mcm5m2m1_cf_w_0_140_s_0_175 = 1.14e-11 ++ mcm5m2m1_ca_w_0_140_s_0_210 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_0_210 = 8.57e-11 mcm5m2m1_cf_w_0_140_s_0_210 = 1.37e-11 ++ mcm5m2m1_ca_w_0_140_s_0_280 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_0_280 = 7.29e-11 mcm5m2m1_cf_w_0_140_s_0_280 = 1.81e-11 ++ mcm5m2m1_ca_w_0_140_s_0_350 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_0_350 = 6.06e-11 mcm5m2m1_cf_w_0_140_s_0_350 = 2.20e-11 ++ mcm5m2m1_ca_w_0_140_s_0_420 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_0_420 = 5.08e-11 mcm5m2m1_cf_w_0_140_s_0_420 = 2.58e-11 ++ mcm5m2m1_ca_w_0_140_s_0_560 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_0_560 = 3.70e-11 mcm5m2m1_cf_w_0_140_s_0_560 = 3.20e-11 ++ mcm5m2m1_ca_w_0_140_s_0_840 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_0_840 = 2.21e-11 mcm5m2m1_cf_w_0_140_s_0_840 = 4.14e-11 ++ mcm5m2m1_ca_w_0_140_s_1_540 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_1_540 = 8.08e-12 mcm5m2m1_cf_w_0_140_s_1_540 = 5.33e-11 ++ mcm5m2m1_ca_w_0_140_s_3_500 = 1.39e-04 mcm5m2m1_cc_w_0_140_s_3_500 = 1.04e-12 mcm5m2m1_cf_w_0_140_s_3_500 = 6.04e-11 ++ mcm5m2m1_ca_w_1_120_s_0_140 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_0_140 = 1.06e-10 mcm5m2m1_cf_w_1_120_s_0_140 = 9.04e-12 ++ mcm5m2m1_ca_w_1_120_s_0_175 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_0_175 = 1.03e-10 mcm5m2m1_cf_w_1_120_s_0_175 = 1.15e-11 ++ mcm5m2m1_ca_w_1_120_s_0_210 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_0_210 = 9.69e-11 mcm5m2m1_cf_w_1_120_s_0_210 = 1.37e-11 ++ mcm5m2m1_ca_w_1_120_s_0_280 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_0_280 = 8.26e-11 mcm5m2m1_cf_w_1_120_s_0_280 = 1.80e-11 ++ mcm5m2m1_ca_w_1_120_s_0_350 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_0_350 = 6.94e-11 mcm5m2m1_cf_w_1_120_s_0_350 = 2.20e-11 ++ mcm5m2m1_ca_w_1_120_s_0_420 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_0_420 = 5.87e-11 mcm5m2m1_cf_w_1_120_s_0_420 = 2.57e-11 ++ mcm5m2m1_ca_w_1_120_s_0_560 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_0_560 = 4.37e-11 mcm5m2m1_cf_w_1_120_s_0_560 = 3.20e-11 ++ mcm5m2m1_ca_w_1_120_s_0_840 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_0_840 = 2.73e-11 mcm5m2m1_cf_w_1_120_s_0_840 = 4.17e-11 ++ mcm5m2m1_ca_w_1_120_s_1_540 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_1_540 = 1.10e-11 mcm5m2m1_cf_w_1_120_s_1_540 = 5.46e-11 ++ mcm5m2m1_ca_w_1_120_s_3_500 = 1.39e-04 mcm5m2m1_cc_w_1_120_s_3_500 = 1.59e-12 mcm5m2m1_cf_w_1_120_s_3_500 = 6.38e-11 ++ mcrdlm2f_ca_w_0_140_s_0_140 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_0_140 = 1.06e-10 mcrdlm2f_cf_w_0_140_s_0_140 = 1.44e-12 ++ mcrdlm2f_ca_w_0_140_s_0_175 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_0_175 = 1.04e-10 mcrdlm2f_cf_w_0_140_s_0_175 = 1.80e-12 ++ mcrdlm2f_ca_w_0_140_s_0_210 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_0_210 = 9.87e-11 mcrdlm2f_cf_w_0_140_s_0_210 = 2.16e-12 ++ mcrdlm2f_ca_w_0_140_s_0_280 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_0_280 = 8.79e-11 mcrdlm2f_cf_w_0_140_s_0_280 = 2.88e-12 ++ mcrdlm2f_ca_w_0_140_s_0_350 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_0_350 = 7.66e-11 mcrdlm2f_cf_w_0_140_s_0_350 = 3.58e-12 ++ mcrdlm2f_ca_w_0_140_s_0_420 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_0_420 = 6.79e-11 mcrdlm2f_cf_w_0_140_s_0_420 = 4.31e-12 ++ mcrdlm2f_ca_w_0_140_s_0_560 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_0_560 = 5.51e-11 mcrdlm2f_cf_w_0_140_s_0_560 = 5.64e-12 ++ mcrdlm2f_ca_w_0_140_s_0_840 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_0_840 = 4.14e-11 mcrdlm2f_cf_w_0_140_s_0_840 = 8.21e-12 ++ mcrdlm2f_ca_w_0_140_s_1_540 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_1_540 = 2.56e-11 mcrdlm2f_cf_w_0_140_s_1_540 = 1.39e-11 ++ mcrdlm2f_ca_w_0_140_s_3_500 = 2.06e-05 mcrdlm2f_cc_w_0_140_s_3_500 = 1.02e-11 mcrdlm2f_cf_w_0_140_s_3_500 = 2.38e-11 ++ mcrdlm2f_ca_w_1_120_s_0_140 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_0_140 = 1.32e-10 mcrdlm2f_cf_w_1_120_s_0_140 = 1.48e-12 ++ mcrdlm2f_ca_w_1_120_s_0_175 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_0_175 = 1.28e-10 mcrdlm2f_cf_w_1_120_s_0_175 = 1.84e-12 ++ mcrdlm2f_ca_w_1_120_s_0_210 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_0_210 = 1.22e-10 mcrdlm2f_cf_w_1_120_s_0_210 = 2.20e-12 ++ mcrdlm2f_ca_w_1_120_s_0_280 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_0_280 = 1.08e-10 mcrdlm2f_cf_w_1_120_s_0_280 = 2.91e-12 ++ mcrdlm2f_ca_w_1_120_s_0_350 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_0_350 = 9.52e-11 mcrdlm2f_cf_w_1_120_s_0_350 = 3.62e-12 ++ mcrdlm2f_ca_w_1_120_s_0_420 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_0_420 = 8.46e-11 mcrdlm2f_cf_w_1_120_s_0_420 = 4.32e-12 ++ mcrdlm2f_ca_w_1_120_s_0_560 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_0_560 = 6.97e-11 mcrdlm2f_cf_w_1_120_s_0_560 = 5.68e-12 ++ mcrdlm2f_ca_w_1_120_s_0_840 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_0_840 = 5.25e-11 mcrdlm2f_cf_w_1_120_s_0_840 = 8.31e-12 ++ mcrdlm2f_ca_w_1_120_s_1_540 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_1_540 = 3.30e-11 mcrdlm2f_cf_w_1_120_s_1_540 = 1.42e-11 ++ mcrdlm2f_ca_w_1_120_s_3_500 = 2.06e-05 mcrdlm2f_cc_w_1_120_s_3_500 = 1.39e-11 mcrdlm2f_cf_w_1_120_s_3_500 = 2.53e-11 ++ mcrdlm2d_ca_w_0_140_s_0_140 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_0_140 = 1.05e-10 mcrdlm2d_cf_w_0_140_s_0_140 = 1.67e-12 ++ mcrdlm2d_ca_w_0_140_s_0_175 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_0_175 = 1.04e-10 mcrdlm2d_cf_w_0_140_s_0_175 = 2.08e-12 ++ mcrdlm2d_ca_w_0_140_s_0_210 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_0_210 = 9.82e-11 mcrdlm2d_cf_w_0_140_s_0_210 = 2.51e-12 ++ mcrdlm2d_ca_w_0_140_s_0_280 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_0_280 = 8.74e-11 mcrdlm2d_cf_w_0_140_s_0_280 = 3.33e-12 ++ mcrdlm2d_ca_w_0_140_s_0_350 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_0_350 = 7.60e-11 mcrdlm2d_cf_w_0_140_s_0_350 = 4.14e-12 ++ mcrdlm2d_ca_w_0_140_s_0_420 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_0_420 = 6.72e-11 mcrdlm2d_cf_w_0_140_s_0_420 = 4.98e-12 ++ mcrdlm2d_ca_w_0_140_s_0_560 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_0_560 = 5.42e-11 mcrdlm2d_cf_w_0_140_s_0_560 = 6.50e-12 ++ mcrdlm2d_ca_w_0_140_s_0_840 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_0_840 = 4.02e-11 mcrdlm2d_cf_w_0_140_s_0_840 = 9.43e-12 ++ mcrdlm2d_ca_w_0_140_s_1_540 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_1_540 = 2.42e-11 mcrdlm2d_cf_w_0_140_s_1_540 = 1.57e-11 ++ mcrdlm2d_ca_w_0_140_s_3_500 = 2.39e-05 mcrdlm2d_cc_w_0_140_s_3_500 = 9.06e-12 mcrdlm2d_cf_w_0_140_s_3_500 = 2.61e-11 ++ mcrdlm2d_ca_w_1_120_s_0_140 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_0_140 = 1.30e-10 mcrdlm2d_cf_w_1_120_s_0_140 = 1.71e-12 ++ mcrdlm2d_ca_w_1_120_s_0_175 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_0_175 = 1.26e-10 mcrdlm2d_cf_w_1_120_s_0_175 = 2.13e-12 ++ mcrdlm2d_ca_w_1_120_s_0_210 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_0_210 = 1.20e-10 mcrdlm2d_cf_w_1_120_s_0_210 = 2.55e-12 ++ mcrdlm2d_ca_w_1_120_s_0_280 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_0_280 = 1.06e-10 mcrdlm2d_cf_w_1_120_s_0_280 = 3.37e-12 ++ mcrdlm2d_ca_w_1_120_s_0_350 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_0_350 = 9.41e-11 mcrdlm2d_cf_w_1_120_s_0_350 = 4.19e-12 ++ mcrdlm2d_ca_w_1_120_s_0_420 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_0_420 = 8.30e-11 mcrdlm2d_cf_w_1_120_s_0_420 = 4.99e-12 ++ mcrdlm2d_ca_w_1_120_s_0_560 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_0_560 = 6.81e-11 mcrdlm2d_cf_w_1_120_s_0_560 = 6.56e-12 ++ mcrdlm2d_ca_w_1_120_s_0_840 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_0_840 = 5.07e-11 mcrdlm2d_cf_w_1_120_s_0_840 = 9.53e-12 ++ mcrdlm2d_ca_w_1_120_s_1_540 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_1_540 = 3.12e-11 mcrdlm2d_cf_w_1_120_s_1_540 = 1.61e-11 ++ mcrdlm2d_ca_w_1_120_s_3_500 = 2.39e-05 mcrdlm2d_cc_w_1_120_s_3_500 = 1.26e-11 mcrdlm2d_cf_w_1_120_s_3_500 = 2.78e-11 ++ mcrdlm2p1_ca_w_0_140_s_0_140 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_0_140 = 1.05e-10 mcrdlm2p1_cf_w_0_140_s_0_140 = 1.94e-12 ++ mcrdlm2p1_ca_w_0_140_s_0_175 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_0_175 = 1.03e-10 mcrdlm2p1_cf_w_0_140_s_0_175 = 2.42e-12 ++ mcrdlm2p1_ca_w_0_140_s_0_210 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_0_210 = 9.76e-11 mcrdlm2p1_cf_w_0_140_s_0_210 = 2.91e-12 ++ mcrdlm2p1_ca_w_0_140_s_0_280 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_0_280 = 8.67e-11 mcrdlm2p1_cf_w_0_140_s_0_280 = 3.86e-12 ++ mcrdlm2p1_ca_w_0_140_s_0_350 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_0_350 = 7.54e-11 mcrdlm2p1_cf_w_0_140_s_0_350 = 4.79e-12 ++ mcrdlm2p1_ca_w_0_140_s_0_420 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_0_420 = 6.64e-11 mcrdlm2p1_cf_w_0_140_s_0_420 = 5.76e-12 ++ mcrdlm2p1_ca_w_0_140_s_0_560 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_0_560 = 5.33e-11 mcrdlm2p1_cf_w_0_140_s_0_560 = 7.53e-12 ++ mcrdlm2p1_ca_w_0_140_s_0_840 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_0_840 = 3.90e-11 mcrdlm2p1_cf_w_0_140_s_0_840 = 1.09e-11 ++ mcrdlm2p1_ca_w_0_140_s_1_540 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_1_540 = 2.28e-11 mcrdlm2p1_cf_w_0_140_s_1_540 = 1.78e-11 ++ mcrdlm2p1_ca_w_0_140_s_3_500 = 2.78e-05 mcrdlm2p1_cc_w_0_140_s_3_500 = 8.01e-12 mcrdlm2p1_cf_w_0_140_s_3_500 = 2.86e-11 ++ mcrdlm2p1_ca_w_1_120_s_0_140 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_0_140 = 1.29e-10 mcrdlm2p1_cf_w_1_120_s_0_140 = 2.02e-12 ++ mcrdlm2p1_ca_w_1_120_s_0_175 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_0_175 = 1.25e-10 mcrdlm2p1_cf_w_1_120_s_0_175 = 2.49e-12 ++ mcrdlm2p1_ca_w_1_120_s_0_210 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_0_210 = 1.19e-10 mcrdlm2p1_cf_w_1_120_s_0_210 = 2.97e-12 ++ mcrdlm2p1_ca_w_1_120_s_0_280 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_0_280 = 1.05e-10 mcrdlm2p1_cf_w_1_120_s_0_280 = 3.92e-12 ++ mcrdlm2p1_ca_w_1_120_s_0_350 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_0_350 = 9.25e-11 mcrdlm2p1_cf_w_1_120_s_0_350 = 4.87e-12 ++ mcrdlm2p1_ca_w_1_120_s_0_420 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_0_420 = 8.15e-11 mcrdlm2p1_cf_w_1_120_s_0_420 = 5.79e-12 ++ mcrdlm2p1_ca_w_1_120_s_0_560 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_0_560 = 6.62e-11 mcrdlm2p1_cf_w_1_120_s_0_560 = 7.60e-12 ++ mcrdlm2p1_ca_w_1_120_s_0_840 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_0_840 = 4.91e-11 mcrdlm2p1_cf_w_1_120_s_0_840 = 1.10e-11 ++ mcrdlm2p1_ca_w_1_120_s_1_540 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_1_540 = 2.95e-11 mcrdlm2p1_cf_w_1_120_s_1_540 = 1.82e-11 ++ mcrdlm2p1_ca_w_1_120_s_3_500 = 2.78e-05 mcrdlm2p1_cc_w_1_120_s_3_500 = 1.13e-11 mcrdlm2p1_cf_w_1_120_s_3_500 = 3.04e-11 ++ mcrdlm2l1_ca_w_0_140_s_0_140 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_0_140 = 1.03e-10 mcrdlm2l1_cf_w_0_140_s_0_140 = 2.76e-12 ++ mcrdlm2l1_ca_w_0_140_s_0_175 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_0_175 = 1.01e-10 mcrdlm2l1_cf_w_0_140_s_0_175 = 3.45e-12 ++ mcrdlm2l1_ca_w_0_140_s_0_210 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_0_210 = 9.59e-11 mcrdlm2l1_cf_w_0_140_s_0_210 = 4.15e-12 ++ mcrdlm2l1_ca_w_0_140_s_0_280 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_0_280 = 8.47e-11 mcrdlm2l1_cf_w_0_140_s_0_280 = 5.51e-12 ++ mcrdlm2l1_ca_w_0_140_s_0_350 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_0_350 = 7.32e-11 mcrdlm2l1_cf_w_0_140_s_0_350 = 6.83e-12 ++ mcrdlm2l1_ca_w_0_140_s_0_420 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_0_420 = 6.35e-11 mcrdlm2l1_cf_w_0_140_s_0_420 = 8.16e-12 ++ mcrdlm2l1_ca_w_0_140_s_0_560 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_0_560 = 5.06e-11 mcrdlm2l1_cf_w_0_140_s_0_560 = 1.06e-11 ++ mcrdlm2l1_ca_w_0_140_s_0_840 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_0_840 = 3.58e-11 mcrdlm2l1_cf_w_0_140_s_0_840 = 1.51e-11 ++ mcrdlm2l1_ca_w_0_140_s_1_540 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_1_540 = 1.95e-11 mcrdlm2l1_cf_w_0_140_s_1_540 = 2.37e-11 ++ mcrdlm2l1_ca_w_0_140_s_3_500 = 4.01e-05 mcrdlm2l1_cc_w_0_140_s_3_500 = 5.89e-12 mcrdlm2l1_cf_w_0_140_s_3_500 = 3.47e-11 ++ mcrdlm2l1_ca_w_1_120_s_0_140 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_0_140 = 1.24e-10 mcrdlm2l1_cf_w_1_120_s_0_140 = 2.80e-12 ++ mcrdlm2l1_ca_w_1_120_s_0_175 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_0_175 = 1.21e-10 mcrdlm2l1_cf_w_1_120_s_0_175 = 3.50e-12 ++ mcrdlm2l1_ca_w_1_120_s_0_210 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_0_210 = 1.15e-10 mcrdlm2l1_cf_w_1_120_s_0_210 = 4.19e-12 ++ mcrdlm2l1_ca_w_1_120_s_0_280 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_0_280 = 1.01e-10 mcrdlm2l1_cf_w_1_120_s_0_280 = 5.55e-12 ++ mcrdlm2l1_ca_w_1_120_s_0_350 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_0_350 = 8.78e-11 mcrdlm2l1_cf_w_1_120_s_0_350 = 6.88e-12 ++ mcrdlm2l1_ca_w_1_120_s_0_420 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_0_420 = 7.71e-11 mcrdlm2l1_cf_w_1_120_s_0_420 = 8.17e-12 ++ mcrdlm2l1_ca_w_1_120_s_0_560 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_0_560 = 6.20e-11 mcrdlm2l1_cf_w_1_120_s_0_560 = 1.07e-11 ++ mcrdlm2l1_ca_w_1_120_s_0_840 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_0_840 = 4.49e-11 mcrdlm2l1_cf_w_1_120_s_0_840 = 1.52e-11 ++ mcrdlm2l1_ca_w_1_120_s_1_540 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_1_540 = 2.57e-11 mcrdlm2l1_cf_w_1_120_s_1_540 = 2.43e-11 ++ mcrdlm2l1_ca_w_1_120_s_3_500 = 4.01e-05 mcrdlm2l1_cc_w_1_120_s_3_500 = 8.76e-12 mcrdlm2l1_cf_w_1_120_s_3_500 = 3.70e-11 ++ mcrdlm2m1_ca_w_0_140_s_0_140 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_0_140 = 9.48e-11 mcrdlm2m1_cf_w_0_140_s_0_140 = 8.45e-12 ++ mcrdlm2m1_ca_w_0_140_s_0_175 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_0_175 = 9.20e-11 mcrdlm2m1_cf_w_0_140_s_0_175 = 1.07e-11 ++ mcrdlm2m1_ca_w_0_140_s_0_210 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_0_210 = 8.60e-11 mcrdlm2m1_cf_w_0_140_s_0_210 = 1.29e-11 ++ mcrdlm2m1_ca_w_0_140_s_0_280 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_0_280 = 7.41e-11 mcrdlm2m1_cf_w_0_140_s_0_280 = 1.69e-11 ++ mcrdlm2m1_ca_w_0_140_s_0_350 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_0_350 = 6.26e-11 mcrdlm2m1_cf_w_0_140_s_0_350 = 2.06e-11 ++ mcrdlm2m1_ca_w_0_140_s_0_420 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_0_420 = 5.25e-11 mcrdlm2m1_cf_w_0_140_s_0_420 = 2.40e-11 ++ mcrdlm2m1_ca_w_0_140_s_0_560 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_0_560 = 3.93e-11 mcrdlm2m1_cf_w_0_140_s_0_560 = 3.00e-11 ++ mcrdlm2m1_ca_w_0_140_s_0_840 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_0_840 = 2.49e-11 mcrdlm2m1_cf_w_0_140_s_0_840 = 3.87e-11 ++ mcrdlm2m1_ca_w_0_140_s_1_540 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_1_540 = 1.08e-11 mcrdlm2m1_cf_w_0_140_s_1_540 = 5.05e-11 ++ mcrdlm2m1_ca_w_0_140_s_3_500 = 1.31e-04 mcrdlm2m1_cc_w_0_140_s_3_500 = 2.50e-12 mcrdlm2m1_cf_w_0_140_s_3_500 = 5.87e-11 ++ mcrdlm2m1_ca_w_1_120_s_0_140 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_0_140 = 1.11e-10 mcrdlm2m1_cf_w_1_120_s_0_140 = 8.46e-12 ++ mcrdlm2m1_ca_w_1_120_s_0_175 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_0_175 = 1.08e-10 mcrdlm2m1_cf_w_1_120_s_0_175 = 1.07e-11 ++ mcrdlm2m1_ca_w_1_120_s_0_210 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_0_210 = 1.02e-10 mcrdlm2m1_cf_w_1_120_s_0_210 = 1.29e-11 ++ mcrdlm2m1_ca_w_1_120_s_0_280 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_0_280 = 8.75e-11 mcrdlm2m1_cf_w_1_120_s_0_280 = 1.69e-11 ++ mcrdlm2m1_ca_w_1_120_s_0_350 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_0_350 = 7.44e-11 mcrdlm2m1_cf_w_1_120_s_0_350 = 2.07e-11 ++ mcrdlm2m1_ca_w_1_120_s_0_420 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_0_420 = 6.42e-11 mcrdlm2m1_cf_w_1_120_s_0_420 = 2.40e-11 ++ mcrdlm2m1_ca_w_1_120_s_0_560 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_0_560 = 4.93e-11 mcrdlm2m1_cf_w_1_120_s_0_560 = 2.99e-11 ++ mcrdlm2m1_ca_w_1_120_s_0_840 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_0_840 = 3.31e-11 mcrdlm2m1_cf_w_1_120_s_0_840 = 3.90e-11 ++ mcrdlm2m1_ca_w_1_120_s_1_540 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_1_540 = 1.65e-11 mcrdlm2m1_cf_w_1_120_s_1_540 = 5.16e-11 ++ mcrdlm2m1_ca_w_1_120_s_3_500 = 1.31e-04 mcrdlm2m1_cc_w_1_120_s_3_500 = 4.73e-12 mcrdlm2m1_cf_w_1_120_s_3_500 = 6.29e-11 ++ mcm4m3f_ca_w_0_300_s_0_300 = 1.01e-04 mcm4m3f_cc_w_0_300_s_0_300 = 9.07e-11 mcm4m3f_cf_w_0_300_s_0_300 = 1.28e-11 ++ mcm4m3f_ca_w_0_300_s_0_360 = 1.01e-04 mcm4m3f_cc_w_0_300_s_0_360 = 8.30e-11 mcm4m3f_cf_w_0_300_s_0_360 = 1.51e-11 ++ mcm4m3f_ca_w_0_300_s_0_450 = 1.01e-04 mcm4m3f_cc_w_0_300_s_0_450 = 7.20e-11 mcm4m3f_cf_w_0_300_s_0_450 = 1.84e-11 ++ mcm4m3f_ca_w_0_300_s_0_600 = 1.01e-04 mcm4m3f_cc_w_0_300_s_0_600 = 5.84e-11 mcm4m3f_cf_w_0_300_s_0_600 = 2.34e-11 ++ mcm4m3f_ca_w_0_300_s_0_800 = 1.01e-04 mcm4m3f_cc_w_0_300_s_0_800 = 4.47e-11 mcm4m3f_cf_w_0_300_s_0_800 = 2.91e-11 ++ mcm4m3f_ca_w_0_300_s_1_000 = 1.01e-04 mcm4m3f_cc_w_0_300_s_1_000 = 3.47e-11 mcm4m3f_cf_w_0_300_s_1_000 = 3.40e-11 ++ mcm4m3f_ca_w_0_300_s_1_200 = 1.01e-04 mcm4m3f_cc_w_0_300_s_1_200 = 2.74e-11 mcm4m3f_cf_w_0_300_s_1_200 = 3.81e-11 ++ mcm4m3f_ca_w_0_300_s_2_100 = 1.01e-04 mcm4m3f_cc_w_0_300_s_2_100 = 1.09e-11 mcm4m3f_cf_w_0_300_s_2_100 = 4.98e-11 ++ mcm4m3f_ca_w_0_300_s_3_300 = 1.01e-04 mcm4m3f_cc_w_0_300_s_3_300 = 3.74e-12 mcm4m3f_cf_w_0_300_s_3_300 = 5.62e-11 ++ mcm4m3f_ca_w_0_300_s_9_000 = 1.01e-04 mcm4m3f_cc_w_0_300_s_9_000 = 1.10e-13 mcm4m3f_cf_w_0_300_s_9_000 = 5.97e-11 ++ mcm4m3f_ca_w_2_400_s_0_300 = 1.01e-04 mcm4m3f_cc_w_2_400_s_0_300 = 9.86e-11 mcm4m3f_cf_w_2_400_s_0_300 = 1.29e-11 ++ mcm4m3f_ca_w_2_400_s_0_360 = 1.01e-04 mcm4m3f_cc_w_2_400_s_0_360 = 9.03e-11 mcm4m3f_cf_w_2_400_s_0_360 = 1.52e-11 ++ mcm4m3f_ca_w_2_400_s_0_450 = 1.01e-04 mcm4m3f_cc_w_2_400_s_0_450 = 7.89e-11 mcm4m3f_cf_w_2_400_s_0_450 = 1.84e-11 ++ mcm4m3f_ca_w_2_400_s_0_600 = 1.01e-04 mcm4m3f_cc_w_2_400_s_0_600 = 6.39e-11 mcm4m3f_cf_w_2_400_s_0_600 = 2.34e-11 ++ mcm4m3f_ca_w_2_400_s_0_800 = 1.01e-04 mcm4m3f_cc_w_2_400_s_0_800 = 4.92e-11 mcm4m3f_cf_w_2_400_s_0_800 = 2.92e-11 ++ mcm4m3f_ca_w_2_400_s_1_000 = 1.01e-04 mcm4m3f_cc_w_2_400_s_1_000 = 3.85e-11 mcm4m3f_cf_w_2_400_s_1_000 = 3.42e-11 ++ mcm4m3f_ca_w_2_400_s_1_200 = 1.01e-04 mcm4m3f_cc_w_2_400_s_1_200 = 3.07e-11 mcm4m3f_cf_w_2_400_s_1_200 = 3.83e-11 ++ mcm4m3f_ca_w_2_400_s_2_100 = 1.01e-04 mcm4m3f_cc_w_2_400_s_2_100 = 1.27e-11 mcm4m3f_cf_w_2_400_s_2_100 = 5.06e-11 ++ mcm4m3f_ca_w_2_400_s_3_300 = 1.01e-04 mcm4m3f_cc_w_2_400_s_3_300 = 4.61e-12 mcm4m3f_cf_w_2_400_s_3_300 = 5.78e-11 ++ mcm4m3f_ca_w_2_400_s_9_000 = 1.01e-04 mcm4m3f_cc_w_2_400_s_9_000 = 1.40e-13 mcm4m3f_cf_w_2_400_s_9_000 = 6.21e-11 ++ mcm4m3d_ca_w_0_300_s_0_300 = 1.03e-04 mcm4m3d_cc_w_0_300_s_0_300 = 9.03e-11 mcm4m3d_cf_w_0_300_s_0_300 = 1.30e-11 ++ mcm4m3d_ca_w_0_300_s_0_360 = 1.03e-04 mcm4m3d_cc_w_0_300_s_0_360 = 8.26e-11 mcm4m3d_cf_w_0_300_s_0_360 = 1.54e-11 ++ mcm4m3d_ca_w_0_300_s_0_450 = 1.03e-04 mcm4m3d_cc_w_0_300_s_0_450 = 7.16e-11 mcm4m3d_cf_w_0_300_s_0_450 = 1.87e-11 ++ mcm4m3d_ca_w_0_300_s_0_600 = 1.03e-04 mcm4m3d_cc_w_0_300_s_0_600 = 5.79e-11 mcm4m3d_cf_w_0_300_s_0_600 = 2.38e-11 ++ mcm4m3d_ca_w_0_300_s_0_800 = 1.03e-04 mcm4m3d_cc_w_0_300_s_0_800 = 4.41e-11 mcm4m3d_cf_w_0_300_s_0_800 = 2.96e-11 ++ mcm4m3d_ca_w_0_300_s_1_000 = 1.03e-04 mcm4m3d_cc_w_0_300_s_1_000 = 3.41e-11 mcm4m3d_cf_w_0_300_s_1_000 = 3.47e-11 ++ mcm4m3d_ca_w_0_300_s_1_200 = 1.03e-04 mcm4m3d_cc_w_0_300_s_1_200 = 2.68e-11 mcm4m3d_cf_w_0_300_s_1_200 = 3.88e-11 ++ mcm4m3d_ca_w_0_300_s_2_100 = 1.03e-04 mcm4m3d_cc_w_0_300_s_2_100 = 1.03e-11 mcm4m3d_cf_w_0_300_s_2_100 = 5.07e-11 ++ mcm4m3d_ca_w_0_300_s_3_300 = 1.03e-04 mcm4m3d_cc_w_0_300_s_3_300 = 3.34e-12 mcm4m3d_cf_w_0_300_s_3_300 = 5.69e-11 ++ mcm4m3d_ca_w_0_300_s_9_000 = 1.03e-04 mcm4m3d_cc_w_0_300_s_9_000 = 3.00e-14 mcm4m3d_cf_w_0_300_s_9_000 = 6.01e-11 ++ mcm4m3d_ca_w_2_400_s_0_300 = 1.03e-04 mcm4m3d_cc_w_2_400_s_0_300 = 9.75e-11 mcm4m3d_cf_w_2_400_s_0_300 = 1.31e-11 ++ mcm4m3d_ca_w_2_400_s_0_360 = 1.03e-04 mcm4m3d_cc_w_2_400_s_0_360 = 8.91e-11 mcm4m3d_cf_w_2_400_s_0_360 = 1.54e-11 ++ mcm4m3d_ca_w_2_400_s_0_450 = 1.03e-04 mcm4m3d_cc_w_2_400_s_0_450 = 7.76e-11 mcm4m3d_cf_w_2_400_s_0_450 = 1.88e-11 ++ mcm4m3d_ca_w_2_400_s_0_600 = 1.03e-04 mcm4m3d_cc_w_2_400_s_0_600 = 6.27e-11 mcm4m3d_cf_w_2_400_s_0_600 = 2.39e-11 ++ mcm4m3d_ca_w_2_400_s_0_800 = 1.03e-04 mcm4m3d_cc_w_2_400_s_0_800 = 4.80e-11 mcm4m3d_cf_w_2_400_s_0_800 = 2.98e-11 ++ mcm4m3d_ca_w_2_400_s_1_000 = 1.03e-04 mcm4m3d_cc_w_2_400_s_1_000 = 3.73e-11 mcm4m3d_cf_w_2_400_s_1_000 = 3.49e-11 ++ mcm4m3d_ca_w_2_400_s_1_200 = 1.03e-04 mcm4m3d_cc_w_2_400_s_1_200 = 2.95e-11 mcm4m3d_cf_w_2_400_s_1_200 = 3.91e-11 ++ mcm4m3d_ca_w_2_400_s_2_100 = 1.03e-04 mcm4m3d_cc_w_2_400_s_2_100 = 1.18e-11 mcm4m3d_cf_w_2_400_s_2_100 = 5.14e-11 ++ mcm4m3d_ca_w_2_400_s_3_300 = 1.03e-04 mcm4m3d_cc_w_2_400_s_3_300 = 4.00e-12 mcm4m3d_cf_w_2_400_s_3_300 = 5.84e-11 ++ mcm4m3d_ca_w_2_400_s_9_000 = 1.03e-04 mcm4m3d_cc_w_2_400_s_9_000 = 3.50e-14 mcm4m3d_cf_w_2_400_s_9_000 = 6.22e-11 ++ mcm4m3p1_ca_w_0_300_s_0_300 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_0_300 = 8.99e-11 mcm4m3p1_cf_w_0_300_s_0_300 = 1.33e-11 ++ mcm4m3p1_ca_w_0_300_s_0_360 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_0_360 = 8.20e-11 mcm4m3p1_cf_w_0_300_s_0_360 = 1.56e-11 ++ mcm4m3p1_ca_w_0_300_s_0_450 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_0_450 = 7.10e-11 mcm4m3p1_cf_w_0_300_s_0_450 = 1.91e-11 ++ mcm4m3p1_ca_w_0_300_s_0_600 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_0_600 = 5.73e-11 mcm4m3p1_cf_w_0_300_s_0_600 = 2.43e-11 ++ mcm4m3p1_ca_w_0_300_s_0_800 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_0_800 = 4.35e-11 mcm4m3p1_cf_w_0_300_s_0_800 = 3.02e-11 ++ mcm4m3p1_ca_w_0_300_s_1_000 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_1_000 = 3.33e-11 mcm4m3p1_cf_w_0_300_s_1_000 = 3.53e-11 ++ mcm4m3p1_ca_w_0_300_s_1_200 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_1_200 = 2.60e-11 mcm4m3p1_cf_w_0_300_s_1_200 = 3.96e-11 ++ mcm4m3p1_ca_w_0_300_s_2_100 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_2_100 = 9.64e-12 mcm4m3p1_cf_w_0_300_s_2_100 = 5.15e-11 ++ mcm4m3p1_ca_w_0_300_s_3_300 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_3_300 = 2.96e-12 mcm4m3p1_cf_w_0_300_s_3_300 = 5.75e-11 ++ mcm4m3p1_ca_w_0_300_s_9_000 = 1.04e-04 mcm4m3p1_cc_w_0_300_s_9_000 = 3.50e-14 mcm4m3p1_cf_w_0_300_s_9_000 = 6.04e-11 ++ mcm4m3p1_ca_w_2_400_s_0_300 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_0_300 = 9.64e-11 mcm4m3p1_cf_w_2_400_s_0_300 = 1.34e-11 ++ mcm4m3p1_ca_w_2_400_s_0_360 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_0_360 = 8.78e-11 mcm4m3p1_cf_w_2_400_s_0_360 = 1.58e-11 ++ mcm4m3p1_ca_w_2_400_s_0_450 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_0_450 = 7.65e-11 mcm4m3p1_cf_w_2_400_s_0_450 = 1.92e-11 ++ mcm4m3p1_ca_w_2_400_s_0_600 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_0_600 = 6.16e-11 mcm4m3p1_cf_w_2_400_s_0_600 = 2.44e-11 ++ mcm4m3p1_ca_w_2_400_s_0_800 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_0_800 = 4.69e-11 mcm4m3p1_cf_w_2_400_s_0_800 = 3.04e-11 ++ mcm4m3p1_ca_w_2_400_s_1_000 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_1_000 = 3.62e-11 mcm4m3p1_cf_w_2_400_s_1_000 = 3.56e-11 ++ mcm4m3p1_ca_w_2_400_s_1_200 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_1_200 = 2.83e-11 mcm4m3p1_cf_w_2_400_s_1_200 = 3.99e-11 ++ mcm4m3p1_ca_w_2_400_s_2_100 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_2_100 = 1.09e-11 mcm4m3p1_cf_w_2_400_s_2_100 = 5.23e-11 ++ mcm4m3p1_ca_w_2_400_s_3_300 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_3_300 = 3.43e-12 mcm4m3p1_cf_w_2_400_s_3_300 = 5.89e-11 ++ mcm4m3p1_ca_w_2_400_s_9_000 = 1.04e-04 mcm4m3p1_cc_w_2_400_s_9_000 = 4.00e-14 mcm4m3p1_cf_w_2_400_s_9_000 = 6.23e-11 ++ mcm4m3l1_ca_w_0_300_s_0_300 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_0_300 = 8.88e-11 mcm4m3l1_cf_w_0_300_s_0_300 = 1.39e-11 ++ mcm4m3l1_ca_w_0_300_s_0_360 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_0_360 = 8.08e-11 mcm4m3l1_cf_w_0_300_s_0_360 = 1.64e-11 ++ mcm4m3l1_ca_w_0_300_s_0_450 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_0_450 = 7.02e-11 mcm4m3l1_cf_w_0_300_s_0_450 = 2.00e-11 ++ mcm4m3l1_ca_w_0_300_s_0_600 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_0_600 = 5.59e-11 mcm4m3l1_cf_w_0_300_s_0_600 = 2.54e-11 ++ mcm4m3l1_ca_w_0_300_s_0_800 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_0_800 = 4.19e-11 mcm4m3l1_cf_w_0_300_s_0_800 = 3.17e-11 ++ mcm4m3l1_ca_w_0_300_s_1_000 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_1_000 = 3.17e-11 mcm4m3l1_cf_w_0_300_s_1_000 = 3.70e-11 ++ mcm4m3l1_ca_w_0_300_s_1_200 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_1_200 = 2.43e-11 mcm4m3l1_cf_w_0_300_s_1_200 = 4.14e-11 ++ mcm4m3l1_ca_w_0_300_s_2_100 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_2_100 = 8.33e-12 mcm4m3l1_cf_w_0_300_s_2_100 = 5.35e-11 ++ mcm4m3l1_ca_w_0_300_s_3_300 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_3_300 = 2.24e-12 mcm4m3l1_cf_w_0_300_s_3_300 = 5.90e-11 ++ mcm4m3l1_ca_w_0_300_s_9_000 = 1.09e-04 mcm4m3l1_cc_w_0_300_s_9_000 = 5.00e-15 mcm4m3l1_cf_w_0_300_s_9_000 = 6.13e-11 ++ mcm4m3l1_ca_w_2_400_s_0_300 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_0_300 = 9.39e-11 mcm4m3l1_cf_w_2_400_s_0_300 = 1.39e-11 ++ mcm4m3l1_ca_w_2_400_s_0_360 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_0_360 = 8.52e-11 mcm4m3l1_cf_w_2_400_s_0_360 = 1.64e-11 ++ mcm4m3l1_ca_w_2_400_s_0_450 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_0_450 = 7.39e-11 mcm4m3l1_cf_w_2_400_s_0_450 = 2.00e-11 ++ mcm4m3l1_ca_w_2_400_s_0_600 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_0_600 = 5.91e-11 mcm4m3l1_cf_w_2_400_s_0_600 = 2.55e-11 ++ mcm4m3l1_ca_w_2_400_s_0_800 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_0_800 = 4.43e-11 mcm4m3l1_cf_w_2_400_s_0_800 = 3.19e-11 ++ mcm4m3l1_ca_w_2_400_s_1_000 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_1_000 = 3.37e-11 mcm4m3l1_cf_w_2_400_s_1_000 = 3.73e-11 ++ mcm4m3l1_ca_w_2_400_s_1_200 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_1_200 = 2.60e-11 mcm4m3l1_cf_w_2_400_s_1_200 = 4.17e-11 ++ mcm4m3l1_ca_w_2_400_s_2_100 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_2_100 = 9.09e-12 mcm4m3l1_cf_w_2_400_s_2_100 = 5.42e-11 ++ mcm4m3l1_ca_w_2_400_s_3_300 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_3_300 = 2.50e-12 mcm4m3l1_cf_w_2_400_s_3_300 = 6.03e-11 ++ mcm4m3l1_ca_w_2_400_s_9_000 = 1.09e-04 mcm4m3l1_cc_w_2_400_s_9_000 = 0.00e+00 mcm4m3l1_cf_w_2_400_s_9_000 = 6.27e-11 ++ mcm4m3m1_ca_w_0_300_s_0_300 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_0_300 = 8.60e-11 mcm4m3m1_cf_w_0_300_s_0_300 = 1.56e-11 ++ mcm4m3m1_ca_w_0_300_s_0_360 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_0_360 = 7.78e-11 mcm4m3m1_cf_w_0_300_s_0_360 = 1.85e-11 ++ mcm4m3m1_ca_w_0_300_s_0_450 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_0_450 = 6.70e-11 mcm4m3m1_cf_w_0_300_s_0_450 = 2.25e-11 ++ mcm4m3m1_ca_w_0_300_s_0_600 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_0_600 = 5.24e-11 mcm4m3m1_cf_w_0_300_s_0_600 = 2.86e-11 ++ mcm4m3m1_ca_w_0_300_s_0_800 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_0_800 = 3.81e-11 mcm4m3m1_cf_w_0_300_s_0_800 = 3.57e-11 ++ mcm4m3m1_ca_w_0_300_s_1_000 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_1_000 = 2.80e-11 mcm4m3m1_cf_w_0_300_s_1_000 = 4.16e-11 ++ mcm4m3m1_ca_w_0_300_s_1_200 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_1_200 = 2.06e-11 mcm4m3m1_cf_w_0_300_s_1_200 = 4.64e-11 ++ mcm4m3m1_ca_w_0_300_s_2_100 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_2_100 = 5.72e-12 mcm4m3m1_cf_w_0_300_s_2_100 = 5.84e-11 ++ mcm4m3m1_ca_w_0_300_s_3_300 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_3_300 = 1.13e-12 mcm4m3m1_cf_w_0_300_s_3_300 = 6.27e-11 ++ mcm4m3m1_ca_w_0_300_s_9_000 = 1.21e-04 mcm4m3m1_cc_w_0_300_s_9_000 = 3.50e-14 mcm4m3m1_cf_w_0_300_s_9_000 = 6.39e-11 ++ mcm4m3m1_ca_w_2_400_s_0_300 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_0_300 = 8.85e-11 mcm4m3m1_cf_w_2_400_s_0_300 = 1.57e-11 ++ mcm4m3m1_ca_w_2_400_s_0_360 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_0_360 = 7.98e-11 mcm4m3m1_cf_w_2_400_s_0_360 = 1.86e-11 ++ mcm4m3m1_ca_w_2_400_s_0_450 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_0_450 = 6.87e-11 mcm4m3m1_cf_w_2_400_s_0_450 = 2.26e-11 ++ mcm4m3m1_ca_w_2_400_s_0_600 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_0_600 = 5.38e-11 mcm4m3m1_cf_w_2_400_s_0_600 = 2.87e-11 ++ mcm4m3m1_ca_w_2_400_s_0_800 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_0_800 = 3.91e-11 mcm4m3m1_cf_w_2_400_s_0_800 = 3.59e-11 ++ mcm4m3m1_ca_w_2_400_s_1_000 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_1_000 = 2.86e-11 mcm4m3m1_cf_w_2_400_s_1_000 = 4.18e-11 ++ mcm4m3m1_ca_w_2_400_s_1_200 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_1_200 = 2.13e-11 mcm4m3m1_cf_w_2_400_s_1_200 = 4.68e-11 ++ mcm4m3m1_ca_w_2_400_s_2_100 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_2_100 = 5.90e-12 mcm4m3m1_cf_w_2_400_s_2_100 = 5.90e-11 ++ mcm4m3m1_ca_w_2_400_s_3_300 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_3_300 = 1.20e-12 mcm4m3m1_cf_w_2_400_s_3_300 = 6.35e-11 ++ mcm4m3m1_ca_w_2_400_s_9_000 = 1.21e-04 mcm4m3m1_cc_w_2_400_s_9_000 = 5.00e-14 mcm4m3m1_cf_w_2_400_s_9_000 = 6.47e-11 ++ mcm4m3m2_ca_w_0_300_s_0_300 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_0_300 = 7.80e-11 mcm4m3m2_cf_w_0_300_s_0_300 = 2.20e-11 ++ mcm4m3m2_ca_w_0_300_s_0_360 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_0_360 = 6.98e-11 mcm4m3m2_cf_w_0_300_s_0_360 = 2.58e-11 ++ mcm4m3m2_ca_w_0_300_s_0_450 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_0_450 = 5.89e-11 mcm4m3m2_cf_w_0_300_s_0_450 = 3.13e-11 ++ mcm4m3m2_ca_w_0_300_s_0_600 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_0_600 = 4.42e-11 mcm4m3m2_cf_w_0_300_s_0_600 = 3.94e-11 ++ mcm4m3m2_ca_w_0_300_s_0_800 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_0_800 = 3.01e-11 mcm4m3m2_cf_w_0_300_s_0_800 = 4.83e-11 ++ mcm4m3m2_ca_w_0_300_s_1_000 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_1_000 = 2.03e-11 mcm4m3m2_cf_w_0_300_s_1_000 = 5.54e-11 ++ mcm4m3m2_ca_w_0_300_s_1_200 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_1_200 = 1.37e-11 mcm4m3m2_cf_w_0_300_s_1_200 = 6.05e-11 ++ mcm4m3m2_ca_w_0_300_s_2_100 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_2_100 = 2.53e-12 mcm4m3m2_cf_w_0_300_s_2_100 = 7.07e-11 ++ mcm4m3m2_ca_w_0_300_s_3_300 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_3_300 = 3.70e-13 mcm4m3m2_cf_w_0_300_s_3_300 = 7.29e-11 ++ mcm4m3m2_ca_w_0_300_s_9_000 = 1.71e-04 mcm4m3m2_cc_w_0_300_s_9_000 = 2.50e-14 mcm4m3m2_cf_w_0_300_s_9_000 = 7.35e-11 ++ mcm4m3m2_ca_w_2_400_s_0_300 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_0_300 = 7.87e-11 mcm4m3m2_cf_w_2_400_s_0_300 = 2.21e-11 ++ mcm4m3m2_ca_w_2_400_s_0_360 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_0_360 = 7.04e-11 mcm4m3m2_cf_w_2_400_s_0_360 = 2.60e-11 ++ mcm4m3m2_ca_w_2_400_s_0_450 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_0_450 = 5.91e-11 mcm4m3m2_cf_w_2_400_s_0_450 = 3.14e-11 ++ mcm4m3m2_ca_w_2_400_s_0_600 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_0_600 = 4.46e-11 mcm4m3m2_cf_w_2_400_s_0_600 = 3.95e-11 ++ mcm4m3m2_ca_w_2_400_s_0_800 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_0_800 = 3.03e-11 mcm4m3m2_cf_w_2_400_s_0_800 = 4.85e-11 ++ mcm4m3m2_ca_w_2_400_s_1_000 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_1_000 = 2.08e-11 mcm4m3m2_cf_w_2_400_s_1_000 = 5.54e-11 ++ mcm4m3m2_ca_w_2_400_s_1_200 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_1_200 = 1.39e-11 mcm4m3m2_cf_w_2_400_s_1_200 = 6.06e-11 ++ mcm4m3m2_ca_w_2_400_s_2_100 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_2_100 = 2.55e-12 mcm4m3m2_cf_w_2_400_s_2_100 = 7.12e-11 ++ mcm4m3m2_ca_w_2_400_s_3_300 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_3_300 = 3.50e-13 mcm4m3m2_cf_w_2_400_s_3_300 = 7.32e-11 ++ mcm4m3m2_ca_w_2_400_s_9_000 = 1.71e-04 mcm4m3m2_cc_w_2_400_s_9_000 = 5.00e-14 mcm4m3m2_cf_w_2_400_s_9_000 = 7.38e-11 ++ mcm5m3f_ca_w_0_300_s_0_300 = 3.24e-05 mcm5m3f_cc_w_0_300_s_0_300 = 1.02e-10 mcm5m3f_cf_w_0_300_s_0_300 = 4.70e-12 ++ mcm5m3f_ca_w_0_300_s_0_360 = 3.24e-05 mcm5m3f_cc_w_0_300_s_0_360 = 9.41e-11 mcm5m3f_cf_w_0_300_s_0_360 = 5.63e-12 ++ mcm5m3f_ca_w_0_300_s_0_450 = 3.24e-05 mcm5m3f_cc_w_0_300_s_0_450 = 8.44e-11 mcm5m3f_cf_w_0_300_s_0_450 = 7.03e-12 ++ mcm5m3f_ca_w_0_300_s_0_600 = 3.24e-05 mcm5m3f_cc_w_0_300_s_0_600 = 7.08e-11 mcm5m3f_cf_w_0_300_s_0_600 = 9.28e-12 ++ mcm5m3f_ca_w_0_300_s_0_800 = 3.24e-05 mcm5m3f_cc_w_0_300_s_0_800 = 5.71e-11 mcm5m3f_cf_w_0_300_s_0_800 = 1.20e-11 ++ mcm5m3f_ca_w_0_300_s_1_000 = 3.24e-05 mcm5m3f_cc_w_0_300_s_1_000 = 4.70e-11 mcm5m3f_cf_w_0_300_s_1_000 = 1.47e-11 ++ mcm5m3f_ca_w_0_300_s_1_200 = 3.24e-05 mcm5m3f_cc_w_0_300_s_1_200 = 3.92e-11 mcm5m3f_cf_w_0_300_s_1_200 = 1.73e-11 ++ mcm5m3f_ca_w_0_300_s_2_100 = 3.24e-05 mcm5m3f_cc_w_0_300_s_2_100 = 2.02e-11 mcm5m3f_cf_w_0_300_s_2_100 = 2.66e-11 ++ mcm5m3f_ca_w_0_300_s_3_300 = 3.24e-05 mcm5m3f_cc_w_0_300_s_3_300 = 9.34e-12 mcm5m3f_cf_w_0_300_s_3_300 = 3.43e-11 ++ mcm5m3f_ca_w_0_300_s_9_000 = 3.24e-05 mcm5m3f_cc_w_0_300_s_9_000 = 3.50e-13 mcm5m3f_cf_w_0_300_s_9_000 = 4.24e-11 ++ mcm5m3f_ca_w_2_400_s_0_300 = 3.24e-05 mcm5m3f_cc_w_2_400_s_0_300 = 1.14e-10 mcm5m3f_cf_w_2_400_s_0_300 = 4.75e-12 ++ mcm5m3f_ca_w_2_400_s_0_360 = 3.24e-05 mcm5m3f_cc_w_2_400_s_0_360 = 1.06e-10 mcm5m3f_cf_w_2_400_s_0_360 = 5.67e-12 ++ mcm5m3f_ca_w_2_400_s_0_450 = 3.24e-05 mcm5m3f_cc_w_2_400_s_0_450 = 9.43e-11 mcm5m3f_cf_w_2_400_s_0_450 = 7.03e-12 ++ mcm5m3f_ca_w_2_400_s_0_600 = 3.24e-05 mcm5m3f_cc_w_2_400_s_0_600 = 7.93e-11 mcm5m3f_cf_w_2_400_s_0_600 = 9.27e-12 ++ mcm5m3f_ca_w_2_400_s_0_800 = 3.24e-05 mcm5m3f_cc_w_2_400_s_0_800 = 6.40e-11 mcm5m3f_cf_w_2_400_s_0_800 = 1.21e-11 ++ mcm5m3f_ca_w_2_400_s_1_000 = 3.24e-05 mcm5m3f_cc_w_2_400_s_1_000 = 5.27e-11 mcm5m3f_cf_w_2_400_s_1_000 = 1.49e-11 ++ mcm5m3f_ca_w_2_400_s_1_200 = 3.24e-05 mcm5m3f_cc_w_2_400_s_1_200 = 4.42e-11 mcm5m3f_cf_w_2_400_s_1_200 = 1.75e-11 ++ mcm5m3f_ca_w_2_400_s_2_100 = 3.24e-05 mcm5m3f_cc_w_2_400_s_2_100 = 2.29e-11 mcm5m3f_cf_w_2_400_s_2_100 = 2.72e-11 ++ mcm5m3f_ca_w_2_400_s_3_300 = 3.24e-05 mcm5m3f_cc_w_2_400_s_3_300 = 1.08e-11 mcm5m3f_cf_w_2_400_s_3_300 = 3.56e-11 ++ mcm5m3f_ca_w_2_400_s_9_000 = 3.24e-05 mcm5m3f_cc_w_2_400_s_9_000 = 4.15e-13 mcm5m3f_cf_w_2_400_s_9_000 = 4.50e-11 ++ mcm5m3d_ca_w_0_300_s_0_300 = 3.40e-05 mcm5m3d_cc_w_0_300_s_0_300 = 1.01e-10 mcm5m3d_cf_w_0_300_s_0_300 = 4.94e-12 ++ mcm5m3d_ca_w_0_300_s_0_360 = 3.40e-05 mcm5m3d_cc_w_0_300_s_0_360 = 9.37e-11 mcm5m3d_cf_w_0_300_s_0_360 = 5.91e-12 ++ mcm5m3d_ca_w_0_300_s_0_450 = 3.40e-05 mcm5m3d_cc_w_0_300_s_0_450 = 8.39e-11 mcm5m3d_cf_w_0_300_s_0_450 = 7.38e-12 ++ mcm5m3d_ca_w_0_300_s_0_600 = 3.40e-05 mcm5m3d_cc_w_0_300_s_0_600 = 7.03e-11 mcm5m3d_cf_w_0_300_s_0_600 = 9.72e-12 ++ mcm5m3d_ca_w_0_300_s_0_800 = 3.40e-05 mcm5m3d_cc_w_0_300_s_0_800 = 5.64e-11 mcm5m3d_cf_w_0_300_s_0_800 = 1.26e-11 ++ mcm5m3d_ca_w_0_300_s_1_000 = 3.40e-05 mcm5m3d_cc_w_0_300_s_1_000 = 4.64e-11 mcm5m3d_cf_w_0_300_s_1_000 = 1.54e-11 ++ mcm5m3d_ca_w_0_300_s_1_200 = 3.40e-05 mcm5m3d_cc_w_0_300_s_1_200 = 3.85e-11 mcm5m3d_cf_w_0_300_s_1_200 = 1.81e-11 ++ mcm5m3d_ca_w_0_300_s_2_100 = 3.40e-05 mcm5m3d_cc_w_0_300_s_2_100 = 1.94e-11 mcm5m3d_cf_w_0_300_s_2_100 = 2.77e-11 ++ mcm5m3d_ca_w_0_300_s_3_300 = 3.40e-05 mcm5m3d_cc_w_0_300_s_3_300 = 8.69e-12 mcm5m3d_cf_w_0_300_s_3_300 = 3.55e-11 ++ mcm5m3d_ca_w_0_300_s_9_000 = 3.40e-05 mcm5m3d_cc_w_0_300_s_9_000 = 2.80e-13 mcm5m3d_cf_w_0_300_s_9_000 = 4.31e-11 ++ mcm5m3d_ca_w_2_400_s_0_300 = 3.40e-05 mcm5m3d_cc_w_2_400_s_0_300 = 1.13e-10 mcm5m3d_cf_w_2_400_s_0_300 = 4.99e-12 ++ mcm5m3d_ca_w_2_400_s_0_360 = 3.40e-05 mcm5m3d_cc_w_2_400_s_0_360 = 1.05e-10 mcm5m3d_cf_w_2_400_s_0_360 = 5.96e-12 ++ mcm5m3d_ca_w_2_400_s_0_450 = 3.40e-05 mcm5m3d_cc_w_2_400_s_0_450 = 9.32e-11 mcm5m3d_cf_w_2_400_s_0_450 = 7.39e-12 ++ mcm5m3d_ca_w_2_400_s_0_600 = 3.40e-05 mcm5m3d_cc_w_2_400_s_0_600 = 7.81e-11 mcm5m3d_cf_w_2_400_s_0_600 = 9.73e-12 ++ mcm5m3d_ca_w_2_400_s_0_800 = 3.40e-05 mcm5m3d_cc_w_2_400_s_0_800 = 6.28e-11 mcm5m3d_cf_w_2_400_s_0_800 = 1.27e-11 ++ mcm5m3d_ca_w_2_400_s_1_000 = 3.40e-05 mcm5m3d_cc_w_2_400_s_1_000 = 5.15e-11 mcm5m3d_cf_w_2_400_s_1_000 = 1.56e-11 ++ mcm5m3d_ca_w_2_400_s_1_200 = 3.40e-05 mcm5m3d_cc_w_2_400_s_1_200 = 4.30e-11 mcm5m3d_cf_w_2_400_s_1_200 = 1.83e-11 ++ mcm5m3d_ca_w_2_400_s_2_100 = 3.40e-05 mcm5m3d_cc_w_2_400_s_2_100 = 2.18e-11 mcm5m3d_cf_w_2_400_s_2_100 = 2.83e-11 ++ mcm5m3d_ca_w_2_400_s_3_300 = 3.40e-05 mcm5m3d_cc_w_2_400_s_3_300 = 9.86e-12 mcm5m3d_cf_w_2_400_s_3_300 = 3.69e-11 ++ mcm5m3d_ca_w_2_400_s_9_000 = 3.40e-05 mcm5m3d_cc_w_2_400_s_9_000 = 3.20e-13 mcm5m3d_cf_w_2_400_s_9_000 = 4.56e-11 ++ mcm5m3p1_ca_w_0_300_s_0_300 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_0_300 = 1.01e-10 mcm5m3p1_cf_w_0_300_s_0_300 = 5.19e-12 ++ mcm5m3p1_ca_w_0_300_s_0_360 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_0_360 = 9.32e-11 mcm5m3p1_cf_w_0_300_s_0_360 = 6.21e-12 ++ mcm5m3p1_ca_w_0_300_s_0_450 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_0_450 = 8.31e-11 mcm5m3p1_cf_w_0_300_s_0_450 = 7.74e-12 ++ mcm5m3p1_ca_w_0_300_s_0_600 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_0_600 = 6.97e-11 mcm5m3p1_cf_w_0_300_s_0_600 = 1.02e-11 ++ mcm5m3p1_ca_w_0_300_s_0_800 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_0_800 = 5.57e-11 mcm5m3p1_cf_w_0_300_s_0_800 = 1.32e-11 ++ mcm5m3p1_ca_w_0_300_s_1_000 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_1_000 = 4.56e-11 mcm5m3p1_cf_w_0_300_s_1_000 = 1.62e-11 ++ mcm5m3p1_ca_w_0_300_s_1_200 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_1_200 = 3.78e-11 mcm5m3p1_cf_w_0_300_s_1_200 = 1.89e-11 ++ mcm5m3p1_ca_w_0_300_s_2_100 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_2_100 = 1.85e-11 mcm5m3p1_cf_w_0_300_s_2_100 = 2.88e-11 ++ mcm5m3p1_ca_w_0_300_s_3_300 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_3_300 = 8.07e-12 mcm5m3p1_cf_w_0_300_s_3_300 = 3.66e-11 ++ mcm5m3p1_ca_w_0_300_s_9_000 = 3.57e-05 mcm5m3p1_cc_w_0_300_s_9_000 = 2.20e-13 mcm5m3p1_cf_w_0_300_s_9_000 = 4.38e-11 ++ mcm5m3p1_ca_w_2_400_s_0_300 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_0_300 = 1.12e-10 mcm5m3p1_cf_w_2_400_s_0_300 = 5.28e-12 ++ mcm5m3p1_ca_w_2_400_s_0_360 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_0_360 = 1.04e-10 mcm5m3p1_cf_w_2_400_s_0_360 = 6.28e-12 ++ mcm5m3p1_ca_w_2_400_s_0_450 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_0_450 = 9.20e-11 mcm5m3p1_cf_w_2_400_s_0_450 = 7.78e-12 ++ mcm5m3p1_ca_w_2_400_s_0_600 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_0_600 = 7.69e-11 mcm5m3p1_cf_w_2_400_s_0_600 = 1.02e-11 ++ mcm5m3p1_ca_w_2_400_s_0_800 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_0_800 = 6.15e-11 mcm5m3p1_cf_w_2_400_s_0_800 = 1.34e-11 ++ mcm5m3p1_ca_w_2_400_s_1_000 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_1_000 = 5.03e-11 mcm5m3p1_cf_w_2_400_s_1_000 = 1.64e-11 ++ mcm5m3p1_ca_w_2_400_s_1_200 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_1_200 = 4.18e-11 mcm5m3p1_cf_w_2_400_s_1_200 = 1.92e-11 ++ mcm5m3p1_ca_w_2_400_s_2_100 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_2_100 = 2.07e-11 mcm5m3p1_cf_w_2_400_s_2_100 = 2.95e-11 ++ mcm5m3p1_ca_w_2_400_s_3_300 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_3_300 = 9.06e-12 mcm5m3p1_cf_w_2_400_s_3_300 = 3.80e-11 ++ mcm5m3p1_ca_w_2_400_s_9_000 = 3.57e-05 mcm5m3p1_cc_w_2_400_s_9_000 = 2.35e-13 mcm5m3p1_cf_w_2_400_s_9_000 = 4.61e-11 ++ mcm5m3l1_ca_w_0_300_s_0_300 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_0_300 = 9.96e-11 mcm5m3l1_cf_w_0_300_s_0_300 = 5.80e-12 ++ mcm5m3l1_ca_w_0_300_s_0_360 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_0_360 = 9.23e-11 mcm5m3l1_cf_w_0_300_s_0_360 = 6.92e-12 ++ mcm5m3l1_ca_w_0_300_s_0_450 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_0_450 = 8.21e-11 mcm5m3l1_cf_w_0_300_s_0_450 = 8.63e-12 ++ mcm5m3l1_ca_w_0_300_s_0_600 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_0_600 = 6.83e-11 mcm5m3l1_cf_w_0_300_s_0_600 = 1.13e-11 ++ mcm5m3l1_ca_w_0_300_s_0_800 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_0_800 = 5.42e-11 mcm5m3l1_cf_w_0_300_s_0_800 = 1.47e-11 ++ mcm5m3l1_ca_w_0_300_s_1_000 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_1_000 = 4.40e-11 mcm5m3l1_cf_w_0_300_s_1_000 = 1.79e-11 ++ mcm5m3l1_ca_w_0_300_s_1_200 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_1_200 = 3.61e-11 mcm5m3l1_cf_w_0_300_s_1_200 = 2.09e-11 ++ mcm5m3l1_ca_w_0_300_s_2_100 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_2_100 = 1.68e-11 mcm5m3l1_cf_w_0_300_s_2_100 = 3.14e-11 ++ mcm5m3l1_ca_w_0_300_s_3_300 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_3_300 = 6.77e-12 mcm5m3l1_cf_w_0_300_s_3_300 = 3.92e-11 ++ mcm5m3l1_ca_w_0_300_s_9_000 = 4.00e-05 mcm5m3l1_cc_w_0_300_s_9_000 = 1.55e-13 mcm5m3l1_cf_w_0_300_s_9_000 = 4.54e-11 ++ mcm5m3l1_ca_w_2_400_s_0_300 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_0_300 = 1.10e-10 mcm5m3l1_cf_w_2_400_s_0_300 = 5.84e-12 ++ mcm5m3l1_ca_w_2_400_s_0_360 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_0_360 = 1.01e-10 mcm5m3l1_cf_w_2_400_s_0_360 = 6.97e-12 ++ mcm5m3l1_ca_w_2_400_s_0_450 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_0_450 = 8.94e-11 mcm5m3l1_cf_w_2_400_s_0_450 = 8.65e-12 ++ mcm5m3l1_ca_w_2_400_s_0_600 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_0_600 = 7.43e-11 mcm5m3l1_cf_w_2_400_s_0_600 = 1.13e-11 ++ mcm5m3l1_ca_w_2_400_s_0_800 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_0_800 = 5.91e-11 mcm5m3l1_cf_w_2_400_s_0_800 = 1.48e-11 ++ mcm5m3l1_ca_w_2_400_s_1_000 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_1_000 = 4.79e-11 mcm5m3l1_cf_w_2_400_s_1_000 = 1.82e-11 ++ mcm5m3l1_ca_w_2_400_s_1_200 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_1_200 = 3.93e-11 mcm5m3l1_cf_w_2_400_s_1_200 = 2.12e-11 ++ mcm5m3l1_ca_w_2_400_s_2_100 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_2_100 = 1.86e-11 mcm5m3l1_cf_w_2_400_s_2_100 = 3.21e-11 ++ mcm5m3l1_ca_w_2_400_s_3_300 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_3_300 = 7.52e-12 mcm5m3l1_cf_w_2_400_s_3_300 = 4.06e-11 ++ mcm5m3l1_ca_w_2_400_s_9_000 = 4.00e-05 mcm5m3l1_cc_w_2_400_s_9_000 = 1.65e-13 mcm5m3l1_cf_w_2_400_s_9_000 = 4.74e-11 ++ mcm5m3m1_ca_w_0_300_s_0_300 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_0_300 = 9.70e-11 mcm5m3m1_cf_w_0_300_s_0_300 = 7.58e-12 ++ mcm5m3m1_ca_w_0_300_s_0_360 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_0_360 = 8.92e-11 mcm5m3m1_cf_w_0_300_s_0_360 = 9.02e-12 ++ mcm5m3m1_ca_w_0_300_s_0_450 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_0_450 = 7.89e-11 mcm5m3m1_cf_w_0_300_s_0_450 = 1.12e-11 ++ mcm5m3m1_ca_w_0_300_s_0_600 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_0_600 = 6.46e-11 mcm5m3m1_cf_w_0_300_s_0_600 = 1.46e-11 ++ mcm5m3m1_ca_w_0_300_s_0_800 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_0_800 = 5.04e-11 mcm5m3m1_cf_w_0_300_s_0_800 = 1.88e-11 ++ mcm5m3m1_ca_w_0_300_s_1_000 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_1_000 = 3.99e-11 mcm5m3m1_cf_w_0_300_s_1_000 = 2.28e-11 ++ mcm5m3m1_ca_w_0_300_s_1_200 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_1_200 = 3.21e-11 mcm5m3m1_cf_w_0_300_s_1_200 = 2.63e-11 ++ mcm5m3m1_ca_w_0_300_s_2_100 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_2_100 = 1.34e-11 mcm5m3m1_cf_w_0_300_s_2_100 = 3.79e-11 ++ mcm5m3m1_ca_w_0_300_s_3_300 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_3_300 = 4.63e-12 mcm5m3m1_cf_w_0_300_s_3_300 = 4.53e-11 ++ mcm5m3m1_ca_w_0_300_s_9_000 = 5.27e-05 mcm5m3m1_cc_w_0_300_s_9_000 = 7.00e-14 mcm5m3m1_cf_w_0_300_s_9_000 = 4.97e-11 ++ mcm5m3m1_ca_w_2_400_s_0_300 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_0_300 = 1.05e-10 mcm5m3m1_cf_w_2_400_s_0_300 = 7.59e-12 ++ mcm5m3m1_ca_w_2_400_s_0_360 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_0_360 = 9.57e-11 mcm5m3m1_cf_w_2_400_s_0_360 = 9.05e-12 ++ mcm5m3m1_ca_w_2_400_s_0_450 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_0_450 = 8.42e-11 mcm5m3m1_cf_w_2_400_s_0_450 = 1.12e-11 ++ mcm5m3m1_ca_w_2_400_s_0_600 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_0_600 = 6.91e-11 mcm5m3m1_cf_w_2_400_s_0_600 = 1.46e-11 ++ mcm5m3m1_ca_w_2_400_s_0_800 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_0_800 = 5.39e-11 mcm5m3m1_cf_w_2_400_s_0_800 = 1.89e-11 ++ mcm5m3m1_ca_w_2_400_s_1_000 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_1_000 = 4.27e-11 mcm5m3m1_cf_w_2_400_s_1_000 = 2.30e-11 ++ mcm5m3m1_ca_w_2_400_s_1_200 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_1_200 = 3.43e-11 mcm5m3m1_cf_w_2_400_s_1_200 = 2.66e-11 ++ mcm5m3m1_ca_w_2_400_s_2_100 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_2_100 = 1.45e-11 mcm5m3m1_cf_w_2_400_s_2_100 = 3.86e-11 ++ mcm5m3m1_ca_w_2_400_s_3_300 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_3_300 = 5.05e-12 mcm5m3m1_cf_w_2_400_s_3_300 = 4.65e-11 ++ mcm5m3m1_ca_w_2_400_s_9_000 = 5.27e-05 mcm5m3m1_cc_w_2_400_s_9_000 = 8.00e-14 mcm5m3m1_cf_w_2_400_s_9_000 = 5.13e-11 ++ mcm5m3m2_ca_w_0_300_s_0_300 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_0_300 = 8.92e-11 mcm5m3m2_cf_w_0_300_s_0_300 = 1.39e-11 ++ mcm5m3m2_ca_w_0_300_s_0_360 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_0_360 = 8.10e-11 mcm5m3m2_cf_w_0_300_s_0_360 = 1.65e-11 ++ mcm5m3m2_ca_w_0_300_s_0_450 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_0_450 = 7.04e-11 mcm5m3m2_cf_w_0_300_s_0_450 = 2.00e-11 ++ mcm5m3m2_ca_w_0_300_s_0_600 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_0_600 = 5.62e-11 mcm5m3m2_cf_w_0_300_s_0_600 = 2.54e-11 ++ mcm5m3m2_ca_w_0_300_s_0_800 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_0_800 = 4.23e-11 mcm5m3m2_cf_w_0_300_s_0_800 = 3.16e-11 ++ mcm5m3m2_ca_w_0_300_s_1_000 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_1_000 = 3.20e-11 mcm5m3m2_cf_w_0_300_s_1_000 = 3.70e-11 ++ mcm5m3m2_ca_w_0_300_s_1_200 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_1_200 = 2.46e-11 mcm5m3m2_cf_w_0_300_s_1_200 = 4.15e-11 ++ mcm5m3m2_ca_w_0_300_s_2_100 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_2_100 = 8.49e-12 mcm5m3m2_cf_w_0_300_s_2_100 = 5.35e-11 ++ mcm5m3m2_ca_w_0_300_s_3_300 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_3_300 = 2.28e-12 mcm5m3m2_cf_w_0_300_s_3_300 = 5.91e-11 ++ mcm5m3m2_ca_w_0_300_s_9_000 = 1.02e-04 mcm5m3m2_cc_w_0_300_s_9_000 = 5.00e-14 mcm5m3m2_cf_w_0_300_s_9_000 = 6.16e-11 ++ mcm5m3m2_ca_w_2_400_s_0_300 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_0_300 = 9.45e-11 mcm5m3m2_cf_w_2_400_s_0_300 = 1.40e-11 ++ mcm5m3m2_ca_w_2_400_s_0_360 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_0_360 = 8.58e-11 mcm5m3m2_cf_w_2_400_s_0_360 = 1.64e-11 ++ mcm5m3m2_ca_w_2_400_s_0_450 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_0_450 = 7.46e-11 mcm5m3m2_cf_w_2_400_s_0_450 = 2.00e-11 ++ mcm5m3m2_ca_w_2_400_s_0_600 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_0_600 = 5.96e-11 mcm5m3m2_cf_w_2_400_s_0_600 = 2.54e-11 ++ mcm5m3m2_ca_w_2_400_s_0_800 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_0_800 = 4.49e-11 mcm5m3m2_cf_w_2_400_s_0_800 = 3.17e-11 ++ mcm5m3m2_ca_w_2_400_s_1_000 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_1_000 = 3.43e-11 mcm5m3m2_cf_w_2_400_s_1_000 = 3.71e-11 ++ mcm5m3m2_ca_w_2_400_s_1_200 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_1_200 = 2.64e-11 mcm5m3m2_cf_w_2_400_s_1_200 = 4.16e-11 ++ mcm5m3m2_ca_w_2_400_s_2_100 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_2_100 = 9.40e-12 mcm5m3m2_cf_w_2_400_s_2_100 = 5.43e-11 ++ mcm5m3m2_ca_w_2_400_s_3_300 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_3_300 = 2.61e-12 mcm5m3m2_cf_w_2_400_s_3_300 = 6.04e-11 ++ mcm5m3m2_ca_w_2_400_s_9_000 = 1.02e-04 mcm5m3m2_cc_w_2_400_s_9_000 = 1.00e-14 mcm5m3m2_cf_w_2_400_s_9_000 = 6.30e-11 ++ mcrdlm3f_ca_w_0_300_s_0_300 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_0_300 = 1.06e-10 mcrdlm3f_cf_w_0_300_s_0_300 = 2.38e-12 ++ mcrdlm3f_ca_w_0_300_s_0_360 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_0_360 = 9.87e-11 mcrdlm3f_cf_w_0_300_s_0_360 = 2.85e-12 ++ mcrdlm3f_ca_w_0_300_s_0_450 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_0_450 = 8.91e-11 mcrdlm3f_cf_w_0_300_s_0_450 = 3.58e-12 ++ mcrdlm3f_ca_w_0_300_s_0_600 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_0_600 = 7.63e-11 mcrdlm3f_cf_w_0_300_s_0_600 = 4.76e-12 ++ mcrdlm3f_ca_w_0_300_s_0_800 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_0_800 = 6.38e-11 mcrdlm3f_cf_w_0_300_s_0_800 = 6.17e-12 ++ mcrdlm3f_ca_w_0_300_s_1_000 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_1_000 = 5.45e-11 mcrdlm3f_cf_w_0_300_s_1_000 = 7.62e-12 ++ mcrdlm3f_ca_w_0_300_s_1_200 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_1_200 = 4.75e-11 mcrdlm3f_cf_w_0_300_s_1_200 = 9.03e-12 ++ mcrdlm3f_ca_w_0_300_s_2_100 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_2_100 = 2.96e-11 mcrdlm3f_cf_w_0_300_s_2_100 = 1.49e-11 ++ mcrdlm3f_ca_w_0_300_s_3_300 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_3_300 = 1.87e-11 mcrdlm3f_cf_w_0_300_s_3_300 = 2.06e-11 ++ mcrdlm3f_ca_w_0_300_s_9_000 = 1.61e-05 mcrdlm3f_cc_w_0_300_s_9_000 = 3.26e-12 mcrdlm3f_cf_w_0_300_s_9_000 = 3.25e-11 ++ mcrdlm3f_ca_w_2_400_s_0_300 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_0_300 = 1.28e-10 mcrdlm3f_cf_w_2_400_s_0_300 = 2.43e-12 ++ mcrdlm3f_ca_w_2_400_s_0_360 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_0_360 = 1.19e-10 mcrdlm3f_cf_w_2_400_s_0_360 = 2.89e-12 ++ mcrdlm3f_ca_w_2_400_s_0_450 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_0_450 = 1.08e-10 mcrdlm3f_cf_w_2_400_s_0_450 = 3.59e-12 ++ mcrdlm3f_ca_w_2_400_s_0_600 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_0_600 = 9.31e-11 mcrdlm3f_cf_w_2_400_s_0_600 = 4.74e-12 ++ mcrdlm3f_ca_w_2_400_s_0_800 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_0_800 = 7.81e-11 mcrdlm3f_cf_w_2_400_s_0_800 = 6.24e-12 ++ mcrdlm3f_ca_w_2_400_s_1_000 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_1_000 = 6.72e-11 mcrdlm3f_cf_w_2_400_s_1_000 = 7.71e-12 ++ mcrdlm3f_ca_w_2_400_s_1_200 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_1_200 = 5.87e-11 mcrdlm3f_cf_w_2_400_s_1_200 = 9.13e-12 ++ mcrdlm3f_ca_w_2_400_s_2_100 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_2_100 = 3.74e-11 mcrdlm3f_cf_w_2_400_s_2_100 = 1.50e-11 ++ mcrdlm3f_ca_w_2_400_s_3_300 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_3_300 = 2.39e-11 mcrdlm3f_cf_w_2_400_s_3_300 = 2.13e-11 ++ mcrdlm3f_ca_w_2_400_s_9_000 = 1.61e-05 mcrdlm3f_cc_w_2_400_s_9_000 = 4.48e-12 mcrdlm3f_cf_w_2_400_s_9_000 = 3.56e-11 ++ mcrdlm3d_ca_w_0_300_s_0_300 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_0_300 = 1.05e-10 mcrdlm3d_cf_w_0_300_s_0_300 = 2.61e-12 ++ mcrdlm3d_ca_w_0_300_s_0_360 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_0_360 = 9.83e-11 mcrdlm3d_cf_w_0_300_s_0_360 = 3.13e-12 ++ mcrdlm3d_ca_w_0_300_s_0_450 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_0_450 = 8.86e-11 mcrdlm3d_cf_w_0_300_s_0_450 = 3.92e-12 ++ mcrdlm3d_ca_w_0_300_s_0_600 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_0_600 = 7.58e-11 mcrdlm3d_cf_w_0_300_s_0_600 = 5.21e-12 ++ mcrdlm3d_ca_w_0_300_s_0_800 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_0_800 = 6.31e-11 mcrdlm3d_cf_w_0_300_s_0_800 = 6.76e-12 ++ mcrdlm3d_ca_w_0_300_s_1_000 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_1_000 = 5.37e-11 mcrdlm3d_cf_w_0_300_s_1_000 = 8.33e-12 ++ mcrdlm3d_ca_w_0_300_s_1_200 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_1_200 = 4.67e-11 mcrdlm3d_cf_w_0_300_s_1_200 = 9.85e-12 ++ mcrdlm3d_ca_w_0_300_s_2_100 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_2_100 = 2.87e-11 mcrdlm3d_cf_w_0_300_s_2_100 = 1.62e-11 ++ mcrdlm3d_ca_w_0_300_s_3_300 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_3_300 = 1.78e-11 mcrdlm3d_cf_w_0_300_s_3_300 = 2.21e-11 ++ mcrdlm3d_ca_w_0_300_s_9_000 = 1.77e-05 mcrdlm3d_cc_w_0_300_s_9_000 = 2.89e-12 mcrdlm3d_cf_w_0_300_s_9_000 = 3.39e-11 ++ mcrdlm3d_ca_w_2_400_s_0_300 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_0_300 = 1.26e-10 mcrdlm3d_cf_w_2_400_s_0_300 = 2.67e-12 ++ mcrdlm3d_ca_w_2_400_s_0_360 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_0_360 = 1.18e-10 mcrdlm3d_cf_w_2_400_s_0_360 = 3.18e-12 ++ mcrdlm3d_ca_w_2_400_s_0_450 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_0_450 = 1.07e-10 mcrdlm3d_cf_w_2_400_s_0_450 = 3.94e-12 ++ mcrdlm3d_ca_w_2_400_s_0_600 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_0_600 = 9.19e-11 mcrdlm3d_cf_w_2_400_s_0_600 = 5.20e-12 ++ mcrdlm3d_ca_w_2_400_s_0_800 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_0_800 = 7.69e-11 mcrdlm3d_cf_w_2_400_s_0_800 = 6.83e-12 ++ mcrdlm3d_ca_w_2_400_s_1_000 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_1_000 = 6.59e-11 mcrdlm3d_cf_w_2_400_s_1_000 = 8.43e-12 ++ mcrdlm3d_ca_w_2_400_s_1_200 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_1_200 = 5.75e-11 mcrdlm3d_cf_w_2_400_s_1_200 = 9.97e-12 ++ mcrdlm3d_ca_w_2_400_s_2_100 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_2_100 = 3.62e-11 mcrdlm3d_cf_w_2_400_s_2_100 = 1.62e-11 ++ mcrdlm3d_ca_w_2_400_s_3_300 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_3_300 = 2.27e-11 mcrdlm3d_cf_w_2_400_s_3_300 = 2.29e-11 ++ mcrdlm3d_ca_w_2_400_s_9_000 = 1.77e-05 mcrdlm3d_cc_w_2_400_s_9_000 = 4.01e-12 mcrdlm3d_cf_w_2_400_s_9_000 = 3.71e-11 ++ mcrdlm3p1_ca_w_0_300_s_0_300 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_0_300 = 1.05e-10 mcrdlm3p1_cf_w_0_300_s_0_300 = 2.87e-12 ++ mcrdlm3p1_ca_w_0_300_s_0_360 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_0_360 = 9.79e-11 mcrdlm3p1_cf_w_0_300_s_0_360 = 3.43e-12 ++ mcrdlm3p1_ca_w_0_300_s_0_450 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_0_450 = 8.81e-11 mcrdlm3p1_cf_w_0_300_s_0_450 = 4.29e-12 ++ mcrdlm3p1_ca_w_0_300_s_0_600 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_0_600 = 7.51e-11 mcrdlm3p1_cf_w_0_300_s_0_600 = 5.70e-12 ++ mcrdlm3p1_ca_w_0_300_s_0_800 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_0_800 = 6.24e-11 mcrdlm3p1_cf_w_0_300_s_0_800 = 7.37e-12 ++ mcrdlm3p1_ca_w_0_300_s_1_000 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_1_000 = 5.30e-11 mcrdlm3p1_cf_w_0_300_s_1_000 = 9.07e-12 ++ mcrdlm3p1_ca_w_0_300_s_1_200 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_1_200 = 4.59e-11 mcrdlm3p1_cf_w_0_300_s_1_200 = 1.07e-11 ++ mcrdlm3p1_ca_w_0_300_s_2_100 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_2_100 = 2.77e-11 mcrdlm3p1_cf_w_0_300_s_2_100 = 1.74e-11 ++ mcrdlm3p1_ca_w_0_300_s_3_300 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_3_300 = 1.69e-11 mcrdlm3p1_cf_w_0_300_s_3_300 = 2.36e-11 ++ mcrdlm3p1_ca_w_0_300_s_9_000 = 1.94e-05 mcrdlm3p1_cc_w_0_300_s_9_000 = 2.58e-12 mcrdlm3p1_cf_w_0_300_s_9_000 = 3.52e-11 ++ mcrdlm3p1_ca_w_2_400_s_0_300 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_0_300 = 1.25e-10 mcrdlm3p1_cf_w_2_400_s_0_300 = 2.95e-12 ++ mcrdlm3p1_ca_w_2_400_s_0_360 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_0_360 = 1.17e-10 mcrdlm3p1_cf_w_2_400_s_0_360 = 3.50e-12 ++ mcrdlm3p1_ca_w_2_400_s_0_450 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_0_450 = 1.06e-10 mcrdlm3p1_cf_w_2_400_s_0_450 = 4.35e-12 ++ mcrdlm3p1_ca_w_2_400_s_0_600 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_0_600 = 9.07e-11 mcrdlm3p1_cf_w_2_400_s_0_600 = 5.70e-12 ++ mcrdlm3p1_ca_w_2_400_s_0_800 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_0_800 = 7.57e-11 mcrdlm3p1_cf_w_2_400_s_0_800 = 7.48e-12 ++ mcrdlm3p1_ca_w_2_400_s_1_000 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_1_000 = 6.46e-11 mcrdlm3p1_cf_w_2_400_s_1_000 = 9.21e-12 ++ mcrdlm3p1_ca_w_2_400_s_1_200 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_1_200 = 5.62e-11 mcrdlm3p1_cf_w_2_400_s_1_200 = 1.09e-11 ++ mcrdlm3p1_ca_w_2_400_s_2_100 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_2_100 = 3.51e-11 mcrdlm3p1_cf_w_2_400_s_2_100 = 1.75e-11 ++ mcrdlm3p1_ca_w_2_400_s_3_300 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_3_300 = 2.18e-11 mcrdlm3p1_cf_w_2_400_s_3_300 = 2.45e-11 ++ mcrdlm3p1_ca_w_2_400_s_9_000 = 1.94e-05 mcrdlm3p1_cc_w_2_400_s_9_000 = 3.67e-12 mcrdlm3p1_cf_w_2_400_s_9_000 = 3.86e-11 ++ mcrdlm3l1_ca_w_0_300_s_0_300 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_0_300 = 1.04e-10 mcrdlm3l1_cf_w_0_300_s_0_300 = 3.47e-12 ++ mcrdlm3l1_ca_w_0_300_s_0_360 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_0_360 = 9.68e-11 mcrdlm3l1_cf_w_0_300_s_0_360 = 4.15e-12 ++ mcrdlm3l1_ca_w_0_300_s_0_450 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_0_450 = 8.67e-11 mcrdlm3l1_cf_w_0_300_s_0_450 = 5.18e-12 ++ mcrdlm3l1_ca_w_0_300_s_0_600 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_0_600 = 7.38e-11 mcrdlm3l1_cf_w_0_300_s_0_600 = 6.84e-12 ++ mcrdlm3l1_ca_w_0_300_s_0_800 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_0_800 = 6.09e-11 mcrdlm3l1_cf_w_0_300_s_0_800 = 8.86e-12 ++ mcrdlm3l1_ca_w_0_300_s_1_000 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_1_000 = 5.13e-11 mcrdlm3l1_cf_w_0_300_s_1_000 = 1.09e-11 ++ mcrdlm3l1_ca_w_0_300_s_1_200 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_1_200 = 4.41e-11 mcrdlm3l1_cf_w_0_300_s_1_200 = 1.28e-11 ++ mcrdlm3l1_ca_w_0_300_s_2_100 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_2_100 = 2.59e-11 mcrdlm3l1_cf_w_0_300_s_2_100 = 2.04e-11 ++ mcrdlm3l1_ca_w_0_300_s_3_300 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_3_300 = 1.51e-11 mcrdlm3l1_cf_w_0_300_s_3_300 = 2.70e-11 ++ mcrdlm3l1_ca_w_0_300_s_9_000 = 2.37e-05 mcrdlm3l1_cc_w_0_300_s_9_000 = 2.07e-12 mcrdlm3l1_cf_w_0_300_s_9_000 = 3.80e-11 ++ mcrdlm3l1_ca_w_2_400_s_0_300 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_0_300 = 1.23e-10 mcrdlm3l1_cf_w_2_400_s_0_300 = 3.52e-12 ++ mcrdlm3l1_ca_w_2_400_s_0_360 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_0_360 = 1.14e-10 mcrdlm3l1_cf_w_2_400_s_0_360 = 4.20e-12 ++ mcrdlm3l1_ca_w_2_400_s_0_450 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_0_450 = 1.03e-10 mcrdlm3l1_cf_w_2_400_s_0_450 = 5.20e-12 ++ mcrdlm3l1_ca_w_2_400_s_0_600 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_0_600 = 8.82e-11 mcrdlm3l1_cf_w_2_400_s_0_600 = 6.83e-12 ++ mcrdlm3l1_ca_w_2_400_s_0_800 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_0_800 = 7.31e-11 mcrdlm3l1_cf_w_2_400_s_0_800 = 8.94e-12 ++ mcrdlm3l1_ca_w_2_400_s_1_000 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_1_000 = 6.22e-11 mcrdlm3l1_cf_w_2_400_s_1_000 = 1.10e-11 ++ mcrdlm3l1_ca_w_2_400_s_1_200 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_1_200 = 5.38e-11 mcrdlm3l1_cf_w_2_400_s_1_200 = 1.29e-11 ++ mcrdlm3l1_ca_w_2_400_s_2_100 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_2_100 = 3.28e-11 mcrdlm3l1_cf_w_2_400_s_2_100 = 2.05e-11 ++ mcrdlm3l1_ca_w_2_400_s_3_300 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_3_300 = 1.97e-11 mcrdlm3l1_cf_w_2_400_s_3_300 = 2.80e-11 ++ mcrdlm3l1_ca_w_2_400_s_9_000 = 2.37e-05 mcrdlm3l1_cc_w_2_400_s_9_000 = 3.00e-12 mcrdlm3l1_cf_w_2_400_s_9_000 = 4.15e-11 ++ mcrdlm3m1_ca_w_0_300_s_0_300 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_0_300 = 1.01e-10 mcrdlm3m1_cf_w_0_300_s_0_300 = 5.24e-12 ++ mcrdlm3m1_ca_w_0_300_s_0_360 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_0_360 = 9.37e-11 mcrdlm3m1_cf_w_0_300_s_0_360 = 6.25e-12 ++ mcrdlm3m1_ca_w_0_300_s_0_450 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_0_450 = 8.35e-11 mcrdlm3m1_cf_w_0_300_s_0_450 = 7.74e-12 ++ mcrdlm3m1_ca_w_0_300_s_0_600 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_0_600 = 7.01e-11 mcrdlm3m1_cf_w_0_300_s_0_600 = 1.01e-11 ++ mcrdlm3m1_ca_w_0_300_s_0_800 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_0_800 = 5.70e-11 mcrdlm3m1_cf_w_0_300_s_0_800 = 1.30e-11 ++ mcrdlm3m1_ca_w_0_300_s_1_000 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_1_000 = 4.73e-11 mcrdlm3m1_cf_w_0_300_s_1_000 = 1.58e-11 ++ mcrdlm3m1_ca_w_0_300_s_1_200 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_1_200 = 4.00e-11 mcrdlm3m1_cf_w_0_300_s_1_200 = 1.83e-11 ++ mcrdlm3m1_ca_w_0_300_s_2_100 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_2_100 = 2.17e-11 mcrdlm3m1_cf_w_0_300_s_2_100 = 2.77e-11 ++ mcrdlm3m1_ca_w_0_300_s_3_300 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_3_300 = 1.18e-11 mcrdlm3m1_cf_w_0_300_s_3_300 = 3.49e-11 ++ mcrdlm3m1_ca_w_0_300_s_9_000 = 3.64e-05 mcrdlm3m1_cc_w_0_300_s_9_000 = 1.31e-12 mcrdlm3m1_cf_w_0_300_s_9_000 = 4.43e-11 ++ mcrdlm3m1_ca_w_2_400_s_0_300 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_0_300 = 1.17e-10 mcrdlm3m1_cf_w_2_400_s_0_300 = 5.26e-12 ++ mcrdlm3m1_ca_w_2_400_s_0_360 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_0_360 = 1.09e-10 mcrdlm3m1_cf_w_2_400_s_0_360 = 6.27e-12 ++ mcrdlm3m1_ca_w_2_400_s_0_450 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_0_450 = 9.80e-11 mcrdlm3m1_cf_w_2_400_s_0_450 = 7.74e-12 ++ mcrdlm3m1_ca_w_2_400_s_0_600 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_0_600 = 8.29e-11 mcrdlm3m1_cf_w_2_400_s_0_600 = 1.01e-11 ++ mcrdlm3m1_ca_w_2_400_s_0_800 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_0_800 = 6.80e-11 mcrdlm3m1_cf_w_2_400_s_0_800 = 1.31e-11 ++ mcrdlm3m1_ca_w_2_400_s_1_000 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_1_000 = 5.70e-11 mcrdlm3m1_cf_w_2_400_s_1_000 = 1.59e-11 ++ mcrdlm3m1_ca_w_2_400_s_1_200 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_1_200 = 4.88e-11 mcrdlm3m1_cf_w_2_400_s_1_200 = 1.85e-11 ++ mcrdlm3m1_ca_w_2_400_s_2_100 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_2_100 = 2.82e-11 mcrdlm3m1_cf_w_2_400_s_2_100 = 2.79e-11 ++ mcrdlm3m1_ca_w_2_400_s_3_300 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_3_300 = 1.61e-11 mcrdlm3m1_cf_w_2_400_s_3_300 = 3.61e-11 ++ mcrdlm3m1_ca_w_2_400_s_9_000 = 3.64e-05 mcrdlm3m1_cc_w_2_400_s_9_000 = 2.13e-12 mcrdlm3m1_cf_w_2_400_s_9_000 = 4.82e-11 ++ mcrdlm3m2_ca_w_0_300_s_0_300 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_0_300 = 9.31e-11 mcrdlm3m2_cf_w_0_300_s_0_300 = 1.16e-11 ++ mcrdlm3m2_ca_w_0_300_s_0_360 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_0_360 = 8.55e-11 mcrdlm3m2_cf_w_0_300_s_0_360 = 1.36e-11 ++ mcrdlm3m2_ca_w_0_300_s_0_450 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_0_450 = 7.53e-11 mcrdlm3m2_cf_w_0_300_s_0_450 = 1.65e-11 ++ mcrdlm3m2_ca_w_0_300_s_0_600 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_0_600 = 6.18e-11 mcrdlm3m2_cf_w_0_300_s_0_600 = 2.09e-11 ++ mcrdlm3m2_ca_w_0_300_s_0_800 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_0_800 = 4.88e-11 mcrdlm3m2_cf_w_0_300_s_0_800 = 2.59e-11 ++ mcrdlm3m2_ca_w_0_300_s_1_000 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_1_000 = 3.92e-11 mcrdlm3m2_cf_w_0_300_s_1_000 = 3.02e-11 ++ mcrdlm3m2_ca_w_0_300_s_1_200 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_1_200 = 3.23e-11 mcrdlm3m2_cf_w_0_300_s_1_200 = 3.38e-11 ++ mcrdlm3m2_ca_w_0_300_s_2_100 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_2_100 = 1.55e-11 mcrdlm3m2_cf_w_0_300_s_2_100 = 4.52e-11 ++ mcrdlm3m2_ca_w_0_300_s_3_300 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_3_300 = 7.64e-12 mcrdlm3m2_cf_w_0_300_s_3_300 = 5.18e-11 ++ mcrdlm3m2_ca_w_0_300_s_9_000 = 8.57e-05 mcrdlm3m2_cc_w_0_300_s_9_000 = 7.65e-13 mcrdlm3m2_cf_w_0_300_s_9_000 = 5.84e-11 ++ mcrdlm3m2_ca_w_2_400_s_0_300 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_0_300 = 1.07e-10 mcrdlm3m2_cf_w_2_400_s_0_300 = 1.16e-11 ++ mcrdlm3m2_ca_w_2_400_s_0_360 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_0_360 = 9.93e-11 mcrdlm3m2_cf_w_2_400_s_0_360 = 1.37e-11 ++ mcrdlm3m2_ca_w_2_400_s_0_450 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_0_450 = 8.82e-11 mcrdlm3m2_cf_w_2_400_s_0_450 = 1.65e-11 ++ mcrdlm3m2_ca_w_2_400_s_0_600 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_0_600 = 7.34e-11 mcrdlm3m2_cf_w_2_400_s_0_600 = 2.09e-11 ++ mcrdlm3m2_ca_w_2_400_s_0_800 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_0_800 = 5.89e-11 mcrdlm3m2_cf_w_2_400_s_0_800 = 2.59e-11 ++ mcrdlm3m2_ca_w_2_400_s_1_000 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_1_000 = 4.84e-11 mcrdlm3m2_cf_w_2_400_s_1_000 = 3.03e-11 ++ mcrdlm3m2_ca_w_2_400_s_1_200 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_1_200 = 4.05e-11 mcrdlm3m2_cf_w_2_400_s_1_200 = 3.39e-11 ++ mcrdlm3m2_ca_w_2_400_s_2_100 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_2_100 = 2.19e-11 mcrdlm3m2_cf_w_2_400_s_2_100 = 4.54e-11 ++ mcrdlm3m2_ca_w_2_400_s_3_300 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_3_300 = 1.18e-11 mcrdlm3m2_cf_w_2_400_s_3_300 = 5.36e-11 ++ mcrdlm3m2_ca_w_2_400_s_9_000 = 8.57e-05 mcrdlm3m2_cc_w_2_400_s_9_000 = 1.27e-12 mcrdlm3m2_cf_w_2_400_s_9_000 = 6.34e-11 ++ mcm5m4f_ca_w_0_300_s_0_300 = 7.70e-05 mcm5m4f_cc_w_0_300_s_0_300 = 9.38e-11 mcm5m4f_cf_w_0_300_s_0_300 = 1.01e-11 ++ mcm5m4f_ca_w_0_300_s_0_360 = 7.70e-05 mcm5m4f_cc_w_0_300_s_0_360 = 8.64e-11 mcm5m4f_cf_w_0_300_s_0_360 = 1.20e-11 ++ mcm5m4f_ca_w_0_300_s_0_450 = 7.70e-05 mcm5m4f_cc_w_0_300_s_0_450 = 7.58e-11 mcm5m4f_cf_w_0_300_s_0_450 = 1.47e-11 ++ mcm5m4f_ca_w_0_300_s_0_600 = 7.70e-05 mcm5m4f_cc_w_0_300_s_0_600 = 6.20e-11 mcm5m4f_cf_w_0_300_s_0_600 = 1.88e-11 ++ mcm5m4f_ca_w_0_300_s_0_800 = 7.70e-05 mcm5m4f_cc_w_0_300_s_0_800 = 4.84e-11 mcm5m4f_cf_w_0_300_s_0_800 = 2.37e-11 ++ mcm5m4f_ca_w_0_300_s_1_000 = 7.70e-05 mcm5m4f_cc_w_0_300_s_1_000 = 3.85e-11 mcm5m4f_cf_w_0_300_s_1_000 = 2.80e-11 ++ mcm5m4f_ca_w_0_300_s_1_200 = 7.70e-05 mcm5m4f_cc_w_0_300_s_1_200 = 3.12e-11 mcm5m4f_cf_w_0_300_s_1_200 = 3.17e-11 ++ mcm5m4f_ca_w_0_300_s_2_100 = 7.70e-05 mcm5m4f_cc_w_0_300_s_2_100 = 1.39e-11 mcm5m4f_cf_w_0_300_s_2_100 = 4.31e-11 ++ mcm5m4f_ca_w_0_300_s_3_300 = 7.70e-05 mcm5m4f_cc_w_0_300_s_3_300 = 5.70e-12 mcm5m4f_cf_w_0_300_s_3_300 = 5.01e-11 ++ mcm5m4f_ca_w_0_300_s_9_000 = 7.70e-05 mcm5m4f_cc_w_0_300_s_9_000 = 2.30e-13 mcm5m4f_cf_w_0_300_s_9_000 = 5.53e-11 ++ mcm5m4f_ca_w_2_400_s_0_300 = 7.70e-05 mcm5m4f_cc_w_2_400_s_0_300 = 1.04e-10 mcm5m4f_cf_w_2_400_s_0_300 = 1.02e-11 ++ mcm5m4f_ca_w_2_400_s_0_360 = 7.70e-05 mcm5m4f_cc_w_2_400_s_0_360 = 9.57e-11 mcm5m4f_cf_w_2_400_s_0_360 = 1.21e-11 ++ mcm5m4f_ca_w_2_400_s_0_450 = 7.70e-05 mcm5m4f_cc_w_2_400_s_0_450 = 8.47e-11 mcm5m4f_cf_w_2_400_s_0_450 = 1.47e-11 ++ mcm5m4f_ca_w_2_400_s_0_600 = 7.70e-05 mcm5m4f_cc_w_2_400_s_0_600 = 6.97e-11 mcm5m4f_cf_w_2_400_s_0_600 = 1.89e-11 ++ mcm5m4f_ca_w_2_400_s_0_800 = 7.70e-05 mcm5m4f_cc_w_2_400_s_0_800 = 5.49e-11 mcm5m4f_cf_w_2_400_s_0_800 = 2.38e-11 ++ mcm5m4f_ca_w_2_400_s_1_000 = 7.70e-05 mcm5m4f_cc_w_2_400_s_1_000 = 4.39e-11 mcm5m4f_cf_w_2_400_s_1_000 = 2.81e-11 ++ mcm5m4f_ca_w_2_400_s_1_200 = 7.70e-05 mcm5m4f_cc_w_2_400_s_1_200 = 3.59e-11 mcm5m4f_cf_w_2_400_s_1_200 = 3.19e-11 ++ mcm5m4f_ca_w_2_400_s_2_100 = 7.70e-05 mcm5m4f_cc_w_2_400_s_2_100 = 1.70e-11 mcm5m4f_cf_w_2_400_s_2_100 = 4.38e-11 ++ mcm5m4f_ca_w_2_400_s_3_300 = 7.70e-05 mcm5m4f_cc_w_2_400_s_3_300 = 7.38e-12 mcm5m4f_cf_w_2_400_s_3_300 = 5.17e-11 ++ mcm5m4f_ca_w_2_400_s_9_000 = 7.70e-05 mcm5m4f_cc_w_2_400_s_9_000 = 3.05e-13 mcm5m4f_cf_w_2_400_s_9_000 = 5.85e-11 ++ mcm5m4d_ca_w_0_300_s_0_300 = 7.78e-05 mcm5m4d_cc_w_0_300_s_0_300 = 9.36e-11 mcm5m4d_cf_w_0_300_s_0_300 = 1.02e-11 ++ mcm5m4d_ca_w_0_300_s_0_360 = 7.78e-05 mcm5m4d_cc_w_0_300_s_0_360 = 8.61e-11 mcm5m4d_cf_w_0_300_s_0_360 = 1.21e-11 ++ mcm5m4d_ca_w_0_300_s_0_450 = 7.78e-05 mcm5m4d_cc_w_0_300_s_0_450 = 7.56e-11 mcm5m4d_cf_w_0_300_s_0_450 = 1.48e-11 ++ mcm5m4d_ca_w_0_300_s_0_600 = 7.78e-05 mcm5m4d_cc_w_0_300_s_0_600 = 6.17e-11 mcm5m4d_cf_w_0_300_s_0_600 = 1.90e-11 ++ mcm5m4d_ca_w_0_300_s_0_800 = 7.78e-05 mcm5m4d_cc_w_0_300_s_0_800 = 4.82e-11 mcm5m4d_cf_w_0_300_s_0_800 = 2.39e-11 ++ mcm5m4d_ca_w_0_300_s_1_000 = 7.78e-05 mcm5m4d_cc_w_0_300_s_1_000 = 3.82e-11 mcm5m4d_cf_w_0_300_s_1_000 = 2.83e-11 ++ mcm5m4d_ca_w_0_300_s_1_200 = 7.78e-05 mcm5m4d_cc_w_0_300_s_1_200 = 3.08e-11 mcm5m4d_cf_w_0_300_s_1_200 = 3.21e-11 ++ mcm5m4d_ca_w_0_300_s_2_100 = 7.78e-05 mcm5m4d_cc_w_0_300_s_2_100 = 1.35e-11 mcm5m4d_cf_w_0_300_s_2_100 = 4.36e-11 ++ mcm5m4d_ca_w_0_300_s_3_300 = 7.78e-05 mcm5m4d_cc_w_0_300_s_3_300 = 5.39e-12 mcm5m4d_cf_w_0_300_s_3_300 = 5.04e-11 ++ mcm5m4d_ca_w_0_300_s_9_000 = 7.78e-05 mcm5m4d_cc_w_0_300_s_9_000 = 1.45e-13 mcm5m4d_cf_w_0_300_s_9_000 = 5.54e-11 ++ mcm5m4d_ca_w_2_400_s_0_300 = 7.78e-05 mcm5m4d_cc_w_2_400_s_0_300 = 1.04e-10 mcm5m4d_cf_w_2_400_s_0_300 = 1.03e-11 ++ mcm5m4d_ca_w_2_400_s_0_360 = 7.78e-05 mcm5m4d_cc_w_2_400_s_0_360 = 9.52e-11 mcm5m4d_cf_w_2_400_s_0_360 = 1.22e-11 ++ mcm5m4d_ca_w_2_400_s_0_450 = 7.78e-05 mcm5m4d_cc_w_2_400_s_0_450 = 8.40e-11 mcm5m4d_cf_w_2_400_s_0_450 = 1.49e-11 ++ mcm5m4d_ca_w_2_400_s_0_600 = 7.78e-05 mcm5m4d_cc_w_2_400_s_0_600 = 6.90e-11 mcm5m4d_cf_w_2_400_s_0_600 = 1.91e-11 ++ mcm5m4d_ca_w_2_400_s_0_800 = 7.78e-05 mcm5m4d_cc_w_2_400_s_0_800 = 5.42e-11 mcm5m4d_cf_w_2_400_s_0_800 = 2.41e-11 ++ mcm5m4d_ca_w_2_400_s_1_000 = 7.78e-05 mcm5m4d_cc_w_2_400_s_1_000 = 4.33e-11 mcm5m4d_cf_w_2_400_s_1_000 = 2.85e-11 ++ mcm5m4d_ca_w_2_400_s_1_200 = 7.78e-05 mcm5m4d_cc_w_2_400_s_1_200 = 3.52e-11 mcm5m4d_cf_w_2_400_s_1_200 = 3.23e-11 ++ mcm5m4d_ca_w_2_400_s_2_100 = 7.78e-05 mcm5m4d_cc_w_2_400_s_2_100 = 1.63e-11 mcm5m4d_cf_w_2_400_s_2_100 = 4.42e-11 ++ mcm5m4d_ca_w_2_400_s_3_300 = 7.78e-05 mcm5m4d_cc_w_2_400_s_3_300 = 6.86e-12 mcm5m4d_cf_w_2_400_s_3_300 = 5.20e-11 ++ mcm5m4d_ca_w_2_400_s_9_000 = 7.78e-05 mcm5m4d_cc_w_2_400_s_9_000 = 2.25e-13 mcm5m4d_cf_w_2_400_s_9_000 = 5.84e-11 ++ mcm5m4p1_ca_w_0_300_s_0_300 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_0_300 = 9.34e-11 mcm5m4p1_cf_w_0_300_s_0_300 = 1.03e-11 ++ mcm5m4p1_ca_w_0_300_s_0_360 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_0_360 = 8.59e-11 mcm5m4p1_cf_w_0_300_s_0_360 = 1.22e-11 ++ mcm5m4p1_ca_w_0_300_s_0_450 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_0_450 = 7.54e-11 mcm5m4p1_cf_w_0_300_s_0_450 = 1.50e-11 ++ mcm5m4p1_ca_w_0_300_s_0_600 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_0_600 = 6.15e-11 mcm5m4p1_cf_w_0_300_s_0_600 = 1.92e-11 ++ mcm5m4p1_ca_w_0_300_s_0_800 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_0_800 = 4.79e-11 mcm5m4p1_cf_w_0_300_s_0_800 = 2.42e-11 ++ mcm5m4p1_ca_w_0_300_s_1_000 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_1_000 = 3.78e-11 mcm5m4p1_cf_w_0_300_s_1_000 = 2.86e-11 ++ mcm5m4p1_ca_w_0_300_s_1_200 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_1_200 = 3.04e-11 mcm5m4p1_cf_w_0_300_s_1_200 = 3.24e-11 ++ mcm5m4p1_ca_w_0_300_s_2_100 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_2_100 = 1.32e-11 mcm5m4p1_cf_w_0_300_s_2_100 = 4.40e-11 ++ mcm5m4p1_ca_w_0_300_s_3_300 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_3_300 = 5.10e-12 mcm5m4p1_cf_w_0_300_s_3_300 = 5.08e-11 ++ mcm5m4p1_ca_w_0_300_s_9_000 = 7.85e-05 mcm5m4p1_cc_w_0_300_s_9_000 = 1.45e-13 mcm5m4p1_cf_w_0_300_s_9_000 = 5.56e-11 ++ mcm5m4p1_ca_w_2_400_s_0_300 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_0_300 = 1.03e-10 mcm5m4p1_cf_w_2_400_s_0_300 = 1.04e-11 ++ mcm5m4p1_ca_w_2_400_s_0_360 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_0_360 = 9.46e-11 mcm5m4p1_cf_w_2_400_s_0_360 = 1.23e-11 ++ mcm5m4p1_ca_w_2_400_s_0_450 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_0_450 = 8.33e-11 mcm5m4p1_cf_w_2_400_s_0_450 = 1.50e-11 ++ mcm5m4p1_ca_w_2_400_s_0_600 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_0_600 = 6.83e-11 mcm5m4p1_cf_w_2_400_s_0_600 = 1.93e-11 ++ mcm5m4p1_ca_w_2_400_s_0_800 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_0_800 = 5.35e-11 mcm5m4p1_cf_w_2_400_s_0_800 = 2.44e-11 ++ mcm5m4p1_ca_w_2_400_s_1_000 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_1_000 = 4.26e-11 mcm5m4p1_cf_w_2_400_s_1_000 = 2.88e-11 ++ mcm5m4p1_ca_w_2_400_s_1_200 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_1_200 = 3.45e-11 mcm5m4p1_cf_w_2_400_s_1_200 = 3.26e-11 ++ mcm5m4p1_ca_w_2_400_s_2_100 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_2_100 = 1.57e-11 mcm5m4p1_cf_w_2_400_s_2_100 = 4.47e-11 ++ mcm5m4p1_ca_w_2_400_s_3_300 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_3_300 = 6.43e-12 mcm5m4p1_cf_w_2_400_s_3_300 = 5.24e-11 ++ mcm5m4p1_ca_w_2_400_s_9_000 = 7.85e-05 mcm5m4p1_cc_w_2_400_s_9_000 = 1.70e-13 mcm5m4p1_cf_w_2_400_s_9_000 = 5.84e-11 ++ mcm5m4l1_ca_w_0_300_s_0_300 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_0_300 = 9.30e-11 mcm5m4l1_cf_w_0_300_s_0_300 = 1.05e-11 ++ mcm5m4l1_ca_w_0_300_s_0_360 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_0_360 = 8.55e-11 mcm5m4l1_cf_w_0_300_s_0_360 = 1.25e-11 ++ mcm5m4l1_ca_w_0_300_s_0_450 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_0_450 = 7.50e-11 mcm5m4l1_cf_w_0_300_s_0_450 = 1.53e-11 ++ mcm5m4l1_ca_w_0_300_s_0_600 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_0_600 = 6.08e-11 mcm5m4l1_cf_w_0_300_s_0_600 = 1.97e-11 ++ mcm5m4l1_ca_w_0_300_s_0_800 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_0_800 = 4.72e-11 mcm5m4l1_cf_w_0_300_s_0_800 = 2.48e-11 ++ mcm5m4l1_ca_w_0_300_s_1_000 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_1_000 = 3.71e-11 mcm5m4l1_cf_w_0_300_s_1_000 = 2.92e-11 ++ mcm5m4l1_ca_w_0_300_s_1_200 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_1_200 = 2.96e-11 mcm5m4l1_cf_w_0_300_s_1_200 = 3.31e-11 ++ mcm5m4l1_ca_w_0_300_s_2_100 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_2_100 = 1.24e-11 mcm5m4l1_cf_w_0_300_s_2_100 = 4.48e-11 ++ mcm5m4l1_ca_w_0_300_s_3_300 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_3_300 = 4.60e-12 mcm5m4l1_cf_w_0_300_s_3_300 = 5.15e-11 ++ mcm5m4l1_ca_w_0_300_s_9_000 = 8.01e-05 mcm5m4l1_cc_w_0_300_s_9_000 = 1.60e-13 mcm5m4l1_cf_w_0_300_s_9_000 = 5.59e-11 ++ mcm5m4l1_ca_w_2_400_s_0_300 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_0_300 = 1.02e-10 mcm5m4l1_cf_w_2_400_s_0_300 = 1.06e-11 ++ mcm5m4l1_ca_w_2_400_s_0_360 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_0_360 = 9.33e-11 mcm5m4l1_cf_w_2_400_s_0_360 = 1.26e-11 ++ mcm5m4l1_ca_w_2_400_s_0_450 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_0_450 = 8.20e-11 mcm5m4l1_cf_w_2_400_s_0_450 = 1.54e-11 ++ mcm5m4l1_ca_w_2_400_s_0_600 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_0_600 = 6.70e-11 mcm5m4l1_cf_w_2_400_s_0_600 = 1.97e-11 ++ mcm5m4l1_ca_w_2_400_s_0_800 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_0_800 = 5.22e-11 mcm5m4l1_cf_w_2_400_s_0_800 = 2.49e-11 ++ mcm5m4l1_ca_w_2_400_s_1_000 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_1_000 = 4.12e-11 mcm5m4l1_cf_w_2_400_s_1_000 = 2.95e-11 ++ mcm5m4l1_ca_w_2_400_s_1_200 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_1_200 = 3.32e-11 mcm5m4l1_cf_w_2_400_s_1_200 = 3.34e-11 ++ mcm5m4l1_ca_w_2_400_s_2_100 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_2_100 = 1.45e-11 mcm5m4l1_cf_w_2_400_s_2_100 = 4.56e-11 ++ mcm5m4l1_ca_w_2_400_s_3_300 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_3_300 = 5.55e-12 mcm5m4l1_cf_w_2_400_s_3_300 = 5.31e-11 ++ mcm5m4l1_ca_w_2_400_s_9_000 = 8.01e-05 mcm5m4l1_cc_w_2_400_s_9_000 = 8.50e-14 mcm5m4l1_cf_w_2_400_s_9_000 = 5.84e-11 ++ mcm5m4m1_ca_w_0_300_s_0_300 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_0_300 = 9.22e-11 mcm5m4m1_cf_w_0_300_s_0_300 = 1.10e-11 ++ mcm5m4m1_ca_w_0_300_s_0_360 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_0_360 = 8.45e-11 mcm5m4m1_cf_w_0_300_s_0_360 = 1.31e-11 ++ mcm5m4m1_ca_w_0_300_s_0_450 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_0_450 = 7.40e-11 mcm5m4m1_cf_w_0_300_s_0_450 = 1.60e-11 ++ mcm5m4m1_ca_w_0_300_s_0_600 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_0_600 = 5.97e-11 mcm5m4m1_cf_w_0_300_s_0_600 = 2.06e-11 ++ mcm5m4m1_ca_w_0_300_s_0_800 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_0_800 = 4.59e-11 mcm5m4m1_cf_w_0_300_s_0_800 = 2.60e-11 ++ mcm5m4m1_ca_w_0_300_s_1_000 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_1_000 = 3.56e-11 mcm5m4m1_cf_w_0_300_s_1_000 = 3.07e-11 ++ mcm5m4m1_ca_w_0_300_s_1_200 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_1_200 = 2.81e-11 mcm5m4m1_cf_w_0_300_s_1_200 = 3.48e-11 ++ mcm5m4m1_ca_w_0_300_s_2_100 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_2_100 = 1.10e-11 mcm5m4m1_cf_w_0_300_s_2_100 = 4.66e-11 ++ mcm5m4m1_ca_w_0_300_s_3_300 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_3_300 = 3.61e-12 mcm5m4m1_cf_w_0_300_s_3_300 = 5.31e-11 ++ mcm5m4m1_ca_w_0_300_s_9_000 = 8.35e-05 mcm5m4m1_cc_w_0_300_s_9_000 = 5.50e-14 mcm5m4m1_cf_w_0_300_s_9_000 = 5.67e-11 ++ mcm5m4m1_ca_w_2_400_s_0_300 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_0_300 = 9.94e-11 mcm5m4m1_cf_w_2_400_s_0_300 = 1.11e-11 ++ mcm5m4m1_ca_w_2_400_s_0_360 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_0_360 = 9.08e-11 mcm5m4m1_cf_w_2_400_s_0_360 = 1.31e-11 ++ mcm5m4m1_ca_w_2_400_s_0_450 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_0_450 = 7.95e-11 mcm5m4m1_cf_w_2_400_s_0_450 = 1.61e-11 ++ mcm5m4m1_ca_w_2_400_s_0_600 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_0_600 = 6.45e-11 mcm5m4m1_cf_w_2_400_s_0_600 = 2.07e-11 ++ mcm5m4m1_ca_w_2_400_s_0_800 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_0_800 = 4.96e-11 mcm5m4m1_cf_w_2_400_s_0_800 = 2.61e-11 ++ mcm5m4m1_ca_w_2_400_s_1_000 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_1_000 = 3.87e-11 mcm5m4m1_cf_w_2_400_s_1_000 = 3.09e-11 ++ mcm5m4m1_ca_w_2_400_s_1_200 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_1_200 = 3.07e-11 mcm5m4m1_cf_w_2_400_s_1_200 = 3.50e-11 ++ mcm5m4m1_ca_w_2_400_s_2_100 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_2_100 = 1.23e-11 mcm5m4m1_cf_w_2_400_s_2_100 = 4.74e-11 ++ mcm5m4m1_ca_w_2_400_s_3_300 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_3_300 = 4.21e-12 mcm5m4m1_cf_w_2_400_s_3_300 = 5.45e-11 ++ mcm5m4m1_ca_w_2_400_s_9_000 = 8.35e-05 mcm5m4m1_cc_w_2_400_s_9_000 = 9.50e-14 mcm5m4m1_cf_w_2_400_s_9_000 = 5.86e-11 ++ mcm5m4m2_ca_w_0_300_s_0_300 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_0_300 = 9.10e-11 mcm5m4m2_cf_w_0_300_s_0_300 = 1.19e-11 ++ mcm5m4m2_ca_w_0_300_s_0_360 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_0_360 = 8.30e-11 mcm5m4m2_cf_w_0_300_s_0_360 = 1.41e-11 ++ mcm5m4m2_ca_w_0_300_s_0_450 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_0_450 = 7.24e-11 mcm5m4m2_cf_w_0_300_s_0_450 = 1.73e-11 ++ mcm5m4m2_ca_w_0_300_s_0_600 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_0_600 = 5.78e-11 mcm5m4m2_cf_w_0_300_s_0_600 = 2.22e-11 ++ mcm5m4m2_ca_w_0_300_s_0_800 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_0_800 = 4.38e-11 mcm5m4m2_cf_w_0_300_s_0_800 = 2.79e-11 ++ mcm5m4m2_ca_w_0_300_s_1_000 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_1_000 = 3.35e-11 mcm5m4m2_cf_w_0_300_s_1_000 = 3.30e-11 ++ mcm5m4m2_ca_w_0_300_s_1_200 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_1_200 = 2.59e-11 mcm5m4m2_cf_w_0_300_s_1_200 = 3.73e-11 ++ mcm5m4m2_ca_w_0_300_s_2_100 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_2_100 = 9.12e-12 mcm5m4m2_cf_w_0_300_s_2_100 = 4.94e-11 ++ mcm5m4m2_ca_w_0_300_s_3_300 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_3_300 = 2.55e-12 mcm5m4m2_cf_w_0_300_s_3_300 = 5.55e-11 ++ mcm5m4m2_ca_w_0_300_s_9_000 = 8.92e-05 mcm5m4m2_cc_w_0_300_s_9_000 = 4.00e-14 mcm5m4m2_cf_w_0_300_s_9_000 = 5.80e-11 ++ mcm5m4m2_ca_w_2_400_s_0_300 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_0_300 = 9.61e-11 mcm5m4m2_cf_w_2_400_s_0_300 = 1.19e-11 ++ mcm5m4m2_ca_w_2_400_s_0_360 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_0_360 = 8.77e-11 mcm5m4m2_cf_w_2_400_s_0_360 = 1.41e-11 ++ mcm5m4m2_ca_w_2_400_s_0_450 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_0_450 = 7.62e-11 mcm5m4m2_cf_w_2_400_s_0_450 = 1.73e-11 ++ mcm5m4m2_ca_w_2_400_s_0_600 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_0_600 = 6.12e-11 mcm5m4m2_cf_w_2_400_s_0_600 = 2.22e-11 ++ mcm5m4m2_ca_w_2_400_s_0_800 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_0_800 = 4.63e-11 mcm5m4m2_cf_w_2_400_s_0_800 = 2.81e-11 ++ mcm5m4m2_ca_w_2_400_s_1_000 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_1_000 = 3.54e-11 mcm5m4m2_cf_w_2_400_s_1_000 = 3.32e-11 ++ mcm5m4m2_ca_w_2_400_s_1_200 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_1_200 = 2.75e-11 mcm5m4m2_cf_w_2_400_s_1_200 = 3.76e-11 ++ mcm5m4m2_ca_w_2_400_s_2_100 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_2_100 = 9.85e-12 mcm5m4m2_cf_w_2_400_s_2_100 = 5.03e-11 ++ mcm5m4m2_ca_w_2_400_s_3_300 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_3_300 = 2.76e-12 mcm5m4m2_cf_w_2_400_s_3_300 = 5.66e-11 ++ mcm5m4m2_ca_w_2_400_s_9_000 = 8.92e-05 mcm5m4m2_cc_w_2_400_s_9_000 = 5.00e-15 mcm5m4m2_cf_w_2_400_s_9_000 = 5.94e-11 ++ mcm5m4m3_ca_w_0_300_s_0_300 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_0_300 = 7.96e-11 mcm5m4m3_cf_w_0_300_s_0_300 = 2.07e-11 ++ mcm5m4m3_ca_w_0_300_s_0_360 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_0_360 = 7.11e-11 mcm5m4m3_cf_w_0_300_s_0_360 = 2.43e-11 ++ mcm5m4m3_ca_w_0_300_s_0_450 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_0_450 = 6.04e-11 mcm5m4m3_cf_w_0_300_s_0_450 = 2.95e-11 ++ mcm5m4m3_ca_w_0_300_s_0_600 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_0_600 = 4.57e-11 mcm5m4m3_cf_w_0_300_s_0_600 = 3.72e-11 ++ mcm5m4m3_ca_w_0_300_s_0_800 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_0_800 = 3.16e-11 mcm5m4m3_cf_w_0_300_s_0_800 = 4.58e-11 ++ mcm5m4m3_ca_w_0_300_s_1_000 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_1_000 = 2.17e-11 mcm5m4m3_cf_w_0_300_s_1_000 = 5.29e-11 ++ mcm5m4m3_ca_w_0_300_s_1_200 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_1_200 = 1.49e-11 mcm5m4m3_cf_w_0_300_s_1_200 = 5.81e-11 ++ mcm5m4m3_ca_w_0_300_s_2_100 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_2_100 = 2.94e-12 mcm5m4m3_cf_w_0_300_s_2_100 = 6.87e-11 ++ mcm5m4m3_ca_w_0_300_s_3_300 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_3_300 = 4.25e-13 mcm5m4m3_cf_w_0_300_s_3_300 = 7.12e-11 ++ mcm5m4m3_ca_w_0_300_s_9_000 = 1.57e-04 mcm5m4m3_cc_w_0_300_s_9_000 = 0.00e+00 mcm5m4m3_cf_w_0_300_s_9_000 = 7.16e-11 ++ mcm5m4m3_ca_w_2_400_s_0_300 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_0_300 = 8.05e-11 mcm5m4m3_cf_w_2_400_s_0_300 = 2.07e-11 ++ mcm5m4m3_ca_w_2_400_s_0_360 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_0_360 = 7.21e-11 mcm5m4m3_cf_w_2_400_s_0_360 = 2.44e-11 ++ mcm5m4m3_ca_w_2_400_s_0_450 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_0_450 = 6.07e-11 mcm5m4m3_cf_w_2_400_s_0_450 = 2.95e-11 ++ mcm5m4m3_ca_w_2_400_s_0_600 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_0_600 = 4.63e-11 mcm5m4m3_cf_w_2_400_s_0_600 = 3.72e-11 ++ mcm5m4m3_ca_w_2_400_s_0_800 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_0_800 = 3.18e-11 mcm5m4m3_cf_w_2_400_s_0_800 = 4.60e-11 ++ mcm5m4m3_ca_w_2_400_s_1_000 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_1_000 = 2.19e-11 mcm5m4m3_cf_w_2_400_s_1_000 = 5.28e-11 ++ mcm5m4m3_ca_w_2_400_s_1_200 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_1_200 = 1.50e-11 mcm5m4m3_cf_w_2_400_s_1_200 = 5.81e-11 ++ mcm5m4m3_ca_w_2_400_s_2_100 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_2_100 = 2.95e-12 mcm5m4m3_cf_w_2_400_s_2_100 = 6.90e-11 ++ mcm5m4m3_ca_w_2_400_s_3_300 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_3_300 = 4.00e-13 mcm5m4m3_cf_w_2_400_s_3_300 = 7.16e-11 ++ mcm5m4m3_ca_w_2_400_s_9_000 = 1.57e-04 mcm5m4m3_cc_w_2_400_s_9_000 = 5.00e-14 mcm5m4m3_cf_w_2_400_s_9_000 = 7.21e-11 ++ mcrdlm4f_ca_w_0_300_s_0_300 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_0_300 = 1.07e-10 mcrdlm4f_cf_w_0_300_s_0_300 = 1.88e-12 ++ mcrdlm4f_ca_w_0_300_s_0_360 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_0_360 = 1.00e-10 mcrdlm4f_cf_w_0_300_s_0_360 = 2.25e-12 ++ mcrdlm4f_ca_w_0_300_s_0_450 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_0_450 = 9.09e-11 mcrdlm4f_cf_w_0_300_s_0_450 = 2.84e-12 ++ mcrdlm4f_ca_w_0_300_s_0_600 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_0_600 = 7.80e-11 mcrdlm4f_cf_w_0_300_s_0_600 = 3.80e-12 ++ mcrdlm4f_ca_w_0_300_s_0_800 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_0_800 = 6.61e-11 mcrdlm4f_cf_w_0_300_s_0_800 = 4.91e-12 ++ mcrdlm4f_ca_w_0_300_s_1_000 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_1_000 = 5.70e-11 mcrdlm4f_cf_w_0_300_s_1_000 = 6.09e-12 ++ mcrdlm4f_ca_w_0_300_s_1_200 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_1_200 = 5.01e-11 mcrdlm4f_cf_w_0_300_s_1_200 = 7.24e-12 ++ mcrdlm4f_ca_w_0_300_s_2_100 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_2_100 = 3.25e-11 mcrdlm4f_cf_w_0_300_s_2_100 = 1.22e-11 ++ mcrdlm4f_ca_w_0_300_s_3_300 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_3_300 = 2.13e-11 mcrdlm4f_cf_w_0_300_s_3_300 = 1.72e-11 ++ mcrdlm4f_ca_w_0_300_s_9_000 = 1.27e-05 mcrdlm4f_cc_w_0_300_s_9_000 = 4.11e-12 mcrdlm4f_cf_w_0_300_s_9_000 = 2.94e-11 ++ mcrdlm4f_ca_w_2_400_s_0_300 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_0_300 = 1.32e-10 mcrdlm4f_cf_w_2_400_s_0_300 = 1.90e-12 ++ mcrdlm4f_ca_w_2_400_s_0_360 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_0_360 = 1.24e-10 mcrdlm4f_cf_w_2_400_s_0_360 = 2.28e-12 ++ mcrdlm4f_ca_w_2_400_s_0_450 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_0_450 = 1.13e-10 mcrdlm4f_cf_w_2_400_s_0_450 = 2.83e-12 ++ mcrdlm4f_ca_w_2_400_s_0_600 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_0_600 = 9.74e-11 mcrdlm4f_cf_w_2_400_s_0_600 = 3.75e-12 ++ mcrdlm4f_ca_w_2_400_s_0_800 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_0_800 = 8.23e-11 mcrdlm4f_cf_w_2_400_s_0_800 = 4.96e-12 ++ mcrdlm4f_ca_w_2_400_s_1_000 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_1_000 = 7.12e-11 mcrdlm4f_cf_w_2_400_s_1_000 = 6.14e-12 ++ mcrdlm4f_ca_w_2_400_s_1_200 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_1_200 = 6.27e-11 mcrdlm4f_cf_w_2_400_s_1_200 = 7.31e-12 ++ mcrdlm4f_ca_w_2_400_s_2_100 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_2_100 = 4.09e-11 mcrdlm4f_cf_w_2_400_s_2_100 = 1.22e-11 ++ mcrdlm4f_ca_w_2_400_s_3_300 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_3_300 = 2.67e-11 mcrdlm4f_cf_w_2_400_s_3_300 = 1.78e-11 ++ mcrdlm4f_ca_w_2_400_s_9_000 = 1.27e-05 mcrdlm4f_cc_w_2_400_s_9_000 = 5.36e-12 mcrdlm4f_cf_w_2_400_s_9_000 = 3.22e-11 ++ mcrdlm4d_ca_w_0_300_s_0_300 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_0_300 = 1.07e-10 mcrdlm4d_cf_w_0_300_s_0_300 = 1.98e-12 ++ mcrdlm4d_ca_w_0_300_s_0_360 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_0_360 = 9.98e-11 mcrdlm4d_cf_w_0_300_s_0_360 = 2.38e-12 ++ mcrdlm4d_ca_w_0_300_s_0_450 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_0_450 = 9.07e-11 mcrdlm4d_cf_w_0_300_s_0_450 = 3.00e-12 ++ mcrdlm4d_ca_w_0_300_s_0_600 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_0_600 = 7.78e-11 mcrdlm4d_cf_w_0_300_s_0_600 = 4.02e-12 ++ mcrdlm4d_ca_w_0_300_s_0_800 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_0_800 = 6.58e-11 mcrdlm4d_cf_w_0_300_s_0_800 = 5.19e-12 ++ mcrdlm4d_ca_w_0_300_s_1_000 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_1_000 = 5.66e-11 mcrdlm4d_cf_w_0_300_s_1_000 = 6.42e-12 ++ mcrdlm4d_ca_w_0_300_s_1_200 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_1_200 = 4.97e-11 mcrdlm4d_cf_w_0_300_s_1_200 = 7.63e-12 ++ mcrdlm4d_ca_w_0_300_s_2_100 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_2_100 = 3.19e-11 mcrdlm4d_cf_w_0_300_s_2_100 = 1.28e-11 ++ mcrdlm4d_ca_w_0_300_s_3_300 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_3_300 = 2.07e-11 mcrdlm4d_cf_w_0_300_s_3_300 = 1.80e-11 ++ mcrdlm4d_ca_w_0_300_s_9_000 = 1.34e-05 mcrdlm4d_cc_w_0_300_s_9_000 = 3.82e-12 mcrdlm4d_cf_w_0_300_s_9_000 = 3.02e-11 ++ mcrdlm4d_ca_w_2_400_s_0_300 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_0_300 = 1.31e-10 mcrdlm4d_cf_w_2_400_s_0_300 = 2.01e-12 ++ mcrdlm4d_ca_w_2_400_s_0_360 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_0_360 = 1.23e-10 mcrdlm4d_cf_w_2_400_s_0_360 = 2.41e-12 ++ mcrdlm4d_ca_w_2_400_s_0_450 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_0_450 = 1.12e-10 mcrdlm4d_cf_w_2_400_s_0_450 = 3.00e-12 ++ mcrdlm4d_ca_w_2_400_s_0_600 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_0_600 = 9.68e-11 mcrdlm4d_cf_w_2_400_s_0_600 = 3.97e-12 ++ mcrdlm4d_ca_w_2_400_s_0_800 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_0_800 = 8.15e-11 mcrdlm4d_cf_w_2_400_s_0_800 = 5.24e-12 ++ mcrdlm4d_ca_w_2_400_s_1_000 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_1_000 = 7.05e-11 mcrdlm4d_cf_w_2_400_s_1_000 = 6.49e-12 ++ mcrdlm4d_ca_w_2_400_s_1_200 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_1_200 = 6.20e-11 mcrdlm4d_cf_w_2_400_s_1_200 = 7.71e-12 ++ mcrdlm4d_ca_w_2_400_s_2_100 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_2_100 = 4.01e-11 mcrdlm4d_cf_w_2_400_s_2_100 = 1.28e-11 ++ mcrdlm4d_ca_w_2_400_s_3_300 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_3_300 = 2.59e-11 mcrdlm4d_cf_w_2_400_s_3_300 = 1.86e-11 ++ mcrdlm4d_ca_w_2_400_s_9_000 = 1.34e-05 mcrdlm4d_cc_w_2_400_s_9_000 = 4.98e-12 mcrdlm4d_cf_w_2_400_s_9_000 = 3.31e-11 ++ mcrdlm4p1_ca_w_0_300_s_0_300 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_0_300 = 1.07e-10 mcrdlm4p1_cf_w_0_300_s_0_300 = 2.09e-12 ++ mcrdlm4p1_ca_w_0_300_s_0_360 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_0_360 = 9.96e-11 mcrdlm4p1_cf_w_0_300_s_0_360 = 2.51e-12 ++ mcrdlm4p1_ca_w_0_300_s_0_450 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_0_450 = 9.03e-11 mcrdlm4p1_cf_w_0_300_s_0_450 = 3.16e-12 ++ mcrdlm4p1_ca_w_0_300_s_0_600 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_0_600 = 7.75e-11 mcrdlm4p1_cf_w_0_300_s_0_600 = 4.23e-12 ++ mcrdlm4p1_ca_w_0_300_s_0_800 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_0_800 = 6.54e-11 mcrdlm4p1_cf_w_0_300_s_0_800 = 5.46e-12 ++ mcrdlm4p1_ca_w_0_300_s_1_000 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_1_000 = 5.63e-11 mcrdlm4p1_cf_w_0_300_s_1_000 = 6.76e-12 ++ mcrdlm4p1_ca_w_0_300_s_1_200 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_1_200 = 4.93e-11 mcrdlm4p1_cf_w_0_300_s_1_200 = 8.01e-12 ++ mcrdlm4p1_ca_w_0_300_s_2_100 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_2_100 = 3.14e-11 mcrdlm4p1_cf_w_0_300_s_2_100 = 1.34e-11 ++ mcrdlm4p1_ca_w_0_300_s_3_300 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_3_300 = 2.02e-11 mcrdlm4p1_cf_w_0_300_s_3_300 = 1.88e-11 ++ mcrdlm4p1_ca_w_0_300_s_9_000 = 1.41e-05 mcrdlm4p1_cc_w_0_300_s_9_000 = 3.56e-12 mcrdlm4p1_cf_w_0_300_s_9_000 = 3.09e-11 ++ mcrdlm4p1_ca_w_2_400_s_0_300 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_0_300 = 1.31e-10 mcrdlm4p1_cf_w_2_400_s_0_300 = 2.13e-12 ++ mcrdlm4p1_ca_w_2_400_s_0_360 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_0_360 = 1.22e-10 mcrdlm4p1_cf_w_2_400_s_0_360 = 2.55e-12 ++ mcrdlm4p1_ca_w_2_400_s_0_450 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_0_450 = 1.11e-10 mcrdlm4p1_cf_w_2_400_s_0_450 = 3.17e-12 ++ mcrdlm4p1_ca_w_2_400_s_0_600 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_0_600 = 9.61e-11 mcrdlm4p1_cf_w_2_400_s_0_600 = 4.18e-12 ++ mcrdlm4p1_ca_w_2_400_s_0_800 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_0_800 = 8.09e-11 mcrdlm4p1_cf_w_2_400_s_0_800 = 5.52e-12 ++ mcrdlm4p1_ca_w_2_400_s_1_000 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_1_000 = 6.97e-11 mcrdlm4p1_cf_w_2_400_s_1_000 = 6.83e-12 ++ mcrdlm4p1_ca_w_2_400_s_1_200 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_1_200 = 6.13e-11 mcrdlm4p1_cf_w_2_400_s_1_200 = 8.11e-12 ++ mcrdlm4p1_ca_w_2_400_s_2_100 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_2_100 = 3.94e-11 mcrdlm4p1_cf_w_2_400_s_2_100 = 1.34e-11 ++ mcrdlm4p1_ca_w_2_400_s_3_300 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_3_300 = 2.52e-11 mcrdlm4p1_cf_w_2_400_s_3_300 = 1.94e-11 ++ mcrdlm4p1_ca_w_2_400_s_9_000 = 1.41e-05 mcrdlm4p1_cc_w_2_400_s_9_000 = 4.65e-12 mcrdlm4p1_cf_w_2_400_s_9_000 = 3.39e-11 ++ mcrdlm4l1_ca_w_0_300_s_0_300 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_0_300 = 1.06e-10 mcrdlm4l1_cf_w_0_300_s_0_300 = 2.32e-12 ++ mcrdlm4l1_ca_w_0_300_s_0_360 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_0_360 = 9.92e-11 mcrdlm4l1_cf_w_0_300_s_0_360 = 2.78e-12 ++ mcrdlm4l1_ca_w_0_300_s_0_450 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_0_450 = 8.98e-11 mcrdlm4l1_cf_w_0_300_s_0_450 = 3.49e-12 ++ mcrdlm4l1_ca_w_0_300_s_0_600 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_0_600 = 7.71e-11 mcrdlm4l1_cf_w_0_300_s_0_600 = 4.67e-12 ++ mcrdlm4l1_ca_w_0_300_s_0_800 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_0_800 = 6.47e-11 mcrdlm4l1_cf_w_0_300_s_0_800 = 6.04e-12 ++ mcrdlm4l1_ca_w_0_300_s_1_000 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_1_000 = 5.55e-11 mcrdlm4l1_cf_w_0_300_s_1_000 = 7.46e-12 ++ mcrdlm4l1_ca_w_0_300_s_1_200 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_1_200 = 4.86e-11 mcrdlm4l1_cf_w_0_300_s_1_200 = 8.84e-12 ++ mcrdlm4l1_ca_w_0_300_s_2_100 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_2_100 = 3.04e-11 mcrdlm4l1_cf_w_0_300_s_2_100 = 1.46e-11 ++ mcrdlm4l1_ca_w_0_300_s_3_300 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_3_300 = 1.91e-11 mcrdlm4l1_cf_w_0_300_s_3_300 = 2.03e-11 ++ mcrdlm4l1_ca_w_0_300_s_9_000 = 1.57e-05 mcrdlm4l1_cc_w_0_300_s_9_000 = 3.08e-12 mcrdlm4l1_cf_w_0_300_s_9_000 = 3.25e-11 ++ mcrdlm4l1_ca_w_2_400_s_0_300 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_0_300 = 1.29e-10 mcrdlm4l1_cf_w_2_400_s_0_300 = 2.34e-12 ++ mcrdlm4l1_ca_w_2_400_s_0_360 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_0_360 = 1.21e-10 mcrdlm4l1_cf_w_2_400_s_0_360 = 2.80e-12 ++ mcrdlm4l1_ca_w_2_400_s_0_450 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_0_450 = 1.10e-10 mcrdlm4l1_cf_w_2_400_s_0_450 = 3.49e-12 ++ mcrdlm4l1_ca_w_2_400_s_0_600 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_0_600 = 9.46e-11 mcrdlm4l1_cf_w_2_400_s_0_600 = 4.61e-12 ++ mcrdlm4l1_ca_w_2_400_s_0_800 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_0_800 = 7.96e-11 mcrdlm4l1_cf_w_2_400_s_0_800 = 6.08e-12 ++ mcrdlm4l1_ca_w_2_400_s_1_000 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_1_000 = 6.84e-11 mcrdlm4l1_cf_w_2_400_s_1_000 = 7.53e-12 ++ mcrdlm4l1_ca_w_2_400_s_1_200 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_1_200 = 5.98e-11 mcrdlm4l1_cf_w_2_400_s_1_200 = 8.92e-12 ++ mcrdlm4l1_ca_w_2_400_s_2_100 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_2_100 = 3.80e-11 mcrdlm4l1_cf_w_2_400_s_2_100 = 1.47e-11 ++ mcrdlm4l1_ca_w_2_400_s_3_300 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_3_300 = 2.39e-11 mcrdlm4l1_cf_w_2_400_s_3_300 = 2.11e-11 ++ mcrdlm4l1_ca_w_2_400_s_9_000 = 1.57e-05 mcrdlm4l1_cc_w_2_400_s_9_000 = 4.05e-12 mcrdlm4l1_cf_w_2_400_s_9_000 = 3.55e-11 ++ mcrdlm4m1_ca_w_0_300_s_0_300 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_0_300 = 1.06e-10 mcrdlm4m1_cf_w_0_300_s_0_300 = 2.81e-12 ++ mcrdlm4m1_ca_w_0_300_s_0_360 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_0_360 = 9.83e-11 mcrdlm4m1_cf_w_0_300_s_0_360 = 3.36e-12 ++ mcrdlm4m1_ca_w_0_300_s_0_450 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_0_450 = 8.89e-11 mcrdlm4m1_cf_w_0_300_s_0_450 = 4.22e-12 ++ mcrdlm4m1_ca_w_0_300_s_0_600 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_0_600 = 7.61e-11 mcrdlm4m1_cf_w_0_300_s_0_600 = 5.60e-12 ++ mcrdlm4m1_ca_w_0_300_s_0_800 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_0_800 = 6.34e-11 mcrdlm4m1_cf_w_0_300_s_0_800 = 7.26e-12 ++ mcrdlm4m1_ca_w_0_300_s_1_000 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_1_000 = 5.41e-11 mcrdlm4m1_cf_w_0_300_s_1_000 = 8.94e-12 ++ mcrdlm4m1_ca_w_0_300_s_1_200 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_1_200 = 4.69e-11 mcrdlm4m1_cf_w_0_300_s_1_200 = 1.06e-11 ++ mcrdlm4m1_ca_w_0_300_s_2_100 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_2_100 = 2.85e-11 mcrdlm4m1_cf_w_0_300_s_2_100 = 1.72e-11 ++ mcrdlm4m1_ca_w_0_300_s_3_300 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_3_300 = 1.72e-11 mcrdlm4m1_cf_w_0_300_s_3_300 = 2.35e-11 ++ mcrdlm4m1_ca_w_0_300_s_9_000 = 1.91e-05 mcrdlm4m1_cc_w_0_300_s_9_000 = 2.40e-12 mcrdlm4m1_cf_w_0_300_s_9_000 = 3.53e-11 ++ mcrdlm4m1_ca_w_2_400_s_0_300 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_0_300 = 1.27e-10 mcrdlm4m1_cf_w_2_400_s_0_300 = 2.83e-12 ++ mcrdlm4m1_ca_w_2_400_s_0_360 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_0_360 = 1.19e-10 mcrdlm4m1_cf_w_2_400_s_0_360 = 3.38e-12 ++ mcrdlm4m1_ca_w_2_400_s_0_450 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_0_450 = 1.07e-10 mcrdlm4m1_cf_w_2_400_s_0_450 = 4.20e-12 ++ mcrdlm4m1_ca_w_2_400_s_0_600 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_0_600 = 9.22e-11 mcrdlm4m1_cf_w_2_400_s_0_600 = 5.55e-12 ++ mcrdlm4m1_ca_w_2_400_s_0_800 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_0_800 = 7.71e-11 mcrdlm4m1_cf_w_2_400_s_0_800 = 7.30e-12 ++ mcrdlm4m1_ca_w_2_400_s_1_000 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_1_000 = 6.58e-11 mcrdlm4m1_cf_w_2_400_s_1_000 = 9.03e-12 ++ mcrdlm4m1_ca_w_2_400_s_1_200 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_1_200 = 5.74e-11 mcrdlm4m1_cf_w_2_400_s_1_200 = 1.07e-11 ++ mcrdlm4m1_ca_w_2_400_s_2_100 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_2_100 = 3.55e-11 mcrdlm4m1_cf_w_2_400_s_2_100 = 1.73e-11 ++ mcrdlm4m1_ca_w_2_400_s_3_300 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_3_300 = 2.16e-11 mcrdlm4m1_cf_w_2_400_s_3_300 = 2.43e-11 ++ mcrdlm4m1_ca_w_2_400_s_9_000 = 1.91e-05 mcrdlm4m1_cc_w_2_400_s_9_000 = 3.19e-12 mcrdlm4m1_cf_w_2_400_s_9_000 = 3.85e-11 ++ mcrdlm4m2_ca_w_0_300_s_0_300 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_0_300 = 1.04e-10 mcrdlm4m2_cf_w_0_300_s_0_300 = 3.63e-12 ++ mcrdlm4m2_ca_w_0_300_s_0_360 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_0_360 = 9.66e-11 mcrdlm4m2_cf_w_0_300_s_0_360 = 4.34e-12 ++ mcrdlm4m2_ca_w_0_300_s_0_450 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_0_450 = 8.72e-11 mcrdlm4m2_cf_w_0_300_s_0_450 = 5.42e-12 ++ mcrdlm4m2_ca_w_0_300_s_0_600 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_0_600 = 7.42e-11 mcrdlm4m2_cf_w_0_300_s_0_600 = 7.17e-12 ++ mcrdlm4m2_ca_w_0_300_s_0_800 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_0_800 = 6.13e-11 mcrdlm4m2_cf_w_0_300_s_0_800 = 9.27e-12 ++ mcrdlm4m2_ca_w_0_300_s_1_000 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_1_000 = 5.18e-11 mcrdlm4m2_cf_w_0_300_s_1_000 = 1.14e-11 ++ mcrdlm4m2_ca_w_0_300_s_1_200 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_1_200 = 4.45e-11 mcrdlm4m2_cf_w_0_300_s_1_200 = 1.33e-11 ++ mcrdlm4m2_ca_w_0_300_s_2_100 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_2_100 = 2.60e-11 mcrdlm4m2_cf_w_0_300_s_2_100 = 2.12e-11 ++ mcrdlm4m2_ca_w_0_300_s_3_300 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_3_300 = 1.48e-11 mcrdlm4m2_cf_w_0_300_s_3_300 = 2.81e-11 ++ mcrdlm4m2_ca_w_0_300_s_9_000 = 2.49e-05 mcrdlm4m2_cc_w_0_300_s_9_000 = 1.71e-12 mcrdlm4m2_cf_w_0_300_s_9_000 = 3.91e-11 ++ mcrdlm4m2_ca_w_2_400_s_0_300 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_0_300 = 1.24e-10 mcrdlm4m2_cf_w_2_400_s_0_300 = 3.65e-12 ++ mcrdlm4m2_ca_w_2_400_s_0_360 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_0_360 = 1.15e-10 mcrdlm4m2_cf_w_2_400_s_0_360 = 4.36e-12 ++ mcrdlm4m2_ca_w_2_400_s_0_450 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_0_450 = 1.04e-10 mcrdlm4m2_cf_w_2_400_s_0_450 = 5.41e-12 ++ mcrdlm4m2_ca_w_2_400_s_0_600 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_0_600 = 8.88e-11 mcrdlm4m2_cf_w_2_400_s_0_600 = 7.12e-12 ++ mcrdlm4m2_ca_w_2_400_s_0_800 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_0_800 = 7.37e-11 mcrdlm4m2_cf_w_2_400_s_0_800 = 9.33e-12 ++ mcrdlm4m2_ca_w_2_400_s_1_000 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_1_000 = 6.26e-11 mcrdlm4m2_cf_w_2_400_s_1_000 = 1.15e-11 ++ mcrdlm4m2_ca_w_2_400_s_1_200 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_1_200 = 5.40e-11 mcrdlm4m2_cf_w_2_400_s_1_200 = 1.35e-11 ++ mcrdlm4m2_ca_w_2_400_s_2_100 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_2_100 = 3.24e-11 mcrdlm4m2_cf_w_2_400_s_2_100 = 2.14e-11 ++ mcrdlm4m2_ca_w_2_400_s_3_300 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_3_300 = 1.89e-11 mcrdlm4m2_cf_w_2_400_s_3_300 = 2.91e-11 ++ mcrdlm4m2_ca_w_2_400_s_9_000 = 2.49e-05 mcrdlm4m2_cc_w_2_400_s_9_000 = 2.37e-12 mcrdlm4m2_cf_w_2_400_s_9_000 = 4.26e-11 ++ mcrdlm4m3_ca_w_0_300_s_0_300 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_0_300 = 9.29e-11 mcrdlm4m3_cf_w_0_300_s_0_300 = 1.24e-11 ++ mcrdlm4m3_ca_w_0_300_s_0_360 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_0_360 = 8.49e-11 mcrdlm4m3_cf_w_0_300_s_0_360 = 1.46e-11 ++ mcrdlm4m3_ca_w_0_300_s_0_450 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_0_450 = 7.50e-11 mcrdlm4m3_cf_w_0_300_s_0_450 = 1.77e-11 ++ mcrdlm4m3_ca_w_0_300_s_0_600 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_0_600 = 6.16e-11 mcrdlm4m3_cf_w_0_300_s_0_600 = 2.23e-11 ++ mcrdlm4m3_ca_w_0_300_s_0_800 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_0_800 = 4.89e-11 mcrdlm4m3_cf_w_0_300_s_0_800 = 2.74e-11 ++ mcrdlm4m3_ca_w_0_300_s_1_000 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_1_000 = 3.94e-11 mcrdlm4m3_cf_w_0_300_s_1_000 = 3.19e-11 ++ mcrdlm4m3_ca_w_0_300_s_1_200 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_1_200 = 3.23e-11 mcrdlm4m3_cf_w_0_300_s_1_200 = 3.56e-11 ++ mcrdlm4m3_ca_w_0_300_s_2_100 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_2_100 = 1.56e-11 mcrdlm4m3_cf_w_0_300_s_2_100 = 4.70e-11 ++ mcrdlm4m3_ca_w_0_300_s_3_300 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_3_300 = 7.52e-12 mcrdlm4m3_cf_w_0_300_s_3_300 = 5.39e-11 ++ mcrdlm4m3_ca_w_0_300_s_9_000 = 9.25e-05 mcrdlm4m3_cc_w_0_300_s_9_000 = 5.95e-13 mcrdlm4m3_cf_w_0_300_s_9_000 = 6.04e-11 ++ mcrdlm4m3_ca_w_2_400_s_0_300 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_0_300 = 1.09e-10 mcrdlm4m3_cf_w_2_400_s_0_300 = 1.24e-11 ++ mcrdlm4m3_ca_w_2_400_s_0_360 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_0_360 = 9.95e-11 mcrdlm4m3_cf_w_2_400_s_0_360 = 1.46e-11 ++ mcrdlm4m3_ca_w_2_400_s_0_450 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_0_450 = 8.85e-11 mcrdlm4m3_cf_w_2_400_s_0_450 = 1.77e-11 ++ mcrdlm4m3_ca_w_2_400_s_0_600 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_0_600 = 7.37e-11 mcrdlm4m3_cf_w_2_400_s_0_600 = 2.23e-11 ++ mcrdlm4m3_ca_w_2_400_s_0_800 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_0_800 = 5.92e-11 mcrdlm4m3_cf_w_2_400_s_0_800 = 2.75e-11 ++ mcrdlm4m3_ca_w_2_400_s_1_000 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_1_000 = 4.84e-11 mcrdlm4m3_cf_w_2_400_s_1_000 = 3.20e-11 ++ mcrdlm4m3_ca_w_2_400_s_1_200 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_1_200 = 4.06e-11 mcrdlm4m3_cf_w_2_400_s_1_200 = 3.58e-11 ++ mcrdlm4m3_ca_w_2_400_s_2_100 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_2_100 = 2.16e-11 mcrdlm4m3_cf_w_2_400_s_2_100 = 4.75e-11 ++ mcrdlm4m3_ca_w_2_400_s_3_300 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_3_300 = 1.11e-11 mcrdlm4m3_cf_w_2_400_s_3_300 = 5.59e-11 ++ mcrdlm4m3_ca_w_2_400_s_9_000 = 9.25e-05 mcrdlm4m3_cc_w_2_400_s_9_000 = 9.05e-13 mcrdlm4m3_cf_w_2_400_s_9_000 = 6.53e-11 ++ mcrdlm5f_ca_w_1_600_s_1_600 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_1_600 = 6.69e-11 mcrdlm5f_cf_w_1_600_s_1_600 = 9.13e-12 ++ mcrdlm5f_ca_w_1_600_s_1_700 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_1_700 = 6.30e-11 mcrdlm5f_cf_w_1_600_s_1_700 = 9.67e-12 ++ mcrdlm5f_ca_w_1_600_s_1_900 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_1_900 = 5.65e-11 mcrdlm5f_cf_w_1_600_s_1_900 = 1.07e-11 ++ mcrdlm5f_ca_w_1_600_s_2_000 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_2_000 = 5.37e-11 mcrdlm5f_cf_w_1_600_s_2_000 = 1.12e-11 ++ mcrdlm5f_ca_w_1_600_s_2_400 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_2_400 = 4.48e-11 mcrdlm5f_cf_w_1_600_s_2_400 = 1.33e-11 ++ mcrdlm5f_ca_w_1_600_s_2_800 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_2_800 = 3.82e-11 mcrdlm5f_cf_w_1_600_s_2_800 = 1.52e-11 ++ mcrdlm5f_ca_w_1_600_s_3_200 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_3_200 = 3.30e-11 mcrdlm5f_cf_w_1_600_s_3_200 = 1.70e-11 ++ mcrdlm5f_ca_w_1_600_s_4_800 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_4_800 = 1.99e-11 mcrdlm5f_cf_w_1_600_s_4_800 = 2.33e-11 ++ mcrdlm5f_ca_w_1_600_s_10_000 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_10_000 = 4.80e-12 mcrdlm5f_cf_w_1_600_s_10_000 = 3.43e-11 ++ mcrdlm5f_ca_w_1_600_s_12_000 = 1.20e-05 mcrdlm5f_cc_w_1_600_s_12_000 = 2.83e-12 mcrdlm5f_cf_w_1_600_s_12_000 = 3.61e-11 ++ mcrdlm5f_ca_w_4_000_s_1_600 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_1_600 = 6.99e-11 mcrdlm5f_cf_w_4_000_s_1_600 = 9.13e-12 ++ mcrdlm5f_ca_w_4_000_s_1_700 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_1_700 = 6.59e-11 mcrdlm5f_cf_w_4_000_s_1_700 = 9.67e-12 ++ mcrdlm5f_ca_w_4_000_s_1_900 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_1_900 = 5.91e-11 mcrdlm5f_cf_w_4_000_s_1_900 = 1.07e-11 ++ mcrdlm5f_ca_w_4_000_s_2_000 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_2_000 = 5.62e-11 mcrdlm5f_cf_w_4_000_s_2_000 = 1.13e-11 ++ mcrdlm5f_ca_w_4_000_s_2_400 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_2_400 = 4.69e-11 mcrdlm5f_cf_w_4_000_s_2_400 = 1.33e-11 ++ mcrdlm5f_ca_w_4_000_s_2_800 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_2_800 = 4.00e-11 mcrdlm5f_cf_w_4_000_s_2_800 = 1.52e-11 ++ mcrdlm5f_ca_w_4_000_s_3_200 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_3_200 = 3.47e-11 mcrdlm5f_cf_w_4_000_s_3_200 = 1.71e-11 ++ mcrdlm5f_ca_w_4_000_s_4_800 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_4_800 = 2.09e-11 mcrdlm5f_cf_w_4_000_s_4_800 = 2.35e-11 ++ mcrdlm5f_ca_w_4_000_s_10_000 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_10_000 = 5.08e-12 mcrdlm5f_cf_w_4_000_s_10_000 = 3.49e-11 ++ mcrdlm5f_ca_w_4_000_s_12_000 = 1.20e-05 mcrdlm5f_cc_w_4_000_s_12_000 = 3.03e-12 mcrdlm5f_cf_w_4_000_s_12_000 = 3.68e-11 ++ mcrdlm5d_ca_w_1_600_s_1_600 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_1_600 = 6.64e-11 mcrdlm5d_cf_w_1_600_s_1_600 = 9.43e-12 ++ mcrdlm5d_ca_w_1_600_s_1_700 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_1_700 = 6.25e-11 mcrdlm5d_cf_w_1_600_s_1_700 = 9.98e-12 ++ mcrdlm5d_ca_w_1_600_s_1_900 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_1_900 = 5.60e-11 mcrdlm5d_cf_w_1_600_s_1_900 = 1.11e-11 ++ mcrdlm5d_ca_w_1_600_s_2_000 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_2_000 = 5.32e-11 mcrdlm5d_cf_w_1_600_s_2_000 = 1.16e-11 ++ mcrdlm5d_ca_w_1_600_s_2_400 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_2_400 = 4.42e-11 mcrdlm5d_cf_w_1_600_s_2_400 = 1.37e-11 ++ mcrdlm5d_ca_w_1_600_s_2_800 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_2_800 = 3.77e-11 mcrdlm5d_cf_w_1_600_s_2_800 = 1.57e-11 ++ mcrdlm5d_ca_w_1_600_s_3_200 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_3_200 = 3.25e-11 mcrdlm5d_cf_w_1_600_s_3_200 = 1.76e-11 ++ mcrdlm5d_ca_w_1_600_s_4_800 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_4_800 = 1.94e-11 mcrdlm5d_cf_w_1_600_s_4_800 = 2.40e-11 ++ mcrdlm5d_ca_w_1_600_s_10_000 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_10_000 = 4.51e-12 mcrdlm5d_cf_w_1_600_s_10_000 = 3.49e-11 ++ mcrdlm5d_ca_w_1_600_s_12_000 = 1.24e-05 mcrdlm5d_cc_w_1_600_s_12_000 = 2.62e-12 mcrdlm5d_cf_w_1_600_s_12_000 = 3.66e-11 ++ mcrdlm5d_ca_w_4_000_s_1_600 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_1_600 = 6.93e-11 mcrdlm5d_cf_w_4_000_s_1_600 = 9.42e-12 ++ mcrdlm5d_ca_w_4_000_s_1_700 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_1_700 = 6.54e-11 mcrdlm5d_cf_w_4_000_s_1_700 = 9.98e-12 ++ mcrdlm5d_ca_w_4_000_s_1_900 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_1_900 = 5.86e-11 mcrdlm5d_cf_w_4_000_s_1_900 = 1.11e-11 ++ mcrdlm5d_ca_w_4_000_s_2_000 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_2_000 = 5.57e-11 mcrdlm5d_cf_w_4_000_s_2_000 = 1.16e-11 ++ mcrdlm5d_ca_w_4_000_s_2_400 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_2_400 = 4.63e-11 mcrdlm5d_cf_w_4_000_s_2_400 = 1.37e-11 ++ mcrdlm5d_ca_w_4_000_s_2_800 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_2_800 = 3.94e-11 mcrdlm5d_cf_w_4_000_s_2_800 = 1.57e-11 ++ mcrdlm5d_ca_w_4_000_s_3_200 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_3_200 = 3.40e-11 mcrdlm5d_cf_w_4_000_s_3_200 = 1.76e-11 ++ mcrdlm5d_ca_w_4_000_s_4_800 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_4_800 = 2.03e-11 mcrdlm5d_cf_w_4_000_s_4_800 = 2.41e-11 ++ mcrdlm5d_ca_w_4_000_s_10_000 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_10_000 = 4.75e-12 mcrdlm5d_cf_w_4_000_s_10_000 = 3.55e-11 ++ mcrdlm5d_ca_w_4_000_s_12_000 = 1.24e-05 mcrdlm5d_cc_w_4_000_s_12_000 = 2.79e-12 mcrdlm5d_cf_w_4_000_s_12_000 = 3.73e-11 ++ mcrdlm5p1_ca_w_1_600_s_1_600 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_1_600 = 6.60e-11 mcrdlm5p1_cf_w_1_600_s_1_600 = 9.71e-12 ++ mcrdlm5p1_ca_w_1_600_s_1_700 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_1_700 = 6.21e-11 mcrdlm5p1_cf_w_1_600_s_1_700 = 1.03e-11 ++ mcrdlm5p1_ca_w_1_600_s_1_900 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_1_900 = 5.57e-11 mcrdlm5p1_cf_w_1_600_s_1_900 = 1.14e-11 ++ mcrdlm5p1_ca_w_1_600_s_2_000 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_2_000 = 5.29e-11 mcrdlm5p1_cf_w_1_600_s_2_000 = 1.19e-11 ++ mcrdlm5p1_ca_w_1_600_s_2_400 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_2_400 = 4.38e-11 mcrdlm5p1_cf_w_1_600_s_2_400 = 1.41e-11 ++ mcrdlm5p1_ca_w_1_600_s_2_800 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_2_800 = 3.73e-11 mcrdlm5p1_cf_w_1_600_s_2_800 = 1.61e-11 ++ mcrdlm5p1_ca_w_1_600_s_3_200 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_3_200 = 3.21e-11 mcrdlm5p1_cf_w_1_600_s_3_200 = 1.81e-11 ++ mcrdlm5p1_ca_w_1_600_s_4_800 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_4_800 = 1.90e-11 mcrdlm5p1_cf_w_1_600_s_4_800 = 2.46e-11 ++ mcrdlm5p1_ca_w_1_600_s_10_000 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_10_000 = 4.25e-12 mcrdlm5p1_cf_w_1_600_s_10_000 = 3.55e-11 ++ mcrdlm5p1_ca_w_1_600_s_12_000 = 1.27e-05 mcrdlm5p1_cc_w_1_600_s_12_000 = 2.44e-12 mcrdlm5p1_cf_w_1_600_s_12_000 = 3.72e-11 ++ mcrdlm5p1_ca_w_4_000_s_1_600 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_1_600 = 6.88e-11 mcrdlm5p1_cf_w_4_000_s_1_600 = 9.71e-12 ++ mcrdlm5p1_ca_w_4_000_s_1_700 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_1_700 = 6.47e-11 mcrdlm5p1_cf_w_4_000_s_1_700 = 1.03e-11 ++ mcrdlm5p1_ca_w_4_000_s_1_900 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_1_900 = 5.80e-11 mcrdlm5p1_cf_w_4_000_s_1_900 = 1.14e-11 ++ mcrdlm5p1_ca_w_4_000_s_2_000 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_2_000 = 5.51e-11 mcrdlm5p1_cf_w_4_000_s_2_000 = 1.20e-11 ++ mcrdlm5p1_ca_w_4_000_s_2_400 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_2_400 = 4.59e-11 mcrdlm5p1_cf_w_4_000_s_2_400 = 1.41e-11 ++ mcrdlm5p1_ca_w_4_000_s_2_800 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_2_800 = 3.89e-11 mcrdlm5p1_cf_w_4_000_s_2_800 = 1.62e-11 ++ mcrdlm5p1_ca_w_4_000_s_3_200 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_3_200 = 3.35e-11 mcrdlm5p1_cf_w_4_000_s_3_200 = 1.81e-11 ++ mcrdlm5p1_ca_w_4_000_s_4_800 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_4_800 = 1.98e-11 mcrdlm5p1_cf_w_4_000_s_4_800 = 2.47e-11 ++ mcrdlm5p1_ca_w_4_000_s_10_000 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_10_000 = 4.47e-12 mcrdlm5p1_cf_w_4_000_s_10_000 = 3.61e-11 ++ mcrdlm5p1_ca_w_4_000_s_12_000 = 1.27e-05 mcrdlm5p1_cc_w_4_000_s_12_000 = 2.57e-12 mcrdlm5p1_cf_w_4_000_s_12_000 = 3.79e-11 ++ mcrdlm5l1_ca_w_1_600_s_1_600 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_1_600 = 6.52e-11 mcrdlm5l1_cf_w_1_600_s_1_600 = 1.03e-11 ++ mcrdlm5l1_ca_w_1_600_s_1_700 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_1_700 = 6.13e-11 mcrdlm5l1_cf_w_1_600_s_1_700 = 1.09e-11 ++ mcrdlm5l1_ca_w_1_600_s_1_900 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_1_900 = 5.49e-11 mcrdlm5l1_cf_w_1_600_s_1_900 = 1.20e-11 ++ mcrdlm5l1_ca_w_1_600_s_2_000 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_2_000 = 5.21e-11 mcrdlm5l1_cf_w_1_600_s_2_000 = 1.26e-11 ++ mcrdlm5l1_ca_w_1_600_s_2_400 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_2_400 = 4.30e-11 mcrdlm5l1_cf_w_1_600_s_2_400 = 1.49e-11 ++ mcrdlm5l1_ca_w_1_600_s_2_800 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_2_800 = 3.64e-11 mcrdlm5l1_cf_w_1_600_s_2_800 = 1.70e-11 ++ mcrdlm5l1_ca_w_1_600_s_3_200 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_3_200 = 3.12e-11 mcrdlm5l1_cf_w_1_600_s_3_200 = 1.90e-11 ++ mcrdlm5l1_ca_w_1_600_s_4_800 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_4_800 = 1.81e-11 mcrdlm5l1_cf_w_1_600_s_4_800 = 2.58e-11 ++ mcrdlm5l1_ca_w_1_600_s_10_000 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_10_000 = 3.79e-12 mcrdlm5l1_cf_w_1_600_s_10_000 = 3.66e-11 ++ mcrdlm5l1_ca_w_1_600_s_12_000 = 1.35e-05 mcrdlm5l1_cc_w_1_600_s_12_000 = 2.14e-12 mcrdlm5l1_cf_w_1_600_s_12_000 = 3.82e-11 ++ mcrdlm5l1_ca_w_4_000_s_1_600 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_1_600 = 6.77e-11 mcrdlm5l1_cf_w_4_000_s_1_600 = 1.03e-11 ++ mcrdlm5l1_ca_w_4_000_s_1_700 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_1_700 = 6.37e-11 mcrdlm5l1_cf_w_4_000_s_1_700 = 1.09e-11 ++ mcrdlm5l1_ca_w_4_000_s_1_900 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_1_900 = 5.70e-11 mcrdlm5l1_cf_w_4_000_s_1_900 = 1.21e-11 ++ mcrdlm5l1_ca_w_4_000_s_2_000 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_2_000 = 5.41e-11 mcrdlm5l1_cf_w_4_000_s_2_000 = 1.26e-11 ++ mcrdlm5l1_ca_w_4_000_s_2_400 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_2_400 = 4.48e-11 mcrdlm5l1_cf_w_4_000_s_2_400 = 1.49e-11 ++ mcrdlm5l1_ca_w_4_000_s_2_800 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_2_800 = 3.78e-11 mcrdlm5l1_cf_w_4_000_s_2_800 = 1.70e-11 ++ mcrdlm5l1_ca_w_4_000_s_3_200 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_3_200 = 3.25e-11 mcrdlm5l1_cf_w_4_000_s_3_200 = 1.91e-11 ++ mcrdlm5l1_ca_w_4_000_s_4_800 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_4_800 = 1.89e-11 mcrdlm5l1_cf_w_4_000_s_4_800 = 2.59e-11 ++ mcrdlm5l1_ca_w_4_000_s_10_000 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_10_000 = 4.01e-12 mcrdlm5l1_cf_w_4_000_s_10_000 = 3.72e-11 ++ mcrdlm5l1_ca_w_4_000_s_12_000 = 1.35e-05 mcrdlm5l1_cc_w_4_000_s_12_000 = 2.28e-12 mcrdlm5l1_cf_w_4_000_s_12_000 = 3.89e-11 ++ mcrdlm5m1_ca_w_1_600_s_1_600 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_1_600 = 6.39e-11 mcrdlm5m1_cf_w_1_600_s_1_600 = 1.13e-11 ++ mcrdlm5m1_ca_w_1_600_s_1_700 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_1_700 = 5.99e-11 mcrdlm5m1_cf_w_1_600_s_1_700 = 1.20e-11 ++ mcrdlm5m1_ca_w_1_600_s_1_900 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_1_900 = 5.35e-11 mcrdlm5m1_cf_w_1_600_s_1_900 = 1.33e-11 ++ mcrdlm5m1_ca_w_1_600_s_2_000 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_2_000 = 5.06e-11 mcrdlm5m1_cf_w_1_600_s_2_000 = 1.39e-11 ++ mcrdlm5m1_ca_w_1_600_s_2_400 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_2_400 = 4.15e-11 mcrdlm5m1_cf_w_1_600_s_2_400 = 1.63e-11 ++ mcrdlm5m1_ca_w_1_600_s_2_800 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_2_800 = 3.49e-11 mcrdlm5m1_cf_w_1_600_s_2_800 = 1.86e-11 ++ mcrdlm5m1_ca_w_1_600_s_3_200 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_3_200 = 2.97e-11 mcrdlm5m1_cf_w_1_600_s_3_200 = 2.08e-11 ++ mcrdlm5m1_ca_w_1_600_s_4_800 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_4_800 = 1.67e-11 mcrdlm5m1_cf_w_1_600_s_4_800 = 2.79e-11 ++ mcrdlm5m1_ca_w_1_600_s_10_000 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_10_000 = 3.12e-12 mcrdlm5m1_cf_w_1_600_s_10_000 = 3.85e-11 ++ mcrdlm5m1_ca_w_1_600_s_12_000 = 1.50e-05 mcrdlm5m1_cc_w_1_600_s_12_000 = 1.66e-12 mcrdlm5m1_cf_w_1_600_s_12_000 = 4.00e-11 ++ mcrdlm5m1_ca_w_4_000_s_1_600 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_1_600 = 6.60e-11 mcrdlm5m1_cf_w_4_000_s_1_600 = 1.13e-11 ++ mcrdlm5m1_ca_w_4_000_s_1_700 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_1_700 = 6.21e-11 mcrdlm5m1_cf_w_4_000_s_1_700 = 1.20e-11 ++ mcrdlm5m1_ca_w_4_000_s_1_900 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_1_900 = 5.53e-11 mcrdlm5m1_cf_w_4_000_s_1_900 = 1.33e-11 ++ mcrdlm5m1_ca_w_4_000_s_2_000 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_2_000 = 5.24e-11 mcrdlm5m1_cf_w_4_000_s_2_000 = 1.39e-11 ++ mcrdlm5m1_ca_w_4_000_s_2_400 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_2_400 = 4.32e-11 mcrdlm5m1_cf_w_4_000_s_2_400 = 1.64e-11 ++ mcrdlm5m1_ca_w_4_000_s_2_800 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_2_800 = 3.61e-11 mcrdlm5m1_cf_w_4_000_s_2_800 = 1.87e-11 ++ mcrdlm5m1_ca_w_4_000_s_3_200 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_3_200 = 3.07e-11 mcrdlm5m1_cf_w_4_000_s_3_200 = 2.09e-11 ++ mcrdlm5m1_ca_w_4_000_s_4_800 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_4_800 = 1.74e-11 mcrdlm5m1_cf_w_4_000_s_4_800 = 2.81e-11 ++ mcrdlm5m1_ca_w_4_000_s_10_000 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_10_000 = 3.30e-12 mcrdlm5m1_cf_w_4_000_s_10_000 = 3.91e-11 ++ mcrdlm5m1_ca_w_4_000_s_12_000 = 1.50e-05 mcrdlm5m1_cc_w_4_000_s_12_000 = 1.76e-12 mcrdlm5m1_cf_w_4_000_s_12_000 = 4.06e-11 ++ mcrdlm5m2_ca_w_1_600_s_1_600 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_1_600 = 6.22e-11 mcrdlm5m2_cf_w_1_600_s_1_600 = 1.27e-11 ++ mcrdlm5m2_ca_w_1_600_s_1_700 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_1_700 = 5.83e-11 mcrdlm5m2_cf_w_1_600_s_1_700 = 1.35e-11 ++ mcrdlm5m2_ca_w_1_600_s_1_900 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_1_900 = 5.17e-11 mcrdlm5m2_cf_w_1_600_s_1_900 = 1.49e-11 ++ mcrdlm5m2_ca_w_1_600_s_2_000 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_2_000 = 4.88e-11 mcrdlm5m2_cf_w_1_600_s_2_000 = 1.56e-11 ++ mcrdlm5m2_ca_w_1_600_s_2_400 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_2_400 = 3.98e-11 mcrdlm5m2_cf_w_1_600_s_2_400 = 1.83e-11 ++ mcrdlm5m2_ca_w_1_600_s_2_800 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_2_800 = 3.31e-11 mcrdlm5m2_cf_w_1_600_s_2_800 = 2.08e-11 ++ mcrdlm5m2_ca_w_1_600_s_3_200 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_3_200 = 2.79e-11 mcrdlm5m2_cf_w_1_600_s_3_200 = 2.31e-11 ++ mcrdlm5m2_ca_w_1_600_s_4_800 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_4_800 = 1.51e-11 mcrdlm5m2_cf_w_1_600_s_4_800 = 3.06e-11 ++ mcrdlm5m2_ca_w_1_600_s_10_000 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_10_000 = 2.48e-12 mcrdlm5m2_cf_w_1_600_s_10_000 = 4.09e-11 ++ mcrdlm5m2_ca_w_1_600_s_12_000 = 1.70e-05 mcrdlm5m2_cc_w_1_600_s_12_000 = 1.27e-12 mcrdlm5m2_cf_w_1_600_s_12_000 = 4.21e-11 ++ mcrdlm5m2_ca_w_4_000_s_1_600 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_1_600 = 6.41e-11 mcrdlm5m2_cf_w_4_000_s_1_600 = 1.27e-11 ++ mcrdlm5m2_ca_w_4_000_s_1_700 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_1_700 = 6.01e-11 mcrdlm5m2_cf_w_4_000_s_1_700 = 1.35e-11 ++ mcrdlm5m2_ca_w_4_000_s_1_900 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_1_900 = 5.33e-11 mcrdlm5m2_cf_w_4_000_s_1_900 = 1.49e-11 ++ mcrdlm5m2_ca_w_4_000_s_2_000 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_2_000 = 5.03e-11 mcrdlm5m2_cf_w_4_000_s_2_000 = 1.56e-11 ++ mcrdlm5m2_ca_w_4_000_s_2_400 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_2_400 = 4.11e-11 mcrdlm5m2_cf_w_4_000_s_2_400 = 1.83e-11 ++ mcrdlm5m2_ca_w_4_000_s_2_800 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_2_800 = 3.42e-11 mcrdlm5m2_cf_w_4_000_s_2_800 = 2.08e-11 ++ mcrdlm5m2_ca_w_4_000_s_3_200 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_3_200 = 2.89e-11 mcrdlm5m2_cf_w_4_000_s_3_200 = 2.32e-11 ++ mcrdlm5m2_ca_w_4_000_s_4_800 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_4_800 = 1.57e-11 mcrdlm5m2_cf_w_4_000_s_4_800 = 3.08e-11 ++ mcrdlm5m2_ca_w_4_000_s_10_000 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_10_000 = 2.60e-12 mcrdlm5m2_cf_w_4_000_s_10_000 = 4.14e-11 ++ mcrdlm5m2_ca_w_4_000_s_12_000 = 1.70e-05 mcrdlm5m2_cc_w_4_000_s_12_000 = 1.32e-12 mcrdlm5m2_cf_w_4_000_s_12_000 = 4.27e-11 ++ mcrdlm5m3_ca_w_1_600_s_1_600 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_1_600 = 5.67e-11 mcrdlm5m3_cf_w_1_600_s_1_600 = 1.83e-11 ++ mcrdlm5m3_ca_w_1_600_s_1_700 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_1_700 = 5.28e-11 mcrdlm5m3_cf_w_1_600_s_1_700 = 1.93e-11 ++ mcrdlm5m3_ca_w_1_600_s_1_900 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_1_900 = 4.62e-11 mcrdlm5m3_cf_w_1_600_s_1_900 = 2.12e-11 ++ mcrdlm5m3_ca_w_1_600_s_2_000 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_2_000 = 4.34e-11 mcrdlm5m3_cf_w_1_600_s_2_000 = 2.22e-11 ++ mcrdlm5m3_ca_w_1_600_s_2_400 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_2_400 = 3.44e-11 mcrdlm5m3_cf_w_1_600_s_2_400 = 2.57e-11 ++ mcrdlm5m3_ca_w_1_600_s_2_800 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_2_800 = 2.79e-11 mcrdlm5m3_cf_w_1_600_s_2_800 = 2.88e-11 ++ mcrdlm5m3_ca_w_1_600_s_3_200 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_3_200 = 2.29e-11 mcrdlm5m3_cf_w_1_600_s_3_200 = 3.16e-11 ++ mcrdlm5m3_ca_w_1_600_s_4_800 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_4_800 = 1.10e-11 mcrdlm5m3_cf_w_1_600_s_4_800 = 3.98e-11 ++ mcrdlm5m3_ca_w_1_600_s_10_000 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_10_000 = 1.26e-12 mcrdlm5m3_cf_w_1_600_s_10_000 = 4.85e-11 ++ mcrdlm5m3_ca_w_1_600_s_12_000 = 2.53e-05 mcrdlm5m3_cc_w_1_600_s_12_000 = 5.70e-13 mcrdlm5m3_cf_w_1_600_s_12_000 = 4.92e-11 ++ mcrdlm5m3_ca_w_4_000_s_1_600 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_1_600 = 5.82e-11 mcrdlm5m3_cf_w_4_000_s_1_600 = 1.83e-11 ++ mcrdlm5m3_ca_w_4_000_s_1_700 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_1_700 = 5.42e-11 mcrdlm5m3_cf_w_4_000_s_1_700 = 1.93e-11 ++ mcrdlm5m3_ca_w_4_000_s_1_900 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_1_900 = 4.75e-11 mcrdlm5m3_cf_w_4_000_s_1_900 = 2.12e-11 ++ mcrdlm5m3_ca_w_4_000_s_2_000 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_2_000 = 4.46e-11 mcrdlm5m3_cf_w_4_000_s_2_000 = 2.22e-11 ++ mcrdlm5m3_ca_w_4_000_s_2_400 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_2_400 = 3.53e-11 mcrdlm5m3_cf_w_4_000_s_2_400 = 2.57e-11 ++ mcrdlm5m3_ca_w_4_000_s_2_800 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_2_800 = 2.87e-11 mcrdlm5m3_cf_w_4_000_s_2_800 = 2.89e-11 ++ mcrdlm5m3_ca_w_4_000_s_3_200 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_3_200 = 2.36e-11 mcrdlm5m3_cf_w_4_000_s_3_200 = 3.17e-11 ++ mcrdlm5m3_ca_w_4_000_s_4_800 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_4_800 = 1.14e-11 mcrdlm5m3_cf_w_4_000_s_4_800 = 4.00e-11 ++ mcrdlm5m3_ca_w_4_000_s_10_000 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_10_000 = 1.33e-12 mcrdlm5m3_cf_w_4_000_s_10_000 = 4.90e-11 ++ mcrdlm5m3_ca_w_4_000_s_12_000 = 2.53e-05 mcrdlm5m3_cc_w_4_000_s_12_000 = 6.30e-13 mcrdlm5m3_cf_w_4_000_s_12_000 = 4.97e-11 ++ mcrdlm5m4_ca_w_1_600_s_1_600 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_1_600 = 4.40e-11 mcrdlm5m4_cf_w_1_600_s_1_600 = 4.21e-11 ++ mcrdlm5m4_ca_w_1_600_s_1_700 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_1_700 = 4.03e-11 mcrdlm5m4_cf_w_1_600_s_1_700 = 4.38e-11 ++ mcrdlm5m4_ca_w_1_600_s_1_900 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_1_900 = 3.40e-11 mcrdlm5m4_cf_w_1_600_s_1_900 = 4.70e-11 ++ mcrdlm5m4_ca_w_1_600_s_2_000 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_2_000 = 3.15e-11 mcrdlm5m4_cf_w_1_600_s_2_000 = 4.84e-11 ++ mcrdlm5m4_ca_w_1_600_s_2_400 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_2_400 = 2.33e-11 mcrdlm5m4_cf_w_1_600_s_2_400 = 5.34e-11 ++ mcrdlm5m4_ca_w_1_600_s_2_800 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_2_800 = 1.77e-11 mcrdlm5m4_cf_w_1_600_s_2_800 = 5.73e-11 ++ mcrdlm5m4_ca_w_1_600_s_3_200 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_3_200 = 1.37e-11 mcrdlm5m4_cf_w_1_600_s_3_200 = 6.03e-11 ++ mcrdlm5m4_ca_w_1_600_s_4_800 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_4_800 = 5.39e-12 mcrdlm5m4_cf_w_1_600_s_4_800 = 6.74e-11 ++ mcrdlm5m4_ca_w_1_600_s_10_000 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_10_000 = 4.30e-13 mcrdlm5m4_cf_w_1_600_s_10_000 = 7.23e-11 ++ mcrdlm5m4_ca_w_1_600_s_12_000 = 7.39e-05 mcrdlm5m4_cc_w_1_600_s_12_000 = 1.80e-13 mcrdlm5m4_cf_w_1_600_s_12_000 = 7.25e-11 ++ mcrdlm5m4_ca_w_4_000_s_1_600 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_1_600 = 4.55e-11 mcrdlm5m4_cf_w_4_000_s_1_600 = 4.22e-11 ++ mcrdlm5m4_ca_w_4_000_s_1_700 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_1_700 = 4.16e-11 mcrdlm5m4_cf_w_4_000_s_1_700 = 4.39e-11 ++ mcrdlm5m4_ca_w_4_000_s_1_900 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_1_900 = 3.53e-11 mcrdlm5m4_cf_w_4_000_s_1_900 = 4.70e-11 ++ mcrdlm5m4_ca_w_4_000_s_2_000 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_2_000 = 3.25e-11 mcrdlm5m4_cf_w_4_000_s_2_000 = 4.83e-11 ++ mcrdlm5m4_ca_w_4_000_s_2_400 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_2_400 = 2.43e-11 mcrdlm5m4_cf_w_4_000_s_2_400 = 5.33e-11 ++ mcrdlm5m4_ca_w_4_000_s_2_800 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_2_800 = 1.86e-11 mcrdlm5m4_cf_w_4_000_s_2_800 = 5.73e-11 ++ mcrdlm5m4_ca_w_4_000_s_3_200 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_3_200 = 1.44e-11 mcrdlm5m4_cf_w_4_000_s_3_200 = 6.05e-11 ++ mcrdlm5m4_ca_w_4_000_s_4_800 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_4_800 = 5.85e-12 mcrdlm5m4_cf_w_4_000_s_4_800 = 6.77e-11 ++ mcrdlm5m4_ca_w_4_000_s_10_000 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_10_000 = 4.45e-13 mcrdlm5m4_cf_w_4_000_s_10_000 = 7.29e-11 ++ mcrdlm5m4_ca_w_4_000_s_12_000 = 7.39e-05 mcrdlm5m4_cc_w_4_000_s_12_000 = 1.80e-13 mcrdlm5m4_cf_w_4_000_s_12_000 = 7.32e-11 ++ cp1f = 1.06e-04 cp1fsw = 8.64e-11 ++ cl1f = 3.69e-05 cl1fsw = 8.30e-11 ++ cl1d = 5.53e-05 cl1dsw = 8.23e-11 ++ cl1p1 = 9.41e-05 cl1p1sw = 8.13e-11 ++ cm1f = 2.58e-05 cm1fsw = 1.07e-10 ++ cm1d = 3.36e-05 cm1dsw = 1.06e-10 ++ cm1p1 = 4.48e-05 cm1p1sw = 1.06e-10 ++ cm1l1 = 1.14e-04 cm1l1sw = 1.03e-10 ++ cm2f = 1.75e-05 cm2fsw = 1.08e-10 ++ cm2d = 2.08e-05 cm2dsw = 1.07e-10 ++ cm2p1 = 2.47e-05 cm2p1sw = 1.07e-10 ++ cm2l1 = 3.70e-05 cm2l1sw = 1.06e-10 ++ cm2m1 = 1.28e-04 cm2m1sw = 1.03e-10 ++ cm3f = 1.26e-05 cm3fsw = 1.08e-10 ++ cm3d = 1.42e-05 cm3dsw = 1.09e-10 ++ cm3p1 = 1.58e-05 cm3p1sw = 1.08e-10 ++ cm3l1 = 2.02e-05 cm3l1sw = 1.08e-10 ++ cm3m1 = 3.29e-05 cm3m1sw = 1.07e-10 ++ cm3m2 = 8.22e-05 cm3m2sw = 1.05e-10 ++ cm4f = 8.67e-06 cm4fsw = 1.09e-10 ++ cm4d = 9.41e-06 cm4dsw = 1.09e-10 ++ cm4p1 = 1.01e-05 cm4p1sw = 1.09e-10 ++ cm4l1 = 1.17e-05 cm4l1sw = 1.09e-10 ++ cm4m1 = 1.51e-05 cm4m1sw = 1.08e-10 ++ cm4m2 = 2.09e-05 cm4m2sw = 1.08e-10 ++ cm4m3 = 8.85e-05 cm4m3sw = 1.05e-10 ++ cm5f = 6.48e-06 cm5fsw = 7.85e-11 ++ cm5d = 6.88e-06 cm5dsw = 7.84e-11 ++ cm5p1 = 7.26e-06 cm5p1sw = 7.82e-11 ++ cm5l1 = 8.04e-06 cm5l1sw = 7.80e-11 ++ cm5m1 = 9.50e-06 cm5m1sw = 7.77e-11 ++ cm5m2 = 1.15e-05 cm5m2sw = 7.74e-11 ++ cm5m3 = 1.99e-05 cm5m3sw = 7.76e-11 ++ cm5m4 = 6.84e-05 cm5m4sw = 8.87e-11 ++ crdlf = 2.57e-06 crdlfsw = 5.75e-11 ++ crdld = 2.63e-06 crdldsw = 5.75e-11 ++ crdlp1 = 2.68e-06 crdlp1sw = 5.74e-11 ++ crdll1 = 2.78e-06 crdll1sw = 5.73e-11 ++ crdlm1 = 2.93e-06 crdlm1sw = 5.71e-11 ++ crdlm2 = 3.10e-06 crdlm2sw = 5.70e-11 ++ crdlm3 = 3.50e-06 crdlm3sw = 5.68e-11 ++ crdlm4 = 4.00e-06 crdlm4sw = 5.66e-11 ++ crdlm5 = 5.44e-06 crdlm5sw = 5.68e-11 ++ cl1p1f = 2.00e-04 cl1p1fsw = 8.32e-11 ++ cm1p1f = 1.51e-04 cm1p1fsw = 8.45e-11 ++ cm2p1f = 1.31e-04 cm2p1fsw = 8.53e-11 ++ cm3p1f = 1.22e-04 cm3p1fsw = 8.58e-11 ++ cm4p1f = 1.16e-04 cm4p1fsw = 8.61e-11 ++ cm5p1f = 1.13e-04 cm5p1fsw = 8.61e-11 ++ crdlp1f = 1.09e-04 crdlp1fsw = 8.63e-11 ++ cm1l1f = 1.51e-04 cm1l1fsw = 7.90e-11 ++ cm1l1d = 1.69e-04 cm1l1dsw = 7.81e-11 ++ cm1l1p1 = 2.08e-04 cm1l1p1sw = 7.71e-11 ++ cm2l1f = 7.40e-05 cm2l1fsw = 8.14e-11 ++ cm2l1d = 9.23e-05 cm2l1dsw = 8.04e-11 ++ cm2l1p1 = 1.31e-04 cm2l1p1sw = 7.94e-11 ++ cm3l1f = 5.71e-05 cm3l1fsw = 8.21e-11 ++ cm3l1d = 7.54e-05 cm3l1dsw = 8.13e-11 ++ cm3l1p1 = 1.14e-04 cm3l1p1sw = 8.04e-11 ++ cm4l1f = 4.86e-05 cm4l1fsw = 8.26e-11 ++ cm4l1d = 6.70e-05 cm4l1dsw = 8.18e-11 ++ cm4l1p1 = 1.06e-04 cm4l1p1sw = 8.08e-11 ++ cm5l1f = 4.49e-05 cm5l1fsw = 8.28e-11 ++ cm5l1d = 6.33e-05 cm5l1dsw = 8.20e-11 ++ cm5l1p1 = 1.02e-04 cm5l1p1sw = 8.09e-11 ++ crdll1f = 3.97e-05 crdll1fsw = 8.30e-11 ++ crdll1d = 5.80e-05 crdll1dsw = 8.22e-11 ++ crdll1p1 = 9.69e-05 crdll1p1sw = 8.12e-11 ++ cm2m1f = 1.54e-04 cm2m1fsw = 1.02e-10 ++ cm2m1d = 1.62e-04 cm2m1dsw = 1.02e-10 ++ cm2m1p1 = 1.73e-04 cm2m1p1sw = 1.01e-10 ++ cm2m1l1 = 2.42e-04 cm2m1l1sw = 9.89e-11 ++ cm3m1f = 5.87e-05 cm3m1fsw = 1.05e-10 ++ cm3m1d = 6.65e-05 cm3m1dsw = 1.04e-10 ++ cm3m1p1 = 7.78e-05 cm3m1p1sw = 1.04e-10 ++ cm3m1l1 = 1.47e-04 cm3m1l1sw = 1.02e-10 ++ cm4m1f = 4.09e-05 cm4m1fsw = 1.07e-10 ++ cm4m1d = 4.87e-05 cm4m1dsw = 1.06e-10 ++ cm4m1p1 = 6.00e-05 cm4m1p1sw = 1.06e-10 ++ cm4m1l1 = 1.29e-04 cm4m1l1sw = 1.03e-10 ++ cm5m1f = 3.53e-05 cm5m1fsw = 1.07e-10 ++ cm5m1d = 4.31e-05 cm5m1dsw = 1.06e-10 ++ cm5m1p1 = 5.44e-05 cm5m1p1sw = 1.06e-10 ++ cm5m1l1 = 1.23e-04 cm5m1l1sw = 1.04e-10 ++ crdlm1f = 2.87e-05 crdlm1fsw = 1.07e-10 ++ crdlm1d = 3.65e-05 crdlm1dsw = 1.07e-10 ++ crdlm1p1 = 4.78e-05 crdlm1p1sw = 1.06e-10 ++ crdlm1l1 = 1.17e-04 crdlm1l1sw = 1.03e-10 ++ cm3m2f = 9.98e-05 cm3m2fsw = 1.03e-10 ++ cm3m2d = 1.03e-04 cm3m2dsw = 1.03e-10 ++ cm3m2p1 = 1.07e-04 cm3m2p1sw = 1.04e-10 ++ cm3m2l1 = 1.19e-04 cm3m2l1sw = 1.02e-10 ++ cm3m2m1 = 2.10e-04 cm3m2m1sw = 9.97e-11 ++ cm4m2f = 3.84e-05 cm4m2fsw = 1.07e-10 ++ cm4m2d = 4.17e-05 cm4m2dsw = 1.06e-10 ++ cm4m2p1 = 4.56e-05 cm4m2p1sw = 1.06e-10 ++ cm4m2l1 = 5.79e-05 cm4m2l1sw = 1.05e-10 ++ cm4m2m1 = 1.49e-04 cm4m2m1sw = 1.03e-10 ++ cm5m2f = 2.91e-05 cm5m2fsw = 1.07e-10 ++ cm5m2d = 3.23e-05 cm5m2dsw = 1.07e-10 ++ cm5m2p1 = 3.62e-05 cm5m2p1sw = 1.07e-10 ++ cm5m2l1 = 4.85e-05 cm5m2l1sw = 1.05e-10 ++ cm5m2m1 = 1.39e-04 cm5m2m1sw = 1.03e-10 ++ crdlm2f = 2.06e-05 crdlm2fsw = 1.07e-10 ++ crdlm2d = 2.39e-05 crdlm2dsw = 1.07e-10 ++ crdlm2p1 = 2.78e-05 crdlm2p1sw = 1.07e-10 ++ crdlm2l1 = 4.01e-05 crdlm2l1sw = 1.06e-10 ++ crdlm2m1 = 1.31e-04 crdlm2m1sw = 1.03e-10 ++ cm4m3f = 1.01e-04 cm4m3fsw = 1.03e-10 ++ cm4m3d = 1.03e-04 cm4m3dsw = 1.03e-10 ++ cm4m3p1 = 1.04e-04 cm4m3p1sw = 1.03e-10 ++ cm4m3l1 = 1.09e-04 cm4m3l1sw = 1.03e-10 ++ cm4m3m1 = 1.21e-04 cm4m3m1sw = 1.02e-10 ++ cm4m3m2 = 1.71e-04 cm4m3m2sw = 9.99e-11 ++ cm5m3f = 3.24e-05 cm5m3fsw = 1.06e-10 ++ cm5m3d = 3.40e-05 cm5m3dsw = 1.06e-10 ++ cm5m3p1 = 3.57e-05 cm5m3p1sw = 1.06e-10 ++ cm5m3l1 = 4.00e-05 cm5m3l1sw = 1.05e-10 ++ cm5m3m1 = 5.27e-05 cm5m3m1sw = 1.05e-10 ++ cm5m3m2 = 1.02e-04 cm5m3m2sw = 1.03e-10 ++ crdlm3f = 1.61e-05 crdlm3fsw = 1.08e-10 ++ crdlm3d = 1.77e-05 crdlm3dsw = 1.08e-10 ++ crdlm3p1 = 1.94e-05 crdlm3p1sw = 1.07e-10 ++ crdlm3l1 = 2.37e-05 crdlm3l1sw = 1.07e-10 ++ crdlm3m1 = 3.64e-05 crdlm3m1sw = 1.06e-10 ++ crdlm3m2 = 8.57e-05 crdlm3m2sw = 1.05e-10 ++ cm5m4f = 7.70e-05 cm5m4fsw = 1.04e-10 ++ cm5m4d = 7.78e-05 cm5m4dsw = 1.04e-10 ++ cm5m4p1 = 7.85e-05 cm5m4p1sw = 1.04e-10 ++ cm5m4l1 = 8.01e-05 cm5m4l1sw = 1.04e-10 ++ cm5m4m1 = 8.35e-05 cm5m4m1sw = 1.03e-10 ++ cm5m4m2 = 8.92e-05 cm5m4m2sw = 1.03e-10 ++ cm5m4m3 = 1.57e-04 cm5m4m3sw = 1.00e-10 ++ crdlm4f = 1.27e-05 crdlm4fsw = 1.09e-10 ++ crdlm4d = 1.34e-05 crdlm4dsw = 1.09e-10 ++ crdlm4p1 = 1.41e-05 crdlm4p1sw = 1.09e-10 ++ crdlm4l1 = 1.57e-05 crdlm4l1sw = 1.09e-10 ++ crdlm4m1 = 1.91e-05 crdlm4m1sw = 1.08e-10 ++ crdlm4m2 = 2.49e-05 crdlm4m2sw = 1.08e-10 ++ crdlm4m3 = 9.25e-05 crdlm4m3sw = 1.05e-10 ++ crdlm5f = 1.20e-05 crdlm5fsw = 7.60e-11 ++ crdlm5d = 1.24e-05 crdlm5dsw = 7.59e-11 ++ crdlm5p1 = 1.27e-05 crdlm5p1sw = 7.57e-11 ++ crdlm5l1 = 1.35e-05 crdlm5l1sw = 7.55e-11 ++ crdlm5m1 = 1.50e-05 crdlm5m1sw = 7.52e-11 ++ crdlm5m2 = 1.70e-05 crdlm5m2sw = 7.49e-11 ++ crdlm5m3 = 2.53e-05 crdlm5m3sw = 7.50e-11 ++ crdlm5m4 = 7.39e-05 crdlm5m4sw = 8.61e-11 + + +* SKY130 Spice File. +* P+ Poly Preres Corner Parameters +.param ++ sky130_fd_pr__res_high_po__var_mult = 0.0 ++ sky130_fd_pr__res_high_po__var = 0.0 ++ sky130_fd_pr__res_xhigh_po__var_mult = 0.0 ++ camimc= 2.00e-15 ; Units: farad/micrometer^2 ++ cpmimc = 0.19e-15 ; Units: farad/micrometer ++ cvpp_cor = 1.00 ++ cvpp3_cor = 1.00 ++ cvpp4_cor = 1.00 ++ cvpp5_cor = 1.00 ++ cm3m2_vpp = 1.00 ++ c0m5m4_vpp = 1.00 ++ c1m5m4_vpp = 1.00 ++ c0m5m4_vpp0p4shield = 1.00 ++ c1m5m4_vpp0p4shield = 1.00 ++ c0m4m3_vpp = 1.00 ++ c1m4m3_vpp = 1.00 ++ c0m5m3_vpp = 1.00 ++ c1m5m3_vpp = 1.00 ++ cpl2s_vpp = 1.00 ++ cpl2s_vpp0p4shield = 1.00 ++ cli2s_vpp = 1.00 ++ sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1__cor = 1.00 ++ sky130_fd_pr__cap_vpp_04p4x04p6_m1m2m3_shieldl1__cor = 1.00 ++ sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3_shieldl1__cor = 1.00 ++ sky130_fd_pr__cap_vpp_08p6x07p8_l1m1m2_noshield__cor = 1.00 ++ sky130_fd_pr__cap_vpp_04p4x04p6_l1m1m2_noshield__cor = 1.00 ++ sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2_noshield__cor = 1.00 ++ sky130_fd_pr__cap_vpp_01p8x01p8_m1m2_noshield__cor = 1.00 ++ sky130_fd_pr__cap_vpp_08p6x07p8_m1m2_shieldl1__cor = 1.00 ++ sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_shieldl1__cor = 1.00 ++ sky130_fd_pr__cap_vpp_11p5x11p7_m1m2_shieldl1__cor = 1.00 ++ sky130_fd_pr__cap_vpp_08p6x07p8_l1m1m2_shieldpo_floatm3__cor = 1.00 ++ sky130_fd_pr__cap_vpp_04p4x04p6_l1m1m2_shieldpo_floatm3__cor = 1.00 ++ sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2_shieldpom3__cor = 1.00 ++ sky130_fd_pr__cap_vpp_03p9x03p9_m1m2_shieldl1_floatm3__cor = 1.00 ++ sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldm5__cor = 1.00 ++ sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3m4_shieldpom5__cor = 1.00 ++ sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5__cor = 1.00 ++ sky130_fd_pr__cap_vpp_08p6x07p8_m1m2m3_shieldl1m5_floatm4__cor = 1.00 ++ sky130_fd_pr__cap_vpp_06p8x06p1_l1m1m2_noshield__cor = 1.00 ++ sky130_fd_pr__cap_vpp_11p5x11p7_l1m1m2m3_shieldm4__cor = 1.0 ++ sky130_fd_pr__model__cap_vpp_finger__cor = 1.0 ++ sky130_fd_pr__cap_vpp_11p5x11p7_pol1m1m2m3m4m5_noshield_base__cor = 1.0 + +* Special cells +* SKY130 Spice File. +* Number of bins: 1 +.param ++ sky130_fd_pr__special_nfet_pass_flash__tox_mult = 1.0 ++ sky130_fd_pr__special_nfet_pass_flash__ajunction_mult = 9.9543e-1 ++ sky130_fd_pr__special_nfet_pass_flash__pjunction_mult = 1.0204e+0 ++ sky130_fd_pr__special_nfet_pass_flash__overlap_mult = 0.89805 ++ sky130_fd_pr__special_nfet_pass_flash__lint_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__wint_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__dwg_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__k3_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__dvt0_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__dvt0w_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__nlx_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__cit_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__cdsc_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__cdscb_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__cdscd_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__kt2_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__kt1l_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__dlc_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__dwc_diff = 0.0 +* +* sky130_fd_pr__special_nfet_pass_flash, Bin 000, W = 0.45, L = 0.15 +* ----------------------------------- ++ sky130_fd_pr__special_nfet_pass_flash__kt1_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__voff_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__u0_diff_0 = 0.0027584 ++ sky130_fd_pr__special_nfet_pass_flash__vsat_diff_0 = 3855.4 ++ sky130_fd_pr__special_nfet_pass_flash__vth0_diff_0 = -0.15663 +* +* sky130_fd_pr__special_nfet_pass_flash, Bin 001, W = 0.35, L = 0.15 +* ----------------------------------- ++ sky130_fd_pr__special_nfet_pass_flash__kt1_diff_1 = 3.8227e-2 ++ sky130_fd_pr__special_nfet_pass_flash__nfactor_diff_1 = 1.4138e-1 ++ sky130_fd_pr__special_nfet_pass_flash__voff_diff_1 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__k2_diff_1 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__u0_diff_1 = 1.2956e-3 ++ sky130_fd_pr__special_nfet_pass_flash__vsat_diff_1 = 1.3679e+4 ++ sky130_fd_pr__special_nfet_pass_flash__vth0_diff_1 = -5.7093e-3 +* Number of bins: 1 +.param ++ sky130_fd_pr__special_nfet_pass__tox_mult = 1.0 ++ sky130_fd_pr__special_nfet_pass__ajunction_mult = 9.9543e-1 ++ sky130_fd_pr__special_nfet_pass__pjunction_mult = 1.0204e+0 ++ sky130_fd_pr__special_nfet_pass__overlap_mult = 0.9842 ++ sky130_fd_pr__special_nfet_pass__lint_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__wint_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__k3_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__dvt0_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__cit_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__cdsc_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__cdscb_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__cdscd_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__kt2_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__kt1l_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__dlc_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__dwc_diff = 0.0 +* +* sky130_fd_pr__special_nfet_pass, Bin 000, W = 0.14, L = 0.15 +* ---------------------------------- ++ sky130_fd_pr__special_nfet_pass__kt1_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__vsat_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__u0_diff_0 = -0.0029226 ++ sky130_fd_pr__special_nfet_pass__vth0_diff_0 = 0.027522 ++ sky130_fd_pr__special_nfet_pass__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__voff_diff_0 = 0.0 +* Number of bins: 1 +.param ++ sky130_fd_pr__special_nfet_latch__tox_mult = 1.0 ++ sky130_fd_pr__special_nfet_latch__ajunction_mult = 9.9543e-1 ++ sky130_fd_pr__special_nfet_latch__pjunction_mult = 1.0204e+0 ++ sky130_fd_pr__special_nfet_latch__overlap_mult = 0.9842 ++ sky130_fd_pr__special_nfet_latch__lint_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__wint_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__k3_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__dvt0_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__dvt1_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__cit_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__cdsc_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__cdscb_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__cdscd_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__kt2_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__dlc_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__dwc_diff = 0.0 +* +* sky130_fd_pr__special_nfet_latch, Bin 000, W = 0.21, L = 0.15 +* -------------------------------- ++ sky130_fd_pr__special_nfet_latch__voff_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__kt1_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__u0_diff_0 = 0.00030368 ++ sky130_fd_pr__special_nfet_latch__vth0_diff_0 = 0.020952 ++ sky130_fd_pr__special_nfet_latch__vsat_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__nfactor_diff_0 = 0.0 +* Number of bins: 1 +.param ++ sky130_fd_pr__special_pfet_latch__tox_mult = 1.0 ++ sky130_fd_pr__special_pfet_latch__ajunction_mult = 9.9626e-1 ++ sky130_fd_pr__special_pfet_latch__pjunction_mult = 1.0009e+0 ++ sky130_fd_pr__special_pfet_latch__overlap_mult = 9.5435e-1 ++ sky130_fd_pr__special_pfet_latch__lint_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__wint_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__k3_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__dvt0_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__cit_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__cdsc_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__cdscb_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__cdscd_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__kt2_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__kt1l_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__dlc_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__dwc_diff = 0.0 +* +* sky130_fd_pr__special_pfet_latch, Bin 000, W = 0.14, L = 0.15 +* -------------------------------- ++ sky130_fd_pr__special_pfet_latch__voff_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__kt1_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__vsat_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__vth0_diff_0 = 0.0081943 ++ sky130_fd_pr__special_pfet_latch__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__u0_diff_0 = -0.00044468 ++ sky130_fd_pr__special_pfet_latch__rdsw_diff_0 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_nfet_latch__tox_slope=5.989e-03 +.param sky130_fd_pr__special_nfet_latch__vth0_slope=5.289e-03 +.param sky130_fd_pr__special_nfet_latch__lint_slope=0 +.param sky130_fd_pr__special_nfet_latch__wint_slope=0 +.param sky130_fd_pr__special_nfet_latch__nfactor_slope=0.0 +.param sky130_fd_pr__special_nfet_latch__voff_slope=0.00 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_nfet_pass__tox_slope=3.589e-03 +.param sky130_fd_pr__special_nfet_pass__vth0_slope=5.589e-03 +.param sky130_fd_pr__special_nfet_pass__lint_slope=0 +.param sky130_fd_pr__special_nfet_pass__wint_slope=0 +.param sky130_fd_pr__special_nfet_pass__voff_slope=0.00 +.param sky130_fd_pr__special_nfet_pass__nfactor_slope=0.00 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_nfet_pass_flash__tox_slope=6.589e-03 +.param sky130_fd_pr__special_nfet_pass_flash__lint_slope=0.0 +.param sky130_fd_pr__special_nfet_pass_flash__wint_slope=0.0 +.param sky130_fd_pr__special_nfet_pass_flash__vth0_slope=1.0889e-2 +.param sky130_fd_pr__special_nfet_pass_flash__voff_slope=0.0 +.param sky130_fd_pr__special_nfet_pass_flash__nfactor_slope=0.0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_pfet_latch__tox_slope=5.567e-03 +.param sky130_fd_pr__special_pfet_latch__vth0_slope=5.567e-03 +.param sky130_fd_pr__special_pfet_latch__nfactor_slope=0.0 +.param sky130_fd_pr__special_pfet_latch__voff_slope=0.0 +.param sky130_fd_pr__special_pfet_latch__lint_slope=0.0 +.param sky130_fd_pr__special_pfet_latch__wint_slope=0.0 + +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +* Number of bins: 38 +.param ++ sky130_fd_pr__special_nfet_pass_lvt__toxe_mult = 1.0 ++ sky130_fd_pr__special_nfet_pass_lvt__overlap_mult = 9.2429e-1 ++ sky130_fd_pr__special_nfet_pass_lvt__ajunction_mult = 1.0004e+0 ++ sky130_fd_pr__special_nfet_pass_lvt__pjunction_mult = 8.9176e-1 ++ sky130_fd_pr__special_nfet_pass_lvt__rshn_mult = 1.0 ++ sky130_fd_pr__special_nfet_pass_lvt__lint_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__wint_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__dlc_diff = -1.3619e-9 ++ sky130_fd_pr__special_nfet_pass_lvt__dwc_diff = 0.0 +* +* sky130_fd_pr__special_nfet_pass_lvt, Bin 000, W = 0.30, L = 0.15 +* ------------------------------------- ++ sky130_fd_pr__special_nfet_pass_lvt__u0_diff_0 = -6.9731e-3 ++ sky130_fd_pr__special_nfet_pass_lvt__vsat_diff_0 = 8.1112e+3 ++ sky130_fd_pr__special_nfet_pass_lvt__vth0_diff_0 = 2.4767e-2 ++ sky130_fd_pr__special_nfet_pass_lvt__nfactor_diff_0 = 4.0502e-1 ++ sky130_fd_pr__special_nfet_pass_lvt__voff_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__ua_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__ub_diff_0 = 0.0 +* Copyright 2020 The SkyWater PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +* SKY130 Spice File. +.param sky130_fd_pr__special_nfet_pass_lvt__tox_slope_spectre = 0.0 +.param sky130_fd_pr__special_nfet_pass_lvt__vth0_slope_spectre = 0.0 +* statistics { +* mismatch { +* vary sky130_fd_pr__special_nfet_pass_lvt__tox_slope_spectre dist=gauss std = 1.0 +* vary sky130_fd_pr__special_nfet_pass_lvt__vth0_slope_spectre dist=gauss std = 1.0 +* } +* } +.subckt sky130_fd_pr__special_nfet_pass_lvt d g s b ++ +.param l = 1 w = 1 ad = 0 as = 0 pd = 0 ps = 0 nrd = 0 nrs = 0 mult = 1 sa = 0 sb = 0 sd = 0.0 +msky130_fd_pr__special_nfet_pass_lvt d g s b sky130_fd_pr__special_nfet_pass_lvt__model l = {l} w = {w} ad = {ad} as = {as} pd = {pd} ps = {ps} nrd = {nrd} nrs = {nrs} +.model sky130_fd_pr__special_nfet_pass_lvt__model.0 nmos +* Model Flag Parameters ++ lmin = 1.45e-007 lmax = 1.55e-007 wmin = 2.95e-007 wmax = 3.05e-7 ++ level = 54.0 ++ version = 4.5 ++ binunit = 2.0 ++ mobmod = 0.0 ++ capmod = 2.0 ++ igcmod = 0.0 ++ igbmod = 0.0 ++ geomod = 0.0 ++ diomod = 1.0 ++ rdsmod = 0.0 ++ rbodymod = 1.0 ++ rgatemod = 0.0 ++ permod = 1.0 ++ acnqsmod = 0.0 ++ trnqsmod = 0.0 ++ fnoimod = 1.0 ++ tnoimod = 1.0 ++ tempmod = 0.0 +* Process Parameters +*(mismatch parameter sky130_fd_pr__special_nfet_pass_lvt__tox_slope_spectre) ++ toxe = {4.148e-009*sky130_fd_pr__special_nfet_pass_lvt__toxe_mult+MC_MM_SWITCH*AGAUSS(0,1.0,1)*4.148e-09*2.443e-3*sky130_fd_pr__special_nfet_pass_lvt__toxe_mult/sqrt(l*w*mult)} ++ toxm = 4.148e-9 ++ epsrox = 3.9 ++ xj = 1.5e-7 ++ ngate = 1.0e+23 ++ ndep = 1.7e+17 ++ nsd = 1.0e+20 ++ rsh = {1*sky130_fd_pr__special_nfet_pass_lvt__rshn_mult} ++ rshg = 0.1 +* Basic Model Parameters ++ wint = {2.6e-008+sky130_fd_pr__special_nfet_pass_lvt__wint_diff} ++ lint = {1.2025e-008+sky130_fd_pr__special_nfet_pass_lvt__lint_diff} +*(mismatch parameter sky130_fd_pr__special_nfet_pass_lvt__vth0_slope_spectre) ++ vth0 = {0.41207609+sky130_fd_pr__special_nfet_pass_lvt__vth0_diff_0+MC_MM_SWITCH*AGAUSS(0,1.0,1)*5.456e-03/sqrt(l*w*mult)} ++ k1 = 0.5273401 ++ k2 = {-0.019324124+sky130_fd_pr__special_nfet_pass_lvt__k2_diff_0} ++ k3 = 1.65 ++ k3b = 1.6 ++ w0 = 1.0e-7 ++ dvt0 = 0.07665 ++ dvt1 = 0.1252 ++ dvt2 = -0.05637 ++ dvt0w = 0.0 ++ dvt1w = 5300000.0 ++ dvt2w = -0.032 ++ dsub = 0.24915505 ++ minv = 0.0 ++ voffl = 0.0 ++ lpe0 = 2.3802e-7 ++ lpeb = -4.9152e-8 ++ vbm = -3.0 ++ dvtp0 = 0.0 ++ dvtp1 = 0.0 ++ phin = -0.2 ++ cdsc = 3.8556e-37 ++ cdscb = -0.00011484 ++ cdscd = 1.5e-5 ++ cit = 9.9e-8 ++ voff = {-0.15+sky130_fd_pr__special_nfet_pass_lvt__voff_diff_0} ++ nfactor = {1.7244198+sky130_fd_pr__special_nfet_pass_lvt__nfactor_diff_0} ++ eta0 = {0.067583862+sky130_fd_pr__special_nfet_pass_lvt__eta0_diff_0} ++ etab = -0.013910732 ++ vfb = 0.0 ++ u0 = {0.046750961+sky130_fd_pr__special_nfet_pass_lvt__u0_diff_0} ++ ua = {5e-11+sky130_fd_pr__special_nfet_pass_lvt__ua_diff_0} ++ ub = {4e-19+sky130_fd_pr__special_nfet_pass_lvt__ub_diff_0} ++ uc = -2.7110648e-11 ++ ud = 0.0 ++ up = 0.0 ++ lp = 1.0 ++ eu = 1.67 ++ vtl = 0.0 ++ xn = 0.0 ++ vsat = {151538.73+sky130_fd_pr__special_nfet_pass_lvt__vsat_diff_0} ++ a0 = 1.0 ++ ags = 15.0 ++ a1 = 0.0 ++ a2 = 0.38689047 ++ b0 = 0.0 ++ b1 = 0.0 ++ keta = 0.05 ++ dwg = -1.33e-8 ++ dwb = -1.08e-8 ++ pclm = 0.47255423 ++ pdiblc1 = 9.9e-13 ++ pdiblc2 = 9.9e-13 ++ pdiblcb = -0.1 ++ drout = 0.9999 ++ pscbe1 = 8.0476145e+8 ++ pscbe2 = 9.9022619e-9 ++ pvag = 0.0018113134 ++ delta = 0.01 ++ fprout = 3.289058e-11 ++ pdits = 1.4427e-15 ++ pditsl = 0.0 ++ pditsd = 0.0 +* Parameters FOR Asymmetric AND Bias-Dependent RDS Model ++ rdsw = 168.29597 ++ rsw = 0.0 ++ rdw = 0.0 ++ rdswmin = 0.0 ++ rdwmin = 0.0 ++ rswmin = 0.0 ++ prwb = 9.9e-6 ++ prwg = 0.0 ++ wr = 1.0 +* Impact Ionization Current Model Parameters ++ alpha0 = 0.01 ++ alpha1 = 1.9991339 ++ beta0 = 33.32325 +* Gidl Induced Drain Leakage Model Parameters ++ agidl = 0.0 ++ bgidl = 2.3e+9 ++ cgidl = 0.5 ++ egidl = 0.8 +* Gate Dielectric Tunneling Current Model Parameters ++ toxref = 4.148e-9 ++ dlcig = 0.0 ++ aigbacc = 1.0 ++ bigbacc = 0.0 ++ cigbacc = 0.0 ++ nigbacc = 1.0 ++ aigbinv = 0.35 ++ bigbinv = 0.03 ++ cigbinv = 0.006 ++ eigbinv = 1.1 ++ nigbinv = 3.0 ++ aigc = 0.43 ++ bigc = 0.054 ++ cigc = 0.075 ++ aigsd = 0.43 ++ bigsd = 0.054 ++ cigsd = 0.075 ++ nigc = 1.0 ++ poxedge = 1.0 ++ pigcd = 1.0 ++ ntox = 1.0 +* Charge AND Capacitance Model Parameters ++ dlc = {1.3469e-008+sky130_fd_pr__special_nfet_pass_lvt__dlc_diff} ++ dwc = {2.6e-008+sky130_fd_pr__special_nfet_pass_lvt__dwc_diff} ++ xpart = 0.0 ++ cgso = {2.5889e-010*sky130_fd_pr__special_nfet_pass_lvt__overlap_mult} ++ cgdo = {2.5889e-010*sky130_fd_pr__special_nfet_pass_lvt__overlap_mult} ++ cgbo = 1.0e-14 ++ cgdl = {2.5e-011*sky130_fd_pr__special_nfet_pass_lvt__overlap_mult} ++ cgsl = {2.5e-011*sky130_fd_pr__special_nfet_pass_lvt__overlap_mult} ++ clc = 1.0e-7 ++ cle = 0.6 ++ cf = 1.0e-14 ++ ckappas = 0.6 ++ vfbcv = -1.0 ++ acde = 0.38008 ++ moin = 23.81 ++ noff = 3.8661 ++ voffcv = -0.16994 +* High-Speed/RF Model Parameters +* Flicker AND Thermal Noise Model Parameters ++ ef = 1.2 ++ noia = 9.0e+41 ++ noib = 1.0e+27 ++ noic = 8.0e+11 ++ em = 4.1e+7 ++ lintnoi = -3.0e-7 ++ tnoia = 2.5e+7 ++ tnoib = 9.9e+6 ++ rnoia = 0.912 ++ rnoib = 0.26 +* Layout-Dependent Parasitics Model Parameters ++ xl = 0.0 ++ xw = 0.0 ++ dmcg = 0.0 ++ dmdg = 0.0 ++ dmcgt = 0.0 ++ xgw = 0.0 ++ xgl = 0.0 ++ ngcon = 1.0 +* Asymmetric Source/Drain Junction Diode Model Parameters ++ jss = 0.00275 ++ jsws = 6.0e-10 ++ ijthsfwd = 0.1 ++ ijthsrev = 0.1 ++ bvs = 11.9 ++ xjbvs = 1.0 ++ pbs = 0.7477 ++ cjs = {0.001209*sky130_fd_pr__special_nfet_pass_lvt__ajunction_mult} ++ mjs = 0.42197 ++ pbsws = 0.1 ++ cjsws = {3.6224e-011*sky130_fd_pr__special_nfet_pass_lvt__pjunction_mult} ++ mjsws = 0.001 ++ pbswgs = 0.79644 ++ cjswgs = {2.0132e-010*sky130_fd_pr__special_nfet_pass_lvt__pjunction_mult} ++ mjswgs = 0.8 +* Temperature Dependence Parameters ++ tnom = 30.0 ++ kt1 = -0.18424344 ++ kt2 = -0.021488214 ++ at = 33001.301 ++ ute = -1.7796658 ++ ua1 = -1.914008e-10 ++ ub1 = 3.9161781e-19 ++ uc1 = 1.8902599e-11 ++ kt1l = 0.0 ++ prt = 0.0 ++ tvoff = 0.0 ++ njs = 1.2928 ++ tpb = 0.0012287 ++ tcj = 0.000792 ++ tpbsw = 0.0 ++ tcjsw = 1.0e-5 ++ tpbswg = 0.0 ++ tcjswg = 0.0 ++ xtis = 2.0 +* DW AND DL Parameters ++ ll = 0.0 ++ wl = 0.0 ++ lln = 1.0 ++ wln = 1.0 ++ lw = 0.0 ++ ww = 0.0 ++ lwn = 1.0 ++ wwn = 1.0 ++ lwl = 0.0 ++ wwl = 0.0 ++ llc = 0.0 ++ wlc = 0.0 ++ lwc = 0.0 ++ wwc = 0.0 ++ lwlc = 0.0 ++ wwlc = 0.0 +* Stress Parameters ++ saref = 2.195e-6 ++ sbref = 2.585e-6 ++ kvth0 = 7.9e-9 ++ lkvth0 = 0.0 ++ wkvth0 = 3.0e-7 ++ pkvth0 = 0.0 ++ llodvth = 0.0 ++ wlodvth = 1.0 ++ wlod = 0.0 ++ stk2 = 0.0 ++ lodk2 = 1.0 ++ lodeta0 = 1.0 ++ ku0 = -2.7e-8 ++ lku0 = 0.0 ++ wku0 = 0.0 ++ pku0 = 0.0 ++ tku0 = 0.0 ++ llodku0 = 0.0 ++ wlodku0 = 1.0 ++ kvsat = 0.2 ++ steta0 = 0.0 +.ends sky130_fd_pr__special_nfet_pass_lvt + + + +.ENDL typical From 97f5c10ba27aa3f09008c1065583b01d7e4d8008 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:13:35 +0100 Subject: [PATCH 606/673] Updating technology from Volare Using the most recent version of the tech file from Volare --- Tech.SKY130/libresilicon.tech | 4808 +++++++++++++++++++++++++-------- 1 file changed, 3621 insertions(+), 1187 deletions(-) diff --git a/Tech.SKY130/libresilicon.tech b/Tech.SKY130/libresilicon.tech index ace45be8..4b6a55b2 100644 --- a/Tech.SKY130/libresilicon.tech +++ b/Tech.SKY130/libresilicon.tech @@ -1,113 +1,112 @@ -#---------------------------------------------------------- +#------------------------------------------------------------------------ # Copyright (c) 2020 R. Timothy Edwards # Revisions: See below # # This file is an Open Source foundry process describing -# the SkyWater S8 hybrid 0.18um / 0.13um fabrication +# the SkyWater sky130 hybrid 0.18um / 0.13um fabrication # process. The file may be distributed under the terms # of the Apache 2.0 license agreement. # -#---------------------------------------------------------- -# This file is designed to be used with magic versions -# 8.3.24 or newer. -#---------------------------------------------------------- +#------------------------------------------------------------------------ tech format 35 sky130A end version - version 20200508 - description "SkyWater SKY130: PRE ALPHA Vendor Open Source rules and DRC" + version 1.0.530-1-g9d590c9 + description "SkyWater SKY130: Open Source rules and DRC" + requires magic-8.3.411 end -#---------------------------------------------------------- +#------------------------------------------------------------------------ # Status 7/10/20: Rev 1 (alpha): # First public release -#-------------------------------------------------------------- - -#-------------------------------------------------------------- +# Status 8/14/20: Rev 2 (alpha): +# Started updating with new device/model naming convention +# Status 1/3/21: Taking out of beta and declaring an official release. +# Status 3/17/22: Added fringeshieldhalo to improve parasitic capacitance +# calculations. +# Status 5/21/22: Added sidewall edge (spacing) enlargement. +#------------------------------------------------------------------------ + +#------------------------------------------------------------------------ # Supported device types -#-------------------------------------------------------------- -# device name magic ID layer description -#------------------------------------------------------------- -# nshort nfet standard nFET -# nshort scnfet standard nFET in standard cell** -# nlowvt nfetlvt low Vt nFET -# sonos_p/e nsonos SONOS nFET -# pshort pfet standard pFET -# pshort scpfet standard pFET in standard cell** -# plowvt pfetlvt low Vt pFET -# phighvt pfethvt high Vt pFET -# ntvnative --- native nFET -# phv mvpfet thickox pFET -# nhv mvnfet thickox nFET -# nhvnative mvnnfet thickox native nFET -# ndiode ndiode n+ diff diode -# ndiode_h mvndiode thickox n+ diff diode -# pdiode pdiode p+ diff diode -# pdiode_h mvpdiode thickox p+ diff diode -# ndiode_native nndiode diode with nndiff -# ndiode_lvt ndiodelvt low Vt n+ diff diode -# pdiode_lvt pdiodelvt low Vt p+ diff diode -# pdiode_hvt pdiodehvt high Vt p+ diff diode -# nwdiode --- nwell diode -# dnwdiode_psub --- deep nwell diode to substrate -# dnwdiode_pw --- deep nwell diode to pwell -# xcmimc1 mimcap MiM cap 1st plate -# xcmimc2 mimcap2 MiM cap 2nd plate -# mrdn rdn n+ diff resistor -# mrdn_hv mvrdn thickox n+ diff resistor -# mrdp rdp p+ diff resistor -# mrdp_hv mvrdp thickox p+ diff resistor -# mrl1 rli local interconnect resistor -# mrp1 npres n+ poly resistor -# xhrpoly_* ppres (*) p+ poly resistor (300 Ohms/sq) -# uhrpoly_* xres (*) p+ poly resistor (2k Ohms/sq) -# xcnwvc varactor varactor (low Vt?) -# xcnwvc2 varactorhvt high Vt varactor -# xchvnwc mvvaractor thickox varactor -# xpwres rpw pwell resistor (in deep nwell) +#------------------------------------------------------------------------ +# device name magic ID layer description +#------------------------------------------------------------------------ +# sky130_fd_pr__nfet_01v8 nfet standard nFET +# sky130_fd_pr__nfet_01v8 scnfet standard nFET in standard cell** +# sky130_fd_pr__special_nfet_latch npd special nFET in SRAM cell +# sky130_fd_pr__special_nfet_pass npass special nFET in SRAM cell +# sky130_fd_pr__nfet_01v8_lvt nfetlvt low Vt nFET +# sky130_fd_pr__nfet_01v8_lvt scnfetlvt low Vt nFET in standard cell** +# sky130_fd_bs_flash__special_sonosfet_star nsonos SONOS nFET +# sky130_fd_pr__pfet_01v8 pfet standard pFET +# sky130_fd_pr__pfet_01v8 scpfet standard pFET in standard cell** +# sky130_fd_pr__special_pfet_latch ppu special pFET in SRAM cell +# sky130_fd_pr__pfet_01v8_lvt pfetlvt low Vt pFET +# sky130_fd_pr__pfet_01v8_mvt pfetmvt med Vt pFET +# sky130_fd_pr__pfet_01v8_hvt pfethvt high Vt pFET +# sky130_fd_pr__nfet_03v3_nvt nnfet native nFET +# sky130_fd_pr__pfet_g5v0d10v5 mvpfet thickox pFET +# sky130_fd_pr__nfet_g5v0d10v5 mvnfet thickox nFET +# sky130_fd_pr__nfet_05v0_nvt mvnnfet thickox native nFET +# sky130_fd_pr__nfet_g5v0d16v0 mvnfet extended-drain nFET*** +# sky130_fd_pr__pfet_g5v0d16v0 mvpfet extended-drain pFET*** +# sky130_fd_pr__diode_pw2nd_05v5 ndiode n+ diff diode +# sky130_fd_pr__diode_pw2nd_05v5_lvt ndiodelvt low Vt n+ diff diode +# sky130_fd_pr__diode_pw2nd_05v5_nvt nndiode diode with nndiff +# sky130_fd_pr__diode_pw2nd_11v0 mvndiode thickox n+ diff diode +# sky130_fd_pr__diode_pd2nw_05v5 pdiode p+ diff diode +# sky130_fd_pr__diode_pd2nw_05v5_lvt pdiodelvt low Vt p+ diff diode +# sky130_fd_pr__diode_pd2nw_05v5_hvt pdiodehvt high Vt p+ diff diode +# sky130_fd_pr__diode_pd2nw_11v0 mvpdiode thickox p+ diff diode +# sky130_fd_pr__npn_05v5 pbase NPN in deep nwell +# sky130_fd_pr__npn_11v0 pbase thick oxide gated NPN +# sky130_fd_pr__pnp_05v5 nbase PNP +# sky130_fd_pr__cap_mim_m3_1 mimcap MiM cap 1st plate +# sky130_fd_pr__cap_mim_m3_2 mimcap2 MiM cap 2nd plate +# sky130_fd_pr__res_generic_nd rdn n+ diff resistor +# sky130_fd_pr__res_generic_nd__hv mvrdn thickox n+ diff resistor +# sky130_fd_pr__res_generic_pd rdp p+ diff resistor +# sky130_fd_pr__res_generic_pd__nv mvrdp thickox p+ diff resistor +# sky130_fd_pr__res_generic_l1 rli local interconnect resistor +# sky130_fd_pr__res_generic_po npres n+ poly resistor +# sky130_fd_pr__res_high_po_* ppres (*) p+ poly resistor (300 Ohms/sq) +# sky130_fd_pr__res_xhigh_po_* xres (*) p+ poly resistor (2k Ohms/sq) +# sky130_fd_pr__cap_var_lvt varactor low Vt varactor +# sky130_fd_pr__cap_var_hvt varactorhvt high Vt varactor +# sky130_fd_pr__cap_var mvvaractor thickox varactor +# sky130_fd_pr__res_iso_pw rpw pwell resistor (in deep nwell) +# sky130_fd_pr__esd_nfet_g5v0d10v5 mvnfetesd ESD thickox nFET +# sky130_fd_pr__esd_pfet_g5v0d10v5 mvpfetesd ESD thickox pFET +# sky130_fd_pr__photodiode photo Photodiode # -# (*) Note that ppres may extract into some generic type -# called "xhrpoly", but only specific sizes of xhrpoly are -# allowed, and these are created from fixed layouts like the -# types below. +# (*) Note that ppres may extract into some generic type called +# "sky130_fd_pr__res_xhigh_po", but only specific sizes of xhrpoly are +# allowed, and these are created from fixed layouts like the types below. # # (**) nFET and pFET in standard cells are the same as devices # outside of the standard cell except for the DRC rule for # FET to diffusion contact spacing (which is 0.05um, not 0.055um) # -# To avoid creating a large number of types, a few ID layers are -# used in conjunction with standard devices types: "lvt" for -# low threshold voltage, and "hvt" for high threshold voltage. -# "dnwell" is used as an identifier layer where appropriate. -# Layer HVI (thick oxide) is treated differently, and types -# "mv*" are defined where thick oxide is required. +# (***) The extended-drain devices have the same identifying +# FET type as the thick oxide devices, but the drain side of the +# device is represented by layer extdrain extending to nsd or psd. # #------------------------------------------------------------- # The following devices are not extracted but are represented # only by script-generated subcells in the PDK. #------------------------------------------------------------- -# nshortesd ESD nFET -# nhvesd ESD thickox nFET -# nhvnativeesd ESD native nFET -# phvesd ESD thickox pFET -# fnpass flash nFET device -# npnpar1x* parasitic NPN -# npn_1x1_2p0_hv thickox gated parasitic NPN -# pnppar parasitic PNP -# pnppar5x parasitic PNP -# xesd_ndiode_h_*** ESD n+ diode -# xesd_pdiode_h_*** ESD p+ diode -# reslocsub local substrate island indicator -# xcmvpp Vpp cap -# xcmvpp_2 Vpp cap -# xcmvpp_* Vpp cap -# xcmvpp* Vpp cap -# balun balun inductor -# ind4 inductor -# fuse metal fuse device +# sky130_fd_pr__esd_nfet_01v8 ESD nFET +# sky130_fd_pr__esd_nfet_05v0_nvt ESD native nFET +# sky130_fd_pr__special_nfet_pass_flash flash nFET device +# sky130_fd_pr__esd_rf_diode_pw2nd_11v0 ESD n+ diode +# sky130_fd_pr__esd_rf_diode_pd2nw_11v0 ESD p+ diode +# sky130_fd_pr__cap_vpp_* Vpp cap +# sky130_fd_pr__ind_* inductor +# sky130_fd_pr__fuse_m4 metal fuse device #-------------------------------------------------------------- #----------------------------------------------------- @@ -126,6 +125,7 @@ planes metal4,m4 cap2,c2 metal5,m5 + metali,mi block,b comment,c end @@ -137,48 +137,64 @@ end types # Deep nwell dwell dnwell,dnw + dwell isosubstrate,isosub + dwell photodiode,photo # Wells well nwell,nw - -well pwell,pw - -well rpw,rpwell + well pwell,pw + well rpw,rpwell -well obswell + well pbase,npn + well nbase,pnp # Transistors active nmos,ntransistor,nfet -active scnmos,scntransistor,scnfet + -active npd,npdfet,sramnfet + -active npass,npassfet,srampassfet active pmos,ptransistor,pfet -active scpmos,scptransistor,scpfet - -active nnmos,nntransistor + -active ppu,ppufet,srampfet + active nnmos,nntransistor,nnfet active mvnmos,mvntransistor,mvnfet active mvpmos,mvptransistor,mvpfet - -active mvnnmos,mvnntransistor,mvnnfet,nnfet - -active varactor,varact,var - -active mvvaractor,mvvaract,mvvar - - -active pmoslvt,pfetlvt - -active pmoshvt,pfethvt - -active nmoslvt,nfetlvt - -active varactorhvt,varacthvt,varhvt + active mvnnmos,mvnntransistor,mvnnfet + -active mvnmosesd,mvntransistoresd,mvnfetesd + -active mvpmosesd,mvptransistoresd,mvpfetesd + active varactor,varact,var + active mvvaractor,mvvaract,mvvar + + active pmoslvt,pfetlvt + active pmosmvt,pfetmvt + active pmoshvt,pfethvt + -active scpmoshvt,scpfethvt + active nmoslvt,nfetlvt + -active scnmoslvt,scnfetlvt + active varactorhvt,varacthvt,varhvt -active nsonos,sonos + -active sramnvar,corenvar,corenvaractor + -active srampvar,corepvar,corepvaractor # Diffusions + -active fomfill active ndiff,ndiffusion,ndif active pdiff,pdiffusion,pdif - -active mvndiff,mvndiffusion,mvndif - -active mvpdiff,mvpdiffusion,mvpdif + active mvndiff,mvndiffusion,mvndif + active mvpdiff,mvpdiffusion,mvpdif active ndiffc,ndcontact,ndc active pdiffc,pdcontact,pdc - -active mvndiffc,mvndcontact,mvndc - -active mvpdiffc,mvpdcontact,mvpdc - active psubdiff,psubstratepdiff,ppdiff,ppd,psd - active nsubdiff,nsubstratendiff,nndiff,nnd,nsd - -active mvpsubdiff,mvpsubstratepdiff,mvppdiff,mvppd,mvpsd - -active mvnsubdiff,mvnsubstratendiff,mvnndiff,mvnnd,mvnsd - active psubdiffcont,psubstratepcontact,psc - active nsubdiffcont,nsubstratencontact,nsc - -active mvpsubdiffcont,mvpsubstratepcontact,mvpsc - -active mvnsubdiffcont,mvnsubstratencontact,mvnsc + active mvndiffc,mvndcontact,mvndc + active mvpdiffc,mvpdcontact,mvpdc + active psubdiff,psubstratepdiff,ppdiff,ppd,psd,ptap + active nsubdiff,nsubstratendiff,nndiff,nnd,nsd,ntap + active mvpsubdiff,mvpsubstratepdiff,mvppdiff,mvppd,mvpsd,mvptap + active mvnsubdiff,mvnsubstratendiff,mvnndiff,mvnnd,mvnsd,mvntap + active psubdiffcont,psubstratepcontact,psc,ptapc + active nsubdiffcont,nsubstratencontact,nsc,ntapc + active mvpsubdiffcont,mvpsubstratepcontact,mvpsc,mvptapc + active mvnsubdiffcont,mvnsubstratencontact,mvnsc,mvntapc + active extdrain,ed -active obsactive -active mvobsactive @@ -186,84 +202,96 @@ types active poly,p,polysilicon active polycont,pc,pcontact,polycut,polyc active xpolycontact,xpolyc,xpc + -active polyfill # Resistors - -active npolyres,npres,mrp1 - -active ppolyres,ppres,xhrpoly - -active xpolyres,xpres,xres,uhrpoly - -active ndiffres,rnd,rdn,rndiff - -active pdiffres,rpd,rdp,rpdiff - -active mvndiffres,mvrnd,mvrdn,mvrndiff - -active mvpdiffres,mvrpd,mvrdp,mvrpdiff - -active rmp + active npolyres,npres,mrp1 + active ppolyres,ppres,xhrpoly + active xpolyres,xpres,xres,uhrpoly + active ndiffres,rnd,rdn,rndiff + active pdiffres,rpd,rdp,rpdiff + active mvndiffres,mvrnd,mvrdn,mvrndiff + active mvpdiffres,mvrpd,mvrdp,mvrpdiff + active rmp # Diodes - -active pdiode,pdi - -active ndiode,ndi - -active nndiode,nndi - -active pdiodec,pdic - -active ndiodec,ndic - -active nndiodec,nndic - -active mvpdiode,mvpdi - -active mvndiode,mvndi - -active mvpdiodec,mvpdic - -active mvndiodec,mvndic - -active pdiodelvt,pdilvt - -active pdiodehvt,pdihvt - -active ndiodelvt,ndilvt - -active pdiodelvtc,pdilvtc - -active pdiodehvtc,pdihvtc - -active ndiodelvtc,ndilvtc + active pdiode,pdi + active ndiode,ndi + active nndiode,nndi + active pdiodec,pdic + active ndiodec,ndic + active nndiodec,nndic + active mvpdiode,mvpdi + active mvndiode,mvndi + active mvpdiodec,mvpdic + active mvndiodec,mvndic + active pdiodelvt,pdilvt + active pdiodehvt,pdihvt + active ndiodelvt,ndilvt + active pdiodelvtc,pdilvtc + active pdiodehvtc,pdihvtc + active ndiodelvtc,ndilvtc # Local Interconnect locali locali,li1,li -locali corelocali,coreli1,coreli - -locali rlocali,rli1,rli - locali viali,vial,lic,licon,m1c,v0 + locali rlocali,rli1,rli + locali viali,vial,mcon,m1c,v0 -locali obsli1,obsli - -locali obsli1c,obslic,obslicon + -locali obsli1c,obsmcon + -locali lifill # Metal 1 metal1 metal1,m1,met1 - -metal1 rmetal1,rm1,rmet1 + metal1 rmetal1,rm1,rmet1 metal1 via1,m2contact,m2cut,m2c,via,v,v1 -metal1 obsm1 - -metal1 padl + -metal1 m1fill + # Metal 2 metal2 metal2,m2,met2 - -metal2 rmetal2,rm2,rmet2 + metal2 rmetal2,rm2,rmet2 metal2 via2,m3contact,m3cut,m3c,v2 -metal2 obsm2 + -metal2 m2fill # Metal 3 metal3 metal3,m3,met3 - -metal3 rmetal3,rm3,rmet3 + metal3 rmetal3,rm3,rmet3 -metal3 obsm3 metal3 via3,v3 + -metal3 m3fill - -cap1 mimcap,mim,capm - -cap1 mimcapcontact,mimcapc,mimcc,capmc + cap1 mimcap,mim,capm + cap1 mimcapcontact,mimcapc,mimcc,capmc # Metal 4 metal4 metal4,m4,met4 - -metal4 rmetal4,rm4,rmet4 + metal4 rmetal4,rm4,rmet4 -metal4 obsm4 metal4 via4,v4 + -metal4 m4fill - -cap2 mimcap2,mim2,capm2 - -cap2 mimcap2contact,mimcap2c,mim2cc,capm2c + cap2 mimcap2,mim2,capm2 + cap2 mimcap2contact,mimcap2c,mim2cc,capm2c # Metal 5 metal5 metal5,m5,met5 - -metal5 rm5,rmetal5,rmet5 + metal5 rm5,rmetal5,rmet5 -metal5 obsm5 + -metal5 m5fill + metal5 mrdlcontact,mrdlc,pi1 + metali metalrdl,mrdl,metrdl,rdl + -metali obsmrdl + metali pi2 + block ubm # Miscellaneous -block glass -block fillblock - -comment comment + comment comment -comment obscomment end @@ -293,8 +321,8 @@ contact mvndic mvndiode locali mvpdic mvpdiode locali - lic locali metal1 - obslic obsli obsm1 + mcon locali metal1 + obsmcon obsli metal1 via1 metal1 metal2 via2 metal2 metal3 @@ -306,8 +334,8 @@ contact mimcc mimcap metal4 mim2cc mimcap2 metal5 - padl m1 m2 m3 m4 m5 glass - + mrdlc metal5 mrdl + pi2 mrdl ubm end #----------------------------------------------------- @@ -317,46 +345,50 @@ end aliases allwellplane nwell - allnwell nwell,obswell + allnwell nwell,obswell,pnp - allnfets nfet,scnfet,mvnfet,mvnnfet,nfetlvt,nsonos - allpfets pfet,scpfet,mvpfet,pfethvt,pfetlvt - allfets allnfets,allpfets,varactor,mvvaractor,varhvt + allnfets nfet,npass,npd,scnfet,mvnfet,mvnfetesd,mvnnfet,nnfet,nfetlvt,scnfetlvt,nsonos + allpfets pfet,ppu,scpfet,scpfethvt,mvpfet,mvpfetesd,pfethvt,pfetlvt,pfetmvt + allfets allnfets,allpfets,varactor,mvvaractor,varhvt,corenvar,corepvar + allfetsstd nfet,mvnfet,mvnfetesd,mvnnfet,nnfet,nfetlvt,pfet,mvpfet,mvpfetesd,pfethvt,pfetlvt,pfetmvt + allfetsspecial scnfet,scpfet,scpfethvt,scnfetlvt + allfetscore npass,npd,nsonos,ppu,corenvar,corepvar + allfetsnolvt nfet,npass,npd,scnfet,mvnfet,mvnfetesd,mvnnfet,nnfet,nsonos,pfet,ppu,scpfet,scpfethvt,mvpfet,mvpfetesd,pfethvt,pfetmvt,varactor,mvvaractor,varhvt,corenvar allnactivenonfet *ndiff,*nsd,*ndiode,*nndiode,*mvndiff,*mvnsd,*mvndiode,*ndiodelvt allnactive allnactivenonfet,allnfets allnactivenontap *ndiff,*ndiode,*nndiode,*mvndiff,*mvndiode,*ndiodelvt,allnfets - allnactivetap *nsd,*mvnsd,var,varhvt,mvvar + allnactivetap *nsd,*mvnsd,var,varhvt,mvvar,corenvar allpactivenonfet *pdiff,*psd,*pdiode,*mvpdiff,*mvpsd,*mvpdiode,*pdiodelvt,*pdiodehvt allpactive allpactivenonfet,allpfets allpactivenontap *pdiff,*pdiode,*mvpdiff,*mvpdiode,*pdiodelvt,*pdiodehvt,allpfets - allpactivetap *psd,*mvpsd + allpactivetap *psd,*mvpsd,corepvar allactivenonfet allnactivenonfet,allpactivenonfet allactive allactivenonfet,allfets allactiveres ndiffres,pdiffres,mvndiffres,mvpdiffres - allndifflv *ndif,*nsd,*ndiode,ndiffres,nfet,scnfet,nfetlvt,nsonos - allpdifflv *pdif,*psd,*pdiode,pdiffres,pfet,scpfet,pfetlvt,pfethvt + allndifflv *ndif,*nsd,*ndiode,ndiffres,nfet,npass,npd,scnfet,scnfetlvt,nfetlvt,nsonos + allpdifflv *pdif,*psd,*pdiode,pdiffres,pfet,ppu,scpfet,scpfethvt,pfetlvt,pfetmvt,pfethvt alldifflv allndifflv,allpdifflv allndifflvnonfet *ndif,*nsd,*ndiode,*nndiode,ndiffres,*ndiodelvt allpdifflvnonfet *pdif,*psd,*pdiode,pdiffres,*pdiodelvt,*pdiodehvt alldifflvnonfet allndifflvnonfet,allpdifflvnonfet - allndiffmv *mvndif,*mvnsd,*mvndiode,*nndiode,mvndiffres,mvnfet,mvnnfet - allpdiffmv *mvpdif,*mvpsd,*mvpdiode,mvpdiffres,mvpfet + allndiffmv *mvndif,*mvnsd,*mvndiode,*nndiode,mvndiffres,mvnfet,mvnfetesd,mvnnfet,nnfet + allpdiffmv *mvpdif,*mvpsd,*mvpdiode,mvpdiffres,mvpfet,mvpfetesd alldiffmv allndiffmv,allpdiffmv - allndiffmvnontap *mvndif,*mvndiode,*nndiode,mvndiffres,mvnfet,mvnnfet - allpdiffmvnontap *mvpdif,*mvpdiode,mvpdiffres,mvpfet + allndiffmvnontap *mvndif,*mvndiode,*nndiode,mvndiffres,mvnfet,mvnfetesd,mvnnfet,nnfet + allpdiffmvnontap *mvpdif,*mvpdiode,mvpdiffres,mvpfet,mvpfetesd alldiffmvnontap allndiffmvnontap,allpdiffmvnontap allndiffmvnonfet *mvndif,*mvnsd,*mvndiode,*nndiode,mvndiffres allpdiffmvnonfet *mvpdif,*mvpsd,*mvpdiode,mvpdiffres alldiffmvnonfet allndiffmvnonfet,allpdiffmvnonfet alldiffnonfet alldifflvnonfet,alldiffmvnonfet - alldiff alldifflv,alldiffmv + alldiff alldifflv,alldiffmv,fomfill allpolyres mrp1,xhrpoly,uhrpoly,rmp allpolynonfet *poly,allpolyres,xpc @@ -386,9 +418,10 @@ aliases allm4 *m4,rm4 allm5 *m5,rm5 - allpad padl - psub pwell + + obstypes obswell,mvobsactive,obsactive,obsli,obsmcon,obsm1,obsm2,obsm3,obsm4,obsm5,obsmrdl,obscomment + blocktypes fillblock end @@ -399,43 +432,63 @@ end styles styletype mos dnwell cwell + isosub subcircuit nwell nwell pwell pwell rpwell pwell ptransistor_stripes + photo nwell nwell_field_implant ndiff ndiffusion + fomfill ndiffusion pdiff pdiffusion nsd ndiff_in_nwell psd pdiff_in_pwell nfet ntransistor ntransistor_stripes scnfet ntransistor ntransistor_stripes + scnfetlvt ntransistor ntransistor_stripes implant1 + npass ntransistor ntransistor_stripes + npd ntransistor ntransistor_stripes pfet ptransistor ptransistor_stripes scpfet ptransistor ptransistor_stripes + scpfethvt ptransistor ptransistor_stripes implant2 + ppu ptransistor ptransistor_stripes var polysilicon ndiff_in_nwell ndc ndiffusion metal1 contact_X'es pdc pdiffusion metal1 contact_X'es nsc ndiff_in_nwell metal1 contact_X'es psc pdiff_in_pwell metal1 contact_X'es + corenvar polysilicon ndiff_in_nwell + corepvar polysilicon pdiff_in_pwell + + pnp nwell ntransistor_stripes + npn pwell ptransistor_stripes pfetlvt ptransistor ptransistor_stripes implant1 + pfetmvt ptransistor ptransistor_stripes implant3 pfethvt ptransistor ptransistor_stripes implant2 nfetlvt ntransistor ntransistor_stripes implant1 nsonos ntransistor implant3 varhvt polysilicon ndiff_in_nwell implant2 + nnfet ntransistor ndiff_in_nwell mvndiff ndiffusion hvndiff_mask mvpdiff pdiffusion hvpdiff_mask mvnsd ndiff_in_nwell hvndiff_mask mvpsd pdiff_in_pwell hvpdiff_mask mvnfet ntransistor ntransistor_stripes hvndiff_mask + mvnfetesd ntransistor ntransistor_stripes hvndiff_mask mvnnfet ntransistor ndiff_in_nwell hvndiff_mask mvpfet ptransistor ptransistor_stripes + mvpfetesd ptransistor ptransistor_stripes mvvar polysilicon ndiff_in_nwell hvndiff_mask mvndc ndiffusion metal1 contact_X'es hvndiff_mask mvpdc pdiffusion metal1 contact_X'es hvpdiff_mask mvnsc ndiff_in_nwell metal1 contact_X'es hvndiff_mask mvpsc pdiff_in_pwell metal1 contact_X'es hvpdiff_mask + extdrain nselect pselect + poly polysilicon + polyfill polysilicon pc polysilicon metal1 contact_X'es npolyres polysilicon silicide_block nselect2 ppolyres polysilicon silicide_block pselect2 @@ -462,21 +515,27 @@ styles nndiodec ndiff_in_nwell nselect2 metal1 contact_X'es hvndiff_mask locali metal1 + lifill metal1 coreli metal1 rli metal1 poly_resist_stripes - lic metal1 metal2 via1arrow + mcon metal1 metal2 via1arrow obsli metal1 - obslic metal1 metal2 via1arrow + obsmcon metal1 metal2 via1arrow metal1 metal2 + m1fill metal2 rm1 metal2 poly_resist_stripes obsm1 metal2 m2c metal2 metal3 via2arrow + + metal2 metal3 + m2fill metal3 rm2 metal3 poly_resist_stripes obsm2 metal3 m3c metal3 metal4 via3alt metal3 metal4 + m3fill metal4 rm3 metal4 poly_resist_stripes obsm3 metal4 mimcap metal3 mems @@ -485,12 +544,19 @@ styles mim2cc metal4 contact_X'es mems via3 metal4 metal5 via4 metal4 metal5 + m4fill metal5 rm4 metal5 poly_resist_stripes obsm4 metal5 via4 metal5 metal6 via5 metal5 metal6 + m5fill metal6 rm5 metal6 poly_resist_stripes obsm5 metal6 + mrdlc metal6 metal7 via6 + metalrdl metal7 + obsmrdl metal7 + ubm metal8 + pi2 metal7 metal8 via7 glass overglass mrp1 poly_resist poly_resist_stripes @@ -509,8 +575,6 @@ styles obswell cwell obsactive implant4 - padl metal6 via6 overglass - magnet substrate_field_implant rotate via3alt fence via5 @@ -525,9 +589,34 @@ compose compose pfet poly pdiff compose var poly nsd + decompose npass poly ndiff + decompose npd poly ndiff + decompose scnfet poly ndiff + decompose scnfetlvt poly ndiff + decompose nfetlvt poly ndiff + decompose nsonos poly ndiff + + decompose ppu poly pdiff + decompose scpfet poly pdiff + decompose scpfethvt poly pdiff + decompose pfethvt poly pdiff + decompose pfetlvt poly pdiff + decompose pfetmvt poly pdiff + decompose corenvar poly nsd + decompose corepvar poly psd + decompose varhvt poly nsd + compose mvnfet poly mvndiff compose mvpfet poly mvpdiff compose mvvar poly mvnsd + + decompose nnfet poly mvndiff + decompose mvnfetesd poly mvndiff + decompose mvnnfet poly mvndiff + decompose mvpfetesd poly mvpdiff + + paint obsmcon locali via1 + paint obsmcon obsm1 obsli,obsm1 paint ndc nwell pdc paint nfet nwell pfet @@ -535,6 +624,7 @@ compose paint ndiff nwell pdiff paint psd nwell nsd paint psc nwell nsc + paint npd nwell ppu paint pdc pwell ndc paint pfet pwell nfet @@ -542,13 +632,18 @@ compose paint pdiff pwell ndiff paint nsd pwell psd paint nsc pwell psc + paint ppu pwell npd paint pdc coreli pdc paint ndc coreli ndc paint pc coreli pc - paint nsc coreli pc - paint psc coreli pc + paint nsc coreli nsc + paint psc coreli psc paint viali coreli viali + paint mvpdc coreli mvpdc + paint mvndc coreli mvndc + paint mvnsc coreli mvnsc + paint mvpsc coreli mvpsc paint coreli pdc pdc paint coreli ndc ndc @@ -556,6 +651,11 @@ compose paint coreli nsc nsc paint coreli psc psc paint coreli viali viali + paint coreli mvpdc mvpdc + paint coreli mvndc mvndc + paint coreli mvnsc mvnsc + paint coreli mvpsc mvpsc + paint m4 obsm4 m4 paint m5 obsm5 m5 @@ -566,19 +666,24 @@ end #----------------------------------------------------- connect - *nwell,*nsd,*mvnsd,dnwell *nwell,*nsd,*mvnsd,dnwell - pwell,*psd,*mvpsd pwell,*psd,*mvpsd - *li,coreli *li,coreli - *m1 *m1 - *m2 *m2 - *m3 *m3 - *m4 *m4 - *m5 *m5 + *nwell,*nsd,*mvnsd,dnwell,pnp,photo *nwell,*nsd,*mvnsd,dnwell,pnp,photo + pwell,*psd,*mvpsd,npn,isosub pwell,*psd,*mvpsd,npn,isosub + *mvnsd ed + *mvpsd ed + *li,coreli,lifill *li,coreli,lifill + *m1,m1fill,obsmcon *m1,m1fill,obsmcon + *m2,m2fill *m2,m2fill + *m3,m3fill *m3,m3fill + *m4,m4fill *m4,m4fill + *m5,m5fill *m5,m5fill *mimcap *mimcap *mimcap2 *mimcap2 allnactivenonfet allnactivenonfet allpactivenonfet allpactivenonfet - *poly,xpc,allfets *poly,xpc,allfets + *poly,xpc,allfets,polyfill *poly,xpc,allfets,polyfill + # RDL connects to m5 through glass cut + *mrdl *mrdl + glass metrdl end #----------------------------------------------------- @@ -611,130 +716,210 @@ style gdsii layer BOUND CELLBOUND calma 235 4 -# Create a boundary outside of an abutment box, so that layers -# can be made to stretch to the abutment box edges. First strink -# so that any box that would be so small as to interact with -# itself will be removed. - - templayer CELLRING CELLBOUND - shrink 345 - grow 545 - and-not CELLBOUND - #---------------------------------------------------------------- # DNWELL #---------------------------------------------------------------- - layer DNWELL dnwell + layer DNWELL dnwell,npn,photo calma 64 18 layer PWRES rpw and dnwell calma 64 13 +#---------------------------------------------------------------- +# SUBCUT +#---------------------------------------------------------------- + + layer SUBCUT isosub + calma 81 53 + #---------------------------------------------------------------- # NWELL #---------------------------------------------------------------- + # Identify LDMOS drain areas + templayer ndrainarea + bloat-all ed *mvnsd + and-not ed + + templayer pdrainarea + bloat-all ed *mvpsd + and-not ed + + # Generate n-well under extended-drain nFET + templayer extnwell + bloat-or *mvnsd * 0 extdrain 1150 + and-not *mvnsd + or ndrainarea + grow 660 + + # Erase n-well under extended-drain pFET + templayer extpwell + bloat-or *mvpsd * 0 extdrain 590 + and-not *mvpsd + or pdrainarea + grow 860 + layer NWELL allnwell bloat-all rpw dnwell and-not rpw,pwell + or extnwell + and-not extpwell calma 64 20 layer WELLTXT - labels allnwell noport - calma 64 16 + labels allnwell + calma 64 5 layer WELLPIN labels allnwell port - calma 64 5 + calma 64 16 #---------------------------------------------------------------- # SUB (text/port only) #---------------------------------------------------------------- layer SUBTXT - labels pwell noport - calma 122 16 + labels pwell + calma 64 59 layer SUBPIN labels pwell port - calma 64 59 + calma 122 16 #---------------------------------------------------------------- # DIFF #---------------------------------------------------------------- + # Extended-drain FETs cut the diffusion under the gate + templayer ldbreak + bloat-or *mvnsd * 0 extdrain 1585 + bloat-or *mvpsd * 0 extdrain 1190 + layer DIFF allnactivenontap,allpactivenontap,allactiveres - labels allnactivenontap,allpactivenontap + and-not ldbreak calma 65 20 + layer DIFFTXT + labels allnactivenontap,allpactivenontap + calma 65 6 + + layer DIFFPIN + labels allnactivenontap,allpactivenontap port + calma 65 16 + #---------------------------------------------------------------- # TAP #---------------------------------------------------------------- layer TAP allnactivetap,allpactivetap + labels allnactivetap,allpactivetap port + calma 65 44 + + layer TAPTXT labels allnactivetap,allpactivetap - calma 65 44 + calma 65 5 + +#---------------------------------------------------------------- +# FOM +#---------------------------------------------------------------- + + layer FOMFILL fomfill + labels fomfill + calma 23 28 #---------------------------------------------------------------- -# PPLUS, NPLUS (PSDM, NSDM) +# PSDM, NSDM (PPLUS, NPLUS implants) #---------------------------------------------------------------- - templayer basePPLUS pdiffres,mvpdiffres + templayer basePSDM pdiffres,mvpdiffres grow 15 or xhrpoly,uhrpoly,xpc grow 110 bloat-or allpactivetap * 125 allnactivenontap 0 bloat-or allpactivenontap * 125 allnactivetap 0 + + templayer baseNSDM ndiffres,mvndiffres + grow 125 + bloat-or allnactivetap * 125 allpactivenontap 0 + bloat-or allnactivenontap * 125 allpactivetap 0 + + templayer extendPSDM basePSDM bridge 380 380 + and-not baseNSDM - templayer extendPPLUS basePPLUS,CELLRING + layer PSDM basePSDM,extendPSDM grow 185 shrink 185 - and-not CELLRING - - layer PPLUS basePPLUS,extendPPLUS close 265000 + mask-hints PSDM calma 94 20 - templayer baseNPLUS ndiffres,mvndiffres - grow 125 - bloat-or allnactivetap * 125 allpactivenontap 0 - bloat-or allnactivenontap * 125 allpactivetap 0 + templayer extendNSDM baseNSDM bridge 380 380 + and-not basePSDM - templayer extendNPLUS baseNPLUS,CELLRING - grow 185 - shrink 185 - and-not CELLRING - - layer NPLUS baseNPLUS,extendNPLUS + layer NSDM baseNSDM,extendNSDM + grow 185 + shrink 185 close 265000 + mask-hints NSDM calma 93 44 +#---------------------------------------------------------------- +# EDID (Extended drain identifier) +#---------------------------------------------------------------- + + layer EDID + bloat-all extdrain *mvnsd,*mvpsd,mvnfet,mvpfet,*mvndiff,*mvpdiff + labels extdrain + calma 81 57 + +#---------------------------------------------------------------- +# LVID +#---------------------------------------------------------------- + + layer LVID nnfet + grow 100 + calma 81 60 + #---------------------------------------------------------------- # LVTN #---------------------------------------------------------------- - layer LVTN pfetlvt,nfetlvt,mvvar,mvnnfet,nsonos,*pdiodelvt,*ndiodelvt,*nndiode + layer LVTN pfetlvt,nfetlvt,scnfetlvt,mvvar,mvnnfet,nnfet,nsonos,*pdiodelvt,*ndiodelvt,*nndiode grow 180 bridge 380 380 grow 185 shrink 185 close 265000 + mask-hints LVTN calma 125 44 +#---------------------------------------------------------------- +# HVTR +#---------------------------------------------------------------- + + layer HVTR pfetmvt + grow 180 + bridge 380 380 + grow 185 + shrink 185 + close 265000 + calma 18 20 + #---------------------------------------------------------------- # HVTP #---------------------------------------------------------------- - layer HVTP pfethvt,varhvt,*pdiodehvt + layer HVTP scpfethvt,ppu,pfethvt,varhvt,*pdiodehvt grow 180 bridge 380 380 grow 185 shrink 185 close 265000 + mask-hints HVTP calma 78 44 #---------------------------------------------------------------- @@ -750,12 +935,14 @@ style gdsii calma 80 20 #---------------------------------------------------------------- -# SONOS requires COREID around area (areaid.ce). Also, the -# coreli layer indicates a cell needing COREID. +# The coreli layer indicates a cell needing COREID. Also, +# devices npd, npass, and ppu indicate a COREID cell. NOTE: +# SONOS does not use COREID, counter to SkyWater rules. #---------------------------------------------------------------- layer COREID - bloat-all nsonos,coreli CELLBOUND + bloat-all coreli,ppu,npd,npass,corepvar,corenvar CELLBOUND + mask-hints COREID calma 81 2 #---------------------------------------------------------------- @@ -763,19 +950,51 @@ style gdsii #---------------------------------------------------------------- layer STDCELL scnfet - bloat-all scpfet,scnfet CELLBOUND + bloat-all scpfet,scpfethvt,scnfet,scnfetlvt CELLBOUND + mask-hints STDCELL calma 81 4 +#---------------------------------------------------------------- +# ESDID is a marker layer for ESD devices in the padframe I/O. +#---------------------------------------------------------------- + + layer ESDID + bloat-all mvnfetesd *mvndiff,*poly + bloat-all mvpfetesd *mvpdiff,*poly + grow 100 + mask-hints ESDID + calma 81 19 + +#---------------------------------------------------------------- +# NPNID and PNPID apply to bipolar transistors +#---------------------------------------------------------------- + + layer NPNID + bloat-all npn dnwell + mask-hints NPNID + calma 82 20 + + templayer pnparea pnp + grow 400 + + layer PNPID + bloat-all pnparea *psd + or pnparea + mask-hints PNPID + calma 82 44 + + layer PHOTO photo + calma 81 81 + #---------------------------------------------------------------- # RPM #---------------------------------------------------------------- layer RPM bloat-all xhrpoly xpc - grow 200 - grow-min 1270 - grow 420 + grow 620 shrink 420 + mask-hints RPM calma 86 20 #---------------------------------------------------------------- @@ -784,10 +1003,9 @@ style gdsii layer URPM bloat-all uhrpoly xpc - grow 200 - grow-min 1270 - grow 420 + grow 620 shrink 420 + mask-hints URPM calma 79 20 #---------------------------------------------------------------- @@ -799,6 +1017,7 @@ style gdsii grow 185 grow 345 shrink 345 + mask-hints LDNTM calma 11 44 #---------------------------------------------------------------- @@ -809,12 +1028,15 @@ style gdsii grow 185 layer HVNTM - bloat-all mvnfet,mvnnfet,*mvndiode,mvrdn,*nndiode *mvndiff + bloat-all mvnfet,mvnfetesd,mvnnfet,nnfet,*mvndiode,mvrdn,*nndiode *mvndiff bloat-all mvvaractor *mvnsd and-not hvntm_block grow 185 + bridge 700 700 grow 345 shrink 345 + and-not hvntm_block + mask-hints HVNTM calma 125 20 #---------------------------------------------------------------- @@ -825,31 +1047,43 @@ style gdsii calma 66 20 layer POLYTXT - labels allpoly noport - calma 66 16 + labels allpoly + calma 66 5 layer POLYPIN labels allpoly port - calma 66 5 + calma 66 16 + + layer POLYFILL polyfill + labels polyfill + calma 28 28 #---------------------------------------------------------------- -# THKOX (HVI) (includes rules NWELL 8-11 and DIFFTAP 14-26) +# HVI (includes rules NWELL 8-11 and DIFFTAP 14-26) #---------------------------------------------------------------- - templayer baseTHKOX *mvpsd - grow-min 470 + templayer thkox_area ed + grow 475 or alldiffmv,mvvar grow 185 - bloat-all alldiffmv nwell - grow-min 600 - bridge 700 600 + bloat-all alldiffmv nwell + grow 345 + shrink 345 + + templayer large_ptap_mv thkox_area + shrink 420 + grow 420 - templayer extendTHKOX baseTHKOX,CELLRING + templayer small_ptap_mv thkox_area + and-not large_ptap_mv + # (HVI min width rule is 0.6 but CNTM min width rule is 0.84um) + grow-min 840 + + layer HVI thkox_area,small_ptap_mv + bridge 700 600 grow 345 shrink 345 - and-not CELLRING - - layer THKOX baseTHKOX,extendTHKOX + mask-hints HVI calma 75 20 #---------------------------------------------------------------- @@ -885,12 +1119,19 @@ style gdsii # surrounds CONT (LICON) on poly only (i.e., pc) #---------------------------------------------------------------- + # Avoids a common case of NPC bridges too close to other LICON shapes. + templayer diffcutarea pdc,ndc,psc,nsc,mvpdc,mvndc,mvpsc,mvnsc + grow 90 + layer NPC pc squares-grid 0 170 170 grow 100 bridge 270 270 + and-not diffcutarea + bridge 270 270 grow 130 shrink 130 + mask-hints NPC calma 95 20 # NPC is also generated on xhrpoly and uhrpoly resistors @@ -918,7 +1159,7 @@ style gdsii # POLYRES extends to edge of contact cut layer POLYRES xhrpoly,uhrpoly - grow 60 + grow 80 and xpc or xhrpoly,uhrpoly calma 66 13 @@ -934,21 +1175,25 @@ style gdsii calma 67 20 layer LITXT - labels *locali,coreli noport - calma 67 16 + labels *locali,coreli + calma 67 5 layer LIPIN labels *locali,coreli port - calma 67 5 + calma 67 16 layer LIRES rli labels rli calma 67 13 + layer LIFILL lifill + labels lifill + calma 56 28 + #---------------------------------------------------------------- # MCON #---------------------------------------------------------------- - layer MCON lic + layer MCON mcon squares-grid 0 170 190 calma 67 44 @@ -959,17 +1204,21 @@ style gdsii calma 68 20 layer MET1TXT - labels allm1 noport - calma 68 16 + labels allm1 + calma 68 5 layer MET1PIN labels allm1 port - calma 68 5 + calma 68 16 layer MET1RES rm1 labels rm1 calma 68 13 + layer MET1FILL m1fill + labels m1fill + calma 36 28 + #---------------------------------------------------------------- # VIA1 #---------------------------------------------------------------- @@ -977,6 +1226,7 @@ style gdsii squares-grid 55 150 170 calma 68 44 + #---------------------------------------------------------------- # MET2 #---------------------------------------------------------------- @@ -984,17 +1234,21 @@ style gdsii calma 69 20 layer MET2TXT - labels allm2 noport - calma 69 16 + labels allm2 + calma 69 5 layer MET2PIN labels allm2 port - calma 69 5 + calma 69 16 layer MET2RES rm2 labels rm2 calma 69 13 + layer MET2FILL m2fill + labels m2fill + calma 41 28 + #---------------------------------------------------------------- # VIA2 #---------------------------------------------------------------- @@ -1009,17 +1263,21 @@ style gdsii calma 70 20 layer MET3TXT - labels allm3 noport - calma 70 16 + labels allm3 + calma 70 5 layer MET3PIN labels allm3 port - calma 70 5 + calma 70 16 layer MET3RES rm3 labels rm3 calma 70 13 + layer MET3FILL m3fill + labels m3fill + calma 34 28 + #---------------------------------------------------------------- # VIA3 #---------------------------------------------------------------- @@ -1035,17 +1293,21 @@ style gdsii calma 71 20 layer MET4TXT - labels allm4 noport - calma 71 16 + labels allm4 + calma 71 5 layer MET4PIN labels allm4 port - calma 71 5 + calma 71 16 layer MET4RES rm4 labels rm4 calma 71 13 + layer MET4FILL m4fill + labels m4fill + calma 51 28 + #---------------------------------------------------------------- # VIA4 #---------------------------------------------------------------- @@ -1057,21 +1319,53 @@ style gdsii #---------------------------------------------------------------- # MET5 #---------------------------------------------------------------- - layer MET5 allm5 + layer MET5 allm5,m5fill calma 72 20 layer MET5TXT - labels allm5 noport - calma 72 16 + labels allm5 + calma 72 5 layer MET5PIN labels allm5 port - calma 72 5 + calma 72 16 layer MET5RES rm5 labels rm5 calma 72 13 + layer MET5FILL m5fill + labels m5fill + calma 59 28 + + +#---------------------------------------------------------------- +# RDL +#---------------------------------------------------------------- + layer RDL *metrdl + calma 74 20 + + layer RDLTXT + labels *metrdl + calma 74 5 + + layer RDLPIN + labels *metrdl port + calma 74 16 + + layer PI1 *metrdl + and m5,glass + # Test only---needs GDS layer number + + layer UBM *metrdl + shrink 50000 + grow 40000 + # Test only---needs GDS layer number + + layer PI2 *metrdl + shrink 50000 + grow 25000 + # Test only---needs GDS layer number #---------------------------------------------------------------- @@ -1106,18 +1400,56 @@ style gdsii #---------------------------------------------------------------- # FILLBLOCK #---------------------------------------------------------------- - layer FILLOBSM1 fillblock + variants (origfill) + + layer FILLOBSFOM obsactive + calma 22 24 + + layer FILLOBSLI fillblock,obsli + calma 67 10 + + layer FILLOBSM1 fillblock,obsm1 calma 62 24 - layer FILLOBSM2 fillblock + layer FILLOBSM2 fillblock,obsm2 calma 105 52 - layer FILLOBSM3 fillblock + layer FILLOBSM3 fillblock,obsm3 calma 107 24 - layer FILLOBSM4 fillblock + layer FILLOBSM4 fillblock,obsm4 calma 112 4 + layer FILLOBSM5 fillblock,obsm5 + calma 117 4 + + variants () + + layer FILLOBSFOM obsactive + calma 65 98 + + # NOTE: should there be an "obspoly" layer? + + layer FILLOBSLI fillblock,obsli + calma 67 98 + + layer FILLOBSM1 fillblock,obsm1 + calma 68 98 + + layer FILLOBSM2 fillblock,obsm2 + calma 69 98 + + layer FILLOBSM3 fillblock,obsm3 + calma 70 98 + + layer FILLOBSM4 fillblock,obsm4 + calma 71 98 + + layer FILLOBSM5 fillblock,obsm5 + calma 72 98 + + variants * + render DNWELL cwell -0.1 0.1 render NWELL nwell 0.0 0.2062 render DIFF ndiffusion 0.2062 0.12 @@ -1137,6 +1469,7 @@ style gdsii render MET5 metal6 5.3711 1.26 render CAPM metal8 2.4661 0.2 render CAPM2 metal9 3.7311 0.2 + render RDL metal7 11.8834 4.0 #---------------------------------------------------------------- style drc @@ -1155,17 +1488,32 @@ style drc and-not dnwell_shrink and-not nwell + templayer pwell_in_dnwell dnwell + and-not nwell + # SONOS nFET devices must be in deep nwell templayer dnwell_missing nsonos and-not dnwell - # Define MiM cap bottom plate for spacing rule - templayer mim_bottom - bloat-all *mimcap *metal3 + # SONOS nFET devices must be in cell with abutment box + templayer abutment_box + boundary + + templayer bbox_missing nsonos + and-not abutment_box - # Define MiM2 cap bottom plate for spacing rule - templayer mim2_bottom - bloat-all *mimcap2 *metal4 + # Make sure nwell covers varactor poly + templayer var_poly_no_nwell + bloat-all varactor,mvvaractor *poly + grow 150 + and-not nwell + + # Define areas where mim2cc is inside the boundary of mimcc + # by more than the contact surround + templayer mim2_contact_overlap + bloat-all *mimcap2 mimcc + shrink 60 + and-not *mimcap2 # Note that metal fill is performed by the foundry and so is not # an option for a cifoutput style. @@ -1224,32 +1572,32 @@ style drc # no nwell ring is crossed. There is no difference between # ntaps in and out of deep nwell. grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 1270 - and nwell + and nwell,pnp grow 945 - and nwell + and nwell,pnp templayer ntap_missing *pdiff,*mvpdiff - and-not dnwell + and-not pwell_in_dnwell and-not ntap_reach templayer dptap_reach psc,mvpsc @@ -1313,18 +1661,687 @@ style drc and dnwell and-not dptap_reach - templayer m1_small_hole *m1 + templayer pdiff_crosses_dnwell dnwell + grow 20 + and-not dnwell + and allpdifflv,allpdiffmv + + # MV nwell must be 2um from any other nwell + templayer mvnwell_simple + bloat-all alldiffmv nwell + + templayer mvnwell mvnwell_simple + grow-min 840 + bridge 700 600 + + # Diffusion spacing to mvnwell. Note: mvdiff to any well + # (mv or lv) is the same rule and can be checked by regular + # edge-based rules. Only mvwell to lvdiff needs a CIF rule. + templayer difftap_near_mvnwell mvnwell_simple + grow 430 + and alldifflv,*mvpsd + + # Simple spacing checks to lvnwell must use CIF-DRC rule + # Note that HVI may *abut* lvnwell; this can only be handled + # with mask-hints layers. + + templayer drawn_hvi + mask-hints HVI + + templayer allmvdiffnowell *mvndiff,*mvpsd + and-not drawn_hvi + + templayer lvnwell nwell + and-not mvnwell + + templayer hvi_abut_lvnwell lvnwell + grow 5 + and drawn_hvi + grow 695 + + templayer hvi_to_lvnwell drawn_hvi + and-not hvi_abut_lvnwell + grow 700 + and lvnwell + + # Check for low-voltage diffusion in high-voltage well + templayer lvdiff_in_mvnwell *pdiff,*pdiode,pdiffres,*nsd + or pfet,ppu,scpfet,scpfethvt,pfetlvt,pfetmvt,pfethvt + and mvnwell + + templayer nwell_with_tap + bloat-all nsc,mvnsc nwell,pnp + + templayer nwell_missing_tap nwell,pnp + and-not nwell_with_tap + + templayer tap_with_licon + bloat-all allpactivetap psd,mvpsd + bloat-all allnactivetap nsd,mvnsd + + templayer tap_missing_licon allnactivetap,allpactivetap + and-not tap_with_licon + + # Make sure varactor nwell contains no P diffusion + templayer pdiff_in_varactor_well + bloat-all varactor,mvvaractor nwell + and allpactive + + # HVNTM spacing requires recreating HVNTM + templayer hvntm_block *mvpsd + grow 185 + + templayer hvntm_generate + bloat-all mvnfet,mvnfetesd,mvnnfet,nnfet,*mvndiode,mvrdn,*nndiode *mvndiff + bloat-all mvvaractor *mvnsd + and-not hvntm_block + grow 185 + bridge 700 700 + grow 345 + shrink 345 + and-not hvntm_block + + # RPM spacing checks require recreating RPM + templayer rpm_block *psd,*mvpsd + grow 185 + + # Check RPM spacing across a p-tap + templayer rpm_generate + bloat-all xhrpoly,uhrpoly xpc + grow 620 + shrink 420 + mask-hints RPM + mask-hints URPM + and-not rpm_block + + # Check distance RPM to NSDM + templayer rpm_nsd_check rpm_generate + grow 325 + and allndifflv,allndiffmv + + # Check distance RPM to (unrelated) POLY + templayer rpm_poly_check rpm_generate + grow 200 + and-not xhrpoly,uhrpoly,xpc + and allpoly + + # Check distance RPM to HVNTM + templayer rpm_hvntm_check rpm_generate + grow 385 + and allndiffmvnontap + + templayer m1_small_hole allm1,obsm1,obsmcon close 140000 templayer m1_hole_empty m1_small_hole - and-not *m1 + and-not allm1,obsm1,obsmcon - templayer m2_small_hole *m2 + templayer m2_small_hole allm2,obsm2 close 140000 templayer m2_hole_empty m2_small_hole - and-not *m2 + and-not allm2,obsm2 + + templayer m1_huge allm1 + shrink 1500 + grow 1500 + + templayer m1_large_halo m1_huge + grow 280 + and-not m1_huge + and allm1 + + templayer m2_huge allm2 + shrink 1500 + grow 1500 + + templayer m2_large_halo m2_huge + grow 280 + and-not m2_huge + and allm2 + + templayer m3_huge allm3 + shrink 1500 + grow 1500 + + templayer m3_large_halo m3_huge + grow 400 + and-not m3_huge + and allm3 + + templayer m4_huge allm4 + shrink 1500 + grow 1500 + + templayer m4_large_halo m4_huge + grow 400 + and-not m4_huge + and allm4 + + +#---------------------------------------------------------------- +style density +#---------------------------------------------------------------- +# Style used by scripts to check for fill density +#---------------------------------------------------------------- + scalefactor 10 nanometers + options calma-permissive-labels + gridlimit 5 + + templayer fom_all alldiff,fomfill + + templayer poly_all allpoly,polyfill + + templayer li_all allli,lifill + + templayer m1_all allm1,m1fill + + templayer m2_all allm2,m2fill + + templayer m3_all allm3,m3fill + + templayer m4_all allm4,m4fill + + templayer m5_all allm5,m5fill + +#---------------------------------------------------------------- +style wafflefill variants (),(tiled) +#---------------------------------------------------------------- +# Style used by scripts for automatically generating fill layers +# NOTE: Be sure to generate output on flattened layout. +# Also note: This fill generator script uses the older GDS +# layer assignments for fill layers. The generator script that +# uses the sky130gds.tech (e.g., sky130A-GDS.tech) technology file +# is preferred. +#---------------------------------------------------------------- + scalefactor 10 nanometers + options calma-permissive-labels + gridlimit 5 + +#---------------------------------------------------------------- +# Generate and retain a layer representing the bounding box. +# +# For variant (): +# The bounding box is the full extent of geometry on the top level +# cell. +# +# For variant (tiled): +# Use with a script that breaks layout into flattened tiles and runs +# fill individually on each. The tiles should be larger than the +# step size, and each should draw a layer "comment" the size of the +# step box. +#---------------------------------------------------------------- + + variants () + templayer topbox + bbox top + variants (tiled) + templayer topbox comment + # Each tile imposes the full keepout distance rule of + # 3um on all sides. + shrink 1500 + + variants * + +#---------------------------------------------------------------- +# Generate guard-band around nwells to keep FOM from crossing +# Spacing from LV nwell = Diff/Tap 9 = 0.34um +# Spacing from HV nwell = Diff/Tap 18 = 0.43um (= 0.18 + 0.25) +# Enclosure by nwell = Diff/Tap 8 = 0.18um +#---------------------------------------------------------------- + + templayer mvnwell + bloat-all alldiffmv nwell + + templayer lvnwell allnwell + and-not mvnwell + + templayer well_shrink mvnwell + shrink 250 + or lvnwell + shrink 180 + templayer well_guardband allnwell + grow 340 + and-not well_shrink + +#--------------------------------------------------- +# Diffusion and poly keep-out areas +#--------------------------------------------------- + templayer obstruct_fom alldiff,allpoly,fomfill,polyfill,obsactive + or rpw,pnp,npn + grow 500 + or well_guardband + + templayer obstruct_poly alldiff,allpoly,fomfill,polyfill,obsactive + or rpw,pnp,npn + grow 1000 + +#--------------------------------------------------- +# FOM and POLY fill +#--------------------------------------------------- + templayer fomfill_pass1 topbox + # slots 0 4080 1320 0 4080 1320 1360 0 + slots 0 4080 1600 0 4080 1600 1360 0 + and-not obstruct_fom + and topbox + shrink 2035 + grow 2035 + +#--------------------------------------------------- + + templayer obstruct_poly_pass1 fomfill_pass1 + grow 300 + or obstruct_poly + templayer polyfill_pass1 topbox + slots 0 720 360 0 720 360 240 0 + and-not obstruct_poly_pass1 + and topbox + shrink 355 + grow 355 + +#--------------------------------------------------- + + templayer obstruct_fom_pass2 fomfill_pass1 + grow 1290 + or polyfill_pass1 + grow 300 + or obstruct_fom + templayer fomfill_pass2 topbox + slots 0 2500 1320 0 2500 1320 1360 0 + and-not obstruct_fom_pass2 + and topbox + shrink 1245 + grow 1245 + +#--------------------------------------------------- + + templayer obstruct_poly_coarse polyfill_pass1 + grow 60 + or fomfill_pass1,fomfill_pass2 + grow 300 + or obstruct_poly + templayer polyfill_coarse topbox + slots 0 720 360 0 720 360 240 120 + and-not obstruct_poly_coarse + and topbox + shrink 355 + grow 355 + +#--------------------------------------------------- + templayer obstruct_poly_medium polyfill_pass1,polyfill_coarse + grow 60 + or fomfill_pass1,fomfill_pass2 + grow 300 + or obstruct_poly + templayer polyfill_medium topbox + slots 0 540 360 0 540 360 240 100 + and-not obstruct_poly_medium + and topbox + shrink 265 + grow 265 + +#--------------------------------------------------- + templayer obstruct_poly_fine polyfill_pass1,polyfill_coarse,polyfill_medium + grow 60 + or fomfill_pass1,fomfill_pass2 + grow 300 + or obstruct_poly + templayer polyfill_fine topbox + slots 0 480 360 0 480 360 240 200 + and-not obstruct_poly_fine + and topbox + shrink 235 + grow 235 + +#--------------------------------------------------- + + templayer obstruct_fom_coarse fomfill_pass1,fomfill_pass2 + grow 1290 + or polyfill_pass1,polyfill_coarse,polyfill_medium,polyfill_fine + grow 300 + or obstruct_fom + templayer fomfill_coarse topbox + slots 0 1500 1320 0 1500 1320 1360 0 + and-not obstruct_fom_coarse + and topbox + shrink 745 + grow 745 + +#--------------------------------------------------- + + templayer obstruct_fom_fine fomfill_pass1,fomfill_pass2,fomfill_coarse + grow 1290 + or polyfill_pass1,polyfill_coarse,polyfill_medium,polyfill_fine + grow 300 + or obstruct_fom + templayer fomfill_fine topbox + slots 0 500 400 0 500 400 160 0 + and-not obstruct_fom_fine + and topbox + shrink 245 + grow 245 + +#--------------------------------------------------- + layer FOMFILL fomfill_pass1 + or fomfill_pass2 + or fomfill_coarse + or fomfill_fine + calma 23 28 + + layer POLYFILL polyfill_pass1 + or polyfill_coarse + or polyfill_medium + or polyfill_fine + calma 28 28 + +#--------------------------------------------------------- +# LI fill +# Note requirement that LI fill may not overlap (non-fill) +# diff or poly. +# +# Important note: sky130 does not define an LI mask fill +# blockage layer, because LI fill requirements were added +# to the process after the open PDK was made. While +# obstruction layers can be used in magic to block LI +# fill, this does not translate into GDS, so running fill +# on a GDS top level cell will result in LI fill shapes +# being placed in the corner and under the seal ring. To +# work around this, I have added obsm1 to the layers that +# obstruct LI, which is a bit of a hack but should not +# cause issues in practice. +#--------------------------------------------------------- + + templayer obstruct_li_coarse allli,obsli,lifill,fillblock + grow 2800 + or alldiff,allpoly + grow 200 + or obsm1 + templayer lifill_coarse topbox + # slots 0 3000 650 0 3000 650 700 0 + slots 0 3000 900 0 3000 900 700 0 + and-not obstruct_li_coarse + and topbox + shrink 1495 + grow 1495 + + templayer obstruct_li_medium allli,obsli,lifill,fillblock + grow 2500 + or lifill_coarse + grow 300 + or alldiff,allpoly + grow 200 + or obsm1 + templayer lifill_medium topbox + slots 0 1500 500 0 1500 500 700 0 + and-not obstruct_li_medium + and topbox + shrink 745 + grow 745 + + templayer obstruct_li_fine allli,obsli,lifill,fillblock + or lifill_coarse,lifill_medium + grow 300 + or alldiff,allpoly + grow 200 + or obsm1 + templayer lifill_fine topbox + slots 0 580 500 0 580 500 700 0 + and-not obstruct_li_fine + and topbox + shrink 285 + grow 285 + + layer LIFILL lifill_coarse + or lifill_medium + or lifill_fine + calma 56 28 + +#--------------------------------------------------- +# MET1 fill +#--------------------------------------------------- + + templayer obstruct_m1_coarse allm1,glass,obsm1,m1fill,fillblock + grow 3000 + templayer met1fill_coarse topbox + # slots 0 2000 200 0 2000 200 700 0 + slots 0 2000 800 0 2000 800 700 350 + and-not obstruct_m1_coarse + and topbox + shrink 995 + grow 995 + + templayer obstruct_m1_medium allm1,glass,obsm1,m1fill,fillblock + grow 2800 + or met1fill_coarse + grow 200 + templayer met1fill_medium topbox + slots 0 1000 200 0 1000 200 700 0 + and-not obstruct_m1_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m1_fine allm1,glass,obsm1,m1fill,fillblock + grow 300 + or met1fill_coarse,met1fill_medium + grow 200 + templayer met1fill_fine topbox + slots 0 580 200 0 580 200 700 0 + and-not obstruct_m1_fine + and topbox + shrink 285 + grow 285 + + templayer obstruct_m1_veryfine allm1,glass,obsm1,m1fill,fillblock + grow 100 + or met1fill_coarse,met1fill_medium,met1fill_fine + grow 200 + templayer met1fill_veryfine topbox + slots 0 300 200 0 300 200 100 50 + and-not obstruct_m1_veryfine + and topbox + shrink 145 + grow 145 + + layer MET1FILL met1fill_coarse + or met1fill_medium + or met1fill_fine + or met1fill_veryfine + calma 36 28 + +#--------------------------------------------------- +# MET2 fill +#--------------------------------------------------- + templayer obstruct_m2 allm2,glass,obsm2,m2fill,fillblock + grow 3000 + templayer met2fill_coarse topbox + # slots 0 2000 200 0 2000 200 700 350 + slots 0 2000 800 0 2000 800 700 350 + and-not obstruct_m2 + and topbox + shrink 995 + grow 995 + + templayer obstruct_m2_medium allm2,glass,obsm2,m2fill,fillblock + grow 2800 + or met2fill_coarse + grow 200 + templayer met2fill_medium topbox + slots 0 1000 200 0 1000 200 700 350 + and-not obstruct_m2_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m2_fine allm2,glass,obsm2,m2fill,fillblock + grow 300 + or met2fill_coarse,met2fill_medium + grow 200 + templayer met2fill_fine topbox + slots 0 580 200 0 580 200 700 350 + and-not obstruct_m2_fine + and topbox + shrink 285 + grow 285 + + templayer obstruct_m2_veryfine allm2,glass,obsm2,m2fill,fillblock + grow 100 + or met2fill_coarse,met2fill_medium,met2fill_fine + grow 200 + templayer met2fill_veryfine topbox + slots 0 300 200 0 300 200 100 100 + and-not obstruct_m2_veryfine + and topbox + shrink 145 + grow 145 + + layer MET2FILL met2fill_coarse + or met2fill_medium + or met2fill_fine + or met2fill_veryfine + calma 41 28 + +#--------------------------------------------------- +# MET3 fill +#--------------------------------------------------- + templayer obstruct_m3 allm3,glass,obsm3,m3fill,fillblock + grow 3000 + templayer met3fill_coarse topbox + # slots 0 2000 300 0 2000 300 700 700 + slots 0 2000 800 0 2000 800 700 350 + and-not obstruct_m3 + and topbox + shrink 995 + grow 995 + + templayer obstruct_m3_medium allm3,glass,obsm3,m3fill,fillblock + grow 2700 + or met3fill_coarse + grow 300 + templayer met3fill_medium topbox + slots 0 1000 300 0 1000 300 700 700 + and-not obstruct_m3_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m3_fine allm3,glass,obsm3,m3fill,fillblock + grow 200 + or met3fill_coarse,met3fill_medium + grow 300 + templayer met3fill_fine topbox + slots 0 580 300 0 580 300 700 700 + and-not obstruct_m3_fine + and topbox + shrink 285 + grow 285 + + templayer obstruct_m3_veryfine allm3,glass,obsm3,m3fill,fillblock + # Note: Adding 0.1 to waffle rule to clear wide spacing rule + grow 100 + or met3fill_coarse,met3fill_medium,met3fill_fine + grow 300 + templayer met3fill_veryfine topbox + slots 0 500 300 0 500 300 150 200 + and-not obstruct_m3_veryfine + and topbox + shrink 245 + grow 245 + + layer MET3FILL met3fill_coarse + or met3fill_medium + or met3fill_fine + or met3fill_veryfine + calma 34 28 + +#--------------------------------------------------- +# MET4 fill +#--------------------------------------------------- + templayer obstruct_m4 glass + grow 2500 + or allm4,obsm4,m4fill,fillblock + grow 3000 + templayer met4fill_coarse topbox + # slots 0 2000 300 0 2000 300 700 1050 + slots 0 2000 800 0 2000 800 700 350 + and-not obstruct_m4 + and topbox + shrink 995 + grow 995 + + templayer obstruct_m4_medium glass + grow 2500 + or allm4,obsm4,m4fill,fillblock + grow 2700 + or met4fill_coarse + grow 300 + templayer met4fill_medium topbox + slots 0 1000 300 0 1000 300 700 1050 + and-not obstruct_m4_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m4_fine glass + grow 5000 + or allm4,obsm4,m4fill,fillblock + grow 200 + or met4fill_coarse,met4fill_medium + grow 300 + templayer met4fill_fine topbox + slots 0 580 300 0 580 300 700 1050 + and-not obstruct_m4_fine + and topbox + shrink 285 + grow 285 + + templayer obstruct_m4_veryfine glass + grow 5100 + or allm4,obsm4,m4fill,fillblock + # Note: Adding 0.1 to waffle rule to clear wide spacing rule + grow 100 + or met4fill_coarse,met4fill_medium,met4fill_fine + grow 300 + templayer met4fill_veryfine topbox + slots 0 500 300 0 500 300 150 300 + and-not obstruct_m4_veryfine + and topbox + shrink 245 + grow 245 + + layer MET4FILL met4fill_coarse + or met4fill_medium + or met4fill_fine + or met4fill_veryfine + calma 51 28 + +#--------------------------------------------------- +# MET5 fill +#--------------------------------------------------- + templayer obstruct_m5 allm5,glass,obsm5,m5fill,fillblock + grow 3000 + templayer met5fill_coarse topbox + slots 0 5000 1600 0 5000 1600 1000 100 + and-not obstruct_m5 + and topbox + shrink 2495 + grow 2495 + + templayer obstruct_m5_medium allm5,glass,obsm5,m5fill,fillblock + grow 1400 + or met5fill_coarse + grow 1600 + templayer met5fill_medium topbox + slots 0 3000 1600 0 3000 1600 1000 100 + and-not obstruct_m5_medium + and topbox + shrink 1495 + grow 1495 + + layer MET5FILL met5fill_coarse + or met5fill_medium + calma 59 28 end @@ -1335,51 +2352,97 @@ cifinput # or else magic will scale below the allowed layout grid size #----------------------------------------------------------------------- -style vendorimport +style sky130 variants (),(vendor) scalefactor 10 nanometers gridlimit 5 - options ignore-unknown-layer-labels no-reconnect-labels + options ignore-unknown-layer-labels ignore NPC ignore SEALID - ignore NPNID - ignore PNPID ignore CAPID ignore LDNTM ignore HVNTM ignore POLYMOD ignore LOWTAPDENSITY + ignore OUTLINE + ignore POLYCUT + ignore POLYGATE + ignore DIFFCUT + ignore HVNWELLID + ignore PADDIFFID + ignore PADMETALID + ignore PADCENTERID + + layer pnp NWELL,WELLTXT,WELLPIN + and PNPID + labels NWELL + labels WELLPIN port + labels WELLTXT text layer nwell NWELL,WELLTXT,WELLPIN + and-not PNPID labels NWELL - labels WELLTXT text labels WELLPIN port + labels WELLTXT text + variants * - layer pwell SUBTXT,SUBPIN - labels SUBTXT text + templayer nwellarea NWELL + copyup nwelcheck + + # Copy nwell areas up for diffusion checks + templayer xnwelcheck nwelcheck + copyup nwelcheck + + templayer hvarea HVI + copyup hvcheck + + # Copy high-voltage (HVI) areas up for diffusion checks + templayer xhvcheck hvcheck + copyup hvcheck + + # Always draw pwell under p-tap and n-diff. This is not always + # necessary but works better with deep nwell for correct extraction. + layer pwell TAP,DIFF + and-not NWELL,nwelcheck + grow 130 + or SUBTXT,SUBPIN + grow 420 + shrink 420 labels SUBPIN port + labels SUBTXT text layer dnwell DNWELL labels DNWELL + layer isosub SUBCUT + labels SUBCUT + + layer npn DNWELL + and-not NWELL,nwelcheck + and NPNID + + layer photo DNWELL + and PHOTO + layer rpw PWRES and DNWELL labels PWRES - templayer ndiffarea DIFF,DIFFTXT,DIFFPIN + templayer ndiffarea DIFF,DIFFTXT,DIFFPIN,barediff and-not POLY - and-not NWELL - and-not PPLUS + and-not NWELL,nwelcheck + and-not PSDM and-not DIODE and-not DIFFRES - and-not THKOX - and NPLUS + and-not HVI,hvcheck + and NSDM + and-not CORELI copyup ndifcheck labels DIFF - labels DIFFTXT text labels DIFFPIN port - labels TAPPIN port + labels DIFFTXT text + variants * layer ndiff ndiffarea @@ -1387,18 +2450,18 @@ style vendorimport templayer xndifcheck ndifcheck copyup ndifcheck - templayer mvndiffarea DIFF,DIFFTXT,DIFFPIN + templayer mvndiffarea DIFF,DIFFTXT,DIFFPIN,barediff and-not POLY - and-not NWELL - and-not PPLUS + and-not NWELL,nwelcheck + and-not PSDM and-not DIODE and-not DIFFRES - and THKOX - and NPLUS + and HVI,hvcheck + and NSDM copyup ndifcheck labels DIFF - labels DIFFTXT text labels DIFFPIN port + labels DIFFTXT text layer mvndiff mvndiffarea @@ -1406,92 +2469,92 @@ style vendorimport templayer mvxndifcheck mvndifcheck copyup mvndifcheck - layer ndiode DIFF - and NPLUS + layer ndiode DIFF,barediff + and NSDM and DIODE - and-not NWELL + and-not NWELL,nwelcheck and-not POLY - and-not PPLUS - and-not THKOX + and-not PSDM + and-not HVI,hvcheck and-not LVTN labels DIFF - layer ndiodelvt DIFF - and NPLUS + layer ndiodelvt DIFF,barediff + and NSDM and DIODE - and-not NWELL + and-not NWELL,nwelcheck and-not POLY - and-not PPLUS - and-not THKOX + and-not PSDM + and-not HVI,hvcheck and LVTN labels DIFF templayer ndiodearea DIODE - and NPLUS - and-not THKOX - and-not NWELL - copyup DIODE,NPLUS + and NSDM + and-not HVI,hvcheck + and-not NWELL,nwelcheck + copyup DIODE,NSDM layer ndiffres DIFFRES - and NPLUS - and-not THKOX + and NSDM + and-not HVI,hvcheck labels DIFF - templayer pdiffarea DIFF,DIFFTXT,DIFFPIN + templayer pdiffarea DIFF,DIFFTXT,DIFFPIN,barediff and-not POLY - and NWELL - and-not NPLUS + and NWELL,nwelcheck + and-not NSDM and-not DIODE - and-not THKOX - and PPLUS + and-not HVI,hvcheck + and PSDM copyup pdifcheck labels DIFF - labels DIFFTXT text labels DIFFPIN port + labels DIFFTXT text layer pdiff pdiffarea - layer mvndiode DIFF - and NPLUS + layer mvndiode DIFF,barediff + and NSDM and DIODE - and THKOX + and HVI,hvcheck and-not POLY - and-not PPLUS + and-not PSDM and-not LVTN labels DIFF - layer nndiode DIFF - and NPLUS + layer nndiode DIFF,barediff + and NSDM and DIODE - and THKOX + and HVI,hvcheck and-not POLY - and-not PPLUS + and-not PSDM and LVTN labels DIFF templayer mvndiodearea DIODE - and NPLUS - and THKOX - and-not NWELL - copyup DIODE,NPLUS + and NSDM + and HVI,hvcheck + and-not NWELL,nwelcheck + copyup DIODE,NSDM layer mvndiffres DIFFRES - and NPLUS - and THKOX + and NSDM + and HVI,hvcheck labels DIFF - templayer mvpdiffarea DIFF,DIFFTXT,DIFFPIN + # Note: Not checking PSDM specifically, just lack of NSDM + templayer mvpdiffarea DIFF,DIFFTXT,DIFFPIN,barediff and-not POLY - and NWELL - and-not NPLUS - and THKOX + and NWELL,nwelcheck + and-not NSDM + and HVI,hvcheck and-not DIODE and-not DIFFRES - and PPLUS copyup mvpdifcheck labels DIFF - labels DIFFTXT text labels DIFFPIN port + labels DIFFTXT text layer mvpdiff mvpdiffarea @@ -1499,170 +2562,261 @@ style vendorimport templayer xpdifcheck pdifcheck copyup pdifcheck - layer pdiode DIFF - and PPLUS + layer pdiode DIFF,barediff + and PSDM and-not POLY - and-not NPLUS - and-not THKOX + and-not NSDM + and-not HVI,hvcheck and-not LVTN and-not HVTP and DIODE labels DIFF - layer pdiodelvt DIFF - and PPLUS + layer pdiodelvt DIFF,barediff + and PSDM and-not POLY - and-not NPLUS - and-not THKOX + and-not NSDM + and-not HVI,hvcheck and LVTN and-not HVTP and DIODE labels DIFF - layer pdiodehvt DIFF - and PPLUS + layer pdiodehvt DIFF,barediff + and PSDM and-not POLY - and-not NPLUS - and-not THKOX + and-not NSDM + and-not HVI,hvcheck and-not LVTN and HVTP and DIODE labels DIFF templayer pdiodearea DIODE - and PPLUS - and-not THKOX - copyup DIODE,PPLUS + and PSDM + and-not HVI,hvcheck + copyup DIODE,PSDM # Define pfet areas as known pdiff, regardless of the presence of a well. - templayer pfetarea DIFF - and-not NPLUS - and-not THKOX + templayer pfetarea DIFF,barediff and POLY + or baretrans + and-not NSDM + and-not HVI,hvcheck layer pfet pfetarea and-not LVTN and-not HVTP and-not STDCELL + and-not COREID labels DIFF layer scpfet pfetarea and-not LVTN and-not HVTP and STDCELL + and-not COREID + labels DIFF + + layer scpfethvt pfetarea + and-not LVTN + and HVTP + and STDCELL + labels DIFF + + layer ppu pfetarea + and-not LVTN + and HVTP + and COREID + # Shrink-grow operation eliminates the smaller parasitie device + # shrink 70 + # grow 70 labels DIFF layer pfetlvt pfetarea and LVTN labels DIFF + layer pfetmvt pfetarea + and HVTR + labels DIFF + layer pfethvt pfetarea and HVTP + and-not STDCELL + and-not COREID labels DIFF # Always force nwell under pfet (nwell encloses pdiff by 0.18) layer nwell pfetarea + and-not COREID grow 180 # Copy mvpdiff areas up for contact checks templayer mvxpdifcheck mvpdifcheck copyup mvpdifcheck - layer mvpdiode DIFF - and PPLUS + layer mvpdiode DIFF,barediff + and PSDM and-not POLY - and-not NPLUS - and THKOX + and-not NSDM + and HVI,hvcheck and DIODE labels DIFF templayer mvpdiodearea DIODE - and PPLUS - and THKOX - copyup DIODE,PPLUS + and PSDM + and HVI,hvcheck + copyup DIODE,PSDM # Define pfet areas as known pdiff, # regardless of the presence of a # well. - templayer mvpfetarea DIFF - and-not NPLUS - and THKOX + templayer mvpfetarea DIFF,barediff and POLY + or baretrans + and-not NSDM + and HVI,hvcheck layer mvpfet mvpfetarea + and-not ESDID + labels DIFF + + layer mvpfetesd mvpfetarea + and ESDID labels DIFF - layer pdiff DIFF,DIFFTXT,DIFFPIN - and-not NPLUS + layer pdiff DIFF,DIFFTXT,DIFFPIN,barediff + and-not NSDM and-not POLY - and-not THKOX + and-not HVI,hvcheck and-not DIODE and-not DIFFRES labels DIFF - labels DIFFTXT text labels DIFFPIN port + labels DIFFTXT text layer pdiffres DIFFRES - and PPLUS - and NWELL - and-not THKOX + and PSDM + and NWELL,nwelcheck + and-not HVI,hvcheck labels DIFF - layer nfet DIFF + layer nfet DIFF,barediff and POLY - and-not PPLUS - and NPLUS - and-not THKOX + or baretrans + and-not PSDM + and NSDM + and-not HVI,hvcheck and-not LVTN and-not SONOS and-not STDCELL + and-not COREID labels DIFF - layer scnfet DIFF + layer scnfet DIFF,barediff and POLY - and-not PPLUS - and NPLUS - and-not THKOX + or baretrans + and-not PSDM + and NSDM + and-not NWELL,nwelcheck + and-not HVI,hvcheck and-not LVTN and-not SONOS and STDCELL labels DIFF - layer nfetlvt DIFF + layer scnfetlvt DIFF,barediff + and POLY + or baretrans + and-not PSDM + and NSDM + and-not NWELL,nwelcheck + and-not HVI,hvcheck + and LVTN + and-not SONOS + and STDCELL + labels DIFF + + layer npass DIFF,barediff + and POLY + or baretrans + and-not PSDM + and NSDM + and-not NWELL,nwelcheck + and COREID + labels DIFF + + layer npd DIFF,barediff + and POLY + or baretrans + and-not PSDM + and NSDM + and-not NWELL,nwelcheck + and COREID + # Shrink-grow operation eliminates the smaller npass device + shrink 70 + grow 70 + labels DIFF + + # Devices abutting tap under gate are officially npd, not npass + layer npd TAP + grow 100 + and DIFF + and POLY + and-not PSDM + and NSDM + and-not NWELL,nwelcheck + and COREID + labels DIFF + + layer nfetlvt DIFF,barediff and POLY - and-not PPLUS - and NPLUS - and-not THKOX + or baretrans + and-not PSDM + and NSDM + and-not HVI,hvcheck and LVTN and-not SONOS + and-not STDCELL labels DIFF - layer nsonos DIFF + layer nsonos DIFF,barediff and POLY - and-not PPLUS - and NPLUS - and-not THKOX + or baretrans + and-not PSDM + and NSDM + and-not HVI,hvcheck and LVTN and SONOS labels DIFF - templayer nsdarea DIFF - and NPLUS - and NWELL + templayer nsdarea TAP,DIFF + and NSDM + and NWELL,nwelcheck and-not POLY - and-not PPLUS - and-not THKOX + and-not PSDM + and-not HVI,hvcheck + and-not CORELI copyup nsubcheck layer nsd nsdarea - labels DIFF + labels TAP + + layer nsd TAP,TAPTXT + and NSDM + and-not POLY + and-not HVI,hvcheck + labels TAP + labels TAPTXT text - layer nsd TAP,TAPPIN - and NPLUS + layer corenvar TAP + and NSDM + and POLY + and COREID labels TAP - labels TAPPIN port templayer nsdexpand nsdarea grow 500 @@ -1671,75 +2825,153 @@ style vendorimport templayer xnsubcheck nsubcheck copyup nsubcheck - templayer psdarea DIFF - and PPLUS - and-not NWELL + templayer psdarea TAP,DIFF + and PSDM + and-not NWELL,nwelcheck and-not POLY - and-not NPLUS - and-not THKOX + and-not NSDM + and-not HVI,hvcheck and-not pfetexpand copyup psubcheck layer psd psdarea - labels DIFF + labels TAP + + layer psd TAP + and PSDM + and-not POLY + and-not HVI,hvcheck + labels TAP + labels TAPTXT text - layer psd TAP,TAPPIN - and PPLUS - and-not THKOX + layer corepvar TAP + and PSDM + and POLY + and COREID labels TAP - labels TAPPIN port templayer psdexpand psdarea grow 500 - layer mvpdiff DIFF,DIFFTXT,DIFFPIN - and-not NPLUS + layer mvpdiff DIFF,DIFFTXT,DIFFPIN,barediff + and-not NSDM and-not POLY - and THKOX + and HVI,hvcheck and mvpfetexpand labels DIFF - labels DIFFTXT text labels DIFFPIN port + labels DIFFTXT text layer mvpdiffres DIFFRES - and PPLUS - and NWELL - and THKOX + and PSDM + and NWELL,nwelcheck + and HVI,hvcheck and-not mvrdpioedge labels DIFF - layer mvnfet DIFF + templayer mvnfetarea DIFF,barediff and POLY - and-not PPLUS - and NPLUS + or baretrans + and-not PSDM + and NSDM and-not LVTN - and THKOX - labels DIFF + and HVI,hvcheck + grow 350 - layer mvnnfet DIFF + templayer mvnnfetarea DIFF,TAP,barediff and POLY - and-not PPLUS - and NPLUS + or baretrans + and-not PSDM + and NSDM and LVTN - and THKOX + and HVI,hvcheck + and-not mvnfetarea + + layer mvnfetesd DIFF,barediff + and POLY + or baretrans + and-not PSDM + and NSDM + and HVI,hvcheck + and ESDID + and-not mvnnfetarea labels DIFF - templayer mvnsdarea DIFF - and NPLUS - and NWELL + layer mvnfet DIFF,barediff + and POLY + or baretrans + and-not PSDM + and NSDM + and HVI,hvcheck + and-not ESDID + and-not mvnnfetarea + labels DIFF + + layer nnfet mvnnfetarea + and LVID + labels DIFF + + layer mvnnfet mvnnfetarea + and-not LVID + labels DIFF + + templayer mvnsdarea TAP,DIFF + and NSDM + and NWELL,nwelcheck and-not POLY - and-not PPLUS - and THKOX + and-not PSDM + and HVI,hvcheck copyup mvnsubcheck layer mvnsd mvnsdarea - labels DIFF + labels TAP - layer mvnsd TAP,TAPPIN - and NPLUS - and THKOX + layer mvnsd TAP,TAPTXT + and NSDM + and HVI,hvcheck labels TAP - labels TAPPIN port + labels TAPTXT text + + # Fill in FET under extended drain + layer mvpfet EDID + and POLY + and-not DIFF + and-not TAP + and-not NWELL + + layer mvnfet EDID + and POLY + and-not DIFF + and-not TAP + and NWELL + + # Restrict where nwell is merged + templayer ldmos_nwell EDID + grow 1200 + and NWELL + + # Remove or add well under extended FET gate + layer nwell EDID + and POLY + and DIFF + and PSDM + grow 685 + or ldmos_nwell + grow 420 + shrink 420 + + layer pwell EDID + and POLY + and DIFF + and NSDM + grow 660 + grow 420 + shrink 420 + + layer ed EDID + and-not POLY + and-not DIFF + and-not TAP templayer mvnsdexpand mvnsdarea grow 500 @@ -1748,23 +2980,23 @@ style vendorimport templayer mvxnsubcheck mvnsubcheck copyup mvnsubcheck - templayer mvpsdarea DIFF - and PPLUS - and-not NWELL + templayer mvpsdarea TAP,DIFF,barediff + and PSDM + and-not NWELL,nwelcheck and-not POLY - and-not NPLUS - and THKOX + and-not NSDM + and HVI,hvcheck and-not mvpfetexpand copyup mvpsubcheck layer mvpsd mvpsdarea labels DIFF - layer mvpsd TAP,TAPPIN - and PPLUS - and THKOX + layer mvpsd TAP,TAPTXT + and PSDM + and HVI,hvcheck labels TAP - labels TAPPIN port + labels TAPTXT text templayer mvpsdexpand mvpsdarea grow 500 @@ -1776,125 +3008,141 @@ style vendorimport templayer mvxpsubcheck mvpsubcheck copyup mvpsubcheck - layer psd DIFF - and-not PPLUS - and-not NPLUS + layer psd TAP + and-not PSDM + and-not NSDM and-not POLY - and-not THKOX + and-not HVI,hvcheck and-not pfetexpand and psdexpand - layer nsd DIFF - and-not PPLUS - and-not NPLUS + layer nsd TAP + and-not PSDM + and-not NSDM and-not POLY - and-not THKOX + and-not HVI,hvcheck and nsdexpand - layer mvpsd DIFF - and-not PPLUS - and-not NPLUS + layer mvpsd TAP + and-not PSDM + and-not NSDM and-not POLY - and THKOX + and HVI,hvcheck and-not mvpfetexpand and mvpsdexpand - layer mvnsd DIFF - and-not PPLUS - and-not NPLUS + layer mvnsd TAP + and-not PSDM + and-not NSDM and-not POLY - and THKOX + and HVI,hvcheck and mvnsdexpand - templayer hresarea POLY - and RPM - grow 3000 - - templayer uresarea POLY - and URPM - grow 3000 +# The following recipes deal with DIFFRES layers expanded over large areas +# outside the resistor. Find areas which may have been accidentally +# captured as a resistor which were supposed to be transistors. templayer diffresarea DIFFRES - and-not THKOX - grow 3000 - - templayer mvdiffresarea DIFFRES - and THKOX + and-not HVI,hvcheck grow 3000 - templayer resarea diffresarea,mvdiffresarea,hresarea,uresarea + layer pfet DIFF + and diffresarea + and POLY + and-not NSDM + and-not STDCELL + and-not HVI - layer pfet POLY - and DIFF + layer mvpfet DIFF and diffresarea - and-not NPLUS + and POLY + and-not NSDM and-not STDCELL + and HVI - layer scpfet POLY + layer scpfet STDCELL + and POLY + and diffresarea and DIFF + and-not NSDM + and-not HVTP + + layer scpfethvt STDCELL + and POLY and diffresarea - and-not NPLUS - and STDCELL + and DIFF + and-not NSDM + and HVTP + +# End of resistor area hack templayer xpolyterm RPM,URPM and POLY and-not POLYRES - # add back the 0.06um contact surround in the direction of the resistor - grow 60 + # add back the 0.08um contact surround in the direction of the resistor + grow 80 and POLY layer xpc xpolyterm - templayer polyarea POLY + templayer polyarea POLY,POLYTXT,POLYPIN and-not POLYRES and-not POLYSHORT and-not DIFF + and-not TAP and-not RPM and-not URPM + + templayer polycontarea polyarea + # Remove areas too small for a contact + shrink 130 + grow 130 copyup polycheck - layer poly polyarea,POLYTXT,POLYPIN + layer poly polyarea labels POLY - labels POLYTXT text labels POLYPIN port + labels POLYTXT text # Copy (non-resistor) poly areas up for contact checks templayer xpolycheck polycheck copyup polycheck - layer mrp1 POLY - and POLYRES + layer mrp1 POLYRES + and POLY and-not RPM and-not URPM labels POLY - layer rmp POLY - and POLYSHORT + layer rmp POLYSHORT + and POLY labels POLY - layer xhrpoly POLY + layer xhrpoly RPM and POLYRES - and RPM + and POLY and-not URPM - and PPLUS + and PSDM and NPC and-not xpolyterm labels POLY - layer uhrpoly POLY + layer uhrpoly URPM and POLYRES - and URPM + and POLY and-not RPM and NPC and-not xpolyterm labels POLY templayer ndcbase CONT - and DIFF - and NPLUS - and-not NWELL + or barecont and LI - and-not THKOX + or barelicont + and DIFF + and NSDM + and-not NWELL,nwelcheck + and-not HVI,hvcheck layer ndc ndcbase grow 85 @@ -1905,11 +3153,13 @@ style vendorimport labels CONT templayer nscbase CONT - and DIFF,TAP - and NPLUS - and NWELL + or barecont and LI - and-not THKOX + or barelicont + and DIFF,TAP + and NSDM + and NWELL,nwelcheck + and-not HVI,hvcheck layer nsc nscbase grow 85 @@ -1920,11 +3170,13 @@ style vendorimport labels CONT templayer pdcbase CONT - and DIFF - and PPLUS - and NWELL + or barecont and LI - and-not THKOX + or barelicont + and DIFF + and PSDM + and NWELL,nwelcheck + and-not HVI,hvcheck layer pdc pdcbase grow 85 @@ -1935,11 +3187,13 @@ style vendorimport labels CONT templayer pdcnowell CONT + or barecont + and LI + or barelicont and DIFF - and PPLUS + and PSDM and pfetexpand - and LI - and-not THKOX + and-not HVI,hvcheck layer pdc pdcnowell grow 85 @@ -1950,12 +3204,14 @@ style vendorimport labels CONT templayer pscbase CONT + or barecont + and LI + or barelicont and DIFF,TAP - and PPLUS - and-not NWELL + and PSDM + and-not NWELL,nwelcheck and-not pfetexpand - and LI - and-not THKOX + and-not HVI,hvcheck layer psc pscbase grow 85 @@ -1966,10 +3222,12 @@ style vendorimport labels CONT templayer pcbase CONT + or barecont + and LI + or barelicont and POLY and-not DIFF and-not RPM,URPM - and LI layer pc pcbase grow 85 @@ -1980,12 +3238,16 @@ style vendorimport labels CONT templayer ndicbase CONT + or barecont + and LI + or barelicont and DIFF - and NPLUS + and NSDM and DIODE + and-not NWELL,nwelcheck and-not POLY - and-not PPLUS - and-not THKOX + and-not PSDM + and-not HVI,hvcheck and-not LVTN layer ndic ndicbase @@ -1997,12 +3259,16 @@ style vendorimport labels CONT templayer ndilvtcbase CONT + or barecont + and LI + or barelicont and DIFF - and NPLUS + and NSDM and DIODE + and-not NWELL,nwelcheck and-not POLY - and-not PPLUS - and-not THKOX + and-not PSDM + and-not HVI,hvcheck and LVTN layer ndilvtc ndilvtcbase @@ -2014,12 +3280,15 @@ style vendorimport labels CONT templayer pdicbase CONT + or barecont + and LI + or barelicont and DIFF - and PPLUS + and PSDM and DIODE and-not POLY - and-not NPLUS - and-not THKOX + and-not NSDM + and-not HVI,hvcheck and-not LVTN and-not HVTP @@ -2032,12 +3301,15 @@ style vendorimport labels CONT templayer pdilvtcbase CONT + or barecont + and LI + or barelicont and DIFF - and PPLUS + and PSDM and DIODE and-not POLY - and-not NPLUS - and-not THKOX + and-not NSDM + and-not HVI,hvcheck and LVTN and-not HVTP @@ -2050,12 +3322,15 @@ style vendorimport labels CONT templayer pdihvtcbase CONT + or barecont + and LI + or barelicont and DIFF - and PPLUS + and PSDM and DIODE and-not POLY - and-not NPLUS - and-not THKOX + and-not NSDM + and-not HVI,hvcheck and-not LVTN and HVTP @@ -2068,11 +3343,13 @@ style vendorimport labels CONT templayer mvndcbase CONT - and DIFF - and NPLUS - and-not NWELL + or barecont and LI - and THKOX + or barelicont + and DIFF + and NSDM + and-not NWELL,nwelcheck + and HVI,hvcheck layer mvndc mvndcbase grow 85 @@ -2083,11 +3360,13 @@ style vendorimport labels CONT templayer mvnscbase CONT - and DIFF,TAP - and NPLUS - and NWELL + or barecont and LI - and THKOX + or barelicont + and DIFF,TAP + and NSDM + and NWELL,nwelcheck + and HVI,hvcheck layer mvnsc mvnscbase grow 85 @@ -2097,12 +3376,15 @@ style vendorimport or mvnscbase labels CONT + # See note above: Not checking PSDM templayer mvpdcbase CONT - and DIFF - and PPLUS - and NWELL + or barecont and LI - and THKOX + or barelicont + and DIFF + and-not NSDM + and NWELL,nwelcheck + and HVI,hvcheck layer mvpdc mvpdcbase grow 85 @@ -2113,11 +3395,14 @@ style vendorimport labels CONT templayer mvpdcnowell CONT + or barecont + and LI + or barelicont and DIFF - and PPLUS + and PSDM and mvpfetexpand and MET1 - and THKOX + and HVI,hvcheck layer mvpdc mvpdcnowell grow 85 @@ -2127,13 +3412,16 @@ style vendorimport or mvpdcnowell labels CONT + # Note: Not checking PSDM specifically, just lack of NSDM templayer mvpscbase CONT + or barecont + and LI + or barelicont and DIFF,TAP - and PPLUS - and-not NWELL + and-not NSDM + and-not NWELL,nwelcheck and-not mvpfetexpand - and LI - and THKOX + and HVI,hvcheck layer mvpsc mvpscbase grow 85 @@ -2144,13 +3432,16 @@ style vendorimport labels CONT templayer mvndicbase CONT + or barecont + and LI + or barelicont and DIFF - and NPLUS + and NSDM and DIODE and-not POLY - and-not PPLUS + and-not PSDM and-not LVTN - and THKOX + and HVI,hvcheck layer mvndic mvndicbase grow 85 @@ -2161,13 +3452,16 @@ style vendorimport labels CONT templayer nndicbase CONT + or barecont + and LI + or barelicont and DIFF - and NPLUS + and NSDM and DIODE and-not POLY - and-not PPLUS + and-not PSDM and LVTN - and THKOX + and HVI,hvcheck layer nndic nndicbase grow 85 @@ -2178,12 +3472,15 @@ style vendorimport labels CONT templayer mvpdicbase CONT + or barecont + and LI + or barelicont and DIFF - and PPLUS + and PSDM and DIODE and-not POLY - and-not NPLUS - and THKOX + and-not NSDM + and HVI,hvcheck layer mvpdic mvpdicbase grow 85 @@ -2193,25 +3490,37 @@ style vendorimport or mvpdicbase labels CONT - layer locali LI,LITXT,LIPIN - and-not LIRES,LISHORT - and-not COREID - labels LI - labels LITXT text - labels LIPIN port + layer fomfill FOMFILL + or DIFFFILLALT + labels FOMFILL,DIFFFILLALT + + layer polyfill POLYFILL + or POLYFILLALT + labels POLYFILL,POLYFILLALT layer coreli LI,LITXT,LIPIN and-not LIRES,LISHORT and COREID labels LI + labels LIPIN port labels LITXT text + + layer locali LI,LITXT,LIPIN + and-not LIRES,LISHORT + and-not COREID + labels LI labels LIPIN port + labels LITXT text layer rli LI and LIRES,LISHORT labels LIRES,LISHORT - layer lic MCON + layer lifill LIFILL + or LIFILLALT + labels LIFILL,LIFILLALT + + layer mcon MCON grow 95 shrink 95 shrink 85 @@ -2222,13 +3531,17 @@ style vendorimport layer m1 MET1,MET1TXT,MET1PIN and-not MET1RES,MET1SHORT labels MET1 - labels MET1TXT text labels MET1PIN port + labels MET1TXT text layer rm1 MET1 and MET1RES,MET1SHORT labels MET1RES,MET1SHORT + layer m1fill MET1FILL + or MET1FILLALT + labels MET1FILL,MET1FILLALT + layer mimcap MET3 and CAPM labels CAPM @@ -2252,8 +3565,12 @@ style vendorimport labels CAPM2 + templayer m2cbase VIA1 - grow 55 + and-not COREID + grow 5 + or VIA1 + grow 50 layer m2c m2cbase grow 30 @@ -2265,13 +3582,17 @@ style vendorimport layer m2 MET2,MET2TXT,MET2PIN and-not MET2RES,MET2SHORT labels MET2 - labels MET2TXT text labels MET2PIN port + labels MET2TXT text layer rm2 MET2 and MET2RES,MET2SHORT labels MET2RES,MET2SHORT + layer m2fill MET2FILL + or MET2FILLALT + labels MET2FILL,MET2FILLALT + templayer m3cbase VIA2 grow 40 @@ -2284,15 +3605,18 @@ style vendorimport layer m3 MET3,MET3TXT,MET3PIN and-not MET3RES,MET3SHORT - and-not CAPM labels MET3 - labels MET3TXT text labels MET3PIN port + labels MET3TXT text layer rm3 MET3 and MET3RES,MET3SHORT labels MET3RES,MET3SHORT + layer m3fill MET3FILL + or MET3FILLALT + labels MET3FILL,MET3FILLALT + templayer via3base VIA3 and-not CAPM @@ -2307,25 +3631,32 @@ style vendorimport layer m4 MET4,MET4TXT,MET4PIN and-not MET4RES,MET4SHORT - and-not CAPM2 labels MET4 - labels MET4TXT text labels MET4PIN port + labels MET4TXT text layer rm4 MET4 and MET4RES,MET4SHORT labels MET4RES,MET4SHORT + layer m4fill MET4FILL + or MET4FILLALT + labels MET4FILL,MET4FILLALT + layer m5 MET5,MET5TXT,MET5PIN and-not MET5RES,MET5SHORT labels MET5 - labels MET5TXT text labels MET5PIN port + labels MET5TXT text layer rm5 MET5 and MET5RES,MET5SHORT labels MET5RES,MET5SHORT + layer m5fill MET5FILL + or MET5FILLALT + labels MET5FILL,MET5FILLALT + templayer via4base VIA4 and-not CAPM2 grow 190 @@ -2337,30 +3668,38 @@ style vendorimport grow 590 or via4base + layer metrdl RDL,RDLTXT,RDLPIN + labels RDL + labels RDLPIN port + labels RDLTXT text # Find diffusion not covered in - # NPLUS or PPLUS and pull it into + # NSDM or PSDM and pull it into # the next layer up templayer gentrans DIFF - and-not PPLUS - and-not NPLUS + and-not PSDM + and-not NSDM and POLY - copyup DIFF,POLY + copyup baretrans templayer gendiff DIFF,TAP - and-not PPLUS - and-not NPLUS + and-not PSDM + and-not NSDM and-not POLY - copyup DIFF + and-not COREID + copyup barediff # Handle contacts found by copyup templayer ndiccopy CONT and LI and DIODE - and NPLUS - and-not THKOX + and DIFF + and-not NWELL,nwelcheck + and NSDM + and-not LVTN + and-not HVI,hvcheck layer ndic ndiccopy grow 85 @@ -2373,8 +3712,11 @@ style vendorimport templayer mvndiccopy CONT and LI and DIODE - and NPLUS - and THKOX + and DIFF + and-not NWELL,nwelcheck + and NSDM + and-not LVTN + and HVI,hvcheck layer mvndic mvndiccopy grow 85 @@ -2387,8 +3729,9 @@ style vendorimport templayer pdiccopy CONT and LI and DIODE - and PPLUS - and-not THKOX + and DIFF + and PSDM + and-not HVI,hvcheck layer pdic pdiccopy grow 85 @@ -2401,8 +3744,8 @@ style vendorimport templayer mvpdiccopy CONT and LI and DIODE - and PPLUS - and THKOX + and PSDM + and HVI,hvcheck layer mvpdic mvpdiccopy grow 85 @@ -2515,7 +3858,7 @@ style vendorimport # metal and pull them into the # next layer up - templayer gencont CONT + templayer barelicont CONT and LI and-not DIFF,TAP and-not POLY @@ -2524,7 +3867,8 @@ style vendorimport and-not psubcheck and-not mvnsubcheck and-not mvpsubcheck - copyup CONT,LI + and-not CORELI + copyup barelicont templayer barecont CONT and-not LI @@ -2532,12 +3876,13 @@ style vendorimport and-not psubcheck and-not mvnsubcheck and-not mvpsubcheck - copyup CONT + and-not CORELI + copyup barecont layer glass GLASS,PADTXT,PADPIN labels GLASS - labels PADTXT text labels PADPIN port + labels PADTXT text templayer boundary BOUND,STDCELL,PADCELL boundary @@ -2548,54 +3893,93 @@ style vendorimport layer comment TTEXT labels TTEXT text - layer fillblock FILLOBSM1,FILLOBSM2,FILLOBSM3,FILLOBSM4 - labels FILLOBSM1,FILLOBSM2,FILLOBSM3,FILLOBSM4 +# Do not infer generic "fillblock" from GDS input +# layer fillblock FILLOBSM5 +# and FILLOBSM1,FILLOBSM2,FILLOBSM3,FILLOBSM4 + +# Use the obstruction types for fill block on individual layers + templayer obspoly FILLOBSPOLY,POLYBLOCK + and-not POLY + labels FILLOBSPOLY + + layer obsactive FILLOBSFOM,DIFFBLOCK + and-not DIFF,TAP + or obspoly + labels FILLOBSFOM + + layer obsli LIBLOCK + and-not LI + labels LIBLOCK + + layer obsm1 FILLOBSM1,MET1BLOCK + and-not MET1 + labels FILLOBSM1,MET1BLOCK + + layer obsm2 FILLOBSM2,MET2BLOCK + and-not MET2 + labels FILLOBSM2,MET2BLOCK + + layer obsm3 FILLOBSM3,MET3BLOCK + and-not MET3 + labels FILLOBSM3,MET3BLOCK + + layer obsm4 FILLOBSM4,MET4BLOCK + and-not MET4 + labels FILLOBSM4,MET4BLOCK + + layer obsm5 FILLOBSM5,MET5BLOCK + and-not MET5 + labels FILLOBSM5,MET5BLOCK # MOS Varactor layer var POLY - and DIFF - and NPLUS - and NWELL - and-not THKOX + and TAP + and NSDM + and NWELL,nwelcheck + and-not HVI,hvcheck and-not HVTP - grow 25 + # NOTE: Else forms a varactor that is not in the vendor netlist. + and-not COREID labels POLY layer varhvt POLY - and DIFF - and NPLUS - and NWELL - and-not THKOX + and TAP + and NSDM + and NWELL,nwelcheck + and-not HVI,hvcheck and HVTP - grow 25 labels POLY layer mvvar POLY - and DIFF - and NPLUS - and NWELL - and THKOX - grow 25 + and TAP + and NSDM + and NWELL,nwelcheck + and HVI,hvcheck labels POLY calma NWELL 64 20 calma DIFF 65 20 + calma TAP 65 44 calma DNWELL 64 18 + calma SUBCUT 81 53 calma PWRES 64 13 - calma TAP 65 44 # LVTN calma LVTN 125 44 + # HVTR + calma HVTR 18 20 # HVTP calma HVTP 78 44 # SONOS (TUNM) calma SONOS 80 20 - # NPLUS = NSDM - calma NPLUS 93 44 - # PPLUS = PSDM - calma PPLUS 94 20 - # HVI - calma THKOX 75 20 + # NSDM (NPLUS) + calma NSDM 93 44 + # PSDM (PPLUS) + calma PSDM 94 20 + # HVI (THKOX) + calma HVI 75 20 + # EDID + calma EDID 81 57 # NPC calma NPC 95 20 # P+ POLY MASK @@ -2609,16 +3993,20 @@ style vendorimport calma DIFFRES 65 13 calma POLY 66 20 calma POLYMOD 66 83 + # 3.3V native FET ID mark + calma LVID 81 60 # Diode ID mark calma DIODE 81 23 # Bipolar NPN mark calma NPNID 82 20 # Bipolar PNP mark - calma PNPID 82 20 + calma PNPID 82 44 # Capacitor ID calma CAPID 82 64 # Core area ID mark calma COREID 81 2 + # Photodiode ID mark + calma PHOTO 81 81 # Standard cell ID mark calma STDCELL 81 4 # Padframe cell ID mark @@ -2627,6 +4015,17 @@ style vendorimport calma SEALID 81 1 # Low tap density ID mark calma LOWTAPDENSITY 81 14 + # ESD area ID + calma ESDID 81 19 + # Various unused layers + calma OUTLINE 236 0 + calma POLYCUT 66 14 + calma POLYGATE 66 9 + calma DIFFCUT 65 14 + calma HVNWELLID 81 63 + calma PADDIFFID 81 6 + calma PADMETALID 81 8 + calma PADCENTERID 81 20 # LICON calma CONT 66 44 @@ -2642,20 +4041,22 @@ style vendorimport calma MET4 71 20 calma VIA4 71 44 calma MET5 72 20 + calma RDL 74 20 calma GLASS 76 20 - calma SUBPIN 64 59 - calma PADPIN 76 5 - calma DIFFPIN 65 6 - calma TAPPIN 65 5 - calma WELLPIN 64 5 - calma LIPIN 67 5 - calma POLYPIN 66 5 - calma MET1PIN 68 5 - calma MET2PIN 69 5 - calma MET3PIN 70 5 - calma MET4PIN 71 5 - calma MET5PIN 72 5 + calma SUBTXT 64 59 + calma PADTXT 76 5 + calma DIFFTXT 65 6 + calma TAPTXT 65 5 + calma WELLTXT 64 5 + calma LITXT 67 5 + calma POLYTXT 66 5 + calma MET1TXT 68 5 + calma MET2TXT 69 5 + calma MET3TXT 70 5 + calma MET4TXT 71 5 + calma MET5TXT 72 5 + calma RDLTXT 74 5 calma LIRES 67 13 calma MET1RES 68 13 @@ -2664,6 +4065,15 @@ style vendorimport calma MET4RES 71 13 calma MET5RES 72 13 + calma FOMFILL 23 28 + calma POLYFILL 28 28 + calma LIFILL 56 28 + calma MET1FILL 36 28 + calma MET2FILL 41 28 + calma MET3FILL 34 28 + calma MET4FILL 51 28 + calma MET5FILL 59 28 + calma POLYSHORT 66 15 calma LISHORT 67 15 calma MET1SHORT 68 15 @@ -2672,17 +4082,18 @@ style vendorimport calma MET4SHORT 71 15 calma MET5SHORT 72 15 - calma SUBTXT 122 16 - calma PADTXT 76 16 - calma DIFFTXT 65 16 - calma POLYTXT 66 16 - calma WELLTXT 64 16 - calma LITXT 67 16 - calma MET1TXT 68 16 - calma MET2TXT 69 16 - calma MET3TXT 70 16 - calma MET4TXT 71 16 - calma MET5TXT 72 16 + calma SUBPIN 122 16 + calma PADPIN 76 16 + calma DIFFPIN 65 16 + calma POLYPIN 66 16 + calma WELLPIN 64 16 + calma LIPIN 67 16 + calma MET1PIN 68 16 + calma MET2PIN 69 16 + calma MET3PIN 70 16 + calma MET4PIN 71 16 + calma MET5PIN 72 16 + calma RDLPIN 74 16 calma BOUND 235 4 @@ -2691,10 +4102,51 @@ style vendorimport calma CAPM 89 44 calma CAPM2 97 44 + # Obstruction (fill block) layers + calma FILLOBSFOM 22 24 + calma FILLOBSPOLY 33 24 calma FILLOBSM1 62 24 calma FILLOBSM2 105 52 calma FILLOBSM3 107 24 - calma FILLOBSM4 112 4 + calma FILLOBSM4 112 4 + calma FILLOBSM5 117 4 + + # Alternate layer purpose used for fill + # which uses the regular layer numbers. + calma DIFFFILLALT 65 99 + calma POLYFILLALT 66 99 + calma LIFILLALT 67 99 + calma MET1FILLALT 68 99 + calma MET2FILLALT 69 99 + calma MET3FILLALT 70 99 + calma MET4FILLALT 71 99 + calma MET5FILLALT 72 99 + + # Alternate layer purpose used for obstruction (fill block) + calma DIFFBLOCK 65 98 + calma POLYBLOCK 66 98 + calma LIBLOCK 67 98 + calma MET1BLOCK 68 98 + calma MET2BLOCK 69 98 + calma MET3BLOCK 70 98 + calma MET4BLOCK 71 98 + calma MET5BLOCK 72 98 + +#----------------------------------------------------------------------- + +style rdlimport + # This style is for reading shapes generated with the RDL layers + + scalefactor 10 nanometers + gridlimit 5 + + options ignore-unknown-layer-labels no-reconnect-labels + + layer mrdl RDL + layer mrdlc RDLC + + calma RDL 10 0 + calma RDLC 20 0 end @@ -2712,9 +4164,7 @@ end drc style drc variants (fast),(full),(routing) - scalefactor 10 - cifstyle drc variants (fast),(full) @@ -2723,110 +4173,249 @@ drc # DNWELL #----------------------------- - # ORIGINAL RULE: width dnwell 3000 "Deep N-well width < %d (Dnwell 2)" - width dnwell 3000 "Deep N-well width < %d (Dnwell 2) [paint dnwell]" - spacing dnwell dnwell 6300 touching_ok "Deep N-well spacing < %d (Dnwell 3) [erase dnwell]" - spacing dnwell allnwell 4500 surround_ok "Deep N-well spacing to N-well < %d (Nwell 7) [erase dnwell,nwell obswell]" + # ORIGINAL RULE: width dnwell 3000 "Deep N-well width < %d (dnwell.2)" + width dnwell 3000 "Deep N-well width < %d (dnwell.2) [paint dnwell]" + spacing dnwell dnwell 6300 touching_ok "Deep N-well spacing < %d (dnwell.3) [erase dnwell]" + spacing allnwell dnwell 4500 surround_ok "Deep N-well spacing to N-well < %d (nwell.7) [erase nbase nwell obswell,dnwell]" + + variants (full) cifmaxwidth nwell_missing 0 bend_illegal \ - "N-well overlap of Deep N-well < 0.4um outside, 1.03um inside (Nwell 5a, 7)" + "N-well overlap of Deep N-well < 0.4um outside, 1.03um inside (nwell.5a, 7)" cifmaxwidth dnwell_missing 0 bend_illegal \ - "SONOS nFET must be in Deep N-well (Tunm 6a)" + "SONOS nFET must be in Deep N-well (tunm.6a)" + + cifmaxwidth pdiff_crosses_dnwell 0 bend_illegal \ + "P+ diff cannot straddle Deep N-well (dnwell.5)" + variants (fast),(full) + + # ORIGINAL RULE: width photo 3000 "Photodiode width < %d (photo.2)" + width photo 3000 "Photodiode width < %d (photo.2) [paint photodiode]" + spacing photo photo 5000 touching_ok "Photodiode spacing < %d (photo.3) [erase photodiode]" + spacing photo dnwell 5300 touching_illegal "Photodiode spacing to deep nwell < %d (photo.4) [erase photodiode,dnwell]" #----------------------------- # NWELL #----------------------------- - # ORIGINAL RULE: width allnwell 840 "N-well width < %d (Nwell 1)" - width allnwell 840 "N-well width < %d (Nwell 1) [paint nwell,obswell]" - spacing allnwell allnwell 1270 touching_ok "N-well spacing < %d (Nwell 2a) [erase nwell obswell]" + # ORIGINAL RULE: width allnwell 840 "N-well width < %d (nwell.1)" + width allnwell 840 "N-well width < %d (nwell.1) [paint nbase,nwell,obswell]" + spacing allnwell allnwell 1270 touching_ok "N-well spacing < %d (nwell.2a) [erase nbase nwell obswell]" + + variants (full) + cifmaxwidth nwell_missing_tap 0 bend_illegal \ + "All nwells must contain metal-connected N+ taps (nwell.4)" + + cifspacing mvnwell lvnwell 2000 touching_illegal \ + "Spacing of HV nwell to LV nwell < 2.0um (nwell.8)" + cifspacing mvnwell mvnwell 2000 touching_ok \ + "Spacing of HV nwell to HV nwell < 2.0um (nwell.8)" + + cifmaxwidth lvdiff_in_mvnwell 0 bend_illegal \ + "All HV nwell can contain only HV diffusion (diff/tap.21)" + + variants (fast),(full) #----------------------------- # DIFF #----------------------------- - width *ndiff,nfet,scnfet,*nsd,*ndiode,ndiffres,*pdiff,pfet,scpfet,*psd,*pdiode,pdiffres \ - 150 "Diffusion width < %d (Diff/tap 1)" - width *mvndiff,mvnfet,mvnnfet,*mvndiode,*nndiode,mvndiffres,*mvpdiff,mvpfet,*mvpdiode 290 \ - "MV Diffusion width < %d (Diff/tap 14)" - # ORIGINAL RULE: width *mvnsd,*mvpsd 150 "MV Tap width < %d (Diff/tap 1)" - width *mvnsd,*mvpsd 150 "MV Tap width < %d (Diff/tap 1) [paint mvnsubstratencontact,mvnsubstratendiff,mvpsubstratepcontact,mvpsubstratepdiff]" - extend *mvpsd *mvndiff 700 "MV Butting tap length < %d (Diff/tap 16)" - extend *mvnsd *mvpdiff 700 "MV Butting tap length < %d (Diff/tap 16)" - extend *psd *ndiff 290 "Butting tap length < %d (Diff/tap 4)" - extend *nsd *pdiff 290 "Butting tap length < %d (Diff/tap 4)" - # ORIGINAL RULE: width mvpdiffres 150 "MV P-Diffusion resistor width < %d (Diff/tap 14a)" - width mvpdiffres 150 "MV P-Diffusion resistor width < %d (Diff/tap 14a) [paint mvpdiffres]" - spacing alldifflv,var,varhvt alldifflv,var,varhvt 270 touching_ok "Diffusion spacing < %d (Diff/tap 3) [erase ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt psubstratepcontact psubstratepdiff ptransistor scntransistor scptransistor varactor varactorhvt]" - spacing alldiffmvnontap,mvvar alldiffmvnontap,mvvar 300 touching_ok "MV Diffusion spacing < %d (Diff/tap 15a) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvptransistor mvvaractor nndiode nndiodec]" - spacing alldiffmv *mvnsd,*mvpsd 270 touching_ok "MV Diffusion to MV tap spacing < %d (Diff/tap 3) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor nndiode nndiodec,mvnsubstratencontact mvnsubstratendiff mvpsubstratepcontact mvpsubstratepdiff]" - spacing *mvndiff,mvnfet,mvnnfet,*mvndiode,*nndiode,mvndiffres,mvvar *mvpsd 370 touching_ok "MV P-Diffusion to MV N-tap spacing < %d (Diff/tap 15b) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvvaractor nndiode nndiodec,mvpsubstratepcontact mvpsubstratepdiff]" - spacing *mvnsd,*mvpdiff,mvpfet,mvvar,*mvpdiode *mvpsd,*psd 760 touching_illegal "MV Diffusion in N-well to P-tap spacing < %d (Diff/tap 20 + Diff/tap 17,19) [erase mvnsubstratencontact mvnsubstratendiff mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor mvvaractor,mvpsubstratepcontact mvpsubstratepdiff psubstratepcontact psubstratepdiff]" - spacing *ndiff,*ndiode,nfet allnwell 340 touching_illegal "N-Diffusion spacing to N-well < %d (Diff/tap 9) [erase ndcontact ndiffusion ndiode ndiodec ntransistor,nwell obswell]" - spacing *mvndiff,*mvndiode,mvnfet,mvnnfet allnwell 340 touching_illegal "N-Diffusion spacing to N-well < %d (Diff/tap 9) [erase mvndcontact mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor,nwell obswell]" - spacing *psd allnwell 130 touching_illegal "P-tap spacing to N-well < %d (Diff/tap 11) [erase psubstratepcontact psubstratepdiff,nwell obswell]" - spacing *mvpsd allnwell 130 touching_illegal "P-tap spacing to N-well < %d (Diff/tap 11) [erase mvpsubstratepcontact mvpsubstratepdiff,nwell obswell]" - surround *nsd allnwell 180 absence_illegal "N-well overlap of N-tap < %d (Diff/tap 10) [erase nsubstratencontact nsubstratendiff,nwell obswell]" - surround *mvnsd allnwell 330 absence_illegal "N-well overlap of MV N-tap < %d (Diff/tap 19) [erase mvnsubstratencontact mvnsubstratendiff,nwell obswell]" - surround *pdiff,*pdiode,pfet,scpfet allnwell 180 absence_illegal "N-well overlap of P-Diffusion < %d (Diff/tap 8) [erase pdcontact pdiffusion pdiode pdiodec ptransistor scptransistor,nwell obswell]" - surround *mvpdiff,*mvpdiode,mvpfet allnwell 330 absence_illegal "N-well overlap of P-Diffusion < %d (Diff/tap 17) [erase mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor,nwell obswell]" - surround mvvar allnwell 560 absence_illegal "N-well overlap of MV varactor < %d (LVTN 10 + LVTN 4b) [erase mvvaractor,nwell obswell]" - spacing *mvndiode *mvndiode 1070 touching_ok "MV N-diode spacing < %d (HVNTM.2 + 2 * HVNTM.3) [erase mvndiode mvndiodec]" + width *ndiff,nfet,scnfet,scnfetlvt,npd,npass,*nsd,*ndiode,ndiffres,*pdiff,pfet,scpfet,scpfethvt,ppu,*psd,*pdiode,pdiffres,fomfill \ + 150 "Diffusion width < %d (diff/tap.1)" + width *mvndiff,mvnfet,mvnfetesd,mvnnfet,nnfet,*mvndiode,*nndiode,mvndiffres,*mvpdiff,mvpfet,mvpfetesd,*mvpdiode,mvpdiffres 290 \ + "MV Diffusion width < %d (diff/tap.14)" + + # ORIGINAL RULE: width *mvnsd,*mvpsd 150 "MV Tap width < %d (diff/tap.1)" + width *mvnsd,*mvpsd 150 "MV Tap width < %d (diff/tap.1) [paint mvnsubstratencontact,mvnsubstratendiff,mvpsubstratepcontact,mvpsubstratepdiff]" + extend *mvpsd *mvndiff 700 "MV Butting tap length < %d (diff/tap.16)" + extend *mvnsd *mvpdiff 700 "MV Butting tap length < %d (diff/tap.16)" + extend *psd *ndiff 290 "Butting tap length < %d (diff/tap.4)" + extend *nsd *pdiff 290 "Butting tap length < %d (diff/tap.4)" + # ORIGINAL RULE: width mvpdiffres 150 "MV P-Diffusion resistor width < %d (diff/tap.14a)" + width mvpdiffres 150 "MV P-Diffusion resistor width < %d (diff/tap.14a) [paint mvpdiffres]" + spacing alldifflv,var,varhvt,corenvar,corepvar,fomfill \ + alldifflv,var,varhvt,corenvar,corepvar,fomfill 270 touching_ok \ + "Diffusion spacing < %d (diff/tap.3)" + spacing alldifflv,var,varhvt alldiffmv,mvvar 270 touching_illegal "LV to MV Diffusion spacing < %d (diff/tap.3) [erase ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt pfetmvt psubstratepcontact psubstratepdiff ptransistor scnfetlvt scntransistor scpfethvt scptransistor sramnfet srampassfet srampfet varactor varactorhvt,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvntransistoresd mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvptransistoresd mvvaractor nndiode nndiodec nntransistor]" + spacing alldiffmvnontap,mvvar alldiffmvnontap,mvvar 300 touching_ok "MV Diffusion spacing < %d (diff/tap.15a) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvntransistoresd mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvptransistor mvptransistoresd mvvaractor nndiode nndiodec nntransistor]" + spacing alldiffmv *mvnsd,*mvpsd 270 touching_ok "MV Diffusion to MV tap spacing < %d (diff/tap.3) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvntransistoresd mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvptransistoresd nndiode nndiodec nntransistor,mvnsubstratencontact mvnsubstratendiff mvpsubstratepcontact mvpsubstratepdiff]" + spacing *mvndiff,mvnfet,mvnfetesd,mvnnfet,nnfet,*mvndiode,*nndiode,mvndiffres,mvvar \ + *mvpsd 370 touching_ok \ + "MV P-Diffusion to MV N-tap spacing < %d (diff/tap.15b)" + spacing *mvnsd,*mvpdiff,mvpfet,mvpfetesd,mvvar,*mvpdiode *mvpsd,*psd 760 touching_illegal "MV Diffusion in N-well to P-tap spacing < %d (diff/tap.20 + diff/tap.17,19) [erase mvnsubstratencontact mvnsubstratendiff mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor mvptransistoresd mvvaractor,mvpsubstratepcontact mvpsubstratepdiff psubstratepcontact psubstratepdiff]" + spacing *ndiff,*ndiode,nfet allnwell 340 touching_illegal "N-Diffusion spacing to N-well < %d (diff/tap.9) [erase ndcontact ndiffusion ndiode ndiodec ntransistor,nbase nwell obswell]" + spacing *mvndiff,*mvndiode,mvnfet,mvnnfet,nnfet allnwell 430 touching_illegal "N-Diffusion spacing to N-well < %d (diff/tap.24) [erase mvndcontact mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor nntransistor,nbase nwell obswell]" + spacing *psd allnwell 130 touching_illegal "P-tap spacing to N-well < %d (diff/tap.11) [erase psubstratepcontact psubstratepdiff,nbase nwell obswell]" + spacing *mvpsd allnwell 130 touching_illegal "P-tap spacing to N-well < %d (diff/tap.11) [erase mvpsubstratepcontact mvpsubstratepdiff,nbase nwell obswell]" + surround *nsd allnwell 180 absence_illegal "N-well overlap of N-tap < %d (diff/tap.10) [erase nsubstratencontact nsubstratendiff,nbase nwell obswell]" + surround *mvnsd allnwell 330 absence_illegal "N-well overlap of MV N-tap < %d (diff/tap.19) [erase mvnsubstratencontact mvnsubstratendiff,nbase nwell obswell]" + surround *pdiff,*pdiode,pfet,scpfet,ppu allnwell 180 absence_illegal "N-well overlap of P-Diffusion < %d (diff/tap.8) [erase pdcontact pdiffusion pdiode pdiodec ptransistor scptransistor srampfet,nbase nwell obswell]" + surround *mvpdiff,*mvpdiode,mvpfet,mvpfetesd allnwell 330 absence_illegal "N-well overlap of P-Diffusion < %d (diff/tap.17) [erase mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor mvptransistoresd,nbase nwell obswell]" + surround mvvar allnwell 560 absence_illegal "N-well overlap of MV varactor < %d (lvtn.10 + lvtn.4b) [erase mvvaractor,nbase nwell obswell]" + spacing *mvndiode *mvndiode 1070 touching_ok "MV N-diode spacing < %d (hvntm.2 + 2 * hvntm.3) [erase mvndiode mvndiodec]" + +variants (full) + cifspacing allmvdiffnowell lvnwell 825 touching_illegal \ + "MV diffusion to LV nwell spacing < %d (hvi.5 + nsd/psd.5)" + cifspacing drawn_hvi drawn_hvi 700 touching_ok \ + "HVI to HVI spacing < %d (hvi.5)" + cifmaxwidth hvi_to_lvnwell 0 bend_illegal \ + "HVI to LV nwell spacing < 0.7um (hvi.5)" + cifmaxwidth difftap_near_mvnwell 0 bend_illegal \ + "Spacing of N-Diffusion or P-tap to MV nwell < 0.43um (diff/tap.18,20)" +variants (fast),(full) + + spacing allnfets allpactivenonfet 270 touching_illegal "nFET cannot abut P-diffusion (diff/tap.3) [erase mvnntransistor mvntransistor mvntransistoresd nfetlvt nntransistor nsonos ntransistor scnfetlvt scntransistor sramnfet srampassfet,mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff pdcontact pdiffusion pdiode pdiodec pdiodehvt pdiodehvtc pdiodelvt pdiodelvtc psubstratepcontact psubstratepdiff]" + spacing allpfets allnactivenonfet 270 touching_illegal "pFET cannot abut N-diffusion (diff/tap.3) [erase mvptransistor mvptransistoresd pfethvt pfetlvt pfetmvt ptransistor scpfethvt scptransistor srampfet,mvndcontact mvndiffusion mvndiode mvndiodec mvnsubstratencontact mvnsubstratendiff ndcontact ndiffusion ndiode ndiodec ndiodelvt ndiodelvtc nndiode nndiodec nsubstratencontact nsubstratendiff]" # Butting junction rules edge4way (*psd)/a ~(*ndiff,*psd)/a 125 ~(*ndiff)/a (*ndiff)/a 125 \ - "N-Diffusion to P-tap spacing < %d across butted junction" + "N-Diffusion to P-tap spacing < %d across butted junction (psd.5b)" edge4way (*ndiff)/a ~(*ndiff,*psd)/a 125 ~(*psd)/a (*psd)/a 125 \ - "N-Diffusion to P-tap spacing < %d across butted junction" + "N-Diffusion to P-tap spacing < %d across butted junction (psd.5a)" edge4way (*nsd)/a ~(*pdiff,*nsd)/a 125 ~(*pdiff)/a (*pdiff)/a 125 \ - "P-Diffusion to N-tap spacing < %d across butted junction" + "P-Diffusion to N-tap spacing < %d across butted junction (nsd.5b)" edge4way (*pdiff)/a ~(*pdiff,*nsd)/a 125 ~(*nsd)/a (*nsd)/a 125 \ - "P-Diffusion to N-tap spacing < %d across butted junction" + "P-Diffusion to N-tap spacing < %d across butted junction (nsd.5a)" edge4way (*mvpsd)/a ~(*mvndiff,*mvpsd)/a 125 ~(*mvndiff)/a (*mvndiff)/a 125 \ - "MV N-Diffusion to MV P-tap spacing < %d across butted junction" + "MV N-Diffusion to MV P-tap spacing < %d across butted junction (psd.5b)" edge4way (*mvndiff)/a ~(*mvndiff,*mvpsd)/a 125 ~(*mvpsd)/a (*mvpsd)/a 125 \ - "MV N-Diffusion to MV P-tap spacing < %d across butted junction" + "MV N-Diffusion to MV P-tap spacing < %d across butted junction (psd.5a)" edge4way (*mvnsd)/a ~(*mvpdiff,*mvnsd)/a 125 ~(*mvpdiff)/a (*mvpdiff)/a 125 \ - "MV P-Diffusion to MV N-tap spacing < %d across butted junction" + "MV P-Diffusion to MV N-tap spacing < %d across butted junction (nsd.5b)" edge4way (*mvpdiff)/a ~(*mvpdiff,*mvnsd)/a 125 ~(*mvnsd)/a (*mvnsd)/a 125 \ - "MV P-Diffusion to MV N-tap spacing < %d across butted junction" + "MV P-Diffusion to MV N-tap spacing < %d across butted junction (nsd.5a)" - variants (full) + # Sandwiched butting junction restrictions + edge4way (*pdiff)/a (*nsd)/a 400 ~(*pdiff)/a 0 0 "NSDM width < %d (diff/tap.5)" + edge4way (*ndiff)/a (*psd)/a 400 ~(*ndiff)/a 0 0 "PSDM width < %d (diff/tap.5)" - # Latchup rules - cifmaxwidth ptap_missing 0 bend_illegal \ - "N-diff distance to P-tap must be < 15.0um (LU 2)" + # Extended drain rules + edge4way space/a ed/a 5000 ~(space)/a 0 0 "LDNFET/LDPFET width < %d (denmos/depmos.2)" + edge4way (*mvndiff)/a mvnfet 1055 ~(ed)/a 0 0 "LDNFET length < %d (denmos.1)" + edge4way (*mvnsd)/a ed/a 2510 ~(*mvndiff)/a 0 0 \ + "LDNFET drain to source < %d (denmos.6 + denmos.3)" + edge4way (*mvpdiff)/a mvpfet 1050 ~(ed)/a 0 0 "LDPFET length < %d (depmos.1)" + edge4way (*mvpsd)/a ed/a 2110 ~(*mvpdiff)/a 0 0 \ + "LDPFET drain to source < %d (depmos.6 + depmos.3)" + + area *nsd,*mvnsd 70110 150 "N-tap minimum area < 0.07011um^2 (nsd.10b)" + area *psd,*mvpsd 70110 150 "P-tap minimum area < 0.07011um^2 (psd.10b)" + + angles allactive 90 "Only 90 degree angles permitted on diff and tap (x.2)" + + variants (full) + cifmaxwidth tap_missing_licon 0 bend_illegal "All taps must be contacted (licon.16)" + + # Latchup rules + cifmaxwidth ptap_missing 0 bend_illegal \ + "N-diff distance to P-tap must be < 15.0um (LU.2)" cifmaxwidth dptap_missing 0 bend_illegal \ - "N-diff distance to P-tap in deep Nwell must be < 15.0um (LU 2.1)" + "N-diff distance to P-tap in deep nwell.must be < 15.0um (LU.2.1)" cifmaxwidth ntap_missing 0 bend_illegal \ - "P-diff distance to N-tap must be < 15.0um (LU 3)" + "P-diff distance to N-tap must be < 15.0um (LU.3)" - variants * + variants (fast),(full) #----------------------------- # POLY #----------------------------- - # ORIGINAL RULE: width allpoly 150 "Poly width < %d (Poly 1a)" - width allpoly 150 "Poly width < %d (Poly 1a) [paint mvnntransistor,mvntransistor,mvptransistor,mvvaractor,nfetlvt,npolyres,nsonos,ntransistor,pcontact,pfethvt,pfetlvt,polysilicon,ppolyres,ptransistor,rmp,scntransistor,scptransistor,varactor,varactorhvt,xpolycontact,xpolyres]" - spacing allpoly allpoly 210 touching_ok "Poly spacing < %d (Poly 2) [erase mvnntransistor mvntransistor mvptransistor mvvaractor nfetlvt npolyres nsonos ntransistor pcontact pfethvt pfetlvt polysilicon ppolyres ptransistor rmp scntransistor scptransistor varactor varactorhvt xpolycontact xpolyres]" - spacing allpolynonfet alldifflvnonfet 75 corner_ok allfets \ - "Poly spacing to Diffusion < %d (Poly 4a)" - spacing npres *nsd 480 touching_illegal "Poly resistor spacing to N-tap < %d (Poly 9) [erase npolyres,nsubstratencontact nsubstratendiff]" - overhang *ndiff,rndiff nfet,scnfet 250 "N-Diffusion overhang of nmos < %d (Poly 7)" - overhang *mvndiff,mvrndiff mvnfet,mvnnfet 250 \ - "N-Diffusion overhang of nmos < %d (Poly 7)" - overhang *pdiff,rpdiff pfet,scpfet 250 "P-Diffusion overhang of pmos < %d (Poly 7)" - overhang *mvpdiff,mvrpdiff mvpfet 250 "P-Diffusion overhang of pmos < %d (Poly 7)" - overhang *poly allfets 130 "Poly overhang of transistor < %d (Poly 8)" - rect_only allfets "No bends in transistors (Poly 11)" - rect_only xhrpoly,uhrpoly "No bends in poly resistors (Poly 11)" + # ORIGINAL RULE: width allpoly,polyfill 150 "poly width < %d (poly.1a)" + width allpoly,polyfill 150 "poly width < %d (poly.1a) [paint corenvaractor,corepvaractor,mvnntransistor,mvntransistor,mvntransistoresd,mvptransistor,mvptransistoresd,mvvaractor,nfetlvt,nntransistor,npolyres,nsonos,ntransistor,pcontact,pfethvt,pfetlvt,pfetmvt,polyfill,polysilicon,ppolyres,ptransistor,rmp,scnfetlvt,scntransistor,scpfethvt,scptransistor,sramnfet,srampassfet,srampfet,varactor,varactorhvt,xpolycontact,xpolyres]" + spacing allpoly,polyfill allpoly,polyfill 210 touching_ok "poly spacing < %d (poly.2) [erase corenvaractor corepvaractor mvnntransistor mvntransistor mvntransistoresd mvptransistor mvptransistoresd mvvaractor nfetlvt nntransistor npolyres nsonos ntransistor pcontact pfethvt pfetlvt pfetmvt polyfill polysilicon ppolyres ptransistor rmp scnfetlvt scntransistor scpfethvt scptransistor sramnfet srampassfet srampfet varactor varactorhvt xpolycontact xpolyres]" + + spacing allpolynonfet,polyfill \ + *ndiff,*mvndiff,*ndiode,*nndiode,ndiffres,*ndiodelvt,*pdiff,*mvpdiff,*pdiode,pdiffres,*pdiodelvt,*pdiodehvt \ + 75 corner_ok allfets \ + "poly spacing to Diffusion < %d (poly.4)" + spacing npres *nsd 480 touching_illegal "poly resistor spacing to N-tap < %d (poly.9) [erase npolyres,nsubstratencontact nsubstratendiff]" + overhang *ndiff,rndiff nfet,scnfet,scnfetlvt,npd,npass,nsonos 250 "N-Diffusion overhang of nFET < %d (poly.7)" + overhang *mvndiff,mvrndiff mvnfet,mvnnfet,nnfet 250 \ + "N-Diffusion overhang of nFET < %d (poly.7)" + overhang *pdiff,rpdiff pfet,scpfet,ppu 250 "P-Diffusion overhang of pmos < %d (poly.7)" + overhang *mvpdiff,mvrpdiff mvpfet,mvpfetesd 250 "P-Diffusion overhang of pmos < %d (poly.7)" + overhang *poly allfetsstd,allfetsspecial 130 "poly overhang of transistor < %d (poly.8)" + overhang *poly allfetscore 110 "poly overhang of SRAM core transistor < %d (poly.8)" + rect_only allfets "No bends in transistors (poly.11)" extend xpc/a xhrpoly,uhrpoly 2160 \ - "Poly contact extends poly resistor by < %d (LIcon 1c + LI 5)" - spacing xhrpoly,uhrpoly xhrpoly,uhrpoly 1240 touching_illegal "Distance between precision resistors < %d (RPM 2 + 2 * RPM 3) [erase ppolyres xpolyres]" + "poly contact extends poly resistor by < %d (licon.1c + li.5)" + spacing xhrpoly,uhrpoly,xpc xhrpoly,uhrpoly,xpc 210 touching_illegal "Distance between precision resistors < %d (poly.2) [erase ppolyres xpolycontact xpolyres]" + spacing xhrpoly,uhrpoly,xpc *poly,allfets,mrp1,rmp 400 touching_illegal "Distance from precision resistor to poly < %d (rpm.7 + rpm.3) [erase ppolyres xpolycontact xpolyres,corenvaractor corepvaractor mvnntransistor mvntransistor mvntransistoresd mvptransistor mvptransistoresd mvvaractor nfetlvt nntransistor npolyres nsonos ntransistor pcontact pfethvt pfetlvt pfetmvt polysilicon ptransistor rmp scnfetlvt scntransistor scpfethvt scptransistor sramnfet srampassfet srampfet varactor varactorhvt]" + + variants (fast) + + spacing xhrpoly,uhrpoly,xpc allndifflv,allndiffmv 525 touching_illegal "Distance from precision resistor to N+ diffusion < %d (rpm.3 + rpm.6 + nsd.5a) [erase ppolyres xpolycontact xpolyres,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvntransistoresd ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nntransistor nsonos nsubstratencontact nsubstratendiff ntransistor scnfetlvt scntransistor sramnfet srampassfet]" + spacing xhrpoly,uhrpoly,xpc *poly 400 touching_illegal "Distance from precision resistor to unrelated poly < %d (rpm.3 + rpm.7) [erase ppolyres xpolycontact xpolyres,pcontact polysilicon]" + spacing xhrpoly,uhrpoly,xpc allndiffmvnontap 585 touching_illegal "Distance from precision resistor to MV N+ device < %d (rpm.3 + rpm.9 + hvntm.3) [erase ppolyres xpolycontact xpolyres,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvntransistoresd nndiode nndiodec nntransistor]" + + # Minimum width requirement means actual spacing from res to ndiff has to be + # constructed from mask rules. These supercede the simpler checks. + + variants (full) + + cifmaxwidth rpm_nsd_check 0 bend_illegal \ + "Distance from precision resistor to N+ diffusion < 0.525um (rpm.3 + rpm.6 + nsd.5a)" + cifmaxwidth rpm_poly_check 0 bend_illegal \ + "Distance from precision resistor to unrelated poly < 0.4um (rpm.3 + rpm.7)" + cifmaxwidth rpm_hvntm_check 0 bend_illegal \ + "Distance from precision resistor to MV N+ device < 0.585um (rpm.3 + rpm.9 + hvntm.3)" + + variants (fast),(full) + + angles allpoly,polyfill 90 "Only 90 degree angles permitted on poly (x.2)" + +#-------------------------------------------------------------------- +# HVTP +#-------------------------------------------------------------------- + + spacing pfethvt,pdiodehvt,varactorhvt pfet,ppu,scpfet,mvpfet,mvpfetesd,pfetlvt,pfetmvt \ + 360 touching_illegal \ + "Min. spacing between pFET and HVTP < %d (hvtp.4)" + + spacing pfethvt,pdiodehvt,varactorhvt varactor 360 touching_illegal "Min. spacing between varactor and HVTP < %d (hvtp.4 + varac.3) [erase pdiodehvt pfethvt varactorhvt,varactor]" #-------------------------------------------------------------------- -# NPC (Nitride Poly Cut) +# LVTN +#-------------------------------------------------------------------- + + spacing pfetlvt,nfetlvt,pdiodelvt,ndiodelvt \ + allfetsnolvt 360 touching_illegal \ + "Min. spacing between FET and LVTN < %d (lvtn.3a)" + + spacing pfetlvt,nfetlvt,pdiodelvt,ndiodelvt scpfethvt,pfethvt,pdiodehvt,varactorhvt \ + 740 touching_illegal \ + "Min. spacing between LVTN and HVTP < %d (lvtn.9)" + + # Spacing across S/D direction requires edge rule + edge4way allfetsnolvt allactivenonfet 415 \ + ~(pfetlvt,nfetlvt,pdiodelvt,ndiodelvt)/a allfetsnolvt 415 \ + "Min. spacing between FET and LVTN in S/D direction < %d (lvtn.3b)" + +#-------------------------------------------------------------------- +# HVNTM +#-------------------------------------------------------------------- + +variants (full) + # This requires extra spacing of hvndiff if a ptap is in between + cifwidth hvntm_generate 700 "Width of HVNTM < %d (hvntm.1)" + cifspacing hvntm_generate hvntm_generate 700 touching_ok \ + "HVNTM spacing < %d (hvntm.2)" +variants * + +#-------------------------------------------------------------------- +# RPM, URPM +#-------------------------------------------------------------------- + +variants (full) + # This requires extra spacing of poly resistors if a ptap is in between + cifwidth rpm_generate 1270 "Width of RPM/URPM < %d (rpm.1)" + cifspacing rpm_generate rpm_generate 840 touching_ok \ + "RPM spacing < %d (rpm.2)" +variants * + +#-------------------------------------------------------------------- +# NPC (Nitride poly Cut) #-------------------------------------------------------------------- # Layer NPC is defined automatically around poly contacts (grow 0.1um) @@ -2835,180 +4424,216 @@ drc # CONT (LICON, contact between poly/diff and LI) #-------------------------------------------------------------------- - # ORIGINAL RULE: width ndc/li 170 "N-diffusion contact width < %d (LIcon 1)" - width ndc/li 170 "N-diffusion contact width < %d (LIcon 1) [paint ndcontact/li]" - # ORIGINAL RULE: width nsc/li 170 "N-tap contact width < %d (LIcon 1)" - width nsc/li 170 "N-tap contact width < %d (LIcon 1) [paint nsubstratencontact/li]" - # ORIGINAL RULE: width pdc/li 170 "P-diffusion contact width < %d (LIcon 1)" - width pdc/li 170 "P-diffusion contact width < %d (LIcon 1) [paint pdcontact/li]" - # ORIGINAL RULE: width psc/li 170 "P-tap contact width < %d (LIcon 1)" - width psc/li 170 "P-tap contact width < %d (LIcon 1) [paint psubstratepcontact/li]" - # ORIGINAL RULE: width ndic/li 170 "N-diode contact width < %d (LIcon 1)" - width ndic/li 170 "N-diode contact width < %d (LIcon 1) [paint ndiodec/li]" - # ORIGINAL RULE: width pdic/li 170 "P-diode contact width < %d (LIcon 1)" - width pdic/li 170 "P-diode contact width < %d (LIcon 1) [paint pdiodec/li]" - # ORIGINAL RULE: width pc/li 170 "Poly contact width < %d (LIcon 1)" - width pc/li 170 "Poly contact width < %d (LIcon 1) [paint pcontact/li]" - - # ORIGINAL RULE: width xpc/li 350 "Poly resistor contact width < %d (LIcon 1b + 2 * LI 5)" - width xpc/li 350 "Poly resistor contact width < %d (LIcon 1b + 2 * LI 5) [paint xpolycontact/li]" - - # ORIGINAL RULE: width mvndc/li 170 "N-diffusion contact width < %d (LIcon 1)" - width mvndc/li 170 "N-diffusion contact width < %d (LIcon 1) [paint mvndcontact/li]" - # ORIGINAL RULE: width mvnsc/li 170 "N-tap contact width < %d (LIcon 1)" - width mvnsc/li 170 "N-tap contact width < %d (LIcon 1) [paint mvnsubstratencontact/li]" - # ORIGINAL RULE: width mvpdc/li 170 "P-diffusion contact width < %d (LIcon 1)" - width mvpdc/li 170 "P-diffusion contact width < %d (LIcon 1) [paint mvpdcontact/li]" - # ORIGINAL RULE: width mvpsc/li 170 "P-tap contact width < %d (LIcon 1)" - width mvpsc/li 170 "P-tap contact width < %d (LIcon 1) [paint mvpsubstratepcontact/li]" - # ORIGINAL RULE: width mvndic/li 170 "N-diode contact width < %d (LIcon 1)" - width mvndic/li 170 "N-diode contact width < %d (LIcon 1) [paint mvndiodec/li]" - # ORIGINAL RULE: width mvpdic/li 170 "P-diode contact width < %d (LIcon 1)" - width mvpdic/li 170 "P-diode contact width < %d (LIcon 1) [paint mvpdiodec/li]" - - spacing allpdiffcont allndiffcont 170 touching_illegal "Diffusion contact spacing < %d (LIcon 2) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact,mvndcontact mvndiodec mvnsubstratencontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact]" - spacing allndiffcont allndiffcont 170 touching_ok "Diffusion contact spacing < %d (LIcon 2) [erase mvndcontact mvndiodec mvnsubstratencontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact]" - spacing allpdiffcont allpdiffcont 170 touching_ok "Diffusion contact spacing < %d (LIcon 2) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact]" - spacing pc pc 170 touching_ok "Poly1 contact spacing < %d (LIcon 2) [erase pcontact]" - - spacing pc alldiff 190 touching_illegal "Poly contact spacing to diffusion < %d (LIcon 14) [erase pcontact,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt psubstratepcontact psubstratepdiff ptransistor scntransistor scptransistor]" - spacing pc allpfets 235 touching_illegal "Poly contact spacing to pFET < %d (LIcon 9 + PSDM 5a) [erase pcontact,mvptransistor pfethvt pfetlvt ptransistor scptransistor]" - - spacing ndc,pdc nfet,pfet 55 touching_illegal "Diffusion contact to gate < %d (LIcon 11) [erase ndcontact pdcontact,ntransistor ptransistor]" - spacing ndc,pdc scnfet,scpfet 50 touching_illegal "Diffusion contact to standard cell gate < %d (LIcon 11) [erase ndcontact pdcontact,scntransistor scptransistor]" - spacing mvndc,mvpdc mvnfet,mvnnfet,mvpfet 55 touching_illegal "Diffusion contact to gate < %d (LIcon 11) [erase mvndcontact mvpdcontact,mvnntransistor mvntransistor mvptransistor]" - spacing ndc,mvndc rnd,mvrnd 60 touching_illegal "Diffusion contact to rndiff < %d () [erase mvndcontact ndcontact,mvndiffres ndiffres]" - spacing pdc,mvpdc rdp,mvrdp 60 touching_illegal "Diffusion contact to rndiff < %d () [erase mvpdcontact pdcontact,mvpdiffres pdiffres]" - spacing nsc varactor,varhvt 250 touching_illegal "Diffusion contact to varactor gate < %d (LIcon 10) [erase nsubstratencontact,varactor varactorhvt]" - spacing mvnsc mvvar 250 touching_illegal "Diffusion contact to varactor gate < %d (LIcon 10) [erase mvnsubstratencontact,mvvaractor]" - - surround ndc/a *ndiff,nfet,scnfet,nfetlvt 40 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (LIcon 5a) [erase ndcontact/a,ndcontact ndiffusion nfetlvt ntransistor scntransistor]" - surround pdc/a *pdiff,pfet,scpfet,pfethvt,pfetlvt 40 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (LIcon 5a) [erase pdcontact/a,pdcontact pdiffusion pfethvt pfetlvt ptransistor scptransistor]" - surround ndic/a *ndi 40 absence_illegal "N-diode overlap of N-diode contact < %d (LIcon 5a) [erase ndiodec/a,ndiode ndiodec]" - surround pdic/a *pdi 40 absence_illegal "P-diode overlap of N-diode contact < %d (LIcon 5a) [erase pdiodec/a,pdiode pdiodec]" - - surround ndc/a *ndiff,nfet,scnfet,nfetlvt 60 directional "N-diffusion overlap of N-diffusion contact < %d in one direction (LIcon 5c) [erase ndcontact/a,ndcontact ndiffusion nfetlvt ntransistor scntransistor]" - surround pdc/a *pdiff,pfet,scpfet,pfethvt,pfetlvt 60 directional "P-diffusion overlap of P-diffusion contact < %d in one direction (LIcon 5c) [erase pdcontact/a,pdcontact pdiffusion pfethvt pfetlvt ptransistor scptransistor]" - surround ndic/a *ndi 60 directional "N-diode overlap of N-diode contact < %d in one direction (LIcon 5c) [erase ndiodec/a,ndiode ndiodec]" - surround pdic/a *pdi 60 directional "P-diode overlap of N-diode contact < %d in one direction (LIcon 5c) [erase pdiodec/a,pdiode pdiodec]" - - surround nsc/a *nsd 120 directional "N-tap overlap of N-tap contact < %d in one direction (LIcon 7) [erase nsubstratencontact/a,nsubstratencontact nsubstratendiff]" - surround psc/a *psd 120 directional "P-tap overlap of P-tap contact < %d in one direction (LIcon 7) [erase psubstratepcontact/a,psubstratepcontact psubstratepdiff]" - - surround mvndc/a *mvndiff,mvnfet 40 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (LIcon 5a) [erase mvndcontact/a,mvndcontact mvndiffusion mvntransistor]" - surround mvpdc/a *mvpdiff,mvpfet 40 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (LIcon 5a) [erase mvpdcontact/a,mvpdcontact mvpdiffusion mvptransistor]" - surround mvndic/a *mvndi 40 absence_illegal "N-diode overlap of N-diode contact < %d (LIcon 5a) [erase mvndiodec/a,mvndiode mvndiodec]" - surround mvpdic/a *mvpdi 40 absence_illegal "P-diode overlap of N-diode contact < %d (LIcon 5a) [erase mvpdiodec/a,mvpdiode mvpdiodec]" - - surround mvndc/a *mvndiff,mvnfet 60 directional "N-diffusion overlap of N-diffusion contact < %d in one direction (LIcon 5c) [erase mvndcontact/a,mvndcontact mvndiffusion mvntransistor]" - surround mvpdc/a *mvpdiff,mvpfet 60 directional "P-diffusion overlap of P-diffusion contact < %d in one direction (LIcon 5c) [erase mvpdcontact/a,mvpdcontact mvpdiffusion mvptransistor]" - surround mvndic/a *mvndi 60 directional "N-diode overlap of N-diode contact < %d in one direction (LIcon 5c) [erase mvndiodec/a,mvndiode mvndiodec]" - surround mvpdic/a *mvpdi 60 directional "P-diode overlap of N-diode contact < %d in one direction (LIcon 5c) [erase mvpdiodec/a,mvpdiode mvpdiodec]" - - surround mvnsc/a *mvnsd 120 directional "N-tap overlap of N-tap contact < %d in one direction (LIcon 7) [erase mvnsubstratencontact/a,mvnsubstratencontact mvnsubstratendiff]" - surround mvpsc/a *mvpsd 120 directional "P-tap overlap of P-tap contact < %d in one direction (LIcon 7) [erase mvpsubstratepcontact/a,mvpsubstratepcontact mvpsubstratepdiff]" - - surround pc/a *poly,mrp1,xhrpoly,uhrpoly 50 absence_illegal "Poly overlap of poly contact < %d (LIcon 8) [erase pcontact/a,npolyres pcontact polysilicon ppolyres xpolyres]" - surround pc/a *poly,mrp1,xhrpoly,uhrpoly 80 directional "Poly overlap of poly contact < %d in one direction (LIcon 8a) [erase pcontact/a,npolyres pcontact polysilicon ppolyres xpolyres]" - - exact_overlap ndc/a,pdc/a,psc/a,nsc/a,pc/a,ndic/a,pdic/a - exact_overlap mvndc/a,mvpdc/a,mvpsc/a,mvnsc/a,mvndic/a,mvpdic/a + # ORIGINAL RULE: width ndc/li 170 "N-diffusion contact width < %d (licon.1)" + width ndc/li 170 "N-diffusion contact width < %d (licon.1) [paint ndcontact/li]" + # ORIGINAL RULE: width nsc/li 170 "N-tap contact width < %d (licon.1)" + width nsc/li 170 "N-tap contact width < %d (licon.1) [paint nsubstratencontact/li]" + # ORIGINAL RULE: width pdc/li 170 "P-diffusion contact width < %d (licon.1)" + width pdc/li 170 "P-diffusion contact width < %d (licon.1) [paint pdcontact/li]" + # ORIGINAL RULE: width psc/li 170 "P-tap contact width < %d (licon.1)" + width psc/li 170 "P-tap contact width < %d (licon.1) [paint psubstratepcontact/li]" + # ORIGINAL RULE: width ndic/li 170 "N-diode contact width < %d (licon.1)" + width ndic/li 170 "N-diode contact width < %d (licon.1) [paint ndiodec/li]" + # ORIGINAL RULE: width pdic/li 170 "P-diode contact width < %d (licon.1)" + width pdic/li 170 "P-diode contact width < %d (licon.1) [paint pdiodec/li]" + # ORIGINAL RULE: width pc/li 170 "poly contact width < %d (licon.1)" + width pc/li 170 "poly contact width < %d (licon.1) [paint pcontact/li]" + + # ORIGINAL RULE: width xpc/li 350 "poly resistor contact width < %d (licon.1b + 2 * li.5)" + width xpc/li 350 "poly resistor contact width < %d (licon.1b + 2 * li.5) [paint xpolycontact/li]" + area xpc/li 700000 350 "poly resistor contact length < 2.0um (licon.1c)" + area allli,*obsli 56100 170 "Local interconnect minimum area < %a (li.6)" + + # ORIGINAL RULE: width mvndc/li 170 "N-diffusion contact width < %d (licon.1)" + width mvndc/li 170 "N-diffusion contact width < %d (licon.1) [paint mvndcontact/li]" + # ORIGINAL RULE: width mvnsc/li 170 "N-tap contact width < %d (licon.1)" + width mvnsc/li 170 "N-tap contact width < %d (licon.1) [paint mvnsubstratencontact/li]" + # ORIGINAL RULE: width mvpdc/li 170 "P-diffusion contact width < %d (licon.1)" + width mvpdc/li 170 "P-diffusion contact width < %d (licon.1) [paint mvpdcontact/li]" + # ORIGINAL RULE: width mvpsc/li 170 "P-tap contact width < %d (licon.1)" + width mvpsc/li 170 "P-tap contact width < %d (licon.1) [paint mvpsubstratepcontact/li]" + # ORIGINAL RULE: width mvndic/li 170 "N-diode contact width < %d (licon.1)" + width mvndic/li 170 "N-diode contact width < %d (licon.1) [paint mvndiodec/li]" + # ORIGINAL RULE: width mvpdic/li 170 "P-diode contact width < %d (licon.1)" + width mvpdic/li 170 "P-diode contact width < %d (licon.1) [paint mvpdiodec/li]" + + spacing allpdiffcont allndiffcont 170 touching_illegal "Diffusion contact spacing < %d (licon.2) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact,mvndcontact mvndiodec mvnsubstratencontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact]" + spacing allndiffcont allndiffcont 170 touching_ok "Diffusion contact spacing < %d (licon.2) [erase mvndcontact mvndiodec mvnsubstratencontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact]" + spacing allpdiffcont allpdiffcont 170 touching_ok "Diffusion contact spacing < %d (licon.2) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact]" + spacing pc pc 170 touching_ok "Poly1 contact spacing < %d (licon.2) [erase pcontact]" + + spacing pc alldiff 190 touching_illegal "poly contact spacing to diffusion < %d (licon.14) [erase pcontact,fomfill mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvntransistoresd mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvptransistoresd ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nntransistor nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt pfetmvt psubstratepcontact psubstratepdiff ptransistor scnfetlvt scntransistor scpfethvt scptransistor sramnfet srampassfet srampfet]" + spacing pc allpdifflv,allpdiffmv 235 touching_illegal "poly contact spacing to P-diffusion < %d (licon.9 + psdm.5a) [erase pcontact,mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvptransistoresd pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt pfetmvt psubstratepcontact psubstratepdiff ptransistor scpfethvt scptransistor srampfet]" + + spacing ndc,pdc nfet,nfetlvt,pfet,pfethvt,pfetlvt,pfetmvt 55 touching_illegal "Diffusion contact to gate < %d (licon.11) [erase ndcontact pdcontact,nfetlvt ntransistor pfethvt pfetlvt pfetmvt ptransistor]" + spacing ndc,pdc scnfet,scpfet,scnfetlvt,scpfethvt 50 touching_illegal "Diffusion contact to standard cell gate < %d (licon.11) [erase ndcontact pdcontact,scnfetlvt scntransistor scpfethvt scptransistor]" + spacing ndc,pdc npd,npass,ppu 40 touching_illegal "Diffusion contact to SRAM gate < %d (licon.11) [erase ndcontact pdcontact,sramnfet srampassfet srampfet]" + spacing ndc,pdc nsonos 75 touching_illegal "Diffusion contact to SONOS gate < %d (licon.11) [erase ndcontact pdcontact,nsonos]" + spacing mvndc,mvpdc mvnfet,mvnfetesd,mvnnfet,nnfet,mvpfet,mvpfetesd 55 touching_illegal "Diffusion contact to gate < %d (licon.11) [erase mvndcontact mvpdcontact,mvnntransistor mvntransistor mvntransistoresd mvptransistor mvptransistoresd nntransistor]" + spacing nsc varactor,varhvt 250 touching_illegal "Diffusion contact to varactor gate < %d (licon.10) [erase nsubstratencontact,varactor varactorhvt]" + spacing mvnsc mvvar 250 touching_illegal "Diffusion contact to varactor gate < %d (licon.10) [erase mvnsubstratencontact,mvvaractor]" + + surround ndc/a *ndiff,nfet,scnfet,scnfetlvt,npd,npass,nfetlvt,rnd 40 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (licon.5a) [erase ndcontact/a,ndcontact ndiffres ndiffusion nfetlvt ntransistor scnfetlvt scntransistor sramnfet srampassfet]" + surround pdc/a *pdiff,pfet,scpfet,scpfethvt,ppu,pfethvt,pfetmvt,pfetlvt,rpd \ + 40 absence_illegal \ + "P-diffusion overlap of P-diffusion contact < %d (licon.5a)" + surround ndic/a *ndi 40 absence_illegal "N-diode overlap of N-diode contact < %d (licon.5a) [erase ndiodec/a,ndiode ndiodec]" + surround pdic/a *pdi 40 absence_illegal "P-diode overlap of N-diode contact < %d (licon.5a) [erase pdiodec/a,pdiode pdiodec]" + + spacing psc/a allnactivenontap 60 touching_illegal "Min. space between P-tap contact and butting N diffusion < %d (licon.5b) [erase psubstratepcontact/a,mvndcontact mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvntransistoresd ndcontact ndiffusion ndiode ndiodec ndiodelvt ndiodelvtc nfetlvt nndiode nndiodec nntransistor nsonos ntransistor scnfetlvt scntransistor sramnfet srampassfet]" + spacing nsc/a allpactivenontap 60 touching_illegal "Min. space between N-tap contact and butting P diffusion < %d (licon.5b) [erase nsubstratencontact/a,mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor mvptransistoresd pdcontact pdiffusion pdiode pdiodec pdiodehvt pdiodehvtc pdiodelvt pdiodelvtc pfethvt pfetlvt pfetmvt ptransistor scpfethvt scptransistor srampfet]" + + surround ndc/a *ndiff,nfet,scnfet,scnfetlvt,npd,npass,nfetlvt,rnd 60 directional "N-diffusion overlap of N-diffusion contact < %d in one direction (licon.5c) [erase ndcontact/a,ndcontact ndiffres ndiffusion nfetlvt ntransistor scnfetlvt scntransistor sramnfet srampassfet]" + surround pdc/a *pdiff,pfet,scpfet,scpfethvt,ppu,pfethvt,pfetmvt,pfetlvt,rpd \ + 60 directional \ + "P-diffusion overlap of P-diffusion contact < %d in one direction (licon.5c)" + surround ndic/a *ndi 60 directional "N-diode overlap of N-diode contact < %d in one direction (licon.5c) [erase ndiodec/a,ndiode ndiodec]" + surround pdic/a *pdi 60 directional "P-diode overlap of N-diode contact < %d in one direction (licon.5c) [erase pdiodec/a,pdiode pdiodec]" + + surround nsc/a *nsd,pdiff,corenvar 120 directional "N-tap overlap of N-tap contact < %d in one direction (licon.7) [erase nsubstratencontact/a,corenvaractor nsubstratencontact nsubstratendiff pdiffusion]" + surround psc/a *psd,ndiff,corepvar 120 directional "P-tap overlap of P-tap contact < %d in one direction (licon.7) [erase psubstratepcontact/a,corepvaractor ndiffusion psubstratepcontact psubstratepdiff]" + + surround mvndc/a *mvndiff,mvnfet,mvnfetesd,mvrnd 40 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (licon.5a) [erase mvndcontact/a,mvndcontact mvndiffres mvndiffusion mvntransistor mvntransistoresd]" + surround mvpdc/a *mvpdiff,mvpfet,mvpfetesd,mvrpd 40 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (licon.5a) [erase mvpdcontact/a,mvpdcontact mvpdiffres mvpdiffusion mvptransistor mvptransistoresd]" + surround mvndic/a *mvndi 40 absence_illegal "N-diode overlap of N-diode contact < %d (licon.5a) [erase mvndiodec/a,mvndiode mvndiodec]" + surround mvpdic/a *mvpdi 40 absence_illegal "P-diode overlap of N-diode contact < %d (licon.5a) [erase mvpdiodec/a,mvpdiode mvpdiodec]" + + spacing mvpsc/a allndiffmvnontap 60 touching_illegal "Min. space between P-tap contact and butting N diffusion < %d (licon.5b) [erase mvpsubstratepcontact/a,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor mvntransistoresd nndiode nndiodec nntransistor]" + spacing mvnsc/a allpdiffmvnontap 60 touching_illegal "Min. space between N-tap contact and butting P diffusion < %d (licon.5b) [erase mvnsubstratencontact/a,mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvptransistor mvptransistoresd]" + + surround mvndc/a *mvndiff,mvnfet,mvnfetesd,mvrnd 60 directional "N-diffusion overlap of N-diffusion contact < %d in one direction (licon.5c) [erase mvndcontact/a,mvndcontact mvndiffres mvndiffusion mvntransistor mvntransistoresd]" + surround mvpdc/a *mvpdiff,mvpfet,mvpfetesd,mvrpd 60 directional "P-diffusion overlap of P-diffusion contact < %d in one direction (licon.5c) [erase mvpdcontact/a,mvpdcontact mvpdiffres mvpdiffusion mvptransistor mvptransistoresd]" + surround mvndic/a *mvndi 60 directional "N-diode overlap of N-diode contact < %d in one direction (licon.5c) [erase mvndiodec/a,mvndiode mvndiodec]" + surround mvpdic/a *mvpdi 60 directional "P-diode overlap of N-diode contact < %d in one direction (licon.5c) [erase mvpdiodec/a,mvpdiode mvpdiodec]" + + surround mvnsc/a *mvnsd 120 directional "N-tap overlap of N-tap contact < %d in one direction (licon.7) [erase mvnsubstratencontact/a,mvnsubstratencontact mvnsubstratendiff]" + surround mvpsc/a *mvpsd 120 directional "P-tap overlap of P-tap contact < %d in one direction (licon.7) [erase mvpsubstratepcontact/a,mvpsubstratepcontact mvpsubstratepdiff]" + + surround pc/a *poly,mrp1,xhrpoly,uhrpoly 50 absence_illegal "poly overlap of poly contact < %d (licon.8) [erase pcontact/a,npolyres pcontact polysilicon ppolyres xpolyres]" + surround pc/a *poly,mrp1,xhrpoly,uhrpoly 80 directional "poly overlap of poly contact < %d in one direction (licon.8a) [erase pcontact/a,npolyres pcontact polysilicon ppolyres xpolyres]" + + exact_overlap (allcont)/a #------------------------------------------------------------- # LI - Local interconnect layer #------------------------------------------------------------- - # ORIGINAL RULE: width *li,rli 170 "Local interconnect width < %d (LI 1)" - width *li,rli 170 "Local interconnect width < %d (LI 1) [paint licon,locali,mvndcontact,mvndiodec,mvnsubstratencontact,mvpdcontact,mvpdiodec,mvpsubstratepcontact,ndcontact,ndiodec,ndiodelvtc,nndiodec,nsubstratencontact,pcontact,pdcontact,pdiodec,pdiodehvtc,pdiodelvtc,psubstratepcontact,rlocali,xpolycontact]" - # ORIGINAL RULE: width coreli 140 "Core local interconnect width < %d (LI c1)" - width coreli 140 "Core local interconnect width < %d (LI c1) [paint corelocali]" - spacing allli allli,*obsli 170 touching_ok "Local interconnect spacing < %d (LI 3) [erase corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact,corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact obsli1 obslicon pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact]" - spacing coreli allli,*obsli 140 touching_ok "Core local interconnect spacing < %d (LI c2) [erase corelocali,corelocali licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact obsli1 obslicon pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali xpolycontact]" +variants * + + # ORIGINAL RULE: width *li 170 "Local interconnect width < %d (li.1)" + width *li 170 "Local interconnect width < %d (li.1) [paint locali,mvndcontact,mvndiodec,mvnsubstratencontact,mvpdcontact,mvpdiodec,mvpsubstratepcontact,ndcontact,ndiodec,ndiodelvtc,nndiodec,nsubstratencontact,pcontact,pdcontact,pdiodec,pdiodehvtc,pdiodelvtc,psubstratepcontact,viali,xpolycontact]" + # ORIGINAL RULE: width rli 290 "Local interconnect width < %d (li.7)" + width rli 290 "Local interconnect width < %d (li.7) [paint rlocali]" + + spacing *locali,rli *locali,rli,*obsli 170 touching_ok "Local interconnect spacing < %d (li.3) [erase locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali viali xpolycontact,locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact obsli1 obsli1c pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali viali xpolycontact]" + + # Local interconnect in core (SRAM) cells has more relaxed rules. There are + # no special layers for the contacts in core cells, so they must be included + # in the rule. + width coreli,pc,ndc,nsc,pdc,psc,allli,*obsli 140 \ + "Core local interconnect width < %d (li.c1)" + + spacing coreli,pc,ndc,nsc,pdc,psc,mcon allli,*obsli 140 touching_ok "Core local interconnect spacing < %d (li.c2) [erase corelocali ndcontact nsubstratencontact pcontact pdcontact psubstratepcontact viali,corelocali locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact obsli1 obsli1c pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact rlocali viali xpolycontact]" - surround pc/li *li 80 directional "Local interconnect overlap of poly contact < %d in one direction (LI 5) [erase pcontact/li,licon locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact xpolycontact]" + surround pc/li *li,coreli 80 directional "Local interconnect overlap of poly contact < %d in one direction (li.5) [erase pcontact/li,corelocali locali mvndcontact mvndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec ndiodelvtc nndiodec nsubstratencontact pcontact pdcontact pdiodec pdiodehvtc pdiodelvtc psubstratepcontact viali xpolycontact]" surround ndc/li,nsc/li,pdc/li,psc/li,ndic/li,pdic/li,mvndc/li,mvnsc/li,mvpdc/li,mvpsc/li,mvndic/li,mvpdic/li \ - *li,rli 80 directional \ - "Local interconnect overlap of diffusion contact < %d in one direction (LI 5)" + *li,rli,coreli 80 directional \ + "Local interconnect overlap of diffusion contact < %d in one direction (li.5)" - area allli,*obsli 56100 170 "Local interconnect minimum area < %a (LI 6)" + area allli,*obsli,coreli 56100 170 "Local interconnect minimum area < %a (li.6)" + + angles *locali,rli 90 "Only 90 degree angles permitted on local interconnect (x.2)" + angles coreli 45 \ + "Only 45 degree angles permitted on local interconnect in SRAM cell (x.2)" #------------------------------------------------------------- # MCON - Contact between local interconnect and metal1 #------------------------------------------------------------- - # ORIGINAL RULE: width lic/m1 170 "Mcon width < %d (Mcon 1)" - width lic/m1 170 "Mcon width < %d (Mcon 1) [paint licon/m1]" - spacing lic/m1 lic/m1,obslic/m1 170 touching_ok "Mcon spacing < %d (Mcon 2) [erase licon/m1,licon/m1 obslicon/m1]" + # ORIGINAL RULE: width mcon/m1 170 "mcon.width < %d (mcon.1)" + width mcon/m1 170 "mcon.width < %d (mcon.1) [paint viali/m1]" + spacing mcon/m1 mcon/m1,obsmcon/m1 190 touching_ok "mcon.spacing < %d (mcon.2) [erase viali/m1,obsli1c/m1 viali/m1]" - exact_overlap lic/m1 + exact_overlap mcon/li #------------------------------------------------------------- # METAL1 - #------------------------------------------------------------- - # ORIGINAL RULE: width *m1,rm1 140 "Metal1 width < %d (Met1 1)" - width *m1,rm1 140 "Metal1 width < %d (Met1 1) [paint licon,m2contact,metal1,padl,rmetal1]" - spacing allm1 allm1,*obsm1 140 touching_ok "Metal1 spacing < %d (Met1 2) [erase licon m2contact metal1 padl rmetal1,licon m2contact metal1 obslicon obsm1 padl rmetal1]" - area allm1,*obsm1 83000 140 "Metal1 minimum area < %a (Met1 6)" + # ORIGINAL RULE: width *m1,rm1 140 "Metal1 width < %d (met1.1)" + width *m1,rm1 140 "Metal1 width < %d (met1.1) [paint m2contact,metal1,obsli1c,rmetal1,viali]" + spacing allm1,m1fill allm1,*obsm1,m1fill 140 touching_ok "Metal1 spacing < %d (met1.2) [erase m1fill m2contact metal1 obsli1c rmetal1 viali,m1fill m2contact metal1 obsli1c obsm1 rmetal1 viali]" + area allm1,*obsm1 83000 140 "Metal1 minimum area < %a (met1.6)" + + surround mcon/m1 *met1 30 absence_illegal "Metal1 overlap of local interconnect contact < %d (met1.4) [erase viali/m1,m2contact metal1 obsli1c viali]" + surround mcon/m1 *met1 60 directional "Metal1 overlap of local interconnect contact < %d in one direction (met1.5) [erase viali/m1,m2contact metal1 obsli1c viali]" - surround lic/m1 *met1 30 absence_illegal "Metal1 overlap of local interconnect contact < %d (Met1 4) [erase licon/m1,licon m2contact metal1 padl]" - surround lic/m1 *met1 60 directional "Metal1 overlap of local interconnect contact < %d in one direction (Met1 5) [erase licon/m1,licon m2contact metal1 padl]" + angles allm1,m1fill 45 "Only 45 and 90 degree angles permitted on metal1 (x.3a)" variants (fast),(full) - widespacing allm1 3000 allm1,*obsm1 280 touching_ok \ - "Metal1 > 3um spacing to unrelated m1 < %d (Met1 3a)" - widespacing *obsm1 3000 allm1 280 touching_ok \ - "Metal1 > 3um spacing to unrelated m1 < %d (Met1 3a)" + widespacing allm1 3005 allm1,*obsm1,m1fill 280 touching_ok \ + "Metal1 > 3um spacing to unrelated m1 < %d (met1.3b)" + widespacing *obsm1 3005 allm1 280 touching_ok \ + "Metal1 > 3um spacing to unrelated m1 < %d (met1.3b)" variants (full) cifmaxwidth m1_hole_empty 0 bend_illegal \ - "Min area of metal1 holes > 0.14um^2 (Met1 7)" + "Min area of metal1 holes > 0.14um^2 (met1.7)" + + cifspacing m1_large_halo m1_large_halo 280 touching_ok \ + "Spacing of metal1 features attached to and within 0.28um of large metal1 < %d (met1.3a)" variants * #-------------------------------------------------- # VIA1 #-------------------------------------------------- - # ORIGINAL RULE: width v1/m1 260 "Via1 width < %d (Via 1a + 2 * Via 4a)" - width v1/m1 260 "Via1 width < %d (Via 1a + 2 * Via 4a) [paint m2contact/m1]" - spacing v1 v1 60 touching_ok "Via1 spacing < %d (Via 2 - 2 * Via 4a) [erase m2contact]" - surround v1/m1 *m1 30 directional "Metal1 overlap of Via1 < %d in one direction (Via 5a - Via 4a) [erase m2contact/m1,licon m2contact metal1 padl]" - surround v1/m2 *m2 30 directional "Metal2 overlap of Via1 < %d in one direction (Met2 5 - Met2 4) [erase m2contact/m2,m2contact m3contact metal2 padl]" + # ORIGINAL RULE: width v1/m1 260 "Via1 width < %d (via.1a + 2 * via.4a)" + width v1/m1 260 "Via1 width < %d (via.1a + 2 * via.4a) [paint m2contact/m1]" + spacing v1 v1 60 touching_ok "Via1 spacing < %d (via.2 - 2 * via.4a) [erase m2contact]" + surround v1/m1 *m1,rm1 30 directional "Metal1 overlap of Via1 < %d in one direction (via.5a - via.4a) [erase m2contact/m1,m2contact metal1 obsli1c rmetal1 viali]" + surround v1/m2 *m2,rm2 30 directional "Metal2 overlap of Via1 < %d in one direction (met2.5 - met2.4) [erase m2contact/m2,m2contact m3contact metal2 rmetal2]" + + exact_overlap v1/m1 - exact_overlap v1/m2 #-------------------------------------------------- # METAL2 - #-------------------------------------------------- - # ORIGINAL RULE: width allm2 140 "Metal2 width < %d (Met2 1)" - width allm2 140 "Metal2 width < %d (Met2 1) [paint m2contact,m3contact,metal2,padl,rmetal2]" - spacing allm2 allm2,obsm2 140 touching_ok "Metal2 spacing < %d (Met2 2) [erase m2contact m3contact metal2 padl rmetal2,m2contact m3contact metal2 obsm2 padl rmetal2]" - area allm2,obsm2 67600 140 "Metal2 minimum area < %a (Met2 6)" + # ORIGINAL RULE: width allm2,m2fill 140 "Metal2 width < %d (met2.1)" + width allm2,m2fill 140 "Metal2 width < %d (met2.1) [paint m2contact,m2fill,m3contact,metal2,rmetal2]" + spacing allm2 allm2,obsm2,m2fill 140 touching_ok "Metal2 spacing < %d (met2.2) [erase m2contact m3contact metal2 rmetal2,m2contact m2fill m3contact metal2 obsm2 rmetal2]" + area allm2,obsm2 67600 140 "Metal2 minimum area < %a (met2.6)" + + angles allm2 45 "Only 45 and 90 degree angles permitted on metal2 (x.3a)" variants (fast),(full) - widespacing allm2 3000 allm2,obsm2 280 touching_ok \ - "Metal2 > 3um spacing to unrelated m2 < %d (Met2 3)" - widespacing obsm2 3000 allm2 280 touching_ok \ - "Metal2 > 3um spacing to unrelated m2 < %d (Met2 3)" + widespacing allm2 3005 allm2,obsm2,m2fill 280 touching_ok \ + "Metal2 > 3um spacing to unrelated m2 < %d (met2.3b)" + widespacing obsm2 3005 allm2 280 touching_ok \ + "Metal2 > 3um spacing to unrelated m2 < %d (met2.3b)" variants (full) cifmaxwidth m2_hole_empty 0 bend_illegal \ - "Min area of metal2 holes > 0.14um^2 (Met2 7)" + "Min area of metal2 holes > 0.14um^2 (met2.7)" + + cifspacing m2_large_halo m2_large_halo 280 touching_ok \ + "Spacing of metal2 features attached to and within 0.28um of large metal2 < %d (met2.3a)" variants * #-------------------------------------------------- # VIA2 #-------------------------------------------------- - # ORIGINAL RULE: width v2/m2 280 "Via2 width < %d (Via2 1a + 2 * Via2 4)" - width v2/m2 280 "Via2 width < %d (Via2 1a + 2 * Via2 4) [paint m3contact/m2]" + # ORIGINAL RULE: width v2/m2 280 "via2 width < %d (via2.1a + 2 * via2.4)" + width v2/m2 280 "via2 width < %d (via2.1a + 2 * via2.4) [paint m3contact/m2]" - spacing v2 v2 120 touching_ok "Via2 spacing < 0.24um (Via2 2 - 2 * Via2 4) [erase m3contact]" + spacing v2 v2 120 touching_ok "via2 spacing < %d (via2.2 - 2 * via2.4) [erase m3contact]" - surround v2/m2 *m2 45 directional "Metal2 overlap of Via2 < %d in one direction (Via2 4a - Via2 4) [erase m3contact/m2,m2contact m3contact metal2 padl]" - surround v2/m3 *m3 25 absence_illegal "Metal3 overlap of Via2 < %d (Met3 4) [erase m3contact/m3,m3contact metal3 padl via3]" + surround v2/m2 *m2,rm2 45 directional "Metal2 overlap of via2 < %d in one direction (via2.4a - via2.4) [erase m3contact/m2,m2contact m3contact metal2 rmetal2]" + surround v2/m3 *m3,rm3 25 absence_illegal "Metal3 overlap of via2 < %d (met3.4) [erase m3contact/m3,m3contact metal3 rmetal3 via3]" exact_overlap v2/m2 @@ -3016,28 +4641,33 @@ variants * # METAL3 - #-------------------------------------------------- - # ORIGINAL RULE: width allm3 300 "Metal3 width < %d (Met3 1)" - width allm3 300 "Metal3 width < %d (Met3 1) [paint m3contact,metal3,padl,rmetal3,via3]" - spacing allm3 allm3,obsm3 300 touching_ok "Metal3 spacing < %d (Met3 2) [erase m3contact metal3 padl rmetal3 via3,m3contact metal3 obsm3 padl rmetal3 via3]" - area allm3,obsm3 240000 300 "Metal3 minimum area < %a (Met3 6)" + # ORIGINAL RULE: width allm3,m3fill 300 "Metal3 width < %d (met3.1)" + width allm3,m3fill 300 "Metal3 width < %d (met3.1) [paint m3contact,m3fill,metal3,rmetal3,via3]" + spacing allm3 allm3,obsm3,m3fill 300 touching_ok "Metal3 spacing < %d (met3.2) [erase m3contact metal3 rmetal3 via3,m3contact m3fill metal3 obsm3 rmetal3 via3]" + area allm3,obsm3 240000 300 "Metal3 minimum area < %a (met3.6)" + + angles allm3 45 "Only 45 and 90 degree angles permitted on metal3 (x.3a)" variants (fast),(full) - widespacing allm3 3000 allm3,obsm3 400 touching_ok \ - "Metal3 > 3um spacing to unrelated m3 < %d (Met3 3d)" - widespacing obsm3 3000 allm3 400 touching_ok \ - "Metal3 > 3um spacing to unrelated m3 < %d (Met3 3d)" + widespacing allm3,m3fill 3005 allm3,obsm3 400 touching_ok \ + "Metal3 > 3um spacing to unrelated m3 < %d (met3.3d)" + widespacing obsm3 3005 allm3 400 touching_ok \ + "Metal3 > 3um spacing to unrelated m3 < %d (met3.3d)" +variants (full) + cifspacing m3_large_halo m3_large_halo 400 touching_ok \ + "Spacing of metal3 features attached to and within 0.40um of large metal3 < %d (met3.3c)" variants * #-------------------------------------------------- -# VIA3 - Requires 1 Module +# VIA3 - Requires METAL5 Module #-------------------------------------------------- - # ORIGINAL RULE: width v3/m3 320 "Via3 width < %d (Via3 1 + 2 * Via3 4)" - width v3/m3 320 "Via3 width < %d (Via3 1 + 2 * Via3 4) [paint via3/m3]" - spacing v3 v3 80 touching_ok "Via3 spacing < %d (Via3 2 - 2 * Via3 4) [erase via3]" - surround v3/m3 *m3 30 directional "Metal3 overlap of Via3 in one direction < %d (Via3 5 - Via3 4) [erase via3/m3,m3contact metal3 padl via3]" - surround v3/m4 *m4 5 absence_illegal "Metal4 overlap of Via3 < %d (Met4 3 - Via3 4) [erase via3/m4,metal4 mimcapcontact padl via3 via4]" + # ORIGINAL RULE: width v3/m3 320 "via3 width < %d (via3.1 + 2 * via3.4)" + width v3/m3 320 "via3 width < %d (via3.1 + 2 * via3.4) [paint via3/m3]" + spacing v3 v3 80 touching_ok "via3 spacing < %d (via3.2 - 2 * via3.4) [erase via3]" + surround v3/m3 *m3,rm3 30 directional "Metal3 overlap of via3 in one direction < %d (via3.5 - via3.4) [erase via3/m3,m3contact metal3 rmetal3 via3]" + surround v3/m4 *m4,rm4 5 absence_illegal "Metal4 overlap of via3 < %d (met4.3 - via3.4) [erase via3/m4,metal4 mimcapcontact rmetal4 via3 via4]" exact_overlap v3/m3 @@ -3047,170 +4677,229 @@ variants * variants * - # ORIGINAL RULE: width allm4 300 "Metal4 width < %d (Met4 1)" - width allm4 300 "Metal4 width < %d (Met4 1) [paint metal4,mimcapcontact,padl,rmetal4,via3,via4]" - spacing allm4 allm4,obsm4 300 touching_ok "Metal4 spacing < %d (Met4 2) [erase metal4 mimcapcontact padl rmetal4 via3 via4,metal4 mimcapcontact obsm4 padl rmetal4 via3 via4]" - area allm4,obsm4 240000 300 "Metal4 minimum area < %a (Met4 4a)" + # ORIGINAL RULE: width allm4,m4fill 300 "Metal4 width < %d (met4.1)" + width allm4,m4fill 300 "Metal4 width < %d (met4.1) [paint m4fill,metal4,mimcapcontact,rmetal4,via3,via4]" + spacing allm4 allm4,obsm4,m4fill 300 touching_ok "Metal4 spacing < %d (met4.2) [erase metal4 mimcapcontact rmetal4 via3 via4,m4fill metal4 mimcapcontact obsm4 rmetal4 via3 via4]" + area allm4,obsm4 240000 300 "Metal4 minimum area < %a (met4.4a)" + + angles allm4 45 "Only 45 and 90 degree angles permitted on metal4 (x.3a)" variants (fast),(full) - widespacing allm4 3000 allm4,obsm4 400 touching_ok \ - "Metal4 > 3um spacing to unrelated m4 < %d (S2M4)" - widespacing obsm4 3000 allm4 400 touching_ok \ - "Metal4 > 3um spacing to unrelated m4 < %d (S2M4)" + widespacing allm4,m4fill 3005 allm4,obsm4 400 touching_ok \ + "Metal4 > 3um spacing to unrelated m4 < %d (met4.5b)" + widespacing obsm4 3005 allm4 400 touching_ok \ + "Metal4 > 3um spacing to unrelated m4 < %d (met4.5b)" +variants (full) + cifspacing m4_large_halo m4_large_halo 400 touching_ok \ + "Spacing of metal4 features attached to and within 0.40um of large metal4 < %d (met4.5a)" variants * #-------------------------------------------------- -# VIA4 - Requires 1 Module +# VIA4 - Requires METAL5 Module #-------------------------------------------------- - # ORIGINAL RULE: width v4/m4 1180 "Via4 width < %d (Via4 1 + 2 * Via4 4)" - width v4/m4 1180 "Via4 width < %d (Via4 1 + 2 * Via4 4) [paint via4/m4]" - spacing v4 v4 420 touching_ok "Via4 spacing < %d (Via4 2 - 2 * Via4 4) [erase via4]" - surround v4/m5 *m5 120 absence_illegal "Metal5 overlap of Via4 < %d (Met5 3 - Via4 4) [erase via4/m5,metal5 mimcap2contact padl via4]" + # ORIGINAL RULE: width v4/m4 1180 "via4 width < %d (via4.1 + 2 * via4.4)" + width v4/m4 1180 "via4 width < %d (via4.1 + 2 * via4.4) [paint via4/m4]" + spacing v4 v4 420 touching_ok "via4 spacing < %d (via4.2 - 2 * via4.4) [erase via4]" + surround v4/m5 *m5,rm5 120 absence_illegal "Metal5 overlap of via4 < %d (met5.3 - via4.4) [erase via4/m5,metal5 mimcap2contact mrdlcontact rmetal5 via4]" exact_overlap v4/m4 #----------------------------- -# 1 - 1 Module +# METAL5 - METAL5 Module #----------------------------- - # ORIGINAL RULE: width allm5 1600 "Metal5 width < %d (Met5 1)" - width allm5 1600 "Metal5 width < %d (Met5 1) [paint metal5,mimcap2contact,padl,rmetal5,via4]" - spacing allm5 allm5,obsm5 1600 touching_ok "Metal5 spacing < %d (Met5 2) [erase metal5 mimcap2contact padl rmetal5 via4,metal5 mimcap2contact obsm5 padl rmetal5 via4]" - area allm5,obsm5 4000000 1600 "Metal5 minimum area < %a (Met5 4)" + # ORIGINAL RULE: width allm5,m5fill 1600 "Metal5 width < %d (met5.1)" + width allm5,m5fill 1600 "Metal5 width < %d (met5.1) [paint m5fill,metal5,mimcap2contact,mrdlcontact,rmetal5,via4]" + spacing allm5 allm5,obsm5,m5fill 1600 touching_ok "Metal5 spacing < %d (met5.2) [erase metal5 mimcap2contact mrdlcontact rmetal5 via4,m5fill metal5 mimcap2contact mrdlcontact obsm5 rmetal5 via4]" + area allm5,obsm5 4000000 1600 "Metal5 minimum area < %a (met5.4)" + angles allm5 45 "Only 45 and 90 degree angles permitted on metal5 (x.3a)" + + + +variants (full) + + # ORIGINAL RULE: width metrdl 10000 "RDL width < %d (rdl.1)" + width metrdl 10000 "RDL width < %d (rdl.1) [paint metalrdl]" + spacing metrdl metrdl 10000 touching_ok "RDL spacing < %d (rdl.2) [erase metalrdl]" + surround glass metrdl 10750 absence_ok "RDL must surround glass cut by %d (rdl.3) [erase glass,metalrdl]" + spacing glass metrdl 19660 surround_ok "RDL spacing to unrelated pad < %d (rdl.6) [erase glass,metalrdl]" + +variants (fast),(full) #-------------------------------------------------- # NMOS, PMOS #-------------------------------------------------- - extend allfets *poly 420 "Transistor width < %d (Diff/tap 2)" + edge4way *poly allfetsstd 420 allfets 0 0 \ + "Transistor width < %d (diff/tap.2)" + edge4way *poly allfetsspecial 360 allfets 0 0 \ + "Transistor in standard cell width < %d (diff/tap.2)" + edge4way *poly npass,npd,nsonos 210 allfets 0 0 \ + "N-Transistor in SRAM core width < %d (diff/tap.2)" + edge4way *poly ppu 140 allfets 0 0 \ + "P-Transistor in SRAM core width < %d (diff/tap.2)" + # Except: Note that standard cells allow transistor width minimum 0.36um - # ORIGINAL RULE: width pfetlvt 350 "LVT PMOS gate length < %d (Poly 1b)" - width pfetlvt 350 "LVT PMOS gate length < %d (Poly 1b) [paint pfetlvt]" - - spacing *nsd,*mvnsd allpolynonfet 55 touching_illegal "N-tap spacing to field poly < %d (Poly 5) [erase mvnsubstratencontact mvnsubstratendiff nsubstratencontact nsubstratendiff,npolyres pcontact polysilicon ppolyres rmp xpolycontact xpolyres]" - spacing *psd,*mvpsd allpolynonfet 55 touching_illegal "P-tap spacing to field poly < %d (Poly 5) [erase mvpsubstratepcontact mvpsubstratepdiff psubstratepcontact psubstratepdiff,npolyres pcontact polysilicon ppolyres rmp xpolycontact xpolyres]" - - # Full edge rule required to describe FET to butted tap distance - edge4way *psd *ndiff 300 *ndiff *psd 300 \ - "Butting P-tap spacing to NMOS gate < %d (Poly 6)" - edge4way *nsd *pdiff 300 *pdiff *nsd 300 \ - "Butting N-tap spacing to PMOS gate < %d (Poly 6)" - edge4way *mvpsd *mvndiff 300 *mvndiff *mvpsd 300 \ - "Butting MV P-tap spacing to MV NMOS gate < %d (Poly 6)" - edge4way *mvnsd *mvpdiff 300 *mvpdiff *mvnsd 300 \ - "Butting MV N-tap spacing to MV PMOS gate < %d (Poly 6)" + # ORIGINAL RULE: width pfetlvt 350 "LVT PMOS gate length < %d (poly.1b)" + width pfetlvt 350 "LVT PMOS gate length < %d (poly.1b) [paint pfetlvt]" + + spacing allpolynonfet,polyfill *nsd 55 corner_ok var,varhvt,corenvar \ + "poly spacing to diffusion tap < %d (poly.5)" + spacing allpolynonfet,polyfill *psd 55 corner_ok corepvar \ + "poly spacing to diffusion tap < %d (poly.5)" + spacing allpolynonfet,polyfill *mvnsd 55 corner_ok mvvar \ + "poly spacing to diffusion tap < %d (poly.5)" + spacing allpolynonfet,polyfill *mvpsd 55 touching_illegal "poly spacing to diffusion tap < %d (poly.5) [erase npolyres pcontact polyfill polysilicon ppolyres rmp xpolycontact xpolyres,mvpsubstratepcontact mvpsubstratepdiff]" + + edge4way *psd *ndiff 300 ~(nfet,npass,npd,scnfet,scnfetlvt,nfetlvt,nsonos)/a *psd 300 \ + "Butting P-tap spacing to NMOS gate < %d (poly.6)" + edge4way *nsd *pdiff 300 ~(pfet,ppu,scpfet,scpfethvt,pfetlvt,pfetmvt)/a *nsd 300 \ + "Butting N-tap spacing to PMOS gate < %d (poly.6)" + edge4way *mvpsd *mvndiff 300 ~(mvnfet,mvnfetesd,mvnnfet,nnfet)/a *mvpsd 300 \ + "Butting MV P-tap spacing to MV NMOS gate < %d (poly.6)" + edge4way *mvnsd *mvpdiff 300 ~(mvpfet,mvpfetesd)/a *mvnsd 300 \ + "Butting MV N-tap spacing to MV PMOS gate < %d (poly.6)" # No LV FETs in HV diff - spacing pfet,scpfet,pfetlvt,pfethvt,*pdiff *mvpdiff 360 touching_illegal "LV P-diffusion to MV P-diffusion < %d (Diff/tap 23 + Diff/tap 22) [erase pdcontact pdiffusion pfethvt pfetlvt ptransistor scptransistor,mvpdcontact mvpdiffusion]" + spacing pfet,scpfet,scpfethvt,ppu,pfetlvt,pfetmvt,pfethvt,*pdiff *mvpdiff 360 touching_illegal "LV P-diffusion to MV P-diffusion < %d (diff/tap.23 + diff/tap.22) [erase pdcontact pdiffusion pfethvt pfetlvt pfetmvt ptransistor scpfethvt scptransistor srampfet,mvpdcontact mvpdiffusion]" - spacing nfet,scnfet,nfetlvt,varactor,varhvt,*ndiff *mvndiff 360 touching_illegal "LV N-diffusion to MV N-diffusion < %d (Diff/tap 23 + Diff/tap 22) [erase ndcontact ndiffusion nfetlvt ntransistor scntransistor varactor varactorhvt,mvndcontact mvndiffusion]" + spacing nfet,scnfet,scnfetlvt,npd,npass,nfetlvt,varactor,varhvt,*ndiff *mvndiff 360 touching_illegal "LV N-diffusion to MV N-diffusion < %d (diff/tap.23 + diff/tap.22) [erase ndcontact ndiffusion nfetlvt ntransistor scnfetlvt scntransistor sramnfet srampassfet varactor varactorhvt,mvndcontact mvndiffusion]" # No HV FETs in LV diff - spacing mvpfet,*mvpdiff *pdiff 360 touching_illegal "MV P-diffusion to LV P-diffusion < %d (Diff/tap 23 + Diff/tap 22) [erase mvpdcontact mvpdiffusion mvptransistor,pdcontact pdiffusion]" + spacing mvpfet,mvpfetesd,*mvpdiff *pdiff 360 touching_illegal "MV P-diffusion to LV P-diffusion < %d (diff/tap.23 + diff/tap.22) [erase mvpdcontact mvpdiffusion mvptransistor mvptransistoresd,pdcontact pdiffusion]" - spacing mvnfet,mvvaractor,*mvndiff *ndiff 360 touching_illegal "MV N-diffusion to LV N-diffusion < %d (Diff/tap 23 + Diff/tap 22) [erase mvndcontact mvndiffusion mvntransistor mvvaractor,ndcontact ndiffusion]" + spacing mvnfet,mvnfetesd,mvvaractor,*mvndiff *ndiff 360 touching_illegal "MV N-diffusion to LV N-diffusion < %d (diff/tap.23 + diff/tap.22) [erase mvndcontact mvndiffusion mvntransistor mvntransistoresd mvvaractor,ndcontact ndiffusion]" # Minimum length of MV FETs. Note that this is larger than the minimum # width (0.29um), so an edge rule is required - edge4way mvndiff mvnfet 500 mvnfet 0 0 \ - "MV NMOS minimum length < %d (Poly 13)" + edge4way mvndiff mvnfet,mvnfetesd 500 mvnfet,mvnfetesd 0 0 \ + "MV NMOS minimum length < %d (poly.13)" edge4way mvnsd mvvaractor 500 mvvaractor 0 0 \ - "MV Varactor minimum length < %d (Poly 13)" + "MV Varactor minimum length < %d (poly.13)" - edge4way mvpdiff mvpfet 500 mvpfet 0 0 \ - "MV PMOS minimum length < %d (Poly 13)" + edge4way mvpdiff mvpfet,mvpfetesd 500 mvpfet,mvpfetesd 0 0 \ + "MV PMOS minimum length < %d (poly.13)" #-------------------------------------------------- # mrp1 (N+ poly resistor) #-------------------------------------------------- - # ORIGINAL RULE: width mrp1 330 "mrp1 resistor width < %d (Poly 3)" - width mrp1 330 "mrp1 resistor width < %d (Poly 3) [paint npolyres]" + # ORIGINAL RULE: width mrp1 330 "mrp1 resistor width < %d (poly.3)" + width mrp1 330 "mrp1 resistor width < %d (poly.3) [paint npolyres]" #-------------------------------------------------- # xhrpoly (P+ poly resistor) +# uhrpoly (P+ poly resistor, 2kOhm/sq) #-------------------------------------------------- - # ORIGINAL RULE: width xhrpoly 350 "xhrpoly resistor width < %d (P+ Poly 1a)" - width xhrpoly 350 "xhrpoly resistor width < %d (P+ Poly 1a) [paint ppolyres]" - # NOTE: xhrpoly resistor requires choice of discrete widths 0.35, 0.69, ... up to 1.27. + # NOTE: u/xhrpoly resistor requires discrete widths 0.35, 0.69, ... up to 1.27. + # ORIGINAL RULE: width xhrpoly 350 "xhrpoly resistor width < %d (P+ poly.1a)" + width xhrpoly 350 "xhrpoly resistor width < %d (P+ poly.1a) [paint ppolyres]" + # ORIGINAL RULE: width uhrpoly 350 "uhrpoly resistor width < %d (P+ poly.1a)" + width uhrpoly 350 "uhrpoly resistor width < %d (P+ poly.1a) [paint xpolyres]" -#-------------------------------------------------- -# uhrpoly (P+ poly resistor, 2kOhm/sq) -#-------------------------------------------------- + spacing xhrpoly,uhrpoly,xpc alldiff 480 touching_illegal "xhrpoly/uhrpoly resistor spacing to diffusion < %d (poly.9) [erase ppolyres xpolycontact xpolyres,fomfill mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvntransistoresd mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvptransistoresd ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nntransistor nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt pfetmvt psubstratepcontact psubstratepdiff ptransistor scnfetlvt scntransistor scpfethvt scptransistor sramnfet srampassfet srampfet]" + + spacing mrp1,xhrpoly,uhrpoly,xpc allfets 480 touching_illegal "Poly resistor spacing to poly < %d (poly.9) [erase npolyres ppolyres xpolycontact xpolyres,corenvaractor corepvaractor mvnntransistor mvntransistor mvntransistoresd mvptransistor mvptransistoresd mvvaractor nfetlvt nntransistor nsonos ntransistor pfethvt pfetlvt pfetmvt ptransistor scnfetlvt scntransistor scpfethvt scptransistor sramnfet srampassfet srampfet varactor varactorhvt]" + + spacing xhrpoly,uhrpoly,xpc *poly 480 touching_illegal "Poly resistor spacing to poly < %d (poly.9) [erase ppolyres xpolycontact xpolyres,pcontact polysilicon]" + + spacing mrp1 *poly 480 touching_ok "Poly resistor spacing to poly < %d (poly.9) [erase npolyres,pcontact polysilicon]" - # ORIGINAL RULE: width uhrpoly 350 "uhrpoly resistor width < %d" - width uhrpoly 350 "uhrpoly resistor width < %d [paint xpolyres]" - spacing xhrpoly,uhrpoly,xpc alldiff 480 touching_illegal "xhrpoly/uhrpoly resistor spacing to diffusion < %d (Poly 9) [erase ppolyres xpolycontact xpolyres,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt psubstratepcontact psubstratepdiff ptransistor scntransistor scptransistor]" + spacing mrp1,xhrpoly,uhrpoly,xpc alldiff 480 touching_illegal "Poly resistor spacing to diffusion < %d (poly.9) [erase npolyres ppolyres xpolycontact xpolyres,fomfill mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvntransistoresd mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvptransistoresd ndcontact ndiffres ndiffusion ndiode ndiodec nfetlvt nndiode nndiodec nntransistor nsonos nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pfethvt pfetlvt pfetmvt psubstratepcontact psubstratepdiff ptransistor scnfetlvt scntransistor scpfethvt scptransistor sramnfet srampassfet srampfet]" + +#------------------------------------ +# nsonos +#------------------------------------ + +variants (full) + cifmaxwidth bbox_missing 0 bend_illegal \ + "SONOS transistor must be in cell with abutment box (tunm.8)" +variants (fast),(full) #------------------------------------ # MOS Varactor device rules #------------------------------------ overhang *nsd var,varhvt 250 \ - "N-Tap overhang of Varactor < %d (Var 4)" + "N-Tap overhang of Varactor < %d (var.4)" overhang *mvnsd mvvar 250 \ - "N-Tap overhang of Varactor < %d (Var 4)" + "N-Tap overhang of Varactor < %d (var.4)" + + # ORIGINAL RULE: width var,varhvt,mvvar 180 "Varactor length < %d (var.1)" + width var,varhvt,mvvar 180 "Varactor length < %d (var.1) [paint mvvaractor,varactor,varactorhvt]" + extend var,varhvt,mvvar *poly 1000 "Varactor width < %d (var.2)" - # ORIGINAL RULE: width var,varhvt,mvvar 180 "Varactor length < %d (Var 1)" - width var,varhvt,mvvar 180 "Varactor length < %d (Var 1) [paint mvvaractor,varactor,varactorhvt]" - extend var,varhvt,mvvar *poly 1000 "Varactor width < %d (Var 2)" +variants (full) + cifmaxwidth var_poly_no_nwell 0 bend_illegal \ + "N-well overlap of varactor poly < 0.15um (varac.5)" + + cifmaxwidth pdiff_in_varactor_well 0 bend_illegal \ + "Varactor N-well must not contain P+ diffusion (varac.7)" +variants (fast),(full) #----------------------------------------------------------- # MiM CAP (CAPM) - #----------------------------------------------------------- - # ORIGINAL RULE: width *mimcap 2000 "MiM cap width < %d (Capm 1)" - width *mimcap 2000 "MiM cap width < %d (Capm 1) [paint mimcap,mimcapcontact]" - spacing *mimcap *mimcap 840 touching_ok "MiM cap spacing < %d (Capm 2a) [erase mimcap mimcapcontact]" - spacing *mimcap via2/m3 1270 touching_illegal "MiM cap spacing to via2 < %d (Capm 5) [erase mimcap mimcapcontact,m3contact/m3]" - surround *mimcc *mimcap 200 absence_illegal "MiM cap must surround MiM cap contact by %d (Capm 4) [erase mimcapcontact,mimcap mimcapcontact]" - rect_only *mimcap "MiM cap must be rectangular (Capm 7) + # ORIGINAL RULE: width *mimcap 1000 "MiM cap width < %d (capm.1)" + width *mimcap 1000 "MiM cap width < %d (capm.1) [paint mimcap,mimcapcontact]" + spacing *mimcap *mimcap 840 touching_ok "MiM cap spacing < %d (capm.2a) [erase mimcap mimcapcontact]" + spacing *mimcap via3/m3 80 touching_illegal "MiM cap spacing to via3 < %d (capm.5 - via3.4) [erase mimcap mimcapcontact,via3/m3]" + surround *mimcc *mimcap 80 absence_illegal "MiM cap must surround MiM cap contact by %d (capm.4 - via3.4) [erase mimcapcontact,mimcap mimcapcontact]" + rect_only *mimcap "MiM cap must be rectangular (capm.7) - surround *mimcap *metal3/m3 140 absence_illegal "Metal3 must surround MiM cap by %d (Capm 3) [erase mimcap mimcapcontact,m3contact/m3 metal3/m3 padl/m3 via3/m3]" - spacing via2 *mimcap 50 touching_illegal "MiM cap cannot overlap via2 (Capm 8) [erase m3contact,mimcap mimcapcontact]" - spacing via3 *mimcap 50 touching_illegal "MiM cap cannot overlap via3 (Capm 8) [erase via3,mimcap mimcapcontact]" - # (resolve scaling issue!) - # cifspacing mim_bottom mim_bottom 1200 touching_ok \ - # "MiM cap bottom plate spacing < %d (Capm 2b)" + surround *mimcap *metal3/m3 140 absence_illegal "Metal3 must surround MiM cap by %d (capm.3) [erase mimcap mimcapcontact,m3contact/m3 metal3/m3 via3/m3]" + spacing via2 *mimcap 100 touching_illegal "MiM cap spacing to via2 < %d (capm.8 - via2.4) [erase m3contact,mimcap mimcapcontact]" + spacing *metal3 *mimcap 1340 surround_ok "MiM cap spacing to unrelated metal3 < %d (capm.11) [erase m3contact metal3 via3,mimcap mimcapcontact]" # MiM cap contact rules (VIA3) - # ORIGINAL RULE: width mimcc/m3 320 "MiM cap contact width < %d (Via3 1 + 2 * Via3 4)" - width mimcc/m3 320 "MiM cap contact width < %d (Via3 1 + 2 * Via3 4) [paint mimcapcontact/m3]" - spacing mimcc mimcc 80 touching_ok "MiM cap contact spacing < %d (Via3 2 - 2 * Via3 4) [erase mimcapcontact]" - surround mimcc/m4 *m4 5 directional "Metal4 overlap of MiM cap contact in one direction < %d (Met4 3 - Via3 4) [erase mimcapcontact/m4,metal4 mimcapcontact padl via3 via4]" - exact_overlap mimcc/m3 - - # ORIGINAL RULE: width *mimcap2 2000 "MiM cap width < %d (Cap2m 1)" - width *mimcap2 2000 "MiM cap width < %d (Cap2m 1) [paint mimcap2,mimcap2contact]" - spacing *mimcap2 *mimcap2 840 touching_ok "MiM cap spacing < %d (Cap2m 2a) [erase mimcap2 mimcap2contact]" - spacing *mimcap2 via3/m4 1270 touching_illegal "MiM cap spacing to via3 < %d (Cap2m 5) [erase mimcap2 mimcap2contact,via3/m4]" - surround *mim2cc *mimcap2 200 absence_illegal "MiM cap must surround MiM cap contact by %d (Cap2m 4) [erase mimcap2contact,mimcap2 mimcap2contact]" - rect_only *mimcap2 "MiM cap must be rectangular (Cap2m 7) - - surround *mimcap2 *metal4/m4 140 absence_illegal "Metal4 must surround MiM cap by %d (Cap2m 3) [erase mimcap2 mimcap2contact,metal4/m4 mimcapcontact/m4 padl/m4 via3/m4 via4/m4]" - spacing via3 *mimcap2 50 touching_illegal "MiM cap cannot overlap via3 (Cap2m 8) [erase via3,mimcap2 mimcap2contact]" - spacing via4 *mimcap2 50 touching_illegal "MiM cap cannot overlap via4 (Cap2m 8) [erase via4,mimcap2 mimcap2contact]" - # (resolve scaling issue!) - # cifspacing mim2_bottom mim2_bottom 1200 touching_ok \ - # "MiM2 cap bottom plate spacing < %d (Cap2m 2b)" + # ORIGINAL RULE: width mimcc/c1 320 "MiM cap contact width < %d (via3.1 + 2 * via3.4)" + width mimcc/c1 320 "MiM cap contact width < %d (via3.1 + 2 * via3.4) [paint mimcapcontact/c1]" + spacing mimcc mimcc 80 touching_ok "MiM cap contact spacing < %d (via3.2 - 2 * via3.4) [erase mimcapcontact]" + surround mimcc/m4 *m4 5 directional "Metal4 overlap of MiM cap contact in one direction < %d (met4.3 - via3.4) [erase mimcapcontact/m4,metal4 mimcapcontact via3 via4]" + exact_overlap mimcc/c1 + + # ORIGINAL RULE: width *mimcap2 1000 "MiM2 cap width < %d (cap2m.1)" + width *mimcap2 1000 "MiM2 cap width < %d (cap2m.1) [paint mimcap2,mimcap2contact]" + spacing *mimcap2 *mimcap2 840 touching_ok "MiM2 cap spacing < %d (cap2m.2a) [erase mimcap2 mimcap2contact]" + spacing *mimcap2 via4/m4 10 touching_illegal "MiM2 cap spacing to via4 < %d (cap2m.5 - via4.4) [erase mimcap2 mimcap2contact,via4/m4]" + surround *mim2cc *mimcap2 10 absence_illegal "MiM2 cap must surround MiM cap 2 contact by %d (cap2m.4 - via4.4) [erase mimcap2contact,mimcap2 mimcap2contact]" + rect_only *mimcap2 "MiM2 cap must be rectangular (cap2m.7) + + surround *mimcap2 *metal4/m4 140 absence_illegal "Metal4 must surround MiM2 cap by %d (cap2m.3) [erase mimcap2 mimcap2contact,metal4/m4 mimcapcontact/m4 via3/m4 via4/m4]" + spacing via3 *mimcap2 80 touching_illegal "MiM2 cap spacing to via3 < %d (cap2m.8 - via3.4) [erase via3,mimcap2 mimcap2contact]" + spacing *metal4 *mimcap2 1340 surround_ok "MiM2 cap spacing to unrelated metal4 < %d (cap2m.11) [erase metal4 mimcapcontact via3 via4,mimcap2 mimcap2contact]" + +variants (full) + cifmaxwidth mim2_contact_overlap 0 bend_illegal \ + "MiM2 cap contact must not cross MiM cap contact (cap2m.8)" +variants (fast),(full) # MiM cap contact rules (VIA4) - # ORIGINAL RULE: width mim2cc/m4 1180 "MiM2 cap contact width < %d (Via4 1 + 2 * Via4 4)" - width mim2cc/m4 1180 "MiM2 cap contact width < %d (Via4 1 + 2 * Via4 4) [paint mimcap2contact/m4]" - spacing mim2cc mim2cc 420 touching_ok "MiM2 cap contact spacing < %d (Via4 2 - 2 * Via4 4) [erase mimcap2contact]" - surround mim2cc/m5 *m5 120 absence_illegal "Metal5 overlap of MiM2 cap contact < %d (Met5 3 - Via4 4) [erase mimcap2contact/m5,metal5 mimcap2contact padl via4]" - exact_overlap mim2cc/m4 + # ORIGINAL RULE: width mim2cc/c2 1180 "MiM2 cap contact width < %d (via4.1 + 2 * via4.4)" + width mim2cc/c2 1180 "MiM2 cap contact width < %d (via4.1 + 2 * via4.4) [paint mimcap2contact/c2]" + spacing mim2cc mim2cc 420 touching_ok "MiM2 cap contact spacing < %d (via4.2 - 2 * via4.4) [erase mimcap2contact]" + surround mim2cc/m5 *m5 120 absence_illegal "Metal5 overlap of MiM2 cap contact < %d (met5.3 - via4.4) [erase mimcap2contact/m5,metal5 mimcap2contact mrdlcontact via4]" + exact_overlap mim2cc/c2 +#---------------------------- +# HVNTM +#---------------------------- +variants (full) + cifspacing hvntm_generate hvntm_generate 700 touching_ok \ + "HVNTM spacing < %d (hvntm.2)" +variants (fast),(full) + #---------------------------- # End DRC style #---------------------------- @@ -3233,8 +4922,9 @@ lef routing m3 met3 MET3 m3 routing m4 met4 MET4 m4 routing m5 met5 MET5 m5 + routing mrdl met6 MET6 m6 MRDL METRDL - cut lic mcon MCON Mcon + cut mcon mcon MCON Mcon cut m2c via via1 VIA VIA1 cont2 via12 cut m3c via2 VIA2 cont3 via23 cut via3 via3 VIA3 cont4 via34 @@ -3247,8 +4937,16 @@ lef obs obsm4 met4 obs obsm5 met5 + obs obsmrdl met6 + + # NOTE: obsmcon only used with li1, not obsli. + obs obsmcon mcon - obs obslic mcon + # Vias on obstruction layers should be ignored, so cast to obstruction metal. + obs obsm1 via + obs obsm2 via2 + obs obsm3 via3 + obs obsm4 via4 end @@ -3256,24 +4954,23 @@ end # Device and Parasitic extraction #----------------------------------------------------- - extract - style ngspice variants (lvs),(sim),(si) + style ngspice variants (),(orig),(si),(hrhc),(lrhc),(hrlc),(lrlc) cscale 1 # NOTE: SkyWater SPICE libraries use .option scale 1E6 so all # dimensions must be in units of microns in the extract file. # Use extract style "ngspice(si)" to override this and produce # a file with SI units for length/area. - variants (lvs),(sim) - lambda 1E6 + variants (),(orig),(hrhc),(lrhc),(hrlc),(lrlc) variants (si) lambda 1.0 variants * units microns step 7 - sidehalo 2 + sidehalo 8 + fringeshieldhalo 8 # NOTE: MiM cap layers have been purposely put out of order, # may want to reconsider. @@ -3287,32 +4984,42 @@ extract planeorder metal3 6 planeorder metal4 7 planeorder metal5 8 - planeorder block 9 - planeorder comment 10 - planeorder cap1 11 - planeorder cap2 12 + planeorder metali 9 + planeorder block 10 + planeorder comment 11 + planeorder cap1 12 + planeorder cap2 13 height dnwell -0.1 0.1 height nwell,pwell 0.0 0.2062 height alldiff 0.2062 0.12 + height fomfill 0.2062 0.12 height allpoly 0.3262 0.18 + height polyfill 0.3262 0.18 height alldiffcont 0.3262 0.61 height pc 0.5062 0.43 height allli 0.9361 0.10 - height lic 1.0361 0.34 + height mcon 1.0361 0.34 height allm1 1.3761 0.36 + height m1fill 1.3761 0.36 height v1 1.7361 0.27 height allm2 2.0061 0.36 + height m2fill 2.0061 0.36 height v2 2.3661 0.42 height allm3 2.7861 0.845 - height v3 3.6311 0.39 - height allm4 4.0211 0.845 - height v4 4.8661 0.505 - height allm5 5.3711 1.26 + height m3fill 2.7861 0.845 height mimcap 2.4661 0.2 height mimcap2 3.7311 0.2 height mimcc 2.6661 0.12 height mim2cc 3.9311 0.09 + height v3 3.6311 0.39 + height allm4 4.0211 0.845 + height m4fill 4.0211 0.845 + height v4 4.8661 0.505 + height allm5 5.3711 1.26 + height m5fill 5.3711 1.26 + height mrdlc 6.6311 0.63 + height mrdl 7.2611 3.0 # Antenna check parameters # Note that checks w/diode diffusion are not modeled @@ -3320,25 +5027,26 @@ extract antenna poly sidewall 50 none antenna allcont surface 3 none antenna li sidewall 75 0 450 - antenna lic surface 3 0 18 - antenna m1,m2,m3 sidewall 400 2600 400 + antenna mcon surface 3 0 18 + antenna m1,m2,m3 sidewall 400 2200 400 antenna v1 surface 3 0 18 antenna v2 surface 6 0 36 - antenna m4,m5 sidewall 400 2600 400 + antenna m4,m5 sidewall 400 2200 400 antenna v3,v4 surface 6 0 36 tiedown alldiffnonfet - substrate *ppdiff,*mvppdiff,space/w,pwell well $SUB -dnwell - -# Layer resistance: Use document xp018-PDS-v4_2_1.pdf + substrate *ppdiff,*mvppdiff,space/w,pwell well $SUB -dnwell,isosub # Resistances are in milliohms per square # Optional 3rd argument is the corner adjustment fraction # Device values come from trtc.cor (typical corner) + +variants (),(orig),(si) + + resist (pwell,isosub)/well 4400000 resist (dnwell)/dwell 2200000 - resist (pwell)/well 3050000 - resist (nwell)/well 1700000 + resist (nwell)/well 950000 resist (rpw)/well 3050000 0.5 resist (*ndiff,nsd)/active 120000 resist (*pdiff,*psd)/active 197000 @@ -3356,25 +5064,132 @@ extract resist (allpolynonres)/active 48200 resist rmp/active 48200 - resist (allli)/locali 12200 + resist (allli)/locali 12800 resist (allm1)/metal1 125 resist (allm2)/metal2 125 resist (allm3)/metal3 47 resist (allm4)/metal4 47 resist (allm5)/metal5 29 - - contact ndc,nsc 15000 - contact pdc,psc 15000 - contact mvndc,mvnsc 15000 - contact mvpdc,mvpsc 15000 - contact pc 15000 - contact lic 152000 + resist mrdl/metali 5 + + contact ndc,nsc 185000 + contact ndic,ndilvtc,nndic 185000 + contact pdc,psc 585000 + contact pdic,pdilvtc,pdihvtc 585000 + contact mvndc,mvnsc,mvndic 185000 + contact mvpdc,mvpsc,mvpdic 585000 + contact pc,xpc 152000 + contact mcon 9300 contact m2c 4500 contact m3c 3410 contact mimcc 4500 contact mim2cc 3410 contact via3 3410 contact via4 380 + contact mrdlc 6 + +variants (hrhc),(hrlc) + + # High-end corner resistances + # No corner values available for: substrate, xhrpoly, uhrpoly, RDL + resist (pwell,isosub)/well 4400000 + resist (dnwell)/dwell 2575000 + resist (nwell)/well 1350000 + resist (rpw)/well 3535000 0.5 + resist (*ndiff,nsd)/active 132000 + resist (*pdiff,*psd)/active 228000 + resist (*mvndiff,mvnsd)/active 126000 + resist (*mvpdiff,*mvpsd)/active 228000 + + resist ndiffres/active 132000 0.5 + resist pdiffres/active 228000 0.5 + resist mvndiffres/active 126000 0.5 + resist mvpdiffres/active 228000 0.5 + resist mrp1/active 55800 0.5 + resist xhrpoly/active 319800 0.5 + resist uhrpoly/active 2000000 0.5 + + resist (allpolynonres)/active 55800 + resist rmp/active 55800 + + resist (allli)/locali 17000 + resist (allm1)/metal1 145 + resist (allm2)/metal2 145 + resist (allm3)/metal3 56 + resist (allm4)/metal4 56 + resist (allm5)/metal5 36 + resist mrdl/metali 5 + + contact ndc,nsc 280000 + contact ndic,ndilvtc,nndic 280000 + contact pdc,psc 840000 + contact pdic,pdilvtc,pdihvtc 840000 + contact mvndc,mvnsc,mvndic 280000 + contact mvpdc,mvpsc,mvpdic 840000 + contact pc,xpc 252000 + contact mcon 23000 + contact m2c 15000 + contact m3c 8000 + contact mimcc 15000 + contact mim2cc 8000 + contact via3 8000 + contact via4 891 + contact mrdlc 6 + +variants (lrhc),(lrlc) + + # Low-end corner resistances + # No corner values available for: substrate, xhrpoly, uhrpoly, RDL + resist (pwell,isosub)/well 4400000 + resist (dnwell)/dwell 1825000 + resist (nwell)/well 550000 + resist (rpw)/well 2565000 0.5 + resist (*ndiff,nsd)/active 108000 + resist (*pdiff,*psd)/active 166000 + resist (*mvndiff,mvnsd)/active 102000 + resist (*mvpdiff,*mvpsd)/active 160000 + + resist ndiffres/active 108000 0.5 + resist pdiffres/active 166000 0.5 + resist mvndiffres/active 102000 0.5 + resist mvpdiffres/active 160000 0.5 + resist mrp1/active 42200 0.5 + resist xhrpoly/active 319800 0.5 + resist uhrpoly/active 2000000 0.5 + + resist (allpolynonres)/active 42200 + resist rmp/active 42200 + + resist (allli)/locali 10500 + resist (allm1)/metal1 105 + resist (allm2)/metal2 105 + resist (allm3)/metal3 38 + resist (allm4)/metal4 38 + resist (allm5)/metal5 21 + resist mrdl/metali 5 + + contact ndc,nsc 95000 + contact ndic,ndilvtc,nndic 95000 + contact pdc,psc 345000 + contact pdic,pdilvtc,pdihvtc 345000 + contact mvndc,mvnsc,mvndic 95000 + contact mvpdc,mvpsc,mvpdic 345000 + contact pc,xpc 52000 + contact mcon 1600 + contact m2c 2000 + contact m3c 500 + contact mimcc 2000 + contact mim2cc 500 + contact via3 500 + contact via4 12 + contact mrdlc 6 + +variants * + + # These types should not be considered as electrical nodes + resist blocktypes None + resist obstypes None + resist comment None #------------------------------------------------------------------------- # Parasitic capacitance values: Use document (...) @@ -3397,9 +5212,18 @@ extract # should be split between the two terminals. Unsure of the correct model. #------------------------------------------------------------------------- +variants (),(orig),(si) +# Nominal capacitances + +#deep n-well +defaultareacap dnwell dwell 120 + +#p-well +defaultoverlap pwell well dnwell dwell 120 + #n-well # NOTE: This value not found in PEX files -defaultareacap nwell well 120 +defaultareacap nwell well dnwell dwell 120 #n-active # Rely on device models to capture *ndiff area cap @@ -3415,284 +5239,880 @@ defaultareacap nwell well 120 #poly # Do not extract parasitics from resistors -# defaultsidewall allpolynonfet active 22 +# defaultsidewall allpolynonfet active 17 # defaultareacap allpolynonfet active 106 # defaultperimeter allpolynonfet active 57 - defaultsidewall *poly active 23 - defaultareacap *poly active nwell,obswell,pwell well 106 - defaultperimeter *poly active nwell,obswell,pwell well 55 + defaultsidewall *poly active 16.0 + defaultareacap *poly active 106.13 + defaultperimeter *poly active 55.27 + defaultoverlap *poly active nwell,pwell well 106.13 + defaultsideoverlap *poly active nwell,pwell well 55.27 #locali - defaultsidewall allli locali 33 - defaultareacap allli locali nwell,obswell,pwell well 37 - defaultperimeter allli locali nwell,obswell,pwell well 55 - defaultoverlap allli locali nwell well 37 + defaultsidewall allli locali 25.5 0.14 + defaultareacap allli locali 36.99 + defaultperimeter allli locali 40.70 + defaultoverlap allli locali nwell,pwell well 36.99 + defaultsideoverlap allli locali nwell,pwell well 40.70 #locali->diff - defaultoverlap allli locali allactivenonfet active 37 - defaultsideoverlap allli locali allactivenonfet active 55 + defaultoverlap allli locali allactivenonfet active 55.3 + defaultsideoverlap allli locali allactivenonfet active 44.27 #locali->poly - defaultoverlap allli locali allpolynonres active 94 - defaultsideoverlap allli locali allpolynonres active 52 - defaultsideoverlap *poly active allli locali 25 + defaultoverlap allli locali allpolynonres active 94.16 + defaultsideoverlap allli locali allpolynonres active 51.85 + defaultsideoverlap *poly active allli locali 25.14 #metal1 - defaultsidewall allm1 metal1 45 - defaultareacap allm1 metal1 nwell,obswell,pwell well 26 - defaultperimeter allm1 metal1 nwell,obswell,pwell well 41 - defaultoverlap allm1 metal1 nwell well 26 + defaultsidewall allm1 metal1 44 0.25 + defaultareacap allm1 metal1 25.78 + defaultperimeter allm1 metal1 40.57 + defaultoverlap allm1 metal1 nwell,pwell well 25.78 + defaultsideoverlap allm1 metal1 nwell,pwell well 40.57 #metal1->diff - defaultoverlap allm1 metal1 allactivenonfet active 26 - defaultsideoverlap allm1 metal1 allactivenonfet active 41 + defaultoverlap allm1 metal1 allactivenonfet active 33.6 + defaultsideoverlap allm1 metal1 allactivenonfet active 43.10 #metal1->poly - defaultoverlap allm1 metal1 allpolynonres active 45 - defaultsideoverlap allm1 metal1 allpolynonres active 47 - defaultsideoverlap *poly active allm1 metal1 17 + defaultoverlap allm1 metal1 allpolynonres active 44.81 + defaultsideoverlap allm1 metal1 allpolynonres active 46.72 + defaultsideoverlap *poly active allm1 metal1 16.69 #metal1->locali - defaultoverlap allm1 metal1 allli locali 114 - defaultsideoverlap allm1 metal1 allli locali 59 - defaultsideoverlap allli locali allm1 metal1 35 + defaultoverlap allm1 metal1 allli locali 114.20 + defaultsideoverlap allm1 metal1 allli locali 59.50 + defaultsideoverlap allli locali allm1 metal1 34.70 #metal2 - defaultsidewall allm2 metal2 50 - defaultareacap allm2 metal2 nwell,obswell,pwell well 17 - defaultperimeter allm2 metal2 nwell,obswell,pwell well 41 - defaultoverlap allm2 metal2 nwell well 38 + defaultsidewall allm2 metal2 50 0.3 + + defaultareacap allm2 metal2 17.5 + defaultperimeter allm2 metal2 37.76 + defaultoverlap allm2 metal2 nwell,pwell well 17.5 + defaultsideoverlap allm2 metal2 nwell,pwell well 37.76 #metal2->diff - defaultoverlap allm2 metal2 allactivenonfet active 17 - defaultsideoverlap allm2 metal2 allactivenonfet active 41 + defaultoverlap allm2 metal2 allactivenonfet active 20.8 + defaultsideoverlap allm2 metal2 allactivenonfet active 39.54 #metal2->poly - defaultoverlap allm2 metal2 allpolynonres active 24 - defaultsideoverlap allm2 metal2 allpolynonres active 41 - defaultsideoverlap *poly active allm2 metal2 11 + defaultoverlap allm2 metal2 allpolynonres active 24.50 + defaultsideoverlap allm2 metal2 allpolynonres active 41.22 + defaultsideoverlap *poly active allm2 metal2 11.17 #metal2->locali - defaultoverlap allm2 metal2 allli locali 38 - defaultsideoverlap allm2 metal2 allli locali 46 - defaultsideoverlap allli locali allm2 metal2 22 + defaultoverlap allm2 metal2 allli locali 37.56 + defaultsideoverlap allm2 metal2 allli locali 46.28 + defaultsideoverlap allli locali allm2 metal2 21.74 #metal2->metal1 - defaultoverlap allm2 metal2 allm1 metal1 134 - defaultsideoverlap allm2 metal2 allm1 metal1 67 - defaultsideoverlap allm1 metal1 allm2 metal2 48 + defaultoverlap allm2 metal2 allm1 metal1 133.86 + defaultsideoverlap allm2 metal2 allm1 metal1 67.05 + defaultsideoverlap allm1 metal1 allm2 metal2 48.19 + #metal3 - defaultsidewall allm3 metal3 63 - defaultoverlap allm3 metal3 nwell well 12 - defaultareacap allm3 metal3 nwell,obswell,pwell well 12 - defaultperimeter allm3 metal3 nwell,obswell,pwell well 41 + defaultsidewall allm3 metal3 74.0 0.40 + + defaultareacap allm3 metal3 12.37 + defaultperimeter allm3 metal3 40.99 + defaultoverlap allm3 metal3 nwell,pwell well 12.37 + defaultsideoverlap allm3 metal3 nwell,pwell well 40.99 #metal3->diff - defaultoverlap allm3 metal3 allactive active 12 - defaultsideoverlap allm3 metal3 allactive active 41 + defaultoverlap allm3 metal3 allactive active 14.2 + defaultsideoverlap allm3 metal3 allactive active 42.25 #metal3->poly - defaultoverlap allm3 metal3 allpolynonres active 16 - defaultsideoverlap allm3 metal3 allpolynonres active 44 - defaultsideoverlap *poly active allm3 metal3 9 + defaultoverlap allm3 metal3 allpolynonres active 16.06 + defaultsideoverlap allm3 metal3 allpolynonres active 43.53 + defaultsideoverlap *poly active allm3 metal3 9.18 #metal3->locali - defaultoverlap allm3 metal3 allli locali 21 - defaultsideoverlap allm3 metal3 allli locali 47 - defaultsideoverlap allli locali allm3 metal3 15 + defaultoverlap allm3 metal3 allli locali 20.79 + defaultsideoverlap allm3 metal3 allli locali 46.71 + defaultsideoverlap allli locali allm3 metal3 15.08 #metal3->metal1 - defaultoverlap allm3 metal3 allm1 metal1 35 - defaultsideoverlap allm3 metal3 allm1 metal1 55 - defaultsideoverlap allm1 metal1 allm3 metal3 27 + defaultoverlap allm3 metal3 allm1 metal1 34.54 + defaultsideoverlap allm3 metal3 allm1 metal1 54.81 + defaultsideoverlap allm1 metal1 allm3 metal3 26.68 + #metal3->metal2 - defaultoverlap allm3 metal3 allm2 metal2 86 - defaultsideoverlap allm3 metal3 allm2 metal2 70 - defaultsideoverlap allm2 metal2 allm3 metal3 44 + defaultoverlap allm3 metal3 allm2 metal2 86.19 + defaultsideoverlap allm3 metal3 allm2 metal2 69.85 + defaultsideoverlap allm2 metal2 allm3 metal3 44.43 #metal4 - defaultsidewall allm4 metal4 67 -# defaultareacap alltopm metal4 well 6 - areacap allm4/m4 8 - defaultoverlap allm4 metal4 nwell well 8 - defaultperimeter allm4 metal4 well 37 + defaultsidewall allm4 metal4 94.0 0.57 + defaultareacap allm4 metal4 8.42 + defaultperimeter allm4 metal4 36.68 + defaultoverlap allm4 metal4 nwell,pwell well 8.42 + defaultsideoverlap allm4 metal4 nwell,pwell well 36.68 #metal4->diff - defaultoverlap allm4 metal4 allactivenonfet active 8 - defaultsideoverlap allm4 metal4 allactivenonfet active 37 + defaultoverlap allm4 metal4 allactivenonfet active 9.41 + defaultsideoverlap allm4 metal4 allactivenonfet active 37.57 #metal4->poly - defaultoverlap allm4 metal4 allpolynonres active 10 - defaultsideoverlap allm4 metal4 allpolynonres active 38 - defaultsideoverlap *poly active allm4 metal4 6 + defaultoverlap allm4 metal4 allpolynonres active 10.01 + defaultsideoverlap allm4 metal4 allpolynonres active 38.11 + defaultsideoverlap *poly active allm4 metal4 6.35 #metal4->locali - defaultoverlap allm4 metal4 allli locali 12 - defaultsideoverlap allm4 metal4 allli locali 40 - defaultsideoverlap allli locali allm4 metal4 10 + defaultoverlap allm4 metal4 allli locali 11.67 + defaultsideoverlap allm4 metal4 allli locali 39.71 + defaultsideoverlap allli locali allm4 metal4 10.14 #metal4->metal1 - defaultoverlap allm4 metal4 allm1 metal1 15 - defaultsideoverlap allm4 metal4 allm1 metal1 43 - defaultsideoverlap allm1 metal1 allm4 metal4 16 + defaultoverlap allm4 metal4 allm1 metal1 15.03 + defaultsideoverlap allm4 metal4 allm1 metal1 42.56 + defaultsideoverlap allm1 metal1 allm4 metal4 16.42 + #metal4->metal2 - defaultoverlap allm4 metal4 allm2 metal2 20 - defaultsideoverlap allm4 metal4 allm2 metal2 46 - defaultsideoverlap allm2 metal2 allm4 metal4 22 + defaultoverlap allm4 metal4 allm2 metal2 20.33 + defaultsideoverlap allm4 metal4 allm2 metal2 46.38 + defaultsideoverlap allm2 metal2 allm4 metal4 22.33 #metal4->metal3 - defaultoverlap allm4 metal4 allm3 metal3 84 - defaultsideoverlap allm4 metal4 allm3 metal3 71 - defaultsideoverlap allm3 metal3 allm4 metal4 43 + defaultoverlap allm4 metal4 allm3 metal3 84.03 + defaultsideoverlap allm4 metal4 allm3 metal3 70.52 + defaultsideoverlap allm3 metal3 allm4 metal4 42.64 #metal5 - defaultsidewall allm5 metal5 127 -# defaultareacap allm5 metal5 well 6 - areacap allm5/m5 6 - defaultoverlap allm5 metal5 nwell well 6 - defaultperimeter allm5 metal5 well 39 + defaultsidewall allm5 metal5 155 0.5 + defaultareacap allm5 metal5 6.32 + defaultperimeter allm5 metal5 38.85 + defaultoverlap allm5 metal5 nwell,pwell well 6.32 + defaultsideoverlap allm5 metal5 nwell,pwell well 38.85 #metal5->diff - defaultoverlap allm5 metal5 allactivenonfet active 6 - defaultsideoverlap allm5 metal5 allactivenonfet active 39 + defaultoverlap allm5 metal5 allactivenonfet active 6.88 + defaultsideoverlap allm5 metal5 allactivenonfet active 39.52 #metal5->poly - defaultoverlap allm5 metal5 allpolynonres active 7 - defaultsideoverlap allm5 metal5 allpolynonres active 40 - defaultsideoverlap *poly active allm5 metal5 6 + defaultoverlap allm5 metal5 allpolynonres active 7.21 + defaultsideoverlap allm5 metal5 allpolynonres active 39.91 + defaultsideoverlap *poly active allm5 metal5 6.49 #metal5->locali - defaultoverlap allm5 metal5 allli locali 8 - defaultsideoverlap allm5 metal5 allli locali 41 - defaultsideoverlap allli locali allm5 metal5 8 + defaultoverlap allm5 metal5 allli locali 8.03 + defaultsideoverlap allm5 metal5 allli locali 41.15 + defaultsideoverlap allli locali allm5 metal5 7.64 #metal5->metal1 - defaultoverlap allm5 metal5 allm1 metal1 9 - defaultsideoverlap allm5 metal5 allm1 metal1 43 - defaultsideoverlap allm1 metal1 allm5 metal5 12 + defaultoverlap allm5 metal5 allm1 metal1 9.48 + defaultsideoverlap allm5 metal5 allm1 metal1 43.19 + defaultsideoverlap allm1 metal1 allm5 metal5 12.02 + #metal5->metal2 - defaultoverlap allm5 metal5 allm2 metal2 11 - defaultsideoverlap allm5 metal5 allm2 metal2 46 - defaultsideoverlap allm2 metal2 allm5 metal5 16 + defaultoverlap allm5 metal5 allm2 metal2 11.34 + defaultsideoverlap allm5 metal5 allm2 metal2 45.59 + defaultsideoverlap allm2 metal2 allm5 metal5 15.69 #metal5->metal3 - defaultoverlap allm5 metal5 allm3 metal3 20 - defaultsideoverlap allm5 metal5 allm3 metal3 54 - defaultsideoverlap allm3 metal3 allm5 metal5 28 + defaultoverlap allm5 metal5 allm3 metal3 19.63 + defaultsideoverlap allm5 metal5 allm3 metal3 54.15 + defaultsideoverlap allm3 metal3 allm5 metal5 27.84 #metal5->metal4 - defaultoverlap allm5 metal5 allm4 metal4 68 - defaultsideoverlap allm5 metal5 allm4 metal4 83 - defaultsideoverlap allm4 metal4 allm5 metal5 47 - -# Devices: Use document (...) - -variants (sim) - - device msubcircuit pshort pfet,scpfet *pdiff,pdiffres *pdiff,pdiffres nwell error l=l w=w - device msubcircuit plowvt pfetlvt *pdiff,pdiffres *pdiff,pdiffres nwell error l=l w=w - device msubcircuit phighvt pfethvt *pdiff,pdiffres *pdiff,pdiffres nwell error l=l w=w - - device msubcircuit nshort nfet,scnfet *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error l=l w=w - device msubcircuit nlowvt nfetlvt *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error l=l w=w - device msubcircuit sonos_e nsonos *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error l=l w=w - device subcircuit xcnwvc varactor *nndiff nwell error l=l w=w - device subcircuit xcnwvc2 varhvt *nndiff nwell error l=l w=w - device subcircuit xchvnwc mvvaractor *mvnndiff nwell error l=l w=w - - device msubcircuit phv mvpfet *mvpdiff,mvpdiffres *mvpdiff,mvpdiffres nwell error l=l w=w - device msubcircuit nhv mvnfet *mvndiff,mvndiffres *mvndiff,mvndiffres pwell,space/w error l=l w=w - device msubcircuit nhvnative mvnnfet *mvndiff,mvndiffres *mvndiff,mvndiffres pwell,space/w error l=l w=w - - device rsubcircuit short rmp *poly space/w,pwell,nwell error l=l w=w - device rsubcircuit short rli1 *li,coreli space/w,pwell,nwell error l=l w=w - device rsubcircuit short rmetal1 *metal1 space/w,pwell,nwell error l=l w=w - device rsubcircuit short rmetal2 *metal2 space/w,pwell,nwell error l=l w=w - device rsubcircuit short rmetal3 *metal3 space/w,pwell,nwell error l=l w=w - device rsubcircuit short rm4 *m4 space/w,pwell,nwell error l=l w=w - device rsubcircuit short rm5 *m5 space/w,pwell,nwell error l=l w=w - - device rsubcircuit xhrpoly xhrpoly xpc pwell,space/w error l=l w=w - device rsubcircuit uhrpoly uhrpoly xpc pwell,space/w error l=l w=w - device rsubcircuit mrp1 mrp1 *poly pwell,space/w error l=l w=w - - device rsubcircuit mrdn ndiffres *ndiff pwell,space/w error l=l w=w - device rsubcircuit mrdp pdiffres *pdiff nwell error l=l w=w - device rsubcircuit xpwres rpw pwell dnwell error l=l w=w - - device rsubcircuit mrdn_hv mvndiffres *mvndiff pwell,space/w error l=l w=w - device rsubcircuit mrdp_hv mvpdiffres *mvpdiff nwell error l=l w=w - - device subcircuit pdiode *pdiode nwell a=a p=p - device msubcircuit ndiode *ndiode pwell,space/w a=a p=p - device subcircuit pdiode_h *mvpdiode nwell a=a p=p - device msubcircuit ndiode_h *mvndiode pwell,space/w a=a p=p - - # These are parasitic devices - device msubcircuit ndiode_lvt *ndiodelvt pwell,space/w a=a p=p - device subcircuit pdiode_lvt *pdiodelvt nwell a=a p=p - device subcircuit pdiode_hvt *pdiodehvt nwell a=a p=p - device msubcircuit ndiode_native *nndiode pwell,space/w a=a p=p - - device subcircuit xcmimc1 *mimcap m3 nwell,pwell,space/w error a=a p=p s=subs - device subcircuit xcmimc2 *mimcap2 m4,mimcc/m4 nwell,pwell,space/w error a=a p=p s=subs - - variants (lvs),(si) - - device mosfet pshort scpfet,pfet pdiff,pdiffres,pdc nwell - device mosfet plowvt pfetlvt pdiff,pdiffres,pdc nwell - device mosfet phighvt pfethvt pdiff,pdiffres,pdc nwell - device mosfet nshort scnfet,nfet ndiff,ndiffres,ndc pwell,space/w - device mosfet nlowvt nfetlvt ndiff,ndiffres,ndc pwell,space/w - device mosfet sonos_e nsonos ndiff,ndiffres,ndc pwell,space/w - device mosfet phv mvpfet mvpdiff,mvpdiffres,mvpdc nwell - device mosfet nhv mvnfet mvndiff,mvndiffres,mvndc pwell,space/w - device mosfet nhvnative mvnnfet *mvndiff,mvndiffres pwell,space/w + defaultoverlap allm5 metal5 allm4 metal4 68.33 + defaultsideoverlap allm5 metal5 allm4 metal4 82.82 + defaultsideoverlap allm4 metal4 allm5 metal5 46.98 - # These devices always extract as subcircuits - device subcircuit xcnwvc varactor *nndiff nwell error l=l w=w - device subcircuit xcnwvc2 varhvt *nndiff nwell error l=l w=w - device subcircuit xchvnwc mvvaractor *mvnndiff nwell error l=l w=w - - device resistor short rmp *poly - device resistor short rli1 *li,coreli - device resistor short rmetal1 *metal1 - device resistor short rmetal2 *metal2 - device resistor short rmetal3 *metal3 - device resistor short rm4 *m4 - device resistor short rm5 *m5 - - device resistor xhrpoly xhrpoly xpc - device resistor uhrpoly uhrpoly xpc - device resistor mrp1 mrp1 *poly - device resistor mrdn ndiffres *ndiff - device resistor mrdp pdiffres *pdiff - device resistor mrdn_hv mvndiffres *mvndiff - device resistor mrdp_hv mvpdiffres *mvpdiff - device resistor xpwres rpw pwell +#------------------------------------------------------------------------- +# Parasitic capacitance values for minimum corner +#------------------------------------------------------------------------- - device pdiode pdiode *pdiode nwell a=a p=p - device ndiode ndiode *ndiode pwell,space/w a=a p=p - device pdiode pdiode_h *mvpdiode nwell a=a p=p - device ndiode ndiode_h *mvndiode pwell,space/w a=a p=p +variants (hrlc),(lrlc) +# Minimum corner capacitances - # These are parasitic devices - device ndiode ndiode_lvt *ndiodelvt pwell,space/w a=a p=p - device pdiode pdiode_lvt *pdiodelvt nwell a=a p=p - device pdiode pdiode_hvt *pdiodehvt nwell a=a p=p - device ndiode ndiode_native *nndiode pwell,space/w a=a p=p +#deep n-well +defaultareacap dnwell dwell 120 - device subcircuit pdiode_h *mvpdiode nwell a=a p=p - device msubcircuit ndiode_h *mvndiode pwell,space/w a=a p=p +#p-well +defaultoverlap pwell well dnwell dwell 120 +#n-well +# NOTE: This value not found in PEX files +defaultareacap nwell well dnwell dwell 120 - device capacitor xcmimc1 *mimcap *m3 1 - device capacitor xcmimc2 *mimcap2 *m4 1 +#n-active +# Rely on device models to capture *ndiff area cap +# Do not extract parasitics from resistors +# defaultareacap allnactivenonfet active 790 +# defaultperimeter allnactivenonfet active 280 + +#p-active +# Rely on device models to capture *pdiff area cap +# Do not extract parasitics from resistors +# defaultareacap allpactivenonfet active 810 +# defaultperimeter allpactivenonfet active 300 + +#poly +# Do not extract parasitics from resistors +# defaultsidewall allpolynonfet active 22 +# defaultareacap allpolynonfet active 80.4 +# defaultperimeter allpolynonfet active 57 + + defaultsidewall *poly active 17.0 0.21 + defaultareacap *poly active 80.4 + defaultperimeter *poly active 48.83 + defaultoverlap *poly active nwell,pwell well 80.4 + defaultsideoverlap *poly active nwell,pwell well 48.83 + +#locali + defaultsidewall allli locali 26.7 0.17 + defaultareacap allli locali 29.3 + defaultperimeter allli locali 35.69 + defaultoverlap allli locali nwell,pwell well 29.3 + defaultsideoverlap allli locali nwell,pwell well 35.69 + +#locali->diff + defaultoverlap allli locali allactivenonfet active 45.3 + defaultsideoverlap allli locali allactivenonfet active 39.59 + +#locali->poly + defaultoverlap allli locali allpolynonres active 64.5 + defaultsideoverlap allli locali allpolynonres active 43.75 + defaultsideoverlap *poly active allli locali 21.21 + +#metal1 + defaultsidewall allm1 metal1 35.6 0.14 + defaultareacap allm1 metal1 20.2 + defaultperimeter allm1 metal1 34.41 + defaultoverlap allm1 metal1 nwell,pwell well 20.2 + defaultsideoverlap allm1 metal1 nwell,pwell well 34.41 + +#metal1->diff + defaultoverlap allm1 metal1 allactivenonfet active 26.7 + defaultsideoverlap allm1 metal1 allactivenonfet active 37.22 + +#metal1->poly + defaultoverlap allm1 metal1 allpolynonres active 32.3 + defaultsideoverlap allm1 metal1 allpolynonres active 40.34 + defaultsideoverlap *poly active allm1 metal1 14.41 + +#metal1->locali + defaultoverlap allm1 metal1 allli locali 77.2 + defaultsideoverlap allm1 metal1 allli locali 49.46 + defaultsideoverlap allli locali allm1 metal1 28.84 + +#metal2 + defaultsidewall allm2 metal2 37.61 0.14 + + defaultareacap allm2 metal2 14.0 + defaultperimeter allm2 metal2 32.60 + defaultoverlap allm2 metal2 nwell,pwell well 14.0 + defaultsideoverlap allm2 metal2 nwell,pwell well 32.60 + +#metal2->diff + defaultoverlap allm2 metal2 allactivenonfet active 16.8 + defaultsideoverlap allm2 metal2 allactivenonfet active 34.16 + +#metal2->poly + defaultoverlap allm2 metal2 allpolynonres active 18.9 + defaultsideoverlap allm2 metal2 allpolynonres active 34.46 + defaultsideoverlap *poly active allm2 metal2 9.34 + +#metal2->locali + defaultoverlap allm2 metal2 allli locali 28.6 + defaultsideoverlap allm2 metal2 allli locali 39.67 + defaultsideoverlap allli locali allm2 metal2 18.63 + +#metal2->metal1 + defaultoverlap allm2 metal2 allm1 metal1 80.4 + defaultsideoverlap allm2 metal2 allm1 metal1 53.85 + defaultsideoverlap allm1 metal1 allm2 metal2 38.70 + + +#metal3 + defaultsidewall allm3 metal3 52.0 0.30 + + defaultareacap allm3 metal3 10.2 + defaultperimeter allm3 metal3 36.05 + defaultoverlap allm3 metal3 nwell,pwell well 10.2 + defaultsideoverlap allm3 metal3 nwell,pwell well 36.05 + +#metal3->diff + defaultoverlap allm3 metal3 allactive active 11.7 + defaultsideoverlap allm3 metal3 allactive active 37.59 + +#metal3->poly + defaultoverlap allm3 metal3 allpolynonres active 12.6 + defaultsideoverlap allm3 metal3 allpolynonres active 38.28 + defaultsideoverlap *poly active allm3 metal3 8.07 + +#metal3->locali + defaultoverlap allm3 metal3 allli locali 16.3 + defaultsideoverlap allm3 metal3 allli locali 41.75 + defaultsideoverlap allli locali allm3 metal3 13.48 + +#metal3->metal1 + defaultoverlap allm3 metal3 allm1 metal1 25.8 + defaultsideoverlap allm3 metal3 allm1 metal1 48.82 + defaultsideoverlap allm1 metal1 allm3 metal3 23.76 + + +#metal3->metal2 + defaultoverlap allm3 metal3 allm2 metal2 59.5 + defaultsideoverlap allm3 metal3 allm2 metal2 61.18 + defaultsideoverlap allm2 metal2 allm3 metal3 38.92 + +#metal4 + defaultsidewall allm4 metal4 53.7 0.30 + defaultareacap allm4 metal4 7.28 + defaultperimeter allm4 metal4 32.39 + defaultoverlap allm4 metal4 nwell,pwell well 7.28 + defaultsideoverlap allm4 metal4 nwell,pwell well 32.39 + +#metal4->diff + defaultoverlap allm4 metal4 allactivenonfet active 7.98 + defaultsideoverlap allm4 metal4 allactivenonfet active 33.54 + +#metal4->poly + defaultoverlap allm4 metal4 allpolynonres active 8.42 + defaultsideoverlap allm4 metal4 allpolynonres active 33.59 + defaultsideoverlap *poly active allm4 metal4 5.60 + +#metal4->locali + defaultoverlap allm4 metal4 allli locali 9.92 + defaultsideoverlap allm4 metal4 allli locali 35.49 + defaultsideoverlap allli locali allm4 metal4 9.06 + +#metal4->metal1 + defaultoverlap allm4 metal4 allm1 metal1 12.8 + defaultsideoverlap allm4 metal4 allm1 metal1 38.29 + defaultsideoverlap allm1 metal1 allm4 metal4 14.77 + + +#metal4->metal2 + defaultoverlap allm4 metal4 allm2 metal2 17.8 + defaultsideoverlap allm4 metal4 allm2 metal2 42.08 + defaultsideoverlap allm2 metal2 allm4 metal4 20.26 + +#metal4->metal3 + defaultoverlap allm4 metal4 allm3 metal3 57.6 + defaultsideoverlap allm4 metal4 allm3 metal3 59.42 + defaultsideoverlap allm3 metal3 allm4 metal4 35.93 + +#metal5 + defaultsidewall allm5 metal5 81.5 1.6 + defaultareacap allm5 metal5 5.56 + defaultperimeter allm5 metal5 34.65 + defaultoverlap allm5 metal5 nwell,pwell well 5.56 + defaultsideoverlap allm5 metal5 nwell,pwell well 34.65 + +#metal5->diff + defaultoverlap allm5 metal5 allactivenonfet active 5.96 + defaultsideoverlap allm5 metal5 allactivenonfet active 35.55 + +#metal5->poly + defaultoverlap allm5 metal5 allpolynonres active 6.2 + defaultsideoverlap allm5 metal5 allpolynonres active 35.59 + defaultsideoverlap *poly active allm5 metal5 5.79 + +#metal5->locali + defaultoverlap allm5 metal5 allli locali 6.97 + defaultsideoverlap allm5 metal5 allli locali 37.24 + defaultsideoverlap allli locali allm5 metal5 6.91 + +#metal5->metal1 + defaultoverlap allm5 metal5 allm1 metal1 8.26 + defaultsideoverlap allm5 metal5 allm1 metal1 39.30 + defaultsideoverlap allm1 metal1 allm5 metal5 10.94 + + +#metal5->metal2 + defaultoverlap allm5 metal5 allm2 metal2 10.1 + defaultsideoverlap allm5 metal5 allm2 metal2 41.75 + defaultsideoverlap allm2 metal2 allm5 metal5 14.37 + +#metal5->metal3 + defaultoverlap allm5 metal5 allm3 metal3 16.7 + defaultsideoverlap allm5 metal5 allm3 metal3 48.75 + defaultsideoverlap allm3 metal3 allm5 metal5 25.06 + +#metal5->metal4 + defaultoverlap allm5 metal5 allm4 metal4 48.7 + defaultsideoverlap allm5 metal5 allm4 metal4 70.26 + defaultsideoverlap allm4 metal4 allm5 metal5 39.86 + +#------------------------------------------------------------------------- +# Parasitic capacitance values for maximum corner +#------------------------------------------------------------------------- + +variants (hrhc),(lrhc) +# Maximum corner capacitances + +#deep n-well +defaultareacap dnwell dwell 120 + +#p-well +defaultoverlap pwell well dnwell dwell 120 + +#n-well +# NOTE: This value not found in PEX files +defaultareacap nwell well dnwell dwell 120 + +#n-active +# Rely on device models to capture *ndiff area cap +# Do not extract parasitics from resistors +# defaultareacap allnactivenonfet active 790 +# defaultperimeter allnactivenonfet active 280 + +#p-active +# Rely on device models to capture *pdiff area cap +# Do not extract parasitics from resistors +# defaultareacap allpactivenonfet active 810 +# defaultperimeter allpactivenonfet active 300 + +#poly +# Do not extract parasitics from resistors +# defaultsidewall allpolynonfet active 22 +# defaultareacap allpolynonfet active 106 +# defaultperimeter allpolynonfet active 57 + + defaultsidewall *poly active 17.0 0.21 + defaultareacap *poly active 155.0 + defaultperimeter *poly active 64.82 + defaultoverlap *poly active nwell,pwell well 155.0 + defaultsideoverlap *poly active nwell,pwell well 64.82 + +#locali + defaultsidewall allli locali 28.7 0.17 + defaultareacap allli locali 49.7 + defaultperimeter allli locali 47.02 + defaultoverlap allli locali nwell,pwell well 49.7 + defaultsideoverlap allli locali nwell,pwell well 47.02 + +#locali->diff + defaultoverlap allli locali allactivenonfet active 70.9 + defaultsideoverlap allli locali allactivenonfet active 49.94 + +#locali->poly + defaultoverlap allli locali allpolynonres active 174.0 + defaultsideoverlap allli locali allpolynonres active 66.60 + defaultsideoverlap *poly active allli locali 32.29 + +#metal1 + defaultsidewall allm1 metal1 37.6 0.14 + defaultareacap allm1 metal1 35.7 + defaultperimeter allm1 metal1 49.59 + defaultoverlap allm1 metal1 nwell,pwell well 35.7 + defaultsideoverlap allm1 metal1 nwell,pwell well 49.59 + +#metal1->diff + defaultoverlap allm1 metal1 allactivenonfet active 45.4 + defaultsideoverlap allm1 metal1 allactivenonfet active 51.17 + +#metal1->poly + defaultoverlap allm1 metal1 allpolynonres active 73.2 + defaultsideoverlap allm1 metal1 allpolynonres active 59.78 + defaultsideoverlap *poly active allm1 metal1 21.36 + +#metal1->locali + defaultoverlap allm1 metal1 allli locali 215.0 + defaultsideoverlap allm1 metal1 allli locali 80.12 + defaultsideoverlap allli locali allm1 metal1 46.73 + +#metal2 + defaultsidewall allm2 metal2 40.2 0.14 + + defaultareacap allm2 metal2 23.5 + defaultperimeter allm2 metal2 46.03 + defaultoverlap allm2 metal2 nwell,pwell well 23.5 + defaultsideoverlap allm2 metal2 nwell,pwell well 46.03 + +#metal2->diff + defaultoverlap allm2 metal2 allactivenonfet active 27.4 + defaultsideoverlap allm2 metal2 allactivenonfet active 47.45 + +#metal2->poly + defaultoverlap allm2 metal2 allpolynonres active 35.5 + defaultsideoverlap allm2 metal2 allpolynonres active 51.29 + defaultsideoverlap *poly active allm2 metal2 13.90 + +#metal2->locali + defaultoverlap allm2 metal2 allli locali 52.3 + defaultsideoverlap allm2 metal2 allli locali 55.56 + defaultsideoverlap allli locali allm2 metal2 26.10 + +#metal2->metal1 + defaultoverlap allm2 metal2 allm1 metal1 313.0 + defaultsideoverlap allm2 metal2 allm1 metal1 102.37 + defaultsideoverlap allm1 metal1 allm2 metal2 73.576 + + +#metal3 + defaultsidewall allm3 metal3 60.6 0.30 + + defaultareacap allm3 metal3 16.3 + defaultperimeter allm3 metal3 47.38 + defaultoverlap allm3 metal3 nwell,pwell well 16.3 + defaultsideoverlap allm3 metal3 nwell,pwell well 47.38 + +#metal3->diff + defaultoverlap allm3 metal3 allactive active 18.1 + defaultsideoverlap allm3 metal3 allactive active 48.19 + +#metal3->poly + defaultoverlap allm3 metal3 allpolynonres active 21.3 + defaultsideoverlap allm3 metal3 allpolynonres active 50.44 + defaultsideoverlap *poly active allm3 metal3 10.64 + +#metal3->locali + defaultoverlap allm3 metal3 allli locali 26.3 + defaultsideoverlap allm3 metal3 allli locali 53.29 + defaultsideoverlap allli locali allm3 metal3 17.20 + +#metal3->metal1 + defaultoverlap allm3 metal3 allm1 metal1 45.2 + defaultsideoverlap allm3 metal3 allm1 metal1 62.88 + defaultsideoverlap allm1 metal1 allm3 metal3 30.61 + + +#metal3->metal2 + defaultoverlap allm3 metal3 allm2 metal2 131.0 + defaultsideoverlap allm3 metal3 allm2 metal2 82.67 + defaultsideoverlap allm2 metal2 allm3 metal3 52.59 + +#metal4 + defaultsidewall allm4 metal4 65.4 0.30 + defaultareacap allm4 metal4 10.7 + defaultperimeter allm4 metal4 42.40 + defaultoverlap allm4 metal4 nwell,pwell well 10.7 + defaultsideoverlap allm4 metal4 nwell,pwell well 42.40 + +#metal4->diff + defaultoverlap allm4 metal4 allactivenonfet active 11.4 + defaultsideoverlap allm4 metal4 allactivenonfet active 43.0 + +#metal4->poly + defaultoverlap allm4 metal4 allpolynonres active 12.6 + defaultsideoverlap allm4 metal4 allpolynonres active 43.99 + defaultsideoverlap *poly active allm4 metal4 7.33 + +#metal4->locali + defaultoverlap allm4 metal4 allli locali 14.3 + defaultsideoverlap allm4 metal4 allli locali 45.09 + defaultsideoverlap allli locali allm4 metal4 11.51 + +#metal4->metal1 + defaultoverlap allm4 metal4 allm1 metal1 18.5 + defaultsideoverlap allm4 metal4 allm1 metal1 48.07 + defaultsideoverlap allm1 metal1 allm4 metal4 18.55 + + +#metal4->metal2 + defaultoverlap allm4 metal4 allm2 metal2 25.2 + defaultsideoverlap allm4 metal4 allm2 metal2 51.98 + defaultsideoverlap allm2 metal2 allm4 metal4 25.03 + +#metal4->metal3 + defaultoverlap allm4 metal4 allm3 metal3 191.0 + defaultsideoverlap allm4 metal4 allm3 metal3 92.12 + defaultsideoverlap allm3 metal3 allm4 metal4 55.70 + +#metal5 + defaultsidewall allm5 metal5 118.7 1.6 + defaultareacap allm5 metal5 7.76 + defaultperimeter allm5 metal5 44.91 + defaultoverlap allm5 metal5 nwell,pwell well 7.76 + defaultsideoverlap allm5 metal5 nwell,pwell well 44.91 + +#metal5->diff + defaultoverlap allm5 metal5 allactivenonfet active 8.14 + defaultsideoverlap allm5 metal5 allactivenonfet active 45.47 + +#metal5->poly + defaultoverlap allm5 metal5 allpolynonres active 8.74 + defaultsideoverlap allm5 metal5 allpolynonres active 46.31 + defaultsideoverlap *poly active allm5 metal5 7.53 + +#metal5->locali + defaultoverlap allm5 metal5 allli locali 9.48 + defaultsideoverlap allm5 metal5 allli locali 47.09 + defaultsideoverlap allli locali allm5 metal5 8.74 + +#metal5->metal1 + defaultoverlap allm5 metal5 allm1 metal1 11.2 + defaultsideoverlap allm5 metal5 allm1 metal1 49.44 + defaultsideoverlap allm1 metal1 allm5 metal5 13.76 + + +#metal5->metal2 + defaultoverlap allm5 metal5 allm2 metal2 13.3 + defaultsideoverlap allm5 metal5 allm2 metal2 51.69 + defaultsideoverlap allm2 metal2 allm5 metal5 17.79 + +#metal5->metal3 + defaultoverlap allm5 metal5 allm3 metal3 24.6 + defaultsideoverlap allm5 metal5 allm3 metal3 63.24 + defaultsideoverlap allm3 metal3 allm5 metal5 32.51 + +#metal5->metal4 + defaultoverlap allm5 metal5 allm4 metal4 115.0 + defaultsideoverlap allm5 metal5 allm4 metal4 109.69 + defaultsideoverlap allm4 metal4 allm5 metal5 62.22 + +#--------------------------------------------------------- + +variants * + + +# Devices: Base models (not subcircuit wrappers) + +variants (),(si),(hrhc),(lrhc),(hrlc),(lrlc) + + device msubcircuit sky130_fd_pr__pfet_01v8 pfet,scpfet \ + *pdiff,pdiffres *pdiff,pdiffres nwell error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__special_pfet_latch ppu \ + *pdiff,pdiffres *pdiff,pdiffres nwell error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__pfet_01v8_lvt pfetlvt \ + *pdiff,pdiffres *pdiff,pdiffres nwell error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__pfet_01v8_mvt pfetmvt \ + *pdiff,pdiffres *pdiff,pdiffres nwell error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__pfet_01v8_hvt pfethvt,scpfethvt \ + *pdiff,pdiffres *pdiff,pdiffres nwell error w>=0.42 l=l \ + w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__special_pfet_01v8_hvt scpfethvt \ + *pdiff,pdiffres *pdiff,pdiffres nwell error w<0.42 l=l \ + w=w a1=as p1=ps a2=ad p2=pd + + device msubcircuit sky130_fd_pr__nfet_01v8 nfet,scnfet \ + *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error \ + w>=0.42 l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__special_nfet_01v8 scnfet \ + *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error \ + w<0.42 l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__special_nfet_latch npd \ + *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__special_nfet_latch npd \ + *ndiff,ndiffres *srampvar pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__special_nfet_pass npass \ + *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__nfet_01v8_lvt nfetlvt,scnfetlvt \ + *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_bs_flash__special_sonosfet_star nsonos \ + *ndiff,ndiffres *ndiff,ndiffres pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device subcircuit sky130_fd_pr__cap_var_lvt varactor \ + *nndiff pwell,space/w error l=l w=w + device subcircuit sky130_fd_pr__cap_var_hvt varhvt \ + *nndiff pwell,space/w error l=l w=w + device subcircuit sky130_fd_pr__cap_var mvvaractor \ + *mvnndiff pwell,space/w error l=l w=w + + # Bipolars + device msubcircuit sky130_fd_pr__npn_05v5 npn *ndiff dnwell space/w error a1=area + device msubcircuit sky130_fd_pr__npn_05v5_W1p00L1p00 npn *ndiff dnwell space/w \ + error a1>0.99 a1<1.01 + device msubcircuit sky130_fd_pr__npn_05v5_W1p00L2p00 npn *ndiff dnwell space/w \ + error a1>1.99 a1<2.01 + device msubcircuit sky130_fd_pr__pnp_05v5 pnp *pdiff pwell,space/w a1=area + device msubcircuit sky130_fd_pr__pnp_05v5_W0p68L0p68 pnp *pdiff \ + pwell,space/w a1>0.45 a1<0.47 + device msubcircuit sky130_fd_pr__pnp_05v5_W3p40L3p40 pnp *pdiff \ + pwell,space/w a1>11.55 a1<11.57 + device msubcircuit sky130_fd_pr__npn_11v0 npn *mvndiff dnwell space/w error a1=area + device msubcircuit sky130_fd_pr__npn_11v0_W1p00L1p00 npn *mvndiff \ + dnwell space/w error a1>0.99 a1<1.01 + + # Ignore the extended-drain FET geometry that forms part of the high-voltage + # bipolar devices. + device msubcircuit Ignore mvnfet *mvndiff,mvndiffres dnwell pwell,space/w error +npn,pnp + device msubcircuit Ignore mvpfet *mvpdiff,mvpdiffres pwell,space/w nwell error +npn,pnp + + # Extended drain devices (must appear before the regular devices) + device msubcircuit sky130_fd_pr__nfet_g5v0d16v0 mvnfet *mvndiff \ + extdrain,*mvnsd pwell,space/w error l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__pfet_g5v0d16v0 mvpfet *mvpdiff \ + extdrain,*mvpsd nwell error l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__nfet_20v0_nvt mvnnfet *mvndiff,mvndiffres \ + dnwell pwell,space/w error l=l w=w a1=as a2=ad p1=ps p2=pd + device msubcircuit sky130_fd_pr__nfet_20v0 mvnfet *mvndiff,mvndiffres \ + dnwell pwell,space/w error l=l w=w a1=as a2=ad p1=ps p2=pd + device msubcircuit sky130_fd_pr__pfet_20v0 mvpfet *mvpdiff,mvpdiffres \ + pwell,space/w nwell error l=l w=w a1=as a2=ad p1=ps p2=pd + + device msubcircuit sky130_fd_pr__pfet_g5v0d10v5 mvpfet \ + *mvpdiff,mvpdiffres *mvpdiff,mvpdiffres nwell error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__nfet_g5v0d10v5 mvnfet \ + *mvndiff,mvndiffres *mvndiff,mvndiffres pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__nfet_05v0_nvt mvnnfet \ + *mvndiff,mvndiffres *mvndiff,mvndiffres pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__nfet_03v3_nvt nnfet \ + *mvndiff,mvndiffres *mvndiff,mvndiffres pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__esd_nfet_g5v0d10v5 mvnfetesd \ + *mvndiff,mvndiffres *mvndiff,mvndiffres pwell,space/w error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + device msubcircuit sky130_fd_pr__esd_pfet_g5v0d10v5 mvpfetesd \ + *mvpdiff,mvpdiffres *mvpdiff,mvpdiffres nwell error l=l w=w \ + a1=as p1=ps a2=ad p2=pd + + device resistor sky130_fd_pr__res_generic_l1 rli1 *li,coreli + device resistor sky130_fd_pr__res_generic_m1 rmetal1 *metal1 + device resistor sky130_fd_pr__res_generic_m2 rmetal2 *metal2 + device resistor sky130_fd_pr__res_generic_m3 rmetal3 *metal3 + device resistor sky130_fd_pr__res_generic_m4 rm4 *m4 + device resistor sky130_fd_pr__res_generic_m5 rm5 *m5 + device ndiode sky130_fd_pr__model__parasitic__diode_ps2dn \ + photo pwell,space/w error a=area + + device rsubcircuit sky130_fd_pr__res_high_po xhrpoly \ + xpc nwell,pwell,space/w error l=l+0.16 w=w + device rsubcircuit sky130_fd_pr__res_high_po_0p35 xhrpoly \ + xpc nwell,pwell,space/w error w>0.34 w<0.36 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_high_po_0p69 xhrpoly \ + xpc nwell,pwell,space/w error w>0.68 w<0.70 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_high_po_1p41 xhrpoly \ + xpc nwell,pwell,space/w error w>1.40 w<1.42 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_high_po_2p85 xhrpoly \ + xpc nwell,pwell,space/w error w>2.84 w<2.86 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_high_po_5p73 xhrpoly \ + xpc nwell,pwell,space/w error w>5.72 w<5.74 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_xhigh_po uhrpoly \ + xpc nwell,pwell,space/w error l=l+0.16 w=w + device rsubcircuit sky130_fd_pr__res_xhigh_po_0p35 uhrpoly \ + xpc nwell,pwell,space/w error w>0.34 w<0.36 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_xhigh_po_0p69 uhrpoly \ + xpc nwell,pwell,space/w error w>0.68 w<0.70 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_xhigh_po_1p41 uhrpoly \ + xpc nwell,pwell,space/w error w>1.40 w<1.42 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_xhigh_po_2p85 uhrpoly \ + xpc nwell,pwell,space/w error w>2.84 w<2.86 l=l+0.16 + device rsubcircuit sky130_fd_pr__res_xhigh_po_5p73 uhrpoly \ + xpc nwell,pwell,space/w error w>5.72 w<5.74 l=l+0.16 + + device rsubcircuit sky130_fd_pr__res_generic_nd ndiffres \ + *ndiff pwell,space/w error l=l w=w + device rsubcircuit sky130_fd_pr__res_generic_pd pdiffres \ + *pdiff nwell error l=l w=w + device rsubcircuit sky130_fd_pr__res_iso_pw rpw \ + pwell dnwell error l=l w=w + device rsubcircuit sky130_fd_pr__res_generic_nd__hv mvndiffres \ + *mvndiff pwell,space/w error l=l w=w + device rsubcircuit sky130_fd_pr__res_generic_pd__hv mvpdiffres \ + *mvpdiff nwell error l=l w=w + + device rsubcircuit sky130_fd_pr__res_generic_po rmp *poly l=l w=w + device rsubcircuit sky130_fd_pr__res_generic_po mrp1 *poly l=l w=w + + # NOTE: SkyWater diode models have bizarre units requiring bizarre scaling + device subcircuit sky130_fd_pr__diode_pd2nw_05v5 *pdiode nwell a=area*1E12 p=perim*1E6 + device subcircuit sky130_fd_pr__diode_pd2nw_05v5_lvt *pdiodelvt nwell a=area*1E12 p=perim*1E6 + device subcircuit sky130_fd_pr__diode_pd2nw_05v5_hvt *pdiodehvt nwell a=area*1E12 p=perim*1E6 + device subcircuit sky130_fd_pr__diode_pd2nw_11v0 *mvpdiode nwell a=area*1E12 p=perim*1E6 + + device msubcircuit sky130_fd_pr__diode_pw2nd_05v5 *ndiode pwell,space/w a=area*1E12 p=perim*1E6 + device msubcircuit sky130_fd_pr__diode_pw2nd_05v5_lvt *ndiodelvt pwell,space/w a=area*1E12 p=perim*1E6 + device msubcircuit sky130_fd_pr__diode_pw2nd_05v5_nvt *nndiode pwell,space/w a=area*1E12 p=perim*1E6 + device msubcircuit sky130_fd_pr__diode_pw2nd_11v0 *mvndiode pwell,space/w a=area*1E12 p=perim*1E6 + + + device csubcircuit sky130_fd_pr__cap_mim_m3_1 *mimcap *m3 w=w l=l + device csubcircuit sky130_fd_pr__cap_mim_m3_2 *mimcap2 *m4 w=w l=l + + variants (orig) + + device mosfet sky130_fd_pr__pfet_01v8 scpfet,pfet pdiff,pdiffres,pdc nwell + device mosfet sky130_fd_pr__special_pfet_latch ppu pdiff,pdiffres,pdc nwell + device mosfet sky130_fd_pr__pfet_01v8_lvt pfetlvt pdiff,pdiffres,pdc nwell + device mosfet sky130_fd_pr__pfet_01v8_mvt pfetmvt pdiff,pdiffres,pdc nwell + device mosfet sky130_fd_pr__pfet_01v8_hvt scpfethvt,pfethvt pdiff,pdiffres,pdc nwell + device mosfet sky130_fd_pr__nfet_01v8 scnfet,nfet ndiff,ndiffres,ndc pwell,space/w + device mosfet sky130_fd_pr__special_nfet_pass npass ndiff,ndiffres,ndc pwell,space/w + device mosfet sky130_fd_pr__special_nfet_latch npd ndiff,ndiffres,ndc pwell,space/w + device mosfet sky130_fd_pr__nfet_01v8_lvt scnfetlvt,nfetlvt ndiff,ndiffres,ndc pwell,space/w + device mosfet sky130_fd_bs_flash__special_sonosfet_star nsonos ndiff,ndiffres,ndc \ + pwell,space/w + + # Note that corenvar, corepvar are not considered devices, and extract as + # parasitic capacitance instead (but cap values need to be added). + + # Extended drain devices (must appear before the regular devices) + device mosfet sky130_fd_pr__nfet_20v0_nvt mvnnfet *mvndiff,mvndiffres \ + dnwell pwell,space/w error + device mosfet sky130_fd_pr__nfet_20v0 mvnfet *mvndiff,mvndiffres \ + dnwell pwell,space/w error + device mosfet sky130_fd_pr__pfet_20v0 mvpfet *mvpdiff,mvpdiffres \ + pwell,space/w nwell error + + device mosfet sky130_fd_pr__pfet_g5v0d10v5 mvpfet mvpdiff,mvpdiffres,mvpdc nwell + device mosfet sky130_fd_pr__esd_pfet_g5v0d10v5 mvpfetesd mvpdiff,mvpdiffres,mvpdc nwell + device mosfet sky130_fd_pr__nfet_g5v0d10v5 mvnfet mvndiff,mvndiffres,mvndc pwell,space/w + device mosfet sky130_fd_pr__esd_nfet_g5v0d10v5 mvnfetesd mvndiff,mvndiffres,mvndc pwell,space/w + device mosfet sky130_fd_pr__nfet_05v0_nvt mvnnfet *mvndiff,mvndiffres pwell,space/w + device mosfet sky130_fd_pr__nfet_03v3_nvt nnfet *mvndiff,mvndiffres pwell,space/w + + # These devices always extract as subcircuits + device subcircuit sky130_fd_pr__cap_var_lvt varactor *nndiff nwell error l=l w=w + device subcircuit sky130_fd_pr__cap_var_hvt varhvt *nndiff nwell error l=l w=w + device subcircuit sky130_fd_pr__cap_var mvvaractor *mvnndiff nwell error l=l w=w + + device resistor sky130_fd_pr__res_generic_po rmp *poly + device resistor sky130_fd_pr__res_generic_l1 rli1 *li,coreli + device resistor sky130_fd_pr__res_generic_m1 rmetal1 *metal1 + device resistor sky130_fd_pr__res_generic_m2 rmetal2 *metal2 + device resistor sky130_fd_pr__res_generic_m3 rmetal3 *metal3 + device resistor sky130_fd_pr__res_generic_m4 rm4 *m4 + device resistor sky130_fd_pr__res_generic_m5 rm5 *m5 + + device resistor sky130_fd_pr__res_high_po xhrpoly xpc + device resistor sky130_fd_pr__res_xhigh_po uhrpoly xpc + device resistor sky130_fd_pr__res_generic_po mrp1 *poly + device resistor sky130_fd_pr__res_generic_nd ndiffres *ndiff + device resistor sky130_fd_pr__res_generic_pd pdiffres *pdiff + device resistor mrdn_hv mvndiffres *mvndiff + device resistor mrdp_hv mvpdiffres *mvpdiff + device resistor sky130_fd_pr__res_iso_pw rpw pwell + + # NOTE: SkyWater diode models have bizarre units requiring bizarre scaling + device ndiode sky130_fd_pr__diode_pw2nd_05v5 *ndiode pwell,space/w a=area*1E12 p=pj*1E6 + device ndiode sky130_fd_pr__diode_pw2nd_05v5_lvt *ndiodelvt pwell,space/w a=area*1E12 p=pj*1E6 + device ndiode sky130_fd_pr__diode_pw2nd_05v5_nvt *nndiode pwell,space/w a=area*1E12 p=pj*1E6 + device ndiode sky130_fd_pr__diode_pw2nd_11v0 *mvndiode pwell,space/w a=area*1E12 p=pj*1E6 + + device pdiode sky130_fd_pr__diode_pd2nw_05v5 *pdiode nwell a=area*1E12 p=pj*1E6 + device pdiode sky130_fd_pr__diode_pd2nw_05v5_lvt *pdiodelvt nwell a=area*1E12 p=pj*1E6 + device pdiode sky130_fd_pr__diode_pd2nw_05v5_hvt *pdiodehvt nwell a=area*1E12 p=pj*1E6 + device pdiode sky130_fd_pr__diode_pd2nw_11v0 *mvpdiode nwell a=area*1E12 p=pj*1E6 + + device bjt sky130_fd_pr__npn_05v5 npn *ndiff dnwell space/w error a1=area + device bjt sky130_fd_pr__npn_05v5_W1p00L1p00 npn *ndiff dnwell space/w error \ + a1>0.99 a1<1.01 + device bjt sky130_fd_pr__npn_05v5_W1p00L2p00 npn *ndiff dnwell space/w error \ + a1>1.99 a1<2.01 + device bjt sky130_fd_pr__pnp_05v5 pnp *pdiff pwell,space/w a1=area + device bjt sky130_fd_pr__pnp_05v5_W0p68L0p68 pnp *pdiff pwell,space/w \ + a1>0.45 a1<0.48 + device bjt sky130_fd_pr__pnp_05v5_W3p40L3p40 pnp *pdiff pwell,space/w \ + a1>11.55 a1<11.57 + device bjt sky130_fd_pr__npn_11v0 npn *mvndiff dnwell space/w error a1=area + device bjt sky130_fd_pr__npn_11v0_W1p00L1p00 npn *mvndiff dnwell space/w error \ + a1>0.99 a1<1.01 + + device capacitor sky130_fd_pr__cap_mim_m3_1 *mimcap *m3 1 + device capacitor sky130_fd_pr__cap_mim_m3_2 *mimcap2 *m4 1 end @@ -3704,11 +6124,11 @@ wiring # All wiring values are in nanometers scalefactor 10 - contact lic 170 li 0 0 m1 30 60 - contact v1 260 m1 0 30 m2 0 30 + contact mcon 170 li 0 0 m1 30 60 + contact v1 260 m1 0 30 m2 0 30 contact v2 280 m2 0 45 m3 25 0 contact v3 320 m3 0 30 m4 5 5 - contact v4 1180 m4 0 m5 120 + contact v4 1180 m4 0 m5 120 contact pc 170 poly 50 80 li 0 80 contact pdc 170 pdiff 40 60 li 0 80 @@ -3740,6 +6160,20 @@ plot style pnm default draw fillblock no_color_at_all + draw obsactive no_color_at_all + draw obsm1 no_color_at_all + draw obsm2 no_color_at_all + draw obsm3 no_color_at_all + draw obsm4 no_color_at_all + draw obsm5 no_color_at_all + draw fomfill no_color_at_all + draw polyfill no_color_at_all + draw m1fill no_color_at_all + draw m2fill no_color_at_all + draw m3fill no_color_at_all + draw m4fill no_color_at_all + draw m5fill no_color_at_all + draw isosub no_color_at_all draw nwell cwell end From 02cae6ebb9dfe649c6c92d56d22022bcb3bd2585 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:14:03 +0100 Subject: [PATCH 607/673] Adding magscale info for Skywater Instead of the hackish regex in Perl, we add it here --- Tech.SKY130/librecell_tech.py | 1 + 1 file changed, 1 insertion(+) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 6a13061a..00fd1852 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -116,6 +116,7 @@ MagWriter( tech_name='sky130A', scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). + magscale=[1,2], output_map=output_map_magic ), From 9ec470c414655b3e7d4baad7f6b6e72b566da6c3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:28:18 +0100 Subject: [PATCH 608/673] Update include path name for file --- Tech.GF180MCU/transistors.ngspice | 134 +++++++++++++++--------------- 1 file changed, 67 insertions(+), 67 deletions(-) diff --git a/Tech.GF180MCU/transistors.ngspice b/Tech.GF180MCU/transistors.ngspice index 561e6345..0052216b 100644 --- a/Tech.GF180MCU/transistors.ngspice +++ b/Tech.GF180MCU/transistors.ngspice @@ -102,8 +102,8 @@ ************************************************************************************************ * .LIB typical - .lib 'sm141064.ngspice' nmos_3p3_t - .lib 'sm141064.ngspice' pmos_3p3_t + .lib 'transistors.ngspice' nmos_3p3_t + .lib 'transistors.ngspice' pmos_3p3_t * .param rsh_nplus_u_m=60 .param rsh_pplus_u_m=185 @@ -128,17 +128,17 @@ .param pmos_6p0_dcgdo = 1 .param pmos_6p0_dcgso = 1 - .lib 'sm141064.ngspice' nmos_6p0_t - .lib 'sm141064.ngspice' pmos_6p0_t - .lib 'sm141064.ngspice' nmos_6p0_nat_t - .lib 'sm141064.ngspice' noise_corner - .lib 'sm141064.ngspice' fets_mm + .lib 'transistors.ngspice' nmos_6p0_t + .lib 'transistors.ngspice' pmos_6p0_t + .lib 'transistors.ngspice' nmos_6p0_nat_t + .lib 'transistors.ngspice' noise_corner + .lib 'transistors.ngspice' fets_mm .ENDL * * .LIB ff - .lib 'sm141064.ngspice' nmos_3p3_f - .lib 'sm141064.ngspice' pmos_3p3_f + .lib 'transistors.ngspice' nmos_3p3_f + .lib 'transistors.ngspice' pmos_3p3_f * .param rsh_nplus_u_m=45 .param rsh_pplus_u_m=145 @@ -163,17 +163,17 @@ .param pmos_6p0_dcgdo = 0.9 .param pmos_6p0_dcgso = 0.9 - .lib 'sm141064.ngspice' nmos_6p0_t - .lib 'sm141064.ngspice' pmos_6p0_t - .lib 'sm141064.ngspice' nmos_6p0_nat_t - .lib 'sm141064.ngspice' noise_corner - .lib 'sm141064.ngspice' fets_mm + .lib 'transistors.ngspice' nmos_6p0_t + .lib 'transistors.ngspice' pmos_6p0_t + .lib 'transistors.ngspice' nmos_6p0_nat_t + .lib 'transistors.ngspice' noise_corner + .lib 'transistors.ngspice' fets_mm .ENDL * * .LIB ss - .lib 'sm141064.ngspice' nmos_3p3_s - .lib 'sm141064.ngspice' pmos_3p3_s + .lib 'transistors.ngspice' nmos_3p3_s + .lib 'transistors.ngspice' pmos_3p3_s * .param rsh_nplus_u_m=75 .param rsh_pplus_u_m=225 @@ -198,17 +198,17 @@ .param pmos_6p0_dcgdo = 1.1 .param pmos_6p0_dcgso = 1.1 - .lib 'sm141064.ngspice' nmos_6p0_t - .lib 'sm141064.ngspice' pmos_6p0_t - .lib 'sm141064.ngspice' nmos_6p0_nat_t - .lib 'sm141064.ngspice' noise_corner - .lib 'sm141064.ngspice' fets_mm + .lib 'transistors.ngspice' nmos_6p0_t + .lib 'transistors.ngspice' pmos_6p0_t + .lib 'transistors.ngspice' nmos_6p0_nat_t + .lib 'transistors.ngspice' noise_corner + .lib 'transistors.ngspice' fets_mm .ENDL * * .LIB fs - .lib 'sm141064.ngspice' nmos_3p3_fs - .lib 'sm141064.ngspice' pmos_3p3_fs + .lib 'transistors.ngspice' nmos_3p3_fs + .lib 'transistors.ngspice' pmos_3p3_fs * .param rsh_nplus_u_m=48 .param rsh_pplus_u_m=219 @@ -233,17 +233,17 @@ .param pmos_6p0_dcgdo = 1.07 .param pmos_6p0_dcgso = 1.07 - .lib 'sm141064.ngspice' nmos_6p0_t - .lib 'sm141064.ngspice' pmos_6p0_t - .lib 'sm141064.ngspice' nmos_6p0_nat_t - .lib 'sm141064.ngspice' noise_corner - .lib 'sm141064.ngspice' fets_mm + .lib 'transistors.ngspice' nmos_6p0_t + .lib 'transistors.ngspice' pmos_6p0_t + .lib 'transistors.ngspice' nmos_6p0_nat_t + .lib 'transistors.ngspice' noise_corner + .lib 'transistors.ngspice' fets_mm .ENDL * * .LIB sf - .lib 'sm141064.ngspice' nmos_3p3_sf - .lib 'sm141064.ngspice' pmos_3p3_sf + .lib 'transistors.ngspice' nmos_3p3_sf + .lib 'transistors.ngspice' pmos_3p3_sf * .param rsh_nplus_u_m=72 .param rsh_pplus_u_m=150 @@ -268,11 +268,11 @@ .param pmos_6p0_dcgdo = 0.93 .param pmos_6p0_dcgso = 0.93 - .lib 'sm141064.ngspice' nmos_6p0_t - .lib 'sm141064.ngspice' pmos_6p0_t - .lib 'sm141064.ngspice' nmos_6p0_nat_t - .lib 'sm141064.ngspice' noise_corner - .lib 'sm141064.ngspice' fets_mm + .lib 'transistors.ngspice' nmos_6p0_t + .lib 'transistors.ngspice' pmos_6p0_t + .lib 'transistors.ngspice' nmos_6p0_nat_t + .lib 'transistors.ngspice' noise_corner + .lib 'transistors.ngspice' fets_mm .ENDL **************************************************** * @@ -303,7 +303,7 @@ + mc_xrc_vpnp=0 + mc_xcje_vpnp=0 + mc_xcjc_vpnp=0 -.lib 'sm141064.ngspice' bjt_mc +.lib 'transistors.ngspice' bjt_mc .ENDL * .LIB bjt_ss @@ -333,7 +333,7 @@ + mc_xrc_vpnp=0 + mc_xcje_vpnp=0 + mc_xcjc_vpnp=0 -.lib 'sm141064.ngspice' bjt_mc +.lib 'transistors.ngspice' bjt_mc .ENDL * .LIB bjt_ff @@ -363,7 +363,7 @@ + mc_xrc_vpnp=0 + mc_xcje_vpnp=0 + mc_xcjc_vpnp=0 -.lib 'sm141064.ngspice' bjt_mc +.lib 'transistors.ngspice' bjt_mc .ENDL **************************************************** * @@ -371,21 +371,21 @@ .param +jsa=1 rsa=1 cja=1 cjswa=1 +jsa_sc = 0 vba_sc=0 rs_sc=1 jtuna_sc=0 cja_sc=1 - .lib 'sm141064.ngspice' dio + .lib 'transistors.ngspice' dio .ENDL * .LIB diode_ss .param +jsa=0.85 rsa=1.1 cja=1.1 cjswa=1.1 +jsa_sc = -1.6 vba_sc=-7 rs_sc=1.1 jtuna_sc=-0.77 cja_sc=1.1 - .lib 'sm141064.ngspice' dio + .lib 'transistors.ngspice' dio .ENDL * .LIB diode_ff .param +jsa=1.15 rsa=0.9 cja=0.9 cjswa=0.9 +jsa_sc = 1.6 vba_sc=7 rs_sc=0.9 jtuna_sc=0.77 cja_sc=0.9 - .lib 'sm141064.ngspice' dio + .lib 'transistors.ngspice' dio .ENDL **************************************************** * @@ -414,9 +414,9 @@ +rsh_tm11k=40e-3 +rsh_tm30k=9.5e-3 * -.lib 'sm141064.ngspice' res -.lib 'sm141064.ngspice' efuse -.lib 'sm141064.ngspice' res_statistical_par +.lib 'transistors.ngspice' res +.lib 'transistors.ngspice' efuse +.lib 'transistors.ngspice' res_statistical_par .ENDL * .LIB res_ss @@ -444,9 +444,9 @@ +rsh_tm11k='40e-3 + 9e-3' +rsh_tm30k='9.5e-3 + 4.5e-3' * -.lib 'sm141064.ngspice' res -.lib 'sm141064.ngspice' efuse -.lib 'sm141064.ngspice' res_statistical_par +.lib 'transistors.ngspice' res +.lib 'transistors.ngspice' efuse +.lib 'transistors.ngspice' res_statistical_par .ENDL * .LIB res_ff @@ -474,9 +474,9 @@ +rsh_tm11k='40e-3 - 9e-3' +rsh_tm30k='9.5e-3 - 3.5e-3' * -.lib 'sm141064.ngspice' res -.lib 'sm141064.ngspice' efuse -.lib 'sm141064.ngspice' res_statistical_par +.lib 'transistors.ngspice' res +.lib 'transistors.ngspice' efuse +.lib 'transistors.ngspice' res_statistical_par .ENDL **************************************************** * @@ -489,7 +489,7 @@ .param mc_c_cox_1p5fF=0 .param mc_c_cox_2p0fF=0 -.lib 'sm141064.ngspice' mim_cap +.lib 'transistors.ngspice' mim_cap .ENDL * .LIB mimcap_ss @@ -500,7 +500,7 @@ .param mc_c_cox_1p5fF=0 .param mc_c_cox_2p0fF=0 -.lib 'sm141064.ngspice' mim_cap +.lib 'transistors.ngspice' mim_cap .ENDL * .LIB mimcap_ff @@ -512,7 +512,7 @@ .param mc_c_cox_1p5fF=0 .param mc_c_cox_2p0fF=0 -.lib 'sm141064.ngspice' mim_cap +.lib 'transistors.ngspice' mim_cap .ENDL **************************************************** * @@ -527,7 +527,7 @@ + nmoscap_6p0_b_corner=1 + pmoscap_6p0_b_corner=1 -.lib 'sm141064.ngspice' moscap +.lib 'transistors.ngspice' moscap .ENDL * .lib moscap_ff @@ -541,7 +541,7 @@ + nmoscap_6p0_b_corner=0.9 + pmoscap_6p0_b_corner=0.9 -.lib 'sm141064.ngspice' moscap +.lib 'transistors.ngspice' moscap .ENDL * .lib moscap_ss @@ -555,7 +555,7 @@ + nmoscap_6p0_b_corner=1.1 + pmoscap_6p0_b_corner=1.1 -.lib 'sm141064.ngspice' moscap +.lib 'transistors.ngspice' moscap .ENDL **************************************************** * @@ -695,13 +695,13 @@ + rsh_nplus_u_m = 'rsh_nplus2_u*(1+(mc_rsh_nplus_u/(rsh_nplus2_u))*res_mc_skew*sw_stat_global)' + rsh_pplus_u_m = 'rsh_pplus2_u*(1+(mc_rsh_pplus_u/(rsh_pplus2_u))*res_mc_skew*sw_stat_global)' - .lib 'sm141064.ngspice' fets_mm - .lib 'sm141064.ngspice' nmos_3p3_stat - .lib 'sm141064.ngspice' pmos_3p3_stat - .lib 'sm141064.ngspice' nmos_6p0_t - .lib 'sm141064.ngspice' pmos_6p0_stat - .lib 'sm141064.ngspice' nmos_6p0_nat_stat - .lib 'sm141064.ngspice' noise_corner + .lib 'transistors.ngspice' fets_mm + .lib 'transistors.ngspice' nmos_3p3_stat + .lib 'transistors.ngspice' pmos_3p3_stat + .lib 'transistors.ngspice' nmos_6p0_t + .lib 'transistors.ngspice' pmos_6p0_stat + .lib 'transistors.ngspice' nmos_6p0_nat_stat + .lib 'transistors.ngspice' noise_corner .ENDL * @@ -47229,8 +47229,8 @@ m0 d g s b pmos_6p0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs + mc_rt_ppolyf_u_3k=mc_rt_ppolyf_u_3k_temp * -.lib 'sm141064.ngspice' res -.lib 'sm141064.ngspice' efuse +.lib 'transistors.ngspice' res +.lib 'transistors.ngspice' efuse .endl res_statistical *------------------------------------------------------------------------ @@ -47277,7 +47277,7 @@ m0 d g s b pmos_6p0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs + mc_xrc_vpnp=mc_xrc_vpnp_temp + mc_xcje_vpnp=mc_xcje_vpnp_temp + mc_xcjc_vpnp=mc_xcjc_vpnp_temp -.lib 'sm141064.ngspice' bjt_mc +.lib 'transistors.ngspice' bjt_mc .endl bjt_statistical .lib bjt_mc @@ -47628,7 +47628,7 @@ q0 c b e s vnpn_0p54x2 dtemp=dtemp + mc_c_cox_1p5fF='mc_c_cox_1p5fF2*sw_stat_global*cap_mc_skew' + mc_c_cox_2p0fF='mc_c_cox_2p0fF2*sw_stat_global*cap_mc_skew' -.lib 'sm141064.ngspice' mim_cap +.lib 'transistors.ngspice' mim_cap .endl mimcap_statistical From 987b4e48e983d5deeba35880b3ac4f07494eaaea Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:30:14 +0100 Subject: [PATCH 609/673] Properly handling magscale now --- Tools/perl/librecells.pl | 1 - 1 file changed, 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index ecf0683b..610597c7 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -106,7 +106,6 @@ ($) undef $/; my $magcontent=; $/=$old; - $magcontent=~s/tech sky130A/tech sky130A\nmagscale 1 2/s; $magcontent=~s/<< abutment >>\nrect /<< properties >>\nstring FIXED_BBOX /s; print MAGOUT $magcontent; close MAGIN; From 460e6670765c773256a9922bdc2a9f0a6e4b4347 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:30:32 +0100 Subject: [PATCH 610/673] Use our tech file everywhere Make our local tech file libresilicon.tech the default --- Tools/caravel/fixup_lef.pl | 2 +- Tools/caravel/fixup_mag.pl | 2 +- Tools/caravel/viewer.pl | 2 +- Tools/perl/charter2caravel.pl | 2 +- Tools/perl/drcexpander.pl | 2 +- 5 files changed, 5 insertions(+), 5 deletions(-) diff --git a/Tools/caravel/fixup_lef.pl b/Tools/caravel/fixup_lef.pl index 06a0e9c4..c39f6fdd 100755 --- a/Tools/caravel/fixup_lef.pl +++ b/Tools/caravel/fixup_lef.pl @@ -3,7 +3,7 @@ print STDERR "Fixing up .LEF files\n"; -my $magictech=$ARGV[0] || "sky130A"; +my $magictech=$ARGV[0] || "../Tech/libresilicon.tech"; my %layersToDo=("li1"=>1,"mcon"=>1,"locali"=>1,"metal1"=>1); diff --git a/Tools/caravel/fixup_mag.pl b/Tools/caravel/fixup_mag.pl index 9e614836..8ac391f4 100755 --- a/Tools/caravel/fixup_mag.pl +++ b/Tools/caravel/fixup_mag.pl @@ -3,7 +3,7 @@ print STDERR "Fixing up .mag files\n"; -my $magictech=$ARGV[0] || "sky130A"; +my $magictech=$ARGV[0] || "../Tech/libresilicon.tech"; foreach my $mag (<*.mag>) { diff --git a/Tools/caravel/viewer.pl b/Tools/caravel/viewer.pl index 321e1726..df023e29 100755 --- a/Tools/caravel/viewer.pl +++ b/Tools/caravel/viewer.pl @@ -2,7 +2,7 @@ my $STDCELLLIB=$ENV{'STDCELLLIB'} || "/home/philipp/libresilicon/StdCellLib"; my $CARAVEL=$ENV{'CARAVEL'} || "/media/philipp/Daten/skywater/caravel-stdcelllib-stdcells"; -my $magictech=$ARGV[0] || "sky130A"; +my $magictech=$ARGV[0] || "../Tech/libresilicon.tech"; open OUT,"|magic -noconsole -T $magictech"; diff --git a/Tools/perl/charter2caravel.pl b/Tools/perl/charter2caravel.pl index a2b35c4d..ff1a4029 100755 --- a/Tools/perl/charter2caravel.pl +++ b/Tools/perl/charter2caravel.pl @@ -27,7 +27,7 @@ } close IN; -my $magictech=$ENV{'PDK'} || "gf180mcuD"; # MAGIC Technology name (.tech filename) +my $magictech=$ENV{'PDK'} || "../Tech/libresilicon.tech"; # MAGIC Technology name (.tech filename) $ENV{'PDK'}=$magictech; sub getCellLibrary($) diff --git a/Tools/perl/drcexpander.pl b/Tools/perl/drcexpander.pl index 997be2c5..315d1849 100755 --- a/Tools/perl/drcexpander.pl +++ b/Tools/perl/drcexpander.pl @@ -1,7 +1,7 @@ print STDERR "DRC Expander - expands the DRC rules in MAGIC tech files and annotates the layers that might cause the DRC issues\n"; # Original tech file: -my $tech=$ARGV[0] || "/usr/local/lib/magic/sys/sky130A.tech"; +my $tech=$ARGV[0] || "../Tech/libresilicon.tech"; my $expand=0; my $debug=0; my $createrules=0; # Create code for rules instead of expanding the tech file From a27b3a45be8f01a733ba7da210acce9e55441a1e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:41:44 +0100 Subject: [PATCH 611/673] Fix content --- Tech.SKY130/design.ngspice | 7341 +++++++++++++++++++++++++++++++++++- 1 file changed, 7340 insertions(+), 1 deletion(-) diff --git a/Tech.SKY130/design.ngspice b/Tech.SKY130/design.ngspice index fc5abea0..b6bfd053 100644 --- a/Tech.SKY130/design.ngspice +++ b/Tech.SKY130/design.ngspice @@ -1 +1,7340 @@ -.include "invariant.spice" +* SKY130 Spice File. +.param ++ capunits = 1.0e-6 ++ dkisepp5x = 0.745 ++ dknfpp = 1.0 ++ dknfpp5x = 1.0009 ++ sky130_fd_pr__special_nfet_pass_flash__cdsc_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__cdscb_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__cdscd_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__cit_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__special_nfet_pass_flash__dvt0_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__dvt0w_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__dwg_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__k2_diff_1 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__k3_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__kt1_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__kt1l_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__kt2_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__lint_slope = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__nfactor_slope = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__nlx_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__tox_slope = 0.006589 ++ sky130_fd_pr__special_nfet_pass_flash__voff_slope = 0.0 ++ sky130_fd_pr__special_nfet_pass_flash__vth0_slope = 0.010889 ++ sky130_fd_pr__special_nfet_pass_flash__wint_slope = 0.0 ++ globalk = 1.0 ++ hv_dlc_rotweak = 0.0 ++ localkswitch = 1.0 ++ lv_dlc_rotweak = 0.0 ++ lvhvt_dlc_rotweak = 0.0 ++ lvt_dlc_rotweak = 0.0 ++ mcl1p1f_cc_w_1_200_s_5_250 = 0.0 ++ mcm1l1d_cc_w_1_360_s_0_360 = 3.25e-11 ++ mcm2d_cc_w_0_140_s_1_540 = 2.6e-11 ++ mcm2m1l1_cc_w_1_120_s_3_500 = 5.0e-14 ++ mcm2p1f_cc_w_1_200_s_0_420 = 4.11e-11 ++ mcm3m2_cc_w_0_300_s_3_300 = 9.9e-12 ++ mcm4m2f_cf_w_1_120_s_0_140 = 2.69e-12 ++ mcm5m1p1_cc_w_0_140_s_0_840 = 3.22e-11 ++ mcm5m2f_cc_w_1_120_s_0_840 = 4.63e-11 ++ mcm5m4_cc_w_1_600_s_10_000 = 4.0e-12 ++ mcm5m4m3_cc_w_2_400_s_9_000 = 5.0e-14 ++ mcrdlm3m2_cc_w_0_300_s_2_100 = 1.55e-11 ++ mcrdlm4l1_cc_w_0_300_s_3_300 = 1.91e-11 ++ mcrdlm4p1_cc_w_0_300_s_3_300 = 2.02e-11 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__a0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__agidl_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ags_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__b1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__bgidl_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__cgidl_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__eta0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__keta_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__kt1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__ku0_diff = -4.5e-8 ++ sky130_fd_pr__nfet_g5v0d10v5__kvsat_diff = 0.3 ++ sky130_fd_pr__nfet_g5v0d10v5__kvth0_diff = 1.1e-8 ++ sky130_fd_pr__nfet_g5v0d10v5__lint_slope = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__lku0_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__lkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__nfactor_slope = 0.12 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pclm_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pdits_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_lint_slope = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_nfactor_slope = 0.12 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope = 0.008 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope1 = 0.0205 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope2 = 0.01 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_toxe_slope3 = 0.0067 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_voff_slope = 0.13 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_vth0_slope = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__b_wint_slope = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_b__dwc_diff = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__base__dlc_rotweak = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__pclm_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM10__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM02__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__pclm_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5_bM04__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__lint1_slope = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__lint_slope = 3.0e-9 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__nfactor_slope = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__tox2_slope = 0.0086 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__tox3_slope = 0.0055 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__tox4_slope = 0.0255 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__tox_offset = 0.0 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__tox_slope = 0.008 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__voff2_slope = 0.0085 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__voff_slope = 0.00375 ++ sky130_fd_pr__rf_nfet_g5v0d10v5__wint_slope = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_g5v0d10v5__toxe_slope = 0.008 ++ sky130_fd_pr__nfet_g5v0d10v5__toxe_slope1 = 0.0205 ++ sky130_fd_pr__nfet_g5v0d10v5__toxe_slope2 = 0.01 ++ sky130_fd_pr__nfet_g5v0d10v5__toxe_slope3 = 0.0067 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_11 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_12 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_13 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_15 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_20 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_21 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_26 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_27 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_28 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_33 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_34 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_40 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_41 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_42 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_46 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_47 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_48 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__voff_slope = 0.13 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_10 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_14 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_16 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_17 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_18 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_19 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_22 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_23 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_24 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_25 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_29 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_30 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_31 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_32 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_35 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_36 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_37 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_38 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_39 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_43 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_44 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_45 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_5 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_7 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_8 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vsat_diff_9 = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__vth0_slope = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__wint_slope = 0.0 ++ sky130_fd_pr__nfet_g5v0d10v5__wku0_diff = 2.0e-7 ++ sky130_fd_pr__nfet_g5v0d10v5__wkvth0_diff = 6.5e-7 ++ sky130_fd_pr__nfet_g5v0d10v5__wlod_diff = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__a0_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__agidl_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__ags_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b0_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__b1_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__bgidl_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__cgidl_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__eta0_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__keta_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__kt1_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pclm_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pdits_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__rshn_mult = 1.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_10 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_3 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_4 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_6 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_7 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_8 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__voff_diff_9 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_5 = 0.0 ++ sky130_fd_pr__esd_nfet_g5v0d10v5__vsat_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__a0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ags_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__b1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__eta0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__keta_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__kt1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__ku0_diff = -3.0e-8 ++ sky130_fd_pr__nfet_05v0_nvt__kvsat_diff = 0.4 ++ sky130_fd_pr__nfet_05v0_nvt__kvth0_diff = -7.0e-9 ++ sky130_fd_pr__nfet_05v0_nvt__lint_slope = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__lku0_diff = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__lkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__nfactor_slope = 0.02 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pclm_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pdits_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_05v0_nvt__toxe_slope = 0.00105 ++ sky130_fd_pr__nfet_05v0_nvt__toxe_slope1 = 0.01205 ++ sky130_fd_pr__nfet_05v0_nvt__toxe_slope2 = 0.02525 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__voff_slope = 0.0035 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_0 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_1 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_3 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_4 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_5 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_6 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vsat_diff_8 = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__vth0_slope = 0.0012 ++ sky130_fd_pr__nfet_05v0_nvt__wint_slope = 0.0 ++ sky130_fd_pr__nfet_05v0_nvt__wku0_diff = 2.0e-7 ++ sky130_fd_pr__nfet_05v0_nvt__wkvth0_diff = 8.0e-7 ++ sky130_fd_pr__nfet_05v0_nvt__wlod_diff = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__a0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ags_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__b1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__dlc_rotweak = lvt_dlc_rotweak ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__eta0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__keta_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__kt1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__ku0_diff = -2.7e-8 ++ sky130_fd_pr__nfet_01v8_lvt__kvsat_diff = 0.2 ++ sky130_fd_pr__nfet_01v8_lvt__kvth0_diff = 7.9e-9 ++ sky130_fd_pr__nfet_01v8_lvt__lint_slope = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__lku0_diff = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__lkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__nfactor_slope = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pclm_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pdits_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_rd_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__aw_rs_mult = 1.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__b_toxe_slope = 0.003443 ++ sky130_fd_pr__rf_nfet_01v8_lvt__b_vth0_slope = 0.006056 ++ sky130_fd_pr__rf_nfet_01v8_lvt_b__dwc_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__base__dlc_rotweak = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__pclm_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM02__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__pclm_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt_bM04__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_lvt__toxe1_slope = 0.008089 ++ sky130_fd_pr__rf_nfet_01v8_lvt__toxe_slope = 0.006789 ++ sky130_fd_pr__nfet_01v8_lvt__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_01v8_lvt__toxe_slope = 0.003443 ++ sky130_fd_pr__nfet_01v8_lvt__toxe_slope1 = 0.002443 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__voff_slope = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vsat_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__vth0_slope = 0.005456 ++ sky130_fd_pr__nfet_01v8_lvt__vth0_slope1 = 0.005456 ++ sky130_fd_pr__nfet_01v8_lvt__vth0_slope2 = 0.007456 ++ sky130_fd_pr__nfet_01v8_lvt__wint_slope = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__wku0_diff = 0.0 ++ sky130_fd_pr__nfet_01v8_lvt__wkvth0_diff = 3.0e-7 ++ sky130_fd_pr__nfet_01v8_lvt__wlod_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__ua_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__ub_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass_lvt__voff_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__cdsc_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__cdscb_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__cdscd_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__cit_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_nfet_pass__dvt0_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__k3_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__kt1_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__kt1l_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__kt2_diff = 0.0 ++ sky130_fd_pr__special_nfet_pass__lint_slope = 0.0 ++ sky130_fd_pr__special_nfet_pass__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__nfactor_slope = 0.0 ++ sky130_fd_pr__special_nfet_pass__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__tox_slope = 0.003589 ++ sky130_fd_pr__special_nfet_pass__voff_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__voff_slope = 0.0 ++ sky130_fd_pr__special_nfet_pass__vsat_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_pass__vth0_slope = 0.005589 ++ sky130_fd_pr__special_nfet_pass__wint_slope = 0.0 ++ sky130_fd_pr__special_nfet_pass_lowleakage__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_nfet_latch__cdsc_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__cdscb_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__cdscd_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__cit_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_nfet_latch__dvt0_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__dvt1_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__k3_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__kt1_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__kt2_diff = 0.0 ++ sky130_fd_pr__special_nfet_latch__lint_slope = 0.0 ++ sky130_fd_pr__special_nfet_latch__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__nfactor_slope = 0.0 ++ sky130_fd_pr__special_nfet_latch__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__tox_slope = 0.005989 ++ sky130_fd_pr__special_nfet_latch__voff_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__voff_slope = 0.0 ++ sky130_fd_pr__special_nfet_latch__vsat_diff_0 = 0.0 ++ sky130_fd_pr__special_nfet_latch__vth0_slope = 0.005289 ++ sky130_fd_pr__special_nfet_latch__wint_slope = 0.0 ++ sky130_fd_pr__special_nfet_latch_lowleakage__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__nfet_01v8__a0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_51 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_52 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_53 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_54 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_55 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_56 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_57 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_58 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__a0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_51 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_52 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_53 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_54 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_55 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_56 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_57 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_58 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__ags_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__b0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__b1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__nfet_01v8__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__eta0_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_51 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_56 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_57 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_58 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__keta_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_52 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_53 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_54 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_55 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__kt1_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__lint_slope = 0.0 ++ sky130_fd_pr__nfet_01v8__nfactor_slope = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__pclm_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_51 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_52 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_53 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_54 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_55 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_56 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_57 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_58 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__pdits_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_51 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_52 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_53 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_54 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_55 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_56 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_57 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_58 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_51 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_52 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_53 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_54 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_55 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_56 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_57 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_58 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8__b_toxe_slope = 0.003443 ++ sky130_fd_pr__rf_nfet_01v8__b_voff_slope = 0.007 ++ sky130_fd_pr__rf_nfet_01v8__b_vth0_slope = 0.005556 ++ sky130_fd_pr__rf_nfet_01v8_b__dwc_diff = 0.0 ++ sky130_fd_pr__rf_nfet_01v8__base__dlc_rotweak = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM02__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8_bM04__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_nfet_01v8__lint1_slope = 0.0 ++ sky130_fd_pr__rf_nfet_01v8__lint_slope = 5.767e-9 ++ sky130_fd_pr__rf_nfet_01v8__toxe1_slope = 0.006989 ++ sky130_fd_pr__rf_nfet_01v8__toxe2_slope = 0.005989 ++ sky130_fd_pr__rf_nfet_01v8__toxe3_slope = 0.01089 ++ sky130_fd_pr__rf_nfet_01v8__toxe4_slope = 0.01289 ++ sky130_fd_pr__rf_nfet_01v8__toxe_slope = 0.008989 ++ sky130_fd_pr__nfet_01v8__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_01v8__toxe_slope = 0.003443 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_42 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_51 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_52 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_53 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_54 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_55 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_56 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_57 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_58 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_10 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_15 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_16 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_17 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_18 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_23 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_24 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_25 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_26 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_31 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_32 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_33 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_34 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_40 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_41 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_47 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_48 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_49 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_50 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_51 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_52 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_53 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_54 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_55 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_56 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_57 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_58 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_59 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_60 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_61 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_62 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_diff_9 = 0.0 ++ sky130_fd_pr__nfet_01v8__voff_slope = 0.007 ++ sky130_fd_pr__nfet_01v8__vsat_diff_11 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_12 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_13 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_14 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_19 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_2 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_20 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_21 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_22 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_27 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_28 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_29 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_3 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_30 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_35 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_36 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_37 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_38 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_39 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_4 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_43 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_44 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_45 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_46 = 0.0 ++ sky130_fd_pr__nfet_01v8__vsat_diff_5 = 0.0 ++ sky130_fd_pr__nfet_01v8__vth0_slope = 0.003356 ++ sky130_fd_pr__nfet_01v8__vth0_slope1 = 0.007356 ++ sky130_fd_pr__nfet_01v8__wint_slope = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__a0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__a0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__a0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__ags_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__ags_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__ags_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__b0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__b0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__b0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__b1_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__b1_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__b1_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__esd_nfet_01v8__eta0_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__eta0_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__eta0_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__keta_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__keta_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__keta_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__kt1_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__kt1_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__kt1_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pclm_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pclm_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pclm_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pdits_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pdits_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pdits_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__rshn_mult = 1.0 ++ sky130_fd_pr__esd_nfet_01v8__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__voff_diff_0 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__voff_diff_1 = 0.0 ++ sky130_fd_pr__esd_nfet_01v8__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__a0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ags_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__b1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__eta0_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__keta_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__kt1_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__ku0_diff = -3.0e-8 ++ sky130_fd_pr__nfet_03v3_nvt__kvsat_diff = 0.3 ++ sky130_fd_pr__nfet_03v3_nvt__kvth0_diff = -2.0e-9 ++ sky130_fd_pr__nfet_03v3_nvt__lint_slope = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__lku0_diff = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__lkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__nfactor_slope = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pclm_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pdits_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_03v3_nvt__toxe_slope = 0.0045 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_5 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_6 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_diff_8 = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__voff_slope = 0.0065 ++ sky130_fd_pr__nfet_03v3_nvt__vth0_slope = 0.006 ++ sky130_fd_pr__nfet_03v3_nvt__wint_slope = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__wku0_diff = 5.0e-7 ++ sky130_fd_pr__nfet_03v3_nvt__wkvth0_diff = 0.0 ++ sky130_fd_pr__nfet_03v3_nvt__wlod_diff = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__a0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__agidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ags_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__b1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__dsub_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__eta0_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__k2_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__keta_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt1_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__kt2_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ku0_diff = -9.9e-8 ++ sky130_fd_pr__nfet_g5v0d16v0__kvsat_diff = 0.3 ++ sky130_fd_pr__nfet_g5v0d16v0__kvth0_diff = 1.7057e-8 ++ sky130_fd_pr__nfet_g5v0d16v0__lku0_diff = 9.6975e-7 ++ sky130_fd_pr__nfet_g5v0d16v0__lkvth0_diff = 2.2691e-7 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ua_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ub_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__ute_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__voff_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_0 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_1 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_2 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_3 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__vsat_diff_4 = 0.0 ++ sky130_fd_pr__nfet_g5v0d16v0__wku0_diff = 2.0e-7 ++ sky130_fd_pr__nfet_g5v0d16v0__wkvth0_diff = 2.3093e-6 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__a0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__agidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_59 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_60 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_61 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_62 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_63 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_67 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ags_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__b1_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_59 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_60 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_61 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_62 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_63 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_67 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__bgidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_59 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_60 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_61 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_62 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_63 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_64 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_67 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__cgidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__dlc_rotweak = lvhvt_dlc_rotweak ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_64 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__eta0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__keta_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__kt1_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__lint_slope = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__nfactor_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__nfactor_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__nfactor_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__nfactor_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__nfactor_slope = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__nfactor_slope1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_59 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_60 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_61 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_62 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_63 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_64 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_67 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pclm_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_59 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_60 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_61 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_62 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_63 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_64 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_67 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pdits_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_59 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_60 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_61 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_62 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_63 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_64 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_67 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_59 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_60 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_61 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_62 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_63 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_64 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_67 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__rshp_mult = 1.0 ++ sky130_fd_pr__pfet_01v8_hvt__toxe_slope = 0.005 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_52 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_53 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_54 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_55 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_59 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_60 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_61 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_62 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_63 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_64 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_66 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_67 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ua_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ua_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ua_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ua_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ub_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ub_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ub_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__ub_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__voff_diff_56 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__voff_diff_57 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__voff_diff_58 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__voff_diff_65 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__voff_slope = 0.01 ++ sky130_fd_pr__pfet_01v8_hvt__voff_slope1 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vsat_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_hvt__vth0_slope = 0.0055 ++ sky130_fd_pr__pfet_01v8_hvt__wint_slope = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__a0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__agidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ags_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__b1_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__bgidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__cgidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__eta0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__keta_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__kt1_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__ku0_diff = 7.0e-8 ++ sky130_fd_pr__pfet_g5v0d10v5__kvsat_diff = 0.4 ++ sky130_fd_pr__pfet_g5v0d10v5__kvth0_diff = 3.5e-8 ++ sky130_fd_pr__pfet_g5v0d10v5__lint_slope = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__lku0_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__lkvth0_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__nfactor_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__nfactor_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__nfactor_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__nfactor_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__nfactor_slope = 0.02 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pclm_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pdits_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__rshp_mult = 1.0 ++ sky130_fd_pr__pfet_g5v0d10v5__toxe_slope = 0.012 ++ sky130_fd_pr__pfet_g5v0d10v5__toxe_slope1 = 0.02 ++ sky130_fd_pr__pfet_g5v0d10v5__toxe_slope2 = 0.023 ++ sky130_fd_pr__pfet_g5v0d10v5__toxe_slope3 = 0.014 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_11 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_12 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_13 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_15 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_2 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_20 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_21 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_26 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_27 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_28 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_33 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_34 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_40 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_41 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_42 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_46 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_47 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_48 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_6 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__voff_slope = 0.009 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_10 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_14 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_16 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_17 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_18 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_19 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_22 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_23 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_24 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_25 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_29 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_3 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_30 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_31 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_32 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_35 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_36 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_37 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_38 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_39 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_4 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_43 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_44 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_45 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_5 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_7 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_8 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vsat_diff_9 = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__vth0_slope = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__wint_slope = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__wku0_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d10v5__wkvth0_diff = 6.5e-7 ++ sky130_fd_pr__pfet_g5v0d10v5__wlod_diff = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__a0_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__agidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__ags_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b0_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__b1_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__eta0_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__keta_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__kt1_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pclm_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pdits_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__rshp_mult = 1.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__esd_pfet_g5v0d10v5__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__a0_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__agidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ags_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__b1_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__bgidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__cgidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__dlc_rotweak = lvt_dlc_rotweak ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__eta0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__keta_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kt1_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ku0_diff = 5.9e-8 ++ sky130_fd_pr__pfet_01v8_lvt__kvsat_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__kvth0_diff = 1.76e-8 ++ sky130_fd_pr__pfet_01v8_lvt__lint_slope = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__lku0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__lkvth0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__nfactor_slope = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pclm_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pdits_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rf_base_dlc_rotweak = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__rshp_mult = 1.0 ++ sky130_fd_pr__pfet_01v8_lvt__toxe_slope = 0.003689 ++ sky130_fd_pr__pfet_01v8_lvt__toxe_slope1 = 0.01489 ++ sky130_fd_pr__pfet_01v8_lvt__toxe_slope2 = 0.01689 ++ sky130_fd_pr__pfet_01v8_lvt__toxe_slope3 = 0.02389 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ua_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__ub_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__voff_slope = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vsat_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__vth0_slope = 0.01389 ++ sky130_fd_pr__pfet_01v8_lvt__vth0_slope1 = 0.009789 ++ sky130_fd_pr__pfet_01v8_lvt__vth0_slope2 = 0.01089 ++ sky130_fd_pr__pfet_01v8_lvt__wint_slope = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__wku0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_lvt__wkvth0_diff = 7.3e-7 ++ sky130_fd_pr__pfet_01v8_lvt__wlod_diff = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__a0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__a0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__agidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__agidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ags_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ags_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__b0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__b0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__b1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__b1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__eta0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__eta0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__keta_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__keta_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__kt1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__kt1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__nfactor_slope = 0.1 ++ sky130_fd_pr__pfet_01v8_mvt__pclm_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pclm_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pdits_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pdits_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_rd_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8_mvt__aw_rs_mult = 1.0 ++ sky130_fd_pr__pfet_01v8_mvt__rshp_mult = 1.0 ++ sky130_fd_pr__pfet_01v8_mvt__toxe_slope = 0.025 ++ sky130_fd_pr__pfet_01v8_mvt__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ua_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ua_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ub_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__ub_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__voff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__voff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__voff_slope = 0.0 ++ sky130_fd_pr__pfet_01v8_mvt__vth0_slope = 0.05 ++ sky130_fd_pr__special_pfet_latch__cdsc_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__cdscb_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__cdscd_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__cit_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__dlc_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__special_pfet_latch__dvt0_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__dwc_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__k2_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__k3_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__kt1_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__kt1l_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__kt2_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__lint_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__lint_slope = 0.0 ++ sky130_fd_pr__special_pfet_latch__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__nfactor_slope = 0.0 ++ sky130_fd_pr__special_pfet_latch__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__tox_mult = 1.0 ++ sky130_fd_pr__special_pfet_latch__tox_slope = 0.005567 ++ sky130_fd_pr__special_pfet_latch__voff_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__voff_slope = 0.0 ++ sky130_fd_pr__special_pfet_latch__vsat_diff_0 = 0.0 ++ sky130_fd_pr__special_pfet_latch__vth0_slope = 0.005567 ++ sky130_fd_pr__special_pfet_latch__wint_diff = 0.0 ++ sky130_fd_pr__special_pfet_latch__wint_slope = 0.0 ++ sky130_fd_pr__special_pfet_latch_lowleakage__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__pfet_01v8__a0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__a0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__agidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__ags_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__b0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__b1_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__bgidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__cgidl_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__dlc_rotweak = lv_dlc_rotweak ++ sky130_fd_pr__pfet_01v8__eta0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__eta0_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__keta_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__kt1_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__ku0_diff = 4.5e-8 ++ sky130_fd_pr__pfet_01v8__kvsat_diff = 0.5 ++ sky130_fd_pr__pfet_01v8__kvth0_diff = 3.29e-8 ++ sky130_fd_pr__pfet_01v8__lint_slope = 0.0 ++ sky130_fd_pr__pfet_01v8__lku0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8__lkvth0_diff = 0.0 ++ sky130_fd_pr__pfet_01v8__nfactor_slope = 0.1 ++ sky130_fd_pr__pfet_01v8__nfactor_slope1 = 0.1 ++ sky130_fd_pr__pfet_01v8__nfactor_slope2 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__pclm_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__pdits_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__pditsd_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__rdsw_diff_9 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_rd_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__aw_rs_mult = 1.0 ++ sky130_fd_pr__rf_pfet_01v8__b_nfactor_slope1 = 0.1 ++ sky130_fd_pr__rf_pfet_01v8__b_toxe_slope = 0.006443 ++ sky130_fd_pr__rf_pfet_01v8__b_toxe_slope1 = 0.004443 ++ sky130_fd_pr__rf_pfet_01v8__b_voff_slope = 0.014 ++ sky130_fd_pr__rf_pfet_01v8__b_voff_slope1 = 0.009 ++ sky130_fd_pr__rf_pfet_01v8__b_vth0_slope1 = 0.007356 ++ sky130_fd_pr__rf_pfet_01v8__b_vth0_slope2 = 0.009356 ++ sky130_fd_pr__rf_pfet_01v8__b_vth0_slope3 = 0.008356 ++ sky130_fd_pr__rf_pfet_01v8_b__dwc_diff = 0.0 ++ sky130_fd_pr__rf_pfet_01v8__base__dlc_rotweak = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM02__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__a0_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ags_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b0_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__b1_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__kt1_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__nfactor_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__rdsw_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ua_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__ub_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_0 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_1 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_2 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_3 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_4 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_5 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_6 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_7 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8_bM04__voff_diff_8 = 0.0 ++ sky130_fd_pr__rf_pfet_01v8__nfactor1_slope = 0.0 ++ sky130_fd_pr__rf_pfet_01v8__nfactor_slope = 0.429 ++ sky130_fd_pr__rf_pfet_01v8__toxe1_slope = 0.01067 ++ sky130_fd_pr__rf_pfet_01v8__toxe2_slope = 0.01167 ++ sky130_fd_pr__rf_pfet_01v8__toxe3_slope = 0.01367 ++ sky130_fd_pr__rf_pfet_01v8__toxe4_slope = 0.01467 ++ sky130_fd_pr__rf_pfet_01v8__toxe5_slope = 0.01567 ++ sky130_fd_pr__rf_pfet_01v8__toxe_slope = 0.01267 ++ sky130_fd_pr__pfet_01v8__rshp_mult = 1.0 ++ sky130_fd_pr__pfet_01v8__toxe_slope = 0.004443 ++ sky130_fd_pr__pfet_01v8__toxe_slope1 = 0.006443 ++ sky130_fd_pr__pfet_01v8__toxe_slope2 = 0.003443 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_10 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_11 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_15 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_16 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_17 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_18 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_23 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_24 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_25 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_26 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_31 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_32 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_33 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_34 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_36 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_39 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_40 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_41 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_42 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_43 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_45 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_47 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_48 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_49 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_50 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_51 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_6 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_7 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_8 = 0.0 ++ sky130_fd_pr__pfet_01v8__tvoff_diff_9 = 0.0 ++ sky130_fd_pr__pfet_01v8__voff_slope = 0.0 ++ sky130_fd_pr__pfet_01v8__voff_slope1 = 0.0 ++ sky130_fd_pr__pfet_01v8__voff_slope2 = 0.007 ++ sky130_fd_pr__pfet_01v8__vsat_diff_12 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_13 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_14 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_19 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_2 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_20 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_21 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_22 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_27 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_28 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_29 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_3 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_30 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_35 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_37 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_38 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_4 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_44 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_46 = 0.0 ++ sky130_fd_pr__pfet_01v8__vsat_diff_5 = 0.0 ++ sky130_fd_pr__pfet_01v8__vth0_slope = 0.005856 ++ sky130_fd_pr__pfet_01v8__vth0_slope1 = 0.007356 ++ sky130_fd_pr__pfet_01v8__vth0_slope2 = 0.004356 ++ sky130_fd_pr__pfet_01v8__wint_slope = 0.0 ++ sky130_fd_pr__pfet_01v8__wku0_diff = 2.5e-7 ++ sky130_fd_pr__pfet_01v8__wkvth0_diff = 2.0e-7 ++ sky130_fd_pr__pfet_01v8__wlod_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__a0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__a0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__agidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__agidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ags_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ags_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigbacc_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigbacc_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigbinv_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigbinv_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigc_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigc_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__aigsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__b0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__b0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__b1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__b1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__bgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__bgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__bigsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__bigsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__cf_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__cgidl_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__cgidl_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__cjswgs_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__pfet_g5v0d16v0__dsub_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__dsub_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__eta0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__eta0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__jtssws_diff_0 = -4.02e-12 ++ sky130_fd_pr__pfet_g5v0d16v0__jtssws_diff_1 = -4.02e-12 ++ sky130_fd_pr__pfet_g5v0d16v0__k2_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__k2_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__keta_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__keta_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__kt1_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__kt1_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ku0_diff = 2.218e-7 ++ sky130_fd_pr__pfet_g5v0d16v0__kvsat_diff = 0.4 ++ sky130_fd_pr__pfet_g5v0d16v0__kvth0_diff = 5.2302e-9 ++ sky130_fd_pr__pfet_g5v0d16v0__lku0_diff = 8.7129e-7 ++ sky130_fd_pr__pfet_g5v0d16v0__lkvth0_diff = -4.8631e-7 ++ sky130_fd_pr__pfet_g5v0d16v0__lpe0_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__lpe0_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nfactor_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nfactor_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nigbacc_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nigbacc_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nigbinv_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__nigbinv_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pclm_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pclm_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pdits_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pdits_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pditsd_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__pditsd_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdsw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdsw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdw_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rdw_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__rshp_mult = 1.0 ++ sky130_fd_pr__pfet_g5v0d16v0__tvoff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__tvoff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ua_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ua_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ub_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__ub_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__voff_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__voff_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__vsat_diff_0 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__vsat_diff_1 = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__wku0_diff = 0.0 ++ sky130_fd_pr__pfet_g5v0d16v0__wkvth0_diff = 5.398e-7 ++ sky130_fd_bs_flash__special_sonosfet_star__ajunction_mult = 1.0 ++ sky130_fd_bs_flash__special_sonosfet_star__dlc_diff = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_bs_flash__special_sonosfet_star__dwc_diff = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__k2_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__k2_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__k2_diff_2 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__kt1_diff_0 = -0.44275 ++ sky130_fd_bs_flash__special_sonosfet_star__kt1_diff_1 = -0.3267 ++ sky130_fd_bs_flash__special_sonosfet_star__kt1_diff_2 = -0.67944 ++ sky130_fd_bs_flash__special_sonosfet_star__lint_diff = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__nfactor_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__nfactor_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__nfactor_diff_2 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__overlap_mult = 1.0 ++ sky130_fd_bs_flash__special_sonosfet_star__pjunction_mult = 1.0 ++ sky130_fd_bs_flash__special_sonosfet_star__rdsw_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__rdsw_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__rdsw_diff_2 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__tox_mult = 1.0 ++ sky130_fd_bs_flash__special_sonosfet_star__tox_slope = 0.002 ++ sky130_fd_bs_flash__special_sonosfet_star__tox_slope1 = 0.002 ++ sky130_fd_bs_flash__special_sonosfet_star__u0_diff_0 = -0.0069221 ++ sky130_fd_bs_flash__special_sonosfet_star__u0_diff_1 = -0.0041919 ++ sky130_fd_bs_flash__special_sonosfet_star__u0_diff_2 = -0.0081788 ++ sky130_fd_bs_flash__special_sonosfet_star__voff_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__voff_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__voff_diff_2 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__vsat_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__vsat_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__vsat_diff_2 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_star__vth0_diff_0 = 0.91203 ++ sky130_fd_bs_flash__special_sonosfet_star__vth0_diff_1 = 1.3659 ++ sky130_fd_bs_flash__special_sonosfet_star__vth0_diff_2 = 0.27494 ++ sky130_fd_bs_flash__special_sonosfet_star__vth0_slope = 0.0255 ++ sky130_fd_bs_flash__special_sonosfet_star__vth0_slope1 = 0.028 ++ sky130_fd_bs_flash__special_sonosfet_star__wint_diff = 0.0 ++ sonos_eeol_dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_bs_flash__special_sonosfet_original__ajunction_mult = 1.0 ++ sky130_fd_bs_flash__special_sonosfet_original__dlc_diff = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_bs_flash__special_sonosfet_original__dwc_diff = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__k2_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__k2_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__k2_diff_2 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__kt1_diff_0 = -0.36466 ++ sky130_fd_bs_flash__special_sonosfet_original__kt1_diff_1 = -0.029107 ++ sky130_fd_bs_flash__special_sonosfet_original__kt1_diff_2 = -0.65907 ++ sky130_fd_bs_flash__special_sonosfet_original__lint_diff = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__nfactor_diff_0 = -0.23845 ++ sky130_fd_bs_flash__special_sonosfet_original__nfactor_diff_1 = 1.3597 ++ sky130_fd_bs_flash__special_sonosfet_original__nfactor_diff_2 = 1.0202 ++ sky130_fd_bs_flash__special_sonosfet_original__overlap_mult = 1.0 ++ sky130_fd_bs_flash__special_sonosfet_original__pjunction_mult = 1.0 ++ sky130_fd_bs_flash__special_sonosfet_original__rdsw_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__rdsw_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__rdsw_diff_2 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__tox_mult = 1.0 ++ sky130_fd_bs_flash__special_sonosfet_original__tox_slope = 0.005 ++ sky130_fd_bs_flash__special_sonosfet_original__u0_diff_0 = -0.004 ++ sky130_fd_bs_flash__special_sonosfet_original__u0_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__u0_diff_2 = 0.0013468 ++ sky130_fd_bs_flash__special_sonosfet_original__voff_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__voff_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__voff_diff_2 = -0.20912 ++ sky130_fd_bs_flash__special_sonosfet_original__vsat_diff_0 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__vsat_diff_1 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__vsat_diff_2 = 0.0 ++ sky130_fd_bs_flash__special_sonosfet_original__vth0_diff_0 = -1.0278 ++ sky130_fd_bs_flash__special_sonosfet_original__vth0_diff_1 = -0.85561 ++ sky130_fd_bs_flash__special_sonosfet_original__vth0_diff_2 = -0.15565 ++ sky130_fd_bs_flash__special_sonosfet_original__vth0_slope = 0.026 ++ sky130_fd_bs_flash__special_sonosfet_original__wint_diff = 0.0 ++ sonos_peol_dlc_rotweak = hv_dlc_rotweak ++ sky130_fd_pr__nfet_20v0_nvt__reverse_tmax = '20.001n' ++ sky130_fd_pr__nfet_20v0_nvt__reverse_vds = '-0.01' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vbd_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vbd_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vbs_1 = '20.001n' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vds_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vds_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vgb_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vgb_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vgd_1 = 'sky130_fd_pr__nfet_20v0_nvt__tmax_vds_1' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vgd_2 = 'sky130_fd_pr__nfet_20v0_nvt__tmax_vds_2' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vgs_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_nvt__tmax_vgs_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0_nvt__vbd_max = 'sky130_fd_pr__nfet_20v0_nvt__vbs_max' ++ sky130_fd_pr__nfet_20v0_nvt__vbd_max_1 = 'sky130_fd_pr__nfet_20v0_nvt__vbs_max' ++ sky130_fd_pr__nfet_20v0_nvt__vbd_max_2 = 'sky130_fd_pr__nfet_20v0_nvt__vbs_max' ++ sky130_fd_pr__nfet_20v0_nvt__vbd_min = 'sky130_fd_pr__nfet_20v0_nvt__vbs_min - sky130_fd_pr__nfet_20v0_nvt__vds_max' ++ sky130_fd_pr__nfet_20v0_nvt__vbd_min_1 = 'sky130_fd_pr__nfet_20v0_nvt__vbs_min - sky130_fd_pr__nfet_20v0_nvt__vds_max_1' ++ sky130_fd_pr__nfet_20v0_nvt__vbd_min_2 = 'sky130_fd_pr__nfet_20v0_nvt__vbs_min - sky130_fd_pr__nfet_20v0_nvt__vds_max_2' ++ sky130_fd_pr__nfet_20v0_nvt__vbd_reversemax = '0.501' ++ sky130_fd_pr__nfet_20v0_nvt__vbd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0_nvt__vbs_max = '0.001' ++ sky130_fd_pr__nfet_20v0_nvt__vbs_max_1 = '0.001' ++ sky130_fd_pr__nfet_20v0_nvt__vbs_min = '-2.501' ++ sky130_fd_pr__nfet_20v0_nvt__vbs_min_1 = '-2.501' ++ sky130_fd_pr__nfet_20v0_nvt__vds_max = '36' ++ sky130_fd_pr__nfet_20v0_nvt__vds_max_1 = '24.501' ++ sky130_fd_pr__nfet_20v0_nvt__vds_max_2 = '30.001' ++ sky130_fd_pr__nfet_20v0_nvt__vds_min = '-0.001' ++ sky130_fd_pr__nfet_20v0_nvt__vds_min_1 = '-0.001' ++ sky130_fd_pr__nfet_20v0_nvt__vds_min_2 = '-0.001' ++ sky130_fd_pr__nfet_20v0_nvt__vgb_max = 'sky130_fd_pr__nfet_20v0_nvt__vgs_max - sky130_fd_pr__nfet_20v0_nvt__vbs_min' ++ sky130_fd_pr__nfet_20v0_nvt__vgb_max_1 = 'sky130_fd_pr__nfet_20v0_nvt__vgs_max_1 - sky130_fd_pr__nfet_20v0_nvt__vbs_min_1' ++ sky130_fd_pr__nfet_20v0_nvt__vgb_max_2 = 'sky130_fd_pr__nfet_20v0_nvt__vgs_max_2 - sky130_fd_pr__nfet_20v0_nvt__vbs_min_1' ++ sky130_fd_pr__nfet_20v0_nvt__vgb_min = '-1*sky130_fd_pr__nfet_20v0_nvt__vgs_max' ++ sky130_fd_pr__nfet_20v0_nvt__vgb_min_1 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0_nvt__vgb_min_2 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_max = 'sky130_fd_pr__nfet_20v0_nvt__vgs_max' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_max_1 = 'sky130_fd_pr__nfet_20v0_nvt__vgs_max_1' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_max_2 = 'sky130_fd_pr__nfet_20v0_nvt__vgs_max_2' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_min = '-1*sky130_fd_pr__nfet_20v0_nvt__vds_max' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_min_1 = '-1*sky130_fd_pr__nfet_20v0_nvt__vds_max_1' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_min_2 = '-1*sky130_fd_pr__nfet_20v0_nvt__vds_max_2' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_reversemax_1 = '0.101' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0_nvt__vgd_reversemin_1 = '-0.101' ++ sky130_fd_pr__nfet_20v0_nvt__vgs_max = '6.501' ++ sky130_fd_pr__nfet_20v0_nvt__vgs_max_1 = '5.751' ++ sky130_fd_pr__nfet_20v0_nvt__vgs_max_2 = '6.001' ++ sky130_fd_pr__nfet_20v0_nvt__vgs_min = '-1*6.501' ++ sky130_fd_pr__nfet_20v0_nvt__vgs_min_1 = '-1*5.751' ++ sky130_fd_pr__nfet_20v0_nvt__vgs_min_2 = '-1*6.001' ++ sky130_fd_pr__nfet_20v0_nvt__vsd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0_nvt__vsd_reversemin = '-0.501' ++ sky130_fd_pr__nfet_20v0_nvt__vtx = '0.020' ++ sky130_fd_pr__nfet_20v0_nvt_iso__k2_diff = -0.11937 ++ sky130_fd_pr__nfet_20v0_nvt_iso__reverse_tmax = '20.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__reverse_vds = '-0.01' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vbd_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vbd_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vbs_1 = '20.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vds_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vds_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vgb_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vgb_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vgd_1 = 'sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vds_1' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vgd_2 = 'sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vds_2' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vgs_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__tmax_vgs_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbd_max = 'sky130_fd_pr__nfet_20v0_nvt_iso__vbs_max' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbd_max_1 = 'sky130_fd_pr__nfet_20v0_nvt_iso__vbs_max' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbd_max_2 = 'sky130_fd_pr__nfet_20v0_nvt_iso__vbs_max' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbd_min = 'sky130_fd_pr__nfet_20v0_nvt_iso__vbs_min - sky130_fd_pr__nfet_20v0_nvt_iso__vds_max' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbd_min_1 = 'sky130_fd_pr__nfet_20v0_nvt_iso__vbs_min - sky130_fd_pr__nfet_20v0_nvt_iso__vds_max_1' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbd_min_2 = 'sky130_fd_pr__nfet_20v0_nvt_iso__vbs_min - sky130_fd_pr__nfet_20v0_nvt_iso__vds_max_2' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbd_reversemax = '0.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbs_max = '0.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbs_max_1 = '0.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbs_min = '-2.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vbs_min_1 = '-2.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vds_max = '22.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vds_max_1 = '22.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vds_max_2 = '22.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vds_min = '-0.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vds_min_1 = '-0.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vds_min_2 = '-0.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgb_max = 'sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max - sky130_fd_pr__nfet_20v0_nvt_iso__vbs_min' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgb_max_1 = 'sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max_1 - sky130_fd_pr__nfet_20v0_nvt_iso__vbs_min_1' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgb_max_2 = 'sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max_2 - sky130_fd_pr__nfet_20v0_nvt_iso__vbs_min_1' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgb_min = '-1*sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgb_min_1 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgb_min_2 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_max = 'sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_max_1 = 'sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max_1' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_max_2 = 'sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max_2' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_min = '-1*sky130_fd_pr__nfet_20v0_nvt_iso__vds_max' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_min_1 = '-1*sky130_fd_pr__nfet_20v0_nvt_iso__vds_max_1' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_min_2 = '-1*sky130_fd_pr__nfet_20v0_nvt_iso__vds_max_2' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_reversemax_1 = '0.101' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgd_reversemin_1 = '-0.101' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max = '6.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max_1 = '5.751' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgs_max_2 = '6.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgs_min = '-1*6.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgs_min_1 = '-1*5.751' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vgs_min_2 = '-1*6.001' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vsd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vsd_reversemin = '-0.501' ++ sky130_fd_pr__nfet_20v0_nvt_iso__vtx = '0.02' ++ sky130_fd_pr__nfet_20v0__reverse_tmax = '20.001n' ++ sky130_fd_pr__nfet_20v0__reverse_vds = '-0.01' ++ sky130_fd_pr__nfet_20v0__rshn_mult = 1.0 ++ sky130_fd_pr__nfet_20v0__tmax_vbd_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0__tmax_vbd_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0__tmax_vbs_1 = '20.001n' ++ sky130_fd_pr__nfet_20v0__tmax_vds_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0__tmax_vds_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0__tmax_vgb_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0__tmax_vgb_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0__tmax_vgd_1 = 'sky130_fd_pr__nfet_20v0__tmax_vds_1' ++ sky130_fd_pr__nfet_20v0__tmax_vgd_2 = 'sky130_fd_pr__nfet_20v0__tmax_vds_2' ++ sky130_fd_pr__nfet_20v0__tmax_vgs_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0__tmax_vgs_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0__vbd_max = 'sky130_fd_pr__nfet_20v0__vbs_max' ++ sky130_fd_pr__nfet_20v0__vbd_max_1 = 'sky130_fd_pr__nfet_20v0__vbs_max' ++ sky130_fd_pr__nfet_20v0__vbd_max_2 = 'sky130_fd_pr__nfet_20v0__vbs_max' ++ sky130_fd_pr__nfet_20v0__vbd_min = 'sky130_fd_pr__nfet_20v0__vbs_min - sky130_fd_pr__nfet_20v0__vds_max' ++ sky130_fd_pr__nfet_20v0__vbd_min_1 = 'sky130_fd_pr__nfet_20v0__vbs_min - sky130_fd_pr__nfet_20v0__vds_max_1' ++ sky130_fd_pr__nfet_20v0__vbd_min_2 = 'sky130_fd_pr__nfet_20v0__vbs_min - sky130_fd_pr__nfet_20v0__vds_max_2' ++ sky130_fd_pr__nfet_20v0__vbd_reversemax = '0.501' ++ sky130_fd_pr__nfet_20v0__vbd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0__vbs_max = '0.001' ++ sky130_fd_pr__nfet_20v0__vbs_max_1 = '0.001' ++ sky130_fd_pr__nfet_20v0__vbs_min = '-2.501' ++ sky130_fd_pr__nfet_20v0__vbs_min_1 = '-2.501' ++ sky130_fd_pr__nfet_20v0__vds_max = '36' ++ sky130_fd_pr__nfet_20v0__vds_max_1 = '24.501' ++ sky130_fd_pr__nfet_20v0__vds_max_2 = '30.001' ++ sky130_fd_pr__nfet_20v0__vds_min = '-0.001' ++ sky130_fd_pr__nfet_20v0__vds_min_1 = '-0.001' ++ sky130_fd_pr__nfet_20v0__vds_min_2 = '-0.001' ++ sky130_fd_pr__nfet_20v0__vgb_max = 'sky130_fd_pr__nfet_20v0__vgs_max - sky130_fd_pr__nfet_20v0__vbs_min' ++ sky130_fd_pr__nfet_20v0__vgb_max_1 = 'sky130_fd_pr__nfet_20v0__vgs_max_1 - sky130_fd_pr__nfet_20v0__vbs_min_1' ++ sky130_fd_pr__nfet_20v0__vgb_max_2 = 'sky130_fd_pr__nfet_20v0__vgs_max_2 - sky130_fd_pr__nfet_20v0__vbs_min_1' ++ sky130_fd_pr__nfet_20v0__vgb_min = '-1*sky130_fd_pr__nfet_20v0__vgs_max' ++ sky130_fd_pr__nfet_20v0__vgb_min_1 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0__vgb_min_2 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0__vgd_max = 'sky130_fd_pr__nfet_20v0__vgs_max' ++ sky130_fd_pr__nfet_20v0__vgd_max_1 = 'sky130_fd_pr__nfet_20v0__vgs_max_1' ++ sky130_fd_pr__nfet_20v0__vgd_max_2 = 'sky130_fd_pr__nfet_20v0__vgs_max_2' ++ sky130_fd_pr__nfet_20v0__vgd_min = '-1*sky130_fd_pr__nfet_20v0__vds_max' ++ sky130_fd_pr__nfet_20v0__vgd_min_1 = '-1*sky130_fd_pr__nfet_20v0__vds_max_1' ++ sky130_fd_pr__nfet_20v0__vgd_min_2 = '-1*sky130_fd_pr__nfet_20v0__vds_max_2' ++ sky130_fd_pr__nfet_20v0__vgd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0__vgd_reversemax_1 = '0.101' ++ sky130_fd_pr__nfet_20v0__vgd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0__vgd_reversemin_1 = '-0.101' ++ sky130_fd_pr__nfet_20v0__vgs_max = '6.501' ++ sky130_fd_pr__nfet_20v0__vgs_max_1 = '5.751' ++ sky130_fd_pr__nfet_20v0__vgs_max_2 = '6.001' ++ sky130_fd_pr__nfet_20v0__vgs_min = '-1*6.501' ++ sky130_fd_pr__nfet_20v0__vgs_min_1 = '-1*5.751' ++ sky130_fd_pr__nfet_20v0__vgs_min_2 = '-1*6.001' ++ sky130_fd_pr__nfet_20v0__vsd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0__vsd_reversemin = '-0.501' ++ sky130_fd_pr__nfet_20v0__vtx = '0.623' ++ sky130_fd_pr__nfet_20v0_iso__reverse_tmax = '20.001n' ++ sky130_fd_pr__nfet_20v0_iso__reverse_vds = '-0.01' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vbd_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vbd_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vbs_1 = '20.001n' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vds_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vds_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vgb_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vgb_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vgd_1 = 'sky130_fd_pr__nfet_20v0_iso__tmax_vds_1' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vgd_2 = 'sky130_fd_pr__nfet_20v0_iso__tmax_vds_2' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vgs_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_iso__tmax_vgs_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0_iso__vbd_max = 'sky130_fd_pr__nfet_20v0_iso__vbs_max' ++ sky130_fd_pr__nfet_20v0_iso__vbd_max_1 = 'sky130_fd_pr__nfet_20v0_iso__vbs_max' ++ sky130_fd_pr__nfet_20v0_iso__vbd_max_2 = 'sky130_fd_pr__nfet_20v0_iso__vbs_max' ++ sky130_fd_pr__nfet_20v0_iso__vbd_min = 'sky130_fd_pr__nfet_20v0_iso__vbs_min - sky130_fd_pr__nfet_20v0_iso__vds_max' ++ sky130_fd_pr__nfet_20v0_iso__vbd_min_1 = 'sky130_fd_pr__nfet_20v0_iso__vbs_min - sky130_fd_pr__nfet_20v0_iso__vds_max_1' ++ sky130_fd_pr__nfet_20v0_iso__vbd_min_2 = 'sky130_fd_pr__nfet_20v0_iso__vbs_min - sky130_fd_pr__nfet_20v0_iso__vds_max_2' ++ sky130_fd_pr__nfet_20v0_iso__vbd_reversemax = '0.501' ++ sky130_fd_pr__nfet_20v0_iso__vbd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0_iso__vbs_max = '0.001' ++ sky130_fd_pr__nfet_20v0_iso__vbs_max_1 = '0.001' ++ sky130_fd_pr__nfet_20v0_iso__vbs_min = '-2.501' ++ sky130_fd_pr__nfet_20v0_iso__vbs_min_1 = '-2.501' ++ sky130_fd_pr__nfet_20v0_iso__vds_max = '22.501' ++ sky130_fd_pr__nfet_20v0_iso__vds_max_1 = '22.001' ++ sky130_fd_pr__nfet_20v0_iso__vds_max_2 = '22.001' ++ sky130_fd_pr__nfet_20v0_iso__vds_min = '-0.001' ++ sky130_fd_pr__nfet_20v0_iso__vds_min_1 = '-0.001' ++ sky130_fd_pr__nfet_20v0_iso__vds_min_2 = '-0.001' ++ sky130_fd_pr__nfet_20v0_iso__vgb_max = 'sky130_fd_pr__nfet_20v0_iso__vgs_max - sky130_fd_pr__nfet_20v0_iso__vbs_min' ++ sky130_fd_pr__nfet_20v0_iso__vgb_max_1 = 'sky130_fd_pr__nfet_20v0_iso__vgs_max_1 - sky130_fd_pr__nfet_20v0_iso__vbs_min_1' ++ sky130_fd_pr__nfet_20v0_iso__vgb_max_2 = 'sky130_fd_pr__nfet_20v0_iso__vgs_max_2 - sky130_fd_pr__nfet_20v0_iso__vbs_min_1' ++ sky130_fd_pr__nfet_20v0_iso__vgb_min = '-1*sky130_fd_pr__nfet_20v0_iso__vgs_max' ++ sky130_fd_pr__nfet_20v0_iso__vgb_min_1 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0_iso__vgb_min_2 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0_iso__vgd_max = 'sky130_fd_pr__nfet_20v0_iso__vgs_max' ++ sky130_fd_pr__nfet_20v0_iso__vgd_max_1 = 'sky130_fd_pr__nfet_20v0_iso__vgs_max_1' ++ sky130_fd_pr__nfet_20v0_iso__vgd_max_2 = 'sky130_fd_pr__nfet_20v0_iso__vgs_max_2' ++ sky130_fd_pr__nfet_20v0_iso__vgd_min = '-1*sky130_fd_pr__nfet_20v0_iso__vds_max' ++ sky130_fd_pr__nfet_20v0_iso__vgd_min_1 = '-1*sky130_fd_pr__nfet_20v0_iso__vds_max_1' ++ sky130_fd_pr__nfet_20v0_iso__vgd_min_2 = '-1*sky130_fd_pr__nfet_20v0_iso__vds_max_2' ++ sky130_fd_pr__nfet_20v0_iso__vgd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0_iso__vgd_reversemax_1 = '0.101' ++ sky130_fd_pr__nfet_20v0_iso__vgd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0_iso__vgd_reversemin_1 = '-0.101' ++ sky130_fd_pr__nfet_20v0_iso__vgs_max = '6.501' ++ sky130_fd_pr__nfet_20v0_iso__vgs_max_1 = '5.751' ++ sky130_fd_pr__nfet_20v0_iso__vgs_max_2 = '6.001' ++ sky130_fd_pr__nfet_20v0_iso__vgs_min = '-1*6.501' ++ sky130_fd_pr__nfet_20v0_iso__vgs_min_1 = '-1*5.751' ++ sky130_fd_pr__nfet_20v0_iso__vgs_min_2 = '-1*6.001' ++ sky130_fd_pr__nfet_20v0_iso__vsd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0_iso__vsd_reversemin = '-0.501' ++ sky130_fd_pr__nfet_20v0_iso__vtx = '0.617' ++ sky130_fd_pr__nfet_20v0_zvt__hvvsat_mult = 1.0 ++ sky130_fd_pr__nfet_20v0_zvt__k2_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__lint_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__reverse_tmax = '20.001n' ++ sky130_fd_pr__nfet_20v0_zvt__reverse_vds = '-0.01' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vbd_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vbd_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vbs_1 = '20.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vds_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vds_2 = '25.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vgb_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vgb_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vgd_1 = 'sky130_fd_pr__nfet_20v0_zvt__tmax_vds_1' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vgd_2 = 'sky130_fd_pr__nfet_20v0_zvt__tmax_vds_2' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vgs_1 = '100.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vgs_2 = '20.001n' ++ sky130_fd_pr__nfet_20v0_zvt__tmax_vgs_model01 = '0.001n' ++ sky130_fd_pr__nfet_20v0_zvt__vbd_max = 'sky130_fd_pr__nfet_20v0_zvt__vbs_max' ++ sky130_fd_pr__nfet_20v0_zvt__vbd_max_1 = 'sky130_fd_pr__nfet_20v0_zvt__vbs_max' ++ sky130_fd_pr__nfet_20v0_zvt__vbd_max_2 = 'sky130_fd_pr__nfet_20v0_zvt__vbs_max' ++ sky130_fd_pr__nfet_20v0_zvt__vbd_min = 'sky130_fd_pr__nfet_20v0_zvt__vbs_min - sky130_fd_pr__nfet_20v0_zvt__vds_max' ++ sky130_fd_pr__nfet_20v0_zvt__vbd_min_1 = 'sky130_fd_pr__nfet_20v0_zvt__vbs_min - sky130_fd_pr__nfet_20v0_zvt__vds_max_1' ++ sky130_fd_pr__nfet_20v0_zvt__vbd_min_2 = 'sky130_fd_pr__nfet_20v0_zvt__vbs_min - sky130_fd_pr__nfet_20v0_zvt__vds_max_2' ++ sky130_fd_pr__nfet_20v0_zvt__vbd_reversemax = '0.501' ++ sky130_fd_pr__nfet_20v0_zvt__vbd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0_zvt__vbs_max = '0.001' ++ sky130_fd_pr__nfet_20v0_zvt__vbs_max_1 = '0.001' ++ sky130_fd_pr__nfet_20v0_zvt__vbs_min = '-2.501' ++ sky130_fd_pr__nfet_20v0_zvt__vbs_min_1 = '-2.501' ++ sky130_fd_pr__nfet_20v0_zvt__vds_max = '30.001' ++ sky130_fd_pr__nfet_20v0_zvt__vds_max_1 = '24.501' ++ sky130_fd_pr__nfet_20v0_zvt__vds_max_2 = '28.001' ++ sky130_fd_pr__nfet_20v0_zvt__vds_max_model01 = '1*3.0' ++ sky130_fd_pr__nfet_20v0_zvt__vds_min = '-0.001' ++ sky130_fd_pr__nfet_20v0_zvt__vds_min_1 = '-0.001' ++ sky130_fd_pr__nfet_20v0_zvt__vds_min_2 = '-0.001' ++ sky130_fd_pr__nfet_20v0_zvt__vds_min_model01 = '-1*3.0' ++ sky130_fd_pr__nfet_20v0_zvt__vgb_max = 'sky130_fd_pr__nfet_20v0_zvt__vgs_max - sky130_fd_pr__nfet_20v0_zvt__vbs_min' ++ sky130_fd_pr__nfet_20v0_zvt__vgb_max_1 = 'sky130_fd_pr__nfet_20v0_zvt__vgs_max_1 - sky130_fd_pr__nfet_20v0_zvt__vbs_min_1' ++ sky130_fd_pr__nfet_20v0_zvt__vgb_max_2 = 'sky130_fd_pr__nfet_20v0_zvt__vgs_max_2 - sky130_fd_pr__nfet_20v0_zvt__vbs_min_1' ++ sky130_fd_pr__nfet_20v0_zvt__vgb_min = '-1*sky130_fd_pr__nfet_20v0_zvt__vgs_max' ++ sky130_fd_pr__nfet_20v0_zvt__vgb_min_1 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0_zvt__vgb_min_2 = '0 - 2.5' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_max = 'sky130_fd_pr__nfet_20v0_zvt__vgs_max' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_max_1 = 'sky130_fd_pr__nfet_20v0_zvt__vgs_max_1' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_max_2 = 'sky130_fd_pr__nfet_20v0_zvt__vgs_max_2' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_min = '-1*sky130_fd_pr__nfet_20v0_zvt__vds_max' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_min_1 = '-1*sky130_fd_pr__nfet_20v0_zvt__vds_max_1' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_min_2 = '-1*sky130_fd_pr__nfet_20v0_zvt__vds_max_2' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_reversemax_1 = '0.101' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_reversemin = '-5.501' ++ sky130_fd_pr__nfet_20v0_zvt__vgd_reversemin_1 = '-0.101' ++ sky130_fd_pr__nfet_20v0_zvt__vgs_max = '6.501' ++ sky130_fd_pr__nfet_20v0_zvt__vgs_max_1 = '5.751' ++ sky130_fd_pr__nfet_20v0_zvt__vgs_max_2 = '6.001' ++ sky130_fd_pr__nfet_20v0_zvt__vgs_max_model01 = '1*3.0' ++ sky130_fd_pr__nfet_20v0_zvt__vgs_min = '-1*6.501' ++ sky130_fd_pr__nfet_20v0_zvt__vgs_min_1 = '-1*5.751' ++ sky130_fd_pr__nfet_20v0_zvt__vgs_min_2 = '-1*6.001' ++ sky130_fd_pr__nfet_20v0_zvt__vgs_min_model01 = '-1*3.0' ++ sky130_fd_pr__nfet_20v0_zvt__vsat_diff = 0.0 ++ sky130_fd_pr__nfet_20v0_zvt__vsd_reversemax = '5.501' ++ sky130_fd_pr__nfet_20v0_zvt__vsd_reversemin = '-0.501' ++ sky130_fd_pr__nfet_20v0_zvt__vtx = '-0.223' ++ sky130_fd_pr__pfet_20v0__agidl_diff = 0.0 ++ sky130_fd_pr__pfet_20v0__k2_diff = 0.0 ++ sky130_fd_pr__pfet_20v0__reverse_tmax = '20.001n' ++ sky130_fd_pr__pfet_20v0__reverse_vds = '0.01' ++ sky130_fd_pr__pfet_20v0__rshn_mult = 1.0 ++ sky130_fd_pr__pfet_20v0__tmax_vbd_1 = '100.001n' ++ sky130_fd_pr__pfet_20v0__tmax_vbd_2 = '25.001n' ++ sky130_fd_pr__pfet_20v0__tmax_vbs_1 = '20.001n' ++ sky130_fd_pr__pfet_20v0__tmax_vds_1 = '100.001n' ++ sky130_fd_pr__pfet_20v0__tmax_vds_2 = '25.001n' ++ sky130_fd_pr__pfet_20v0__tmax_vgb_1 = '100.001n' ++ sky130_fd_pr__pfet_20v0__tmax_vgb_2 = '20.001n' ++ sky130_fd_pr__pfet_20v0__tmax_vgd_1 = 'sky130_fd_pr__pfet_20v0__tmax_vds_1' ++ sky130_fd_pr__pfet_20v0__tmax_vgd_2 = 'sky130_fd_pr__pfet_20v0__tmax_vds_2' ++ sky130_fd_pr__pfet_20v0__tmax_vgs_1 = '100.001n' ++ sky130_fd_pr__pfet_20v0__tmax_vgs_2 = '20.001n' ++ sky130_fd_pr__pfet_20v0__vbd_max = 'sky130_fd_pr__pfet_20v0__vbs_max - sky130_fd_pr__pfet_20v0__vds_min' ++ sky130_fd_pr__pfet_20v0__vbd_max_1 = 'sky130_fd_pr__pfet_20v0__vbs_max - sky130_fd_pr__pfet_20v0__vds_min_1' ++ sky130_fd_pr__pfet_20v0__vbd_max_2 = 'sky130_fd_pr__pfet_20v0__vbs_max - sky130_fd_pr__pfet_20v0__vds_min_2' ++ sky130_fd_pr__pfet_20v0__vbd_min = 'sky130_fd_pr__pfet_20v0__vbs_min' ++ sky130_fd_pr__pfet_20v0__vbd_min_1 = 'sky130_fd_pr__pfet_20v0__vbs_min' ++ sky130_fd_pr__pfet_20v0__vbd_min_2 = 'sky130_fd_pr__pfet_20v0__vbs_min' ++ sky130_fd_pr__pfet_20v0__vbd_reversemax = '5.501' ++ sky130_fd_pr__pfet_20v0__vbd_reversemin = '-0.501' ++ sky130_fd_pr__pfet_20v0__vbs_max = '2.501' ++ sky130_fd_pr__pfet_20v0__vbs_max_1 = '2.501' ++ sky130_fd_pr__pfet_20v0__vbs_min = '-0.001' ++ sky130_fd_pr__pfet_20v0__vbs_min_1 = '-0.001' ++ sky130_fd_pr__pfet_20v0__vds_max = '0.01' ++ sky130_fd_pr__pfet_20v0__vds_max_1 = '0.01' ++ sky130_fd_pr__pfet_20v0__vds_max_2 = '0.01' ++ sky130_fd_pr__pfet_20v0__vds_min = '-28.001' ++ sky130_fd_pr__pfet_20v0__vds_min_1 = '-24.501' ++ sky130_fd_pr__pfet_20v0__vds_min_2 = '-24.501' ++ sky130_fd_pr__pfet_20v0__vgb_max = '-1*sky130_fd_pr__pfet_20v0__vgs_min' ++ sky130_fd_pr__pfet_20v0__vgb_max_1 = '0 + 1.0' ++ sky130_fd_pr__pfet_20v0__vgb_max_2 = '0 + 1.0' ++ sky130_fd_pr__pfet_20v0__vgb_min = 'sky130_fd_pr__pfet_20v0__vgs_min - sky130_fd_pr__pfet_20v0__vbs_max' ++ sky130_fd_pr__pfet_20v0__vgb_min_1 = 'sky130_fd_pr__pfet_20v0__vgs_min_1 - sky130_fd_pr__pfet_20v0__vbs_max_1' ++ sky130_fd_pr__pfet_20v0__vgb_min_2 = 'sky130_fd_pr__pfet_20v0__vgs_min_2 - sky130_fd_pr__pfet_20v0__vbs_max_1' ++ sky130_fd_pr__pfet_20v0__vgd_max = '-1*sky130_fd_pr__pfet_20v0__vds_min' ++ sky130_fd_pr__pfet_20v0__vgd_max_1 = '-1*sky130_fd_pr__pfet_20v0__vds_min_1' ++ sky130_fd_pr__pfet_20v0__vgd_max_2 = '-1*sky130_fd_pr__pfet_20v0__vds_min_2' ++ sky130_fd_pr__pfet_20v0__vgd_min = 'sky130_fd_pr__pfet_20v0__vgs_min' ++ sky130_fd_pr__pfet_20v0__vgd_min_1 = 'sky130_fd_pr__pfet_20v0__vgs_min_1' ++ sky130_fd_pr__pfet_20v0__vgd_min_2 = 'sky130_fd_pr__pfet_20v0__vgs_min_2' ++ sky130_fd_pr__pfet_20v0__vgd_reversemax = '5.501' ++ sky130_fd_pr__pfet_20v0__vgd_reversemax_1 = '0.101' ++ sky130_fd_pr__pfet_20v0__vgd_reversemin = '-5.501' ++ sky130_fd_pr__pfet_20v0__vgd_reversemin_1 = '-0.101' ++ sky130_fd_pr__pfet_20v0__vgs_max = '-1*-6.501' ++ sky130_fd_pr__pfet_20v0__vgs_max_1 = '-1*-5.751' ++ sky130_fd_pr__pfet_20v0__vgs_max_2 = '-1*-6.001' ++ sky130_fd_pr__pfet_20v0__vgs_min = '-6.501' ++ sky130_fd_pr__pfet_20v0__vgs_min_1 = '-5.751' ++ sky130_fd_pr__pfet_20v0__vgs_min_2 = '-6.001' ++ sky130_fd_pr__pfet_20v0__vsd_reversemax = '0.501' ++ sky130_fd_pr__pfet_20v0__vsd_reversemin = '-5.501' ++ sky130_fd_pr__pfet_20v0__vtx = '-0.873' From 577a960ca52d2da8f3ec392f956ccb03af205fe2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 00:42:01 +0100 Subject: [PATCH 612/673] Make paths for ngspice files generic --- Tools/perl/gencharlibyml.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index ae025938..076da0e0 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -35,8 +35,8 @@ () ; # This is PDK dependent! print OUT < Date: Wed, 30 Jul 2025 15:56:15 +0100 Subject: [PATCH 613/673] Fix warning about gate length LCLayout has changed and now requires specific lenghts for pmos and nmos individually --- Tech.SKY130/librecell_tech.py | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 00fd1852..00d55357 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -204,7 +204,8 @@ connectable_layers = {l_nwell, l_pwell, l_poly} # Width of the gate polysilicon stripe. # is reused as the minimum_width for the l_poly layer -gate_length = 150*nm # (poly.1a) +gate_length_pmos = 150*nm # (poly.1a) +gate_length_nmos = 150*nm # (poly.1a) # Minimum length a polysilicon gate must overlap the silicon. gate_extension = 130*nm # (poly.8) From efcc153da200e9683fb8d122103b7c1b1d24ec08 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 16:03:56 +0100 Subject: [PATCH 614/673] Solving build error for SKY130 gate_length should be declared uniformly --- Tech.SKY130/librecell_tech.py | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/Tech.SKY130/librecell_tech.py b/Tech.SKY130/librecell_tech.py index 00d55357..541b7641 100644 --- a/Tech.SKY130/librecell_tech.py +++ b/Tech.SKY130/librecell_tech.py @@ -204,8 +204,9 @@ connectable_layers = {l_nwell, l_pwell, l_poly} # Width of the gate polysilicon stripe. # is reused as the minimum_width for the l_poly layer -gate_length_pmos = 150*nm # (poly.1a) -gate_length_nmos = 150*nm # (poly.1a) +gate_length = 150*nm # (poly.1a) +gate_length_pmos = gate_length +gate_length_nmos = gate_length # Minimum length a polysilicon gate must overlap the silicon. gate_extension = 130*nm # (poly.8) From 5b7262487024a7773860bb762893dd27bbe0b9de Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 18:22:27 +0100 Subject: [PATCH 615/673] GF180: Cover all target voltages Build cells for all the possible voltages --- .gitlab-ci.yml | 55 +++++++++++++++++++++++++++++++++++++++++++++++--- 1 file changed, 52 insertions(+), 3 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index ca79f593..5084148c 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -6,7 +6,7 @@ default: stages: - build -gf180_lib: +gf180_lib_3v3: stage: build script: - | @@ -14,12 +14,61 @@ gf180_lib: ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog + export TARGETVOLTAGE="3.3V" make layout cd .. - cp -r Catalog gf180_lib + cp -r Catalog gf180_lib_3v3 artifacts: paths: - - gf180_lib + - gf180_lib_3v3 + +gf180_lib_5v: + stage: build + script: + - | + rm -f Tech + ln -s Tech.GF180MCU Tech + . /root/env/bin/activate + cd Catalog + export TARGETVOLTAGE="5V" + make layout + cd .. + cp -r Catalog gf180_lib_5v + artifacts: + paths: + - gf180_lib_5v + +gf180_lib_6v: + stage: build + script: + - | + rm -f Tech + ln -s Tech.GF180MCU Tech + . /root/env/bin/activate + cd Catalog + export TARGETVOLTAGE="6V" + make layout + cd .. + cp -r Catalog gf180_lib_6v + artifacts: + paths: + - gf180_lib_6v + +gf180_lib_10v: + stage: build + script: + - | + rm -f Tech + ln -s Tech.GF180MCU Tech + . /root/env/bin/activate + cd Catalog + export TARGETVOLTAGE="10V" + make layout + cd .. + cp -r Catalog gf180_lib_10v + artifacts: + paths: + - gf180_lib_10v sky130_lib: stage: build From af781ac3c614cda8a0ee7e0bb6632a24ea0ea298 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 18:24:35 +0100 Subject: [PATCH 616/673] fix whitespaces --- .gitlab-ci.yml | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 5084148c..6ce3386a 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -10,7 +10,7 @@ gf180_lib_3v3: stage: build script: - | - rm -f Tech + rm -f Tech ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog @@ -26,7 +26,7 @@ gf180_lib_5v: stage: build script: - | - rm -f Tech + rm -f Tech ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog @@ -42,7 +42,7 @@ gf180_lib_6v: stage: build script: - | - rm -f Tech + rm -f Tech ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog @@ -58,7 +58,7 @@ gf180_lib_10v: stage: build script: - | - rm -f Tech + rm -f Tech ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog @@ -74,7 +74,7 @@ sky130_lib: stage: build script: - | - rm -f Tech + rm -f Tech ln -s Tech.SKY130 Tech . /root/env/bin/activate cd Catalog From 323b716b8792bfa36e38a2e8a8bb2a3c3c5230e2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 18:29:07 +0100 Subject: [PATCH 617/673] Define PDK name Define the name of the PDK used --- .gitlab-ci.yml | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 6ce3386a..bce100d0 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -14,6 +14,7 @@ gf180_lib_3v3: ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog + export PDK="gf180mcu3v3" export TARGETVOLTAGE="3.3V" make layout cd .. @@ -30,6 +31,7 @@ gf180_lib_5v: ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog + export PDK="gf180mcu5v" export TARGETVOLTAGE="5V" make layout cd .. @@ -46,6 +48,7 @@ gf180_lib_6v: ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog + export PDK="gf180mcu6v" export TARGETVOLTAGE="6V" make layout cd .. @@ -62,6 +65,7 @@ gf180_lib_10v: ln -s Tech.GF180MCU Tech . /root/env/bin/activate cd Catalog + export PDK="gf180mcu10v" export TARGETVOLTAGE="10V" make layout cd .. @@ -78,6 +82,8 @@ sky130_lib: ln -s Tech.SKY130 Tech . /root/env/bin/activate cd Catalog + export PDK="sky130a1v8" + export TARGETVOLTAGE="1.8V" make layout cd .. cp -r Catalog sky130_lib From 0701ec46f795e48cc33e60d259872e8f82e34cf2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 18:58:20 +0100 Subject: [PATCH 618/673] Variable target voltages Before it was hard coded --- Tools/perl/gencharlibyml.pl | 10 ++++++++-- Tools/perl/libgen.pl | 11 +++++++++-- 2 files changed, 17 insertions(+), 4 deletions(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index 076da0e0..39e07d4e 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -5,6 +5,12 @@ sub header() { + my $target_voltage=3.3; + my $TARGETVOLTAGE=$ENV{'TARGETVOLTAGE'}; + if ($TARGETVOLTAGE =~ /^(\d+(\.\d+)?)V$/) { + $target_voltage=$1; + } + print OUT < Date: Wed, 30 Jul 2025 19:05:35 +0100 Subject: [PATCH 619/673] Get more debug info from CharLib Adding the --debug flag for getting more info on what's going on --- Tools/perl/librecells.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index 610597c7..c979d559 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -248,7 +248,7 @@ ($) step("NEXT STEP: Characterization with CharLib:"); # system_v("python3 ../Tools/python/gen_CharLib.py"); # Old CharLib and Libretto support system_v("perl ../Tools/perl/gencharlibyml.pl $cellname.cell"); - $cmd="$usage charlib run $cellname.yml >>$cellname.log 2>>$cellname.err"; # Which Path should we use for CharLib? + $cmd="$usage charlib --debug run $cellname.yml >>$cellname.log 2>>$cellname.err"; # Which Path should we use for CharLib? system_v($cmd); step("NEXT STEP: Visualisation"); From e877cf6d39a9ec7b99f30395d31c67fe5dbb93d0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 30 Jul 2025 19:06:49 +0100 Subject: [PATCH 620/673] Prepare for more voltages --- .gitlab-ci.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index bce100d0..e9afcc0a 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -74,7 +74,7 @@ gf180_lib_10v: paths: - gf180_lib_10v -sky130_lib: +sky130_lib_1v8: stage: build script: - | @@ -86,9 +86,9 @@ sky130_lib: export TARGETVOLTAGE="1.8V" make layout cd .. - cp -r Catalog sky130_lib + cp -r Catalog sky130_lib_1v8 artifacts: paths: - - sky130_lib + - sky130_lib_1v8 From e31c8d53cd23a4fc43473946d28db3f4148431f5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Thu, 31 Jul 2025 11:04:24 +0100 Subject: [PATCH 621/673] Fix SKY130 characterization Defining VSS in addition to GND solved the error when running CharLib --- Tools/perl/gencharlibyml.pl | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index 39e07d4e..f34e1d68 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -26,9 +26,12 @@ () vdd: name: VDD voltage: $target_voltage - vss: + vss1: name: GND voltage: 0 + vss2: + name: VSS + voltage: 0 pwell: name: VPW voltage: 0 From 455efdc1b884513147c082d39836a990061a6d4e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Thu, 31 Jul 2025 11:24:04 +0100 Subject: [PATCH 622/673] Revert "Fix SKY130 characterization" This reverts commit e31c8d53cd23a4fc43473946d28db3f4148431f5. --- Tools/perl/gencharlibyml.pl | 5 +---- 1 file changed, 1 insertion(+), 4 deletions(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index f34e1d68..39e07d4e 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -26,12 +26,9 @@ () vdd: name: VDD voltage: $target_voltage - vss1: + vss: name: GND voltage: 0 - vss2: - name: VSS - voltage: 0 pwell: name: VPW voltage: 0 From a29067c3947185447bd530fcdaedcdf12ab3b241 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 9 Aug 2025 10:43:50 +0100 Subject: [PATCH 623/673] Update GF180 technology from volare --- Tech.GF180MCU/Makefile | 12 +- Tech.GF180MCU/design.ngspice | 152 +- Tech.GF180MCU/libresilicon.tech | 1496 +- Tech.GF180MCU/transistors.ngspice | 95402 ++++++++++++++-------------- 4 files changed, 48800 insertions(+), 48262 deletions(-) diff --git a/Tech.GF180MCU/Makefile b/Tech.GF180MCU/Makefile index f8ce621d..9acf7d5c 100644 --- a/Tech.GF180MCU/Makefile +++ b/Tech.GF180MCU/Makefile @@ -1,4 +1,12 @@ -libresilicon.tech: /usr/local/share/pdk/gf180mcuD/libs.tech/magic/gf180mcuD.tech - perl ../Tools/perl/drcexpander.pl /usr/local/share/pdk/gf180mcuD/libs.tech/magic/gf180mcuD.tech >libresilicon.tech +BASE_PATH=${PDK_ROOT}/volare/gf180mcu/versions/${VOLARE_REVISION}/gf180mcuD +libresilicon.tech: ${BASE_PATH}/libs.tech/magic/gf180mcuD.tech + perl ../Tools/perl/drcexpander.pl ${BASE_PATH}/libs.tech/magic/gf180mcuD.tech >libresilicon.tech +design.ngspice: ${BASE_PATH}/libs.tech/ngspice/design.ngspice + cp ${BASE_PATH}/libs.tech/ngspice/design.ngspice design.ngspice + +transistors.ngspice: ${BASE_PATH}/libs.tech/ngspice/sm141064.ngspice + cp ${BASE_PATH}/libs.tech/ngspice/sm141064.ngspice transistors.ngspice + +all: libresilicon.tech design.ngspice transistors.ngspice diff --git a/Tech.GF180MCU/design.ngspice b/Tech.GF180MCU/design.ngspice index d2ee50bb..70f51ad3 100644 --- a/Tech.GF180MCU/design.ngspice +++ b/Tech.GF180MCU/design.ngspice @@ -1,76 +1,76 @@ -* Copyright 2022 GlobalFoundries PDK Authors -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* https://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. - -************************************************************************* -** Global Parameter Settings -************************************************************************* -** NGSPICE include file for global switches, corner parameters -** and other user-defined settings -** ********************************************************************** -** ------------------------------------------- -** MonteCarlo and matching simulation setting: -** ------------------------------------------- -** sw_stat_global -** sw_stat_mismatch -** -** -------------------------------------------------------------------------- -** | setting | sw_stat_global=0 | sw_stat_global=1 | -** -------------------------------------------------------------------------- -** | sw_stat_mismatch=0 | No statistical | Global variation is on, | -** | | modeling | but mismatch is off. | -** -------------------------------------------------------------------------- -** | sw_stat_mismatch=1 | mismacth is on, | Most realistic | -** | | global variation off | distribution. | -** -------------------------------------------------------------------------- -** -** -** (default) - sw_stat_global=1 and sw_stat_mismatch=1 -** This setting provides the most complete representation of the -** statistical variations during chip manufacturing. -** Global process variations are determined by random distributions. -** Mismatch is differentiated from global variation in that mismatch only -** includes intra-die variation, and it is especially critical for analog matching applications. -** -** mc_skew is the monte-carlo simulation variation control. -** -** -** ------------------------------------------- -** Flicker noise corner setting: -** ------------------------------------------- -** -** "fnoicor" switch is added for user to select between the best- or worst-case -** flicker noise simulation options -** fnoicor = 0 : (default) as-extracted simulation -** fnoicor = 1 : worst case simulation -** -** ***************************************************************************** -** -** Switches -** -*********** Default mc switches ********** -** -.param -+ sw_stat_global = 1 -+ sw_stat_mismatch = 1 -** -********* Default mc skew value ********* -** -+ mc_skew = 3 -+ res_mc_skew = 3 -+ cap_mc_skew = 3 -** -****** Default flicker noise corner switch ***** -** -+ fnoicor = 0 -******************************************************************************** +* Copyright 2022 GlobalFoundries PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +************************************************************************* +** Global Parameter Settings +************************************************************************* +** NGSPICE include file for global switches, corner parameters +** and other user-defined settings +** ********************************************************************** +** ------------------------------------------- +** MonteCarlo and matching simulation setting: +** ------------------------------------------- +** sw_stat_global +** sw_stat_mismatch +** +** -------------------------------------------------------------------------- +** | setting | sw_stat_global=0 | sw_stat_global=1 | +** -------------------------------------------------------------------------- +** | sw_stat_mismatch=0 | No statistical | Global variation is on, | +** | | modeling | but mismatch is off. | +** -------------------------------------------------------------------------- +** | sw_stat_mismatch=1 | mismacth is on, | Most realistic | +** | | global variation off | distribution. | +** -------------------------------------------------------------------------- +** +** +** (default) - sw_stat_global=1 and sw_stat_mismatch=1 +** This setting provides the most complete representation of the +** statistical variations during chip manufacturing. +** Global process variations are determined by random distributions. +** Mismatch is differentiated from global variation in that mismatch only +** includes intra-die variation, and it is especially critical for analog matching applications. +** +** mc_skew is the monte-carlo simulation variation control. +** +** +** ------------------------------------------- +** Flicker noise corner setting: +** ------------------------------------------- +** +** "fnoicor" switch is added for user to select between the best- or worst-case +** flicker noise simulation options +** fnoicor = 0 : (default) as-extracted simulation +** fnoicor = 1 : worst case simulation +** +** ***************************************************************************** +** +** Switches +** +*********** Default mc switches ********** +** +.param ++ sw_stat_global = 0 ++ sw_stat_mismatch = 0 +** +********* Default mc skew value ********* +** ++ mc_skew = 3 ++ res_mc_skew = 3 ++ cap_mc_skew = 3 +** +****** Default flicker noise corner switch ***** +** ++ fnoicor = 0 +******************************************************************************** diff --git a/Tech.GF180MCU/libresilicon.tech b/Tech.GF180MCU/libresilicon.tech index 66f58d1d..943164f6 100644 --- a/Tech.GF180MCU/libresilicon.tech +++ b/Tech.GF180MCU/libresilicon.tech @@ -15,9 +15,9 @@ tech end version - version 1.0.357-0-ga519523 + version 1.0.532-2-gc6d73a3 description "Global Foundries 180mcu: open PDK rules and DRC" - requires magic-8.3.304 + requires magic-8.3.411 end #----------------------------------------------------- @@ -53,6 +53,8 @@ types -well obswell # Well resistors well rnw,rnwell + well pbase,npn + well nbase,pnp # Transistors active nmos,ntransistor,nfet @@ -78,10 +80,22 @@ types active nsubdiffcont,nsubstratencontact,nsc active mvpsubdiffcont,mvpsubstratepcontact,mvpsc active mvnsubdiffcont,mvnsubstratencontact,mvnsc + active ldndiff,ldndiffusion,ldndif + active ldpdiff,ldpdiffusion,ldpdif + active ldndiffc,ldndcontact,ldndc + active ldpdiffc,ldpdcontact,ldpdc -active obsactive -active mvobsactive - active varactor,varact,var - active mvvaractor,mvvaract,mvvar +# Varactors + active nvaractor,nvaract,nvar + active pvaractor,pvaract,pvar + active mvnvaractor,mvnvaract,mvnvar + active mvpvaractor,mvpvaract,mvpvar +# MOSCAPs + -active nmoscap,ncap + -active pmoscap,pcap + -active mvnmoscap,mvncap + -active mvpmoscap,mvpcap # Poly active polysilicon,poly,p active polycontact,pcontact,polycut,pc,polyc @@ -90,6 +104,7 @@ types active ppolyres,ppres,rpp active npolysilicide,nsresistor,nspres,rnps active ppolysilicide,psresistor,pspres,rpps + active efuse active nhighres,nhires,hires active mvnhighres,mvnhires,mvhires active ndiffres,rnd,rdn,rndiff @@ -101,6 +116,8 @@ types active mvndiffsilicide,mvrnds,mvrdns,mvrndiffs active mvpdiffsilicide,mvrpds,mvrdps,mvrpdiffs # Diodes + active schottky,skdi + active schottkyc,skdic active pdiode,pdi active ndiode,ndi active nndiode,nndi @@ -178,6 +195,7 @@ contact ndic ndiode metal1 nndic nndiode metal1 pdic pdiode metal1 + skdic schottky metal1 mvndc mvndiff metal1 mvpdc mvpdiff metal1 @@ -187,6 +205,9 @@ contact mvpdic mvpdiode metal1 mvnndic mvnndiode metal1 + ldndc ldndiff metal1 + ldpdc ldpdiff metal1 + via1 metal1 metal2 via2 metal2 metal3 via3 metal3 metal4 @@ -204,9 +225,9 @@ end aliases - allnwell nwell,rnwell + allnwell nwell,rnwell,nbase - allpsub space/w,pwell + allpsub space/w,pwell,pbase # Similar to allpsub* but does not include space-on-well-plane allpwell pwell @@ -217,45 +238,47 @@ aliases # Allwells contains obstruction-on-well-plane but not space-on-well-plane allwells allnwell,allpwell,obswell - allnfets nfet,mvnfet,nnfet,mvnnfet - allnfetsnonnat nfet,mvnfet - allpfets pfet,mvpfet - allfets allnfets,allpfets,varactor,mvvaractor - allfetsnonnat allnfetsnonnat,allpfets,varactor,mvvaractor - allfetsmv mvnfet,mvpfet,mvnnfet,mvvaractor + allnfets nfet,mvnfet,nnfet,mvnnfet,ncap,mvncap + allnfetsnonnat nfet,mvnfet,ncap,mvncap + allpfets pfet,mvpfet,pcap,mvpcap + allfets allnfets,allpfets,nvaractor,mvnvaractor,pvaractor,mvpvaractor + allfetsnonnat allnfetsnonnat,allpfets,nvaractor,mvnvaractor,pvaractor,mvpvaractor + allfetsmv mvnfet,mvpfet,mvnnfet,mvnvaractor,mvpvaractor,mvncap,mvpcap - allnactivenonfet *ndiff,*nsd,*ndiode,*nndiode,*mvndiff,*mvnsd,*mvndiode,*mvnndiode + alllvnactivenonfet *ndiff,*nsd,*ndiode,*nndiode + allmvnactivenonfet *mvndiff,*mvnsd,*mvndiode,*mvnndiode,*ldndiff + allnactivenonfet alllvnactivenonfet,allmvnactivenonfet allnactive allnactivenonfet,allnfets - allpactivenonfet *pdiff,*psd,*pdiode,*mvpdiff,*mvpsd,*mvpdiode + alllvpactivenonfet *pdiff,*psd,*pdiode + allmvpactivenonfet *mvpdiff,*mvpsd,*mvpdiode,*ldpdiff + allpactivenonfet alllvpactivenonfet,allmvpactivenonfet allpactive allpactivenonfet,allpfets + alllvactivenonfet alllvnactivenonfet,alllvpactivenonfet + allmvactivenonfet allmvnactivenonfet,allmvpactivenonfet allactivenonfet allnactivenonfet,allpactivenonfet allactive allactivenonfet,allfets allactiveres ndiffres,pdiffres,mvndiffres,mvpdiffres - allndifflv *ndif,*nsd,*ndiode,*nndiode,ndiffres,nfet,nnfet - allpdifflv *pdif,*psd,*pdiode,pdiffres,pfet + allndifflv *ndif,*nsd,*ndiode,*nndiode,ndiffres,nfet,nnfet,ncap + allpdifflv *pdif,*psd,*pdiode,pdiffres,pfet,pcap alldifflv allndifflv,allpdifflv allndifflvnonfet *ndif,*nsd,*ndiode,*nndiode,ndiffres allpdifflvnonfet *pdif,*psd,*pdiode,pdiffres alldifflvnonfet allndifflvnonfet,allpdifflvnonfet - allndiffmv *mvndif,*mvnsd,*mvndiode,mvndiffres,mvnfet,mvnnfet,mvvaractor,*mvnndiode - allpdiffmv *mvpdif,*mvpsd,*mvpdiode,mvpdiffres,mvpfet + allndiffmv *mvndif,*mvnsd,*mvndiode,mvndiffres,mvnfet,mvnnfet,mvnvaractor,*mvnndiode,mvncap,*ldndiff + allpdiffmv *mvpdif,*mvpsd,*mvpdiode,mvpdiffres,mvpfet,mvpvaractor,mvpcap,*ldpdiff alldiffmv allndiffmv,allpdiffmv - allndiffmvnonfet *mvndif,*mvnsd,*mvndiode,mvndiffres,*mvnndiode - allpdiffmvnonfet *mvpdif,*mvpsd,*mvpdiode,mvpdiffres + allndiffmvnonfet *mvndif,*mvnsd,*mvndiode,mvndiffres,*mvnndiode,*ldndiff + allpdiffmvnonfet *mvpdif,*mvpsd,*mvpdiode,mvpdiffres,*ldpdiff alldiffmvnonfet allndiffmvnonfet,allpdiffmvnonfet alldiffnonfet alldifflvnonfet,alldiffmvnonfet alldiff alldifflv,alldiffmv - allnactivenonhv nfet,mvnfet,nnfet,mvnnfet,varactor,mvvaractor,*ndiff,*mvndiff,*nsd,*mvnsd,*ndiode,*nndiode,*mvndiode,*mvnndiode - allpactivenonhv pfet,mvpfet,*pdiff,*mvpdiff,*psd,*mvpsd,*pdiode,*mvpdiode - allactivenonhv allnactivenonhv,allpactivenonhv - allpolyres rpp,rnp,rpps,rnps,hires,mvhires allpolysblkres rpp,rnp,hires,mvhires allsblkdev rnp,rpp,rnd,rpd,hires,mvhires,mvrnd,mvrpd @@ -279,7 +302,7 @@ aliases allcont alldiffcont,pc allres allpolyres,allactiveres - alldiode *pdiode,*ndiode,*nndiode,*mvpdiode,*mvndiode,*mvnndiode + alldiode *pdiode,*ndiode,*nndiode,*mvpdiode,*mvndiode,*mvnndiode,*schottky allm1 *m1,rm1 allm2 *m2,rm2 @@ -314,11 +337,17 @@ styles nfet ntransistor ntransistor_stripes nnfet ntransistor ndiff_in_nwell pfet ptransistor ptransistor_stripes - var polysilicon ndiff_in_nwell + nvar polysilicon ndiff_in_nwell + pvar polysilicon pdiff_in_pwell ndc ndiffusion metal1 contact_X'es pdc pdiffusion metal1 contact_X'es nsc ndiff_in_nwell metal1 contact_X'es psc pdiff_in_pwell metal1 contact_X'es + ncap ntransistor ntransistor_stripes + pcap ptransistor ptransistor_stripes + + nbase nwell ntransistor_stripes + pbase pwell ptransistor_stripes mvndiff ndiffusion hvndiff_mask mvpdiff pdiffusion hvpdiff_mask @@ -327,16 +356,24 @@ styles mvnfet ntransistor ntransistor_stripes hvndiff_mask mvnnfet ntransistor ndiff_in_nwell hvndiff_mask mvpfet ptransistor ptransistor_stripes - mvvar polysilicon ndiff_in_nwell hvndiff_mask + mvnvar polysilicon ndiff_in_nwell hvndiff_mask + mvpvar polysilicon pdiff_in_pwell hvpdiff_mask + ldndiff hvndiff + ldpdiff hvpdiff mvndc ndiffusion metal1 contact_X'es hvndiff_mask mvpdc pdiffusion metal1 contact_X'es hvpdiff_mask mvnsc ndiff_in_nwell metal1 contact_X'es hvndiff_mask mvpsc pdiff_in_pwell metal1 contact_X'es hvpdiff_mask + mvncap ntransistor ntransistor_stripes hvndiff_mask + mvpcap ptransistor ptransistor_stripes + ldndc hvndiff metal1 contact_X'es + ldpdc hvpdiff metal1 contact_X'es poly polysilicon pc polysilicon metal1 contact_X'es npolyres polysilicon silicide_block nselect2 ppolyres polysilicon silicide_block pselect2 + efuse polysilicon electrode pdiode pdiffusion pselect2 ndiode ndiffusion nselect2 @@ -344,6 +381,8 @@ styles pdiodec pdiffusion pselect2 metal1 contact_X'es ndiodec ndiffusion nselect2 metal1 contact_X'es nndiodec ndiff_in_nwell nselect2 metal1 contact_X'es + schottky ndiffusion pdiffusion + schottkyc ndiffusion pdiffusion metal1 contact_X'es mvpdiode pdiffusion pselect2 hvpdiff_mask mvndiode ndiffusion nselect2 hvndiff_mask @@ -423,11 +462,13 @@ end compose compose nfet poly ndiff compose pfet poly pdiff - compose var poly nsd + compose nvar poly nsd + compose pvar poly psd compose mvnfet poly mvndiff compose mvpfet poly mvpdiff - compose var poly mvnsd + compose mvnvar poly mvnsd + compose mvpvar poly mvpsd paint mimcap m4 mimcap paint mimcapc m4 mimcapc @@ -436,11 +477,13 @@ compose paint ndiff nwell pdiff paint psd nwell nsd paint psc nwell nsc + paint ldndiff nwell ldpdiff paint pdc pwell ndc paint pfet pwell nfet paint pdiff pwell ndiff paint nsd pwell psd paint nsc pwell psc + paint ldpdiff pwell ldndiff paint m1 obsm1 m1 paint m2 obsm2 m2 @@ -454,8 +497,8 @@ end #----------------------------------------------------- connect - nwell,*nsd,*mvnsd nwell,*nsd,*mvnsd - pwell,*psd,*mvpsd,isosub pwell,*psd,*mvpsd,isosub + nwell,*nsd,*mvnsd,nbase,dnwell nwell,*nsd,*mvnsd,nbase,dnwell + pwell,*psd,*mvpsd,pbase,isosub pwell,*psd,*mvpsd,pbase,isosub *psd,*mvpsd *psd,*mvpsd *m1 *m1 *m2 *m2 @@ -466,6 +509,7 @@ connect allnactivenonfet allnactivenonfet allpactivenonfet allpactivenonfet *poly,allfets *poly,allfets + *schottky *schottky end #----------------------------------------------------- @@ -491,30 +535,101 @@ style gdsii layer DNWELL dnwell calma 12 0 +#----------------------------------------------------- +# SUBCUT +#----------------------------------------------------- + layer SUBCUT isosub + calma 23 5 + #----------------------------------------------------- # NWELL #----------------------------------------------------- layer NWELL allnwell + # Require nwell under n-tap and p-diffusion + or *nsd,*mvnsd,*pdiff,*mvpdiff,allpfets,pdiffres,mvpdiffres + labels allnwell noport close 1000000 calma 21 0 + layer NWELLTXT + labels allnwell port + calma 21 10 + #----------------------------------------------------- # PWELL (LVPWELL) #----------------------------------------------------- - layer PWELL pwell + layer PWELL allpwell + labels allpwell noport calma 204 0 + layer PWELLTXT + labels allpwell port + calma 204 10 + #----------------------------------------------------- # DIFF (COMP) #----------------------------------------------------- - layer DIFF alldiff - labels alldiff + + # LDFETs cut the diffusion under the gate + templayer ldbreak1 *ldndiff,*ldpdiff + grow 160 + and mvnfet,mvpfet + + templayer ldbreak mvnfet,mvpfet + grow 200 + and ldndiff,ldpdiff + or ldbreak1 + + # Additional cut-back of LD diffusion for implant + templayer ldbreak2 mvnfet,mvpfet + grow 240 + and ldndiff,ldpdiff + + # Schottky diode drawn as abutting diffusion, but + # there is a 0.28um gap between the nsd and the + # Schottky diffusion. + templayer scsep *nsd + grow 280 + + layer DIFF schottky + and-not scsep + or alldiff + and-not ldbreak + labels alldiff,schottky calma 22 0 layer DIFFFILL filldiff labels filldiff calma 22 4 +#----------------------------------------------------- +# LDFET implants +#----------------------------------------------------- + + layer MVNSD ldndiff + and-not ldbreak2 + grow 800 + calma 210 0 + + layer MVPSD ldpdiff + and-not ldbreak2 + grow 800 + calma 11 39 + +#----------------------------------------------------- +# Bipolar ID markers +#----------------------------------------------------- + + layer BJTDRC nbase + grow 580 + bloat-all pbase dnwell + grow 20 + + layer BJTLVS nbase + grow 580 + bloat-all pbase dnwell + grow 20 + #----------------------------------------------------- # PPLUS, NPLUS #----------------------------------------------------- @@ -534,17 +649,19 @@ style gdsii bloat-or hires,mvhires * 280 poly 100 calma 49 0 - # rnps requires NPLUS - # rnp requires NPLUS - # rnd requires NPLUS + # rnps requires NPLUS surround 0.18um + # rnp requires NPLUS surround 0.3um + # rnd requires NPLUS surround 0.18um layer NPLUS - bloat-all rnps *poly bloat-all rnp *poly + grow 120 + bloat-all rnps *poly bloat-all rnd *ndiff - grow 200 - grow 200 - shrink 200 + grow 180 + grow 180 + shrink 180 + mask-hints NPLUS calma 32 0 # standard generation of NPLUS @@ -564,25 +681,31 @@ style gdsii grow 160 layer NPLUS - bloat-or *ndif,*ndiode,*nndiode,ndiffres,*mvndif,*mvndiode,*mvnndiode,mvndiffres * 20 allpactivenonfet 0 + bloat-or *ndif,*ndiode,*nndiode,ndiffres,*mvndif,*mvndiode,*mvnndiode,mvndiffres * 160 allpactivenonfet 0 + # NOTE: Rule CO.5a applies to butted contacts but Calibre rule is + # implemented for all contacts, so the Calibre rule is being followed. + bloat-or nsc,mvnsc * 95 bloat-or *nsd,*mvnsd * 20 allpactivenonfet 0 - bloat-or allnfets,var,mvvar * 230 + bloat-or allnfets,nvar,mvnvar * 230 or shortntap,shortdntap grow 200 shrink 200 + mask-hints NPLUS calma 32 0 - # rpps requires PPLUS - # rpp requires PPLUS - # rpd requires PPLUS + # rpps requires PPLUS surround 0.18um + # rpp requires PPLUS surround 0.30um + # rpd requires PPLUS surround 0.18um layer PPLUS - bloat-all rpps *poly bloat-all rpp *poly + grow 120 + bloat-all rpps *poly bloat-all rpd *pdiff - grow 200 - grow 200 - shrink 200 + grow 180 + grow 180 + shrink 180 + mask-hints PPLUS calma 31 0 # standard generation of PPLUS, including hires resistors @@ -606,16 +729,16 @@ style gdsii or HRTERM grow 200 and-not RESDEF - bloat-or *pdif,*pdiode,pdiffres,pfet,*mvpdif,*mvpdiode,mvpdiffres,mvpfet * 160 allnactivenonfet 0 + bloat-or *pdif,*pdiode,pdiffres,pfet,pcap,*mvpdif,*mvpdiode,mvpdiffres,mvpfet,mvpcap * 160 allnactivenonfet 0 + # NOTE: Rule CO.5b applies to butted contacts but Calibre rule is + # implemented for all contacts, so the Calibre rule is being followed. + bloat-or psc,mvpsc * 95 bloat-or *psd,*mvpsd * 20 allnactivenonfet 0 - bloat-or allpfets * 230 + bloat-or allpfets,pvar,mvpvar * 230 or shortptap,shortdptap grow 200 shrink 200 calma 31 0 - - templayer varactive - bloat-all var *nsd #----------------------------------------------------- # DUALGATE (thickox) @@ -630,6 +753,7 @@ style gdsii bloat-or allpdiffmv * 240 grow 219 shrink 219 + mask-hints DUALGATE calma 55 0 # DUALGATE completely covers deep nwell @@ -668,6 +792,13 @@ style gdsii labels fillpoly calma 30 4 + layer PLFUSE efuse + calma 125 5 + + layer EFUSE efuse + bloat-all efuse *poly + calma 80 5 + #----------------------------------------------------- # CONT #----------------------------------------------------- @@ -836,7 +967,7 @@ style gdsii calma 41 0 layer VIA4 mimcapc - squares-grid 10 260 500 + squares-grid 40 260 500 calma 41 0 @@ -877,7 +1008,7 @@ style gdsii #----------------------------------------------------- # CAPM #----------------------------------------------------- -# NOTE: MiM bottom plate handled by alias "allm*" when 1 defined +# NOTE: MiM bottom plate handled by alias "allm*" when MIM defined layer CAPM *mimcap labels mimcap @@ -887,30 +1018,29 @@ style gdsii grow 200 calma 117 5 + layer CAP_LENGTH + mask-hints CAP_LENGTH + calma 117 10 + + #----------------------------------------------------- # SBLK #----------------------------------------------------- layer SBLK + bloat-all rnd *nfet + bloat-all rpd *pfet + bloat-all mvrnd *mvnfet + bloat-all mvrpd *mvpfet + and allfets + grow 220 bloat-or allsblkdev * 0 space/a 220 + bloat-or allpolysblkres * 0 space/a 280 grow 215 shrink 215 + mask-hints SBLK calma 49 0 - # sblk from rdn/rdp of esd fet - # assume that the diff in only 0.05um - layer SBLK rdp - grow 100 - and pfet - grow 400 - calma 49 0 - - layer SBLK rdn - grow 250 - and nfet - grow 400 - calma 49 0 - #----------------------------------------------------- # RESDEF MARK #----------------------------------------------------- @@ -942,10 +1072,18 @@ style gdsii layer DIODE alldiode calma 115 5 +#----------------------------------------------------- +# SCHOTTKY MARK +#----------------------------------------------------- + layer SCHOTTKY + bloat-all *schottky *nsd + grow 160 + calma 241 0 + #----------------------------------------------------- # MOSCAP MARK #----------------------------------------------------- - layer MOSCAP var,mvvar + layer MOSCAP nvar,mvnvar,pvar,mvpvar,ncap,pcap,mvncap,mvpcap calma 166 5 #----------------------------------------------------- @@ -959,8 +1097,8 @@ style gdsii #------------------------------------------------------------------------ # FILLBLOCK (NOTE: two layers define this on active, then poly & metal) #------------------------------------------------------------------------ - layer FILLOBS fillblock - calma 111 5 +# layer FILLOBS fillblock +# calma 111 5 layer FILLOBS2 fillblock calma 152 5 @@ -996,7 +1134,7 @@ style metfill # Obstruction geometry #----------------------------------------------------- - templayer obstruct_diff alldiff,filldiff,obsactive + templayer obstruct_diff alldiff,schottky,filldiff,obsactive grow 2010 shrink 500 grow 500 @@ -1104,9 +1242,36 @@ style drc options calma-permissive-labels gridlimit 5 + # Check that all p-diff and n-ohmic have either nwell or dnwell + templayer missing_nwell *nsd,*mvnsd,*pdiff,*mvpdiff,*pdiode,pdiffres,mvpdiffres,pfet,mvpfet,mvnvaractor + and-not dnwell,nwell + + # Check that all p-ohmic and n-diff have either pwell + dnwell + # or nothing. Can check the pwell surround at the same time. + + templayer pohmic_missing_pwell *psd + grow 120 + and dnwell + and-not pwell,pbase + + templayer mvpohmic_missing_pwell *mvpsd,mvpvaractor + grow 160 + and dnwell + and-not pwell + + templayer pdiff_missing_pwell *ndiff,*ndiode,ndiffres,nfet + grow 430 + and dnwell + and-not pwell + + templayer mvpdiff_missing_pwell *mvndiff,mvndiffres,mvnfet + grow 600 + and dnwell + and-not pwell + # Check for MV and LV devices in the same dnwell templayer mv_dnwell - bloat-all alldiffmv dnwell + bloat-all alldiffmv,schottky dnwell templayer bad_dnwell bloat-all alldifflv dnwell @@ -1125,13 +1290,30 @@ style drc bloat-all alldiffmv nwell templayer bad_nwell - bloat-all alldifflv nwell + bloat-all alldifflv,schottky nwell and mv_nwell # Check for nwell resistor in deep nwell templayer bad_rnw rnw and dnwell + # Check for minimum PPLUS and NPLUS area. NOTE: These are simplified + # versions of the cifoutput rules. + + templayer check_pplus + bloat-or *pdif,*pdiode,pdiffres,pfet,pcap,*mvpdif,*mvpdiode,mvpdiffres,mvpfet,mvpcap * 160 allnactivenonfet 0 + bloat-or psc,mvpsc * 95 + bloat-or *psd,*mvpsd * 20 allnactivenonfet 0 + grow 200 + shrink 200 + + templayer check_nplus + bloat-or *ndif,*ndiode,*nndiode,ndiffres,*mvndif,*mvndiode,*mvnndiode,mvndiffres * 160 allpactivenonfet 0 + bloat-or nsc,mvnsc * 95 + bloat-or *nsd,*mvnsd * 20 allpactivenonfet 0 + grow 200 + shrink 200 + # Define HRES layer for DRC checks templayer res_hres bloat-all mvhires,hires *poly @@ -1211,27 +1393,47 @@ style import scalefactor 50 nanometers gridlimit 5 - #options ignore-unknown-layer-labels options no-reconnect-labels + options ignore-unknown-layer-labels - ignore BJTDEF - ignore MOSCAP ignore SRAMDEF ignore FET5VDEF ignore CAPDEF + ignore EFUSE + ignore SOURCE ignore VTEXT + ignore FILLOBS - layer pwell PWELL + layer pwell PWELL,PWELLTXT + and-not BJTDEF,BJTDRC labels PWELL + labels PWELLTXT port + + layer pbase PWELL,PWELLTXT + and BJTDEF,BJTDRC - layer nwell NWELL + layer nwell NWELL,NWELLTXT + and-not BJTDEF,BJTDRC labels NWELL + labels NWELLTXT port + + layer nbase NWELL,NWELLTXT + and BJTDEF,BJTDRC layer dnwell DNWELL labels DNWELL + layer isosub SUBCUT + labels SUBCUT + + # Implicit nwell defined by DNWELL outside of PWELL + templayer nwelldef DNWELL + shrink 500 + and-not PWELL + or NWELL + templayer ndiffarea DIFF and-not POLY - and-not NWELL + and-not nwelldef and-not PPLUS and-not SBLK and-not DUALGATE @@ -1250,7 +1452,7 @@ style import templayer mvndiffarea DIFF and-not POLY - and-not NWELL + and-not nwelldef and-not PPLUS and-not SBLK and DUALGATE @@ -1258,35 +1460,57 @@ style import copyup mvndifcheck layer mvndiff mvndiffarea - labels DIFF # Copy mvndiff areas up for contact checks templayer mvxndifcheck mvndifcheck copyup mvndifcheck + # Save cathode areas of Schottky diodes + templayer sccathode SCHOTTKY + and DIFF + and NPLUS + + # Grow Schottky anode so that drawn device abuts + # the cathode. + layer schottky SCHOTTKY + and DIFF + and-not NPLUS + and-not PPLUS + or sccathode + grow 140 + shrink 140 + and-not sccathode + + # Schottky contact + layer schottkyc SCHOTTKY + and CONT + and DIFF + and-not NPLUS + and-not PPLUS + grow 145 + shrink 140 + layer ndiode DIFF and NPLUS and DIODE - and-not NWELL + and-not nwelldef and-not POLY and-not PPLUS and-not DUALGATE and-not NAT - labels DIFF layer nndiode DIFF and NPLUS and DIODE - and-not NWELL + and-not nwelldef and-not POLY and-not PPLUS and-not DUALGATE and NAT - labels DIFF templayer ndiodearea DIODE and NPLUS - and-not NWELL + and-not nwelldef and-not DUALGATE copyup DIODE,NPLUS @@ -1295,11 +1519,10 @@ style import and SBLK and NPLUS and-not DUALGATE - labels DIFF templayer pdiffarea DIFF and-not POLY - and NWELL + and nwelldef and-not NPLUS and-not SBLK and-not DIODE @@ -1308,7 +1531,6 @@ style import copyup pdifcheck layer pdiff pdiffarea - labels DIFF layer mvndiode DIFF and NPLUS @@ -1317,7 +1539,6 @@ style import and-not PPLUS and DUALGATE and-not NAT - labels DIFF layer mvnndiode DIFF and NPLUS @@ -1326,11 +1547,10 @@ style import and-not PPLUS and DUALGATE and NAT - labels DIFF templayer mvndiodearea DIODE and NPLUS - and-not NWELL + and-not nwelldef and DUALGATE copyup DIODE,NPLUS @@ -1339,11 +1559,10 @@ style import and SBLK and NPLUS and DUALGATE - labels DIFF templayer mvpdiffarea DIFF and-not POLY - and NWELL + and nwelldef and-not NPLUS and-not SBLK and-not DIODE @@ -1352,7 +1571,6 @@ style import copyup mvpdifcheck layer mvpdiff mvpdiffarea - labels DIFF # Copy pdiff areas up for contact checks templayer xpdifcheck pdifcheck @@ -1364,7 +1582,6 @@ style import and-not NPLUS and-not DUALGATE and DIODE - labels DIFF templayer pdiodearea DIODE and PPLUS @@ -1380,7 +1597,10 @@ style import and POLY layer pfet pfetarea - labels DIFF + and-not MOSCAP + + layer pcap pfetarea + and MOSCAP templayer pfetexpand pfetarea grow 530 @@ -1400,7 +1620,6 @@ style import and-not RESDEF and DUALGATE and DIODE - labels DIFF templayer mvpdiodearea DIODE and PPLUS @@ -1416,7 +1635,10 @@ style import and POLY layer mvpfet mvpfetarea - labels DIFF + and-not MOSCAP + + layer mvpcap mvpfetarea + and MOSCAP templayer mvpfetexpand mvpfetarea grow 530 @@ -1425,45 +1647,49 @@ style import and-not DUALGATE and-not NPLUS and-not POLY - and NWELL + and nwelldef and pfetexpand - labels DIFF layer pdiffres DIFF and-not POLY and PPLUS - and NWELL + and nwelldef and SBLK - labels DIFF layer nfet DIFF and POLY and-not PPLUS and-not DUALGATE - and-not NWELL + and-not nwelldef and NPLUS and-not NAT - labels DIFF + and-not MOSCAP + + layer ncap DIFF + and POLY + and-not PPLUS + and-not DUALGATE + and-not nwelldef + and NPLUS + and-not NAT + and MOSCAP layer nnfet DIFF and POLY and-not PPLUS and-not DUALGATE - and-not NWELL + and-not nwelldef and NPLUS and NAT - labels DIFF - templayer nsdarea DIFF and NPLUS - and NWELL + and nwelldef and-not POLY and-not PPLUS and-not DUALGATE layer nsd nsdarea - labels DIFF templayer nsdexpand nsdarea grow 500 @@ -1475,14 +1701,13 @@ style import templayer psdarea DIFF and PPLUS and-not DUALGATE - and-not NWELL + and-not nwelldef and-not POLY and-not NPLUS and-not pfetexpand copyup psubcheck layer psd psdarea - labels DIFF templayer psdexpand psdarea grow 500 @@ -1490,46 +1715,51 @@ style import layer mvpdiff DIFF and-not NPLUS and-not POLY - and NWELL + and nwelldef and DUALGATE and mvpfetexpand - labels DIFF layer mvpdiffres DIFF and-not POLY and PPLUS and SBLK and DUALGATE - labels DIFF layer mvnfet DIFF and POLY and-not PPLUS and NPLUS and-not NAT - and-not NWELL + and-not nwelldef and DUALGATE - labels DIFF + and-not MOSCAP + + layer mvncap DIFF + and POLY + and-not PPLUS + and NPLUS + and-not NAT + and-not nwelldef + and DUALGATE + and MOSCAP layer mvnnfet DIFF and POLY and-not PPLUS and NPLUS and NAT - and-not NWELL + and-not nwelldef and DUALGATE - labels DIFF templayer mvnsdarea DIFF and NPLUS and-not POLY and-not PPLUS - and NWELL + and nwelldef and DUALGATE copyup mvnsubcheck layer mvnsd mvnsdarea - labels DIFF templayer mvnsdexpand mvnsdarea grow 500 @@ -1540,7 +1770,7 @@ style import templayer mvpsdarea DIFF and PPLUS - and-not NWELL + and-not nwelldef and-not POLY and-not NPLUS and DUALGATE @@ -1548,7 +1778,6 @@ style import copyup mvpsubcheck layer mvpsd mvpsdarea - labels DIFF templayer mvpsdexpand mvpsdarea grow 500 @@ -1572,7 +1801,7 @@ style import and-not PPLUS and-not NPLUS and-not POLY - and NWELL + and nwelldef and-not DUALGATE and nsdexpand @@ -1580,7 +1809,7 @@ style import and-not PPLUS and-not NPLUS and-not POLY - and-not NWELL + and-not nwelldef and DUALGATE and-not mvpfetexpand and mvpsdexpand @@ -1589,13 +1818,14 @@ style import and-not PPLUS and-not NPLUS and-not POLY - and NWELL + and nwelldef and DUALGATE and mvnsdexpand templayer polyarea POLY and-not DIFF and-not SBLK + and-not PLFUSE and-not HRES copyup polycheck @@ -1615,20 +1845,17 @@ style import and-not SBLK and PPLUS and RESDEF - labels POLY layer rnps POLY and-not SBLK and NPLUS and RESDEF - labels POLY layer rpp POLY and SBLK and PPLUS and-not HRES and RESDEF - labels POLY # POLY + SBLK without RESDEF may be a salicide-blocked transistor. # The SBLK will be regenerated on GDS output and the poly should be @@ -1637,28 +1864,28 @@ style import and-not DIFF and SBLK and-not RESDEF - labels POLY + + layer efuse POLY + and-not DIFF + and PLFUSE layer rnp POLY and SBLK and NPLUS and RESDEF and-not HRES - labels POLY layer hires POLY and SBLK and HRES and RESDEF and-not DUALGATE - labels POLY layer mvhires POLY and SBLK and HRES and RESDEF and DUALGATE - labels POLY # We define poly under HRES but not under SBLK to be plain poly layer poly POLY @@ -1669,7 +1896,7 @@ style import layer ndc CONT and DIFF and NPLUS - and-not NWELL + and-not nwelldef and MET1 and-not DUALGATE and-not DIODE @@ -1679,7 +1906,7 @@ style import layer nsc CONT and DIFF and NPLUS - and NWELL + and nwelldef and MET1 and-not DUALGATE and-not DIODE @@ -1689,7 +1916,7 @@ style import layer pdc CONT and DIFF and PPLUS - and NWELL + and nwelldef and MET1 and-not DUALGATE and-not DIODE @@ -1709,7 +1936,7 @@ style import layer psc CONT and DIFF and PPLUS - and-not NWELL + and-not nwelldef and MET1 and-not DUALGATE and-not DIODE @@ -1759,7 +1986,7 @@ style import layer mvndc CONT and DIFF and NPLUS - and-not NWELL + and-not nwelldef and MET1 and DUALGATE and-not DIODE @@ -1771,7 +1998,7 @@ style import and NPLUS and MET1 and DUALGATE - and NWELL + and nwelldef and-not DIODE grow 145 shrink 140 @@ -1781,7 +2008,7 @@ style import and PPLUS and MET1 and DUALGATE - and NWELL + and nwelldef and-not DIODE grow 145 shrink 140 @@ -1799,7 +2026,7 @@ style import layer mvpsc CONT and DIFF and PPLUS - and-not NWELL + and-not nwelldef and MET1 and DUALGATE and-not DIODE @@ -1877,8 +2104,6 @@ style import and RESDEF and MET3RES - templayer mimarea CAPDEF - and MET2 layer m3 MET3,MET3TXT and-not MET3RES @@ -1895,20 +2120,11 @@ style import grow 140 shrink 130 - layer mimcc VIA2 - and MET3 - and CAPM - and CAPDEF - grow 90 - grow 250 - shrink 250 layer rm4 MET4 and RESDEF and MET4RES - templayer mimarea CAPDEF - and MET3 layer m4 MET4,MET4TXT and-not MET4RES @@ -1922,18 +2138,9 @@ style import labels M4FILL layer via3 VIA3 - and-not CAPM - and-not mimarea grow 140 shrink 130 - layer mimcc VIA3 - and MET4 - and CAPM - and CAPDEF - grow 90 - grow 250 - shrink 250 layer rm5 MET5 and RESDEF @@ -1954,6 +2161,8 @@ style import labels M5FILL layer via4 VIA4 + and-not CAPM + and-not mimarea grow 140 shrink 130 @@ -1961,8 +2170,7 @@ style import and MET5 and CAPM and CAPDEF - grow 90 - grow 250 + grow 260 shrink 250 @@ -1970,6 +2178,8 @@ style import and CAPDEF labels CAPM + templayer nolayer CAP_LENGTH + # Find diffusion not covered in # NPLUS or PPLUS and pull it into # the next layer up @@ -2108,28 +2318,42 @@ style import layer lvstext TTEXT labels TTEXT text - layer fillblock FILLOBS,FILLOBS2 - labels FILLOBS +# layer fillblock FILLOBS,FILLOBS2 + layer fillblock FILLOBS2 + labels FILLOBS2 # MOS Varactors - layer var POLY + layer nvar POLY and DIFF and NPLUS - and NWELL + and nwelldef and-not DUALGATE - labels POLY - layer mvvar POLY + layer mvnvar POLY and DIFF and NPLUS - and NWELL + and nwelldef + and DUALGATE + + layer pvar POLY + and DIFF + and PPLUS + and-not nwelldef + and-not DUALGATE + + layer mvpvar POLY + and DIFF + and PPLUS + and-not nwelldef and DUALGATE - labels POLY calma DNWELL 12 0 calma NWELL 21 0 + calma NWELLTXT 21 10 calma PWELL 204 0 + calma PWELLTXT 204 10 + calma SUBCUT 23 5 calma DIFF 22 0 calma DIFFFILL 22 4 calma POLY 30 0 @@ -2170,11 +2394,17 @@ style import calma M5FILL 81 4 calma MET5RES 110 15 calma HRES 62 0 + calma EFUSE 80 5 + calma PLFUSE 125 5 + calma SOURCE 100 8 calma NAT 5 0 calma CAPM 75 0 + calma CAP_LENGTH 117 10 calma DIODE 115 5 + calma SCHOTTKY 241 0 calma CAPDEF 117 5 calma BJTDEF 118 5 + calma BJTDRC 127 5 calma MOSCAP 166 5 calma BOUND 0 0 calma PRBOUND 63 0 @@ -2202,7 +2432,7 @@ end drc - style drc variants (full),(fast),(routing) + style drc variants (fast),(full),(routing) scalefactor 50 @@ -2215,10 +2445,10 @@ drc #----------------------------- # ORIGINAL RULE: width allnwell 860 "N-well width < %d (NW.1a)" - width allnwell 860 "N-well width < %d (NW.1a) [paint nwell,rnwell]" - spacing allnwell allnwell 600 touching_ok "N-well spacing < %d (NW.2a) [erase nwell rnwell]" + width allnwell 860 "N-well width < %d (NW.1a) [paint nbase,nwell,rnwell]" + spacing allnwell allnwell 600 touching_ok "N-well spacing < %d (NW.2a) [erase nbase nwell rnwell]" # rnw spacing is to unrelated nwell only. - spacing rnw allnwell 1400 touching_ok "N-well resistor spacing < %d (NW.4) [erase rnwell,nwell rnwell]" + spacing rnw allnwell 1400 touching_ok "N-well resistor spacing < %d (NW.2b) [erase rnwell,nbase nwell rnwell]" # ORIGINAL RULE: width rnw 2000 "N-well resistor width < %d (NW.1b)" width rnw 2000 "N-well resistor width < %d (NW.1b) [paint rnwell]" @@ -2258,7 +2488,7 @@ drc "N-well resistor cannot be in deep N-well (DN.12) variants * - spacing dnwell allnwell 3100 surround_ok "Deep N-well spacing to N-well < %d (NW.3) [erase deepnwell,nwell rnwell]" + spacing dnwell allnwell 3100 surround_ok "Deep N-well spacing to N-well < %d (NW.3) [erase deepnwell,nbase nwell rnwell]" spacing pwell dnwell 1500 surround_ok "Deep N-well spacing to P-well < %d (LPW.11) [erase pwell,deepnwell]" @@ -2267,24 +2497,52 @@ drc #----------------------------- # ORIGINAL RULE: width alldifflv 220 "Diffusion width < %d (DF.1a)" - width alldifflv 220 "Diffusion width < %d (DF.1a) [paint ndcontact,ndiffres,ndiffusion,ndiode,ndiodec,nndiode,nndiodec,nntransistor,nsubstratencontact,nsubstratendiff,ntransistor,pdcontact,pdiffres,pdiffusion,pdiode,pdiodec,psubstratepcontact,psubstratepdiff,ptransistor]" + width alldifflv 220 "Diffusion width < %d (DF.1a) [paint ndcontact,ndiffres,ndiffusion,ndiode,ndiodec,nmoscap,nndiode,nndiodec,nntransistor,nsubstratencontact,nsubstratendiff,ntransistor,pdcontact,pdiffres,pdiffusion,pdiode,pdiodec,pmoscap,psubstratepcontact,psubstratepdiff,ptransistor]" # ORIGINAL RULE: width alldiffmv 300 "Diffusion width < %d (DF.1a)" - width alldiffmv 300 "Diffusion width < %d (DF.1a) [paint mvndcontact,mvndiffres,mvndiffusion,mvndiode,mvndiodec,mvnndiode,mvnndiodec,mvnntransistor,mvnsubstratencontact,mvnsubstratendiff,mvntransistor,mvpdcontact,mvpdiffres,mvpdiffusion,mvpdiode,mvpdiodec,mvpsubstratepcontact,mvpsubstratepdiff,mvptransistor,mvvaractor]" + width alldiffmv 300 "Diffusion width < %d (DF.1a) [paint ldndcontact,ldndiffusion,ldpdcontact,ldpdiffusion,mvndcontact,mvndiffres,mvndiffusion,mvndiode,mvndiodec,mvnmoscap,mvnndiode,mvnndiodec,mvnntransistor,mvnsubstratencontact,mvnsubstratendiff,mvntransistor,mvnvaractor,mvpdcontact,mvpdiffres,mvpdiffusion,mvpdiode,mvpdiodec,mvpmoscap,mvpsubstratepcontact,mvpsubstratepdiff,mvptransistor,mvpvaractor]" area alldifflv 202500 220 "Diffusion minimum area < %a (DF.9)" area alldiffmv 202500 300 "Diffusion minimum area < %a (DF.9)" - spacing alldifflv,var alldifflv,var 280 touching_ok "Diffusion spacing < %d (DF.3a) [erase ndcontact ndiffres ndiffusion ndiode ndiodec nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec psubstratepcontact psubstratepdiff ptransistor varactor]" - spacing alldiffmv,mvvar alldiffmv,mvvar 360 touching_ok "Diffusion spacing < %d (DF.3a) [erase mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvvaractor]" - - spacing *ndiff,*ndiode,nfet,nnfet allnwell 430 touching_illegal "N-Diffusion spacing to N-well < %d (DF.8) [erase ndcontact ndiffusion ndiode ndiodec nntransistor ntransistor,nwell rnwell]" - spacing *mvndiff,*mvndiode,mvnfet,mvnnfet allnwell 600 touching_illegal "N-Diffusion spacing to N-well < %d (DF.8) [erase mvndcontact mvndiffusion mvndiode mvndiodec mvnntransistor mvntransistor,nwell rnwell]" - spacing *psd allnwell 120 touching_illegal "P-Ohmic spacing to N-well < %d (DF.5) [erase psubstratepcontact psubstratepdiff,nwell rnwell]" - spacing *mvpsd allnwell 160 touching_illegal "P-Ohmic spacing to N-well < %d (DF.5) [erase mvpsubstratepcontact mvpsubstratepdiff,nwell rnwell]" - surround *nsd allnwell 120 absence_illegal "N-well overlap of N-Ohmic < %d (DF.4a) [erase nsubstratencontact nsubstratendiff,nwell rnwell]" - surround *mvnsd allnwell 160 absence_illegal "N-well overlap of N-Ohmic < %d (DF.4a) [erase mvnsubstratencontact mvnsubstratendiff,nwell rnwell]" - surround *pdiff,*pdiode,pfet allnwell 430 absence_illegal "N-well overlap of P-Diffusion < %d (DF.7) [erase pdcontact pdiffusion pdiode pdiodec ptransistor,nwell rnwell]" - surround *mvpdiff,*mvpdiode,mvpfet allnwell 600 absence_illegal "N-well overlap of P-Diffusion < %d (DF.7) [erase mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvptransistor,nwell rnwell]" - surround *psd pwell 120 absence_ok "P-field overlap of P-Ohmic < %d (DF.5) [erase psubstratepcontact psubstratepdiff,pwell]" - surround *mvpsd pwell 160 absence_ok "MV P-field overlap of MV P-Ohmic < %d (DF.5) [erase mvpsubstratepcontact mvpsubstratepdiff,pwell]" + spacing alldifflv,nvar,pvar alldifflv,nvar,pvar 280 touching_ok "Diffusion spacing < %d (DF.3a) [erase ndcontact ndiffres ndiffusion ndiode ndiodec nmoscap nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor nvaractor pdcontact pdiffres pdiffusion pdiode pdiodec pmoscap psubstratepcontact psubstratepdiff ptransistor pvaractor]" + spacing alldiffmv,mvnvar,mvpvar alldiffmv,mvnvar,mvpvar 360 touching_ok "Diffusion spacing < %d (DF.3a) [erase ldndcontact ldndiffusion ldpdcontact ldpdiffusion mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnmoscap mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvnvaractor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpmoscap mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvpvaractor]" + + spacing *ndiff,*ndiode,nfet,nnfet,ncap allnwell 430 touching_illegal "N-Diffusion spacing to N-well < %d (DF.8) [erase ndcontact ndiffusion ndiode ndiodec nmoscap nntransistor ntransistor,nbase nwell rnwell]" + spacing *mvndiff,*mvndiode,mvnfet,mvncap,mvnnfet allnwell 600 touching_illegal "N-Diffusion spacing to N-well < %d (DF.8) [erase mvndcontact mvndiffusion mvndiode mvndiodec mvnmoscap mvnntransistor mvntransistor,nbase nwell rnwell]" + spacing *psd allnwell 120 touching_illegal "P-Ohmic spacing to N-well < %d (DF.5) [erase psubstratepcontact psubstratepdiff,nbase nwell rnwell]" + spacing *mvpsd allnwell 160 touching_illegal "P-Ohmic spacing to N-well < %d (DF.5) [erase mvpsubstratepcontact mvpsubstratepdiff,nbase nwell rnwell]" + + # NWELL and DNWELL are interchangeable under devices. + surround *nsd allnwell 120 absence_okay "N-well overlap of N-Ohmic < %d (DF.4a) [erase nsubstratencontact nsubstratendiff,nbase nwell rnwell]" + surround *mvnsd allnwell 160 absence_okay "N-well overlap of N-Ohmic < %d (DF.4a) [erase mvnsubstratencontact mvnsubstratendiff,nbase nwell rnwell]" + surround *pdiff,*pdiode,pfet,pcap allnwell 430 absence_okay "N-well overlap of P-Diffusion < %d (DF.7) [erase pdcontact pdiffusion pdiode pdiodec pmoscap ptransistor,nbase nwell rnwell]" + surround *mvpdiff,*mvpdiode,mvpfet,mvpcap allnwell 600 absence_okay "N-well overlap of P-Diffusion < %d (DF.7) [erase mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvpmoscap mvptransistor,nbase nwell rnwell]" + surround *nsd dnwell 620 absence_okay "Deep N-well overlap of N-Ohmic < %d (DF.4b) [erase nsubstratencontact nsubstratendiff,deepnwell]" + surround *mvnsd dnwell 660 absence_okay "Deep N-well overlap of N-Ohmic < %d (DF.4b) [erase mvnsubstratencontact mvnsubstratendiff,deepnwell]" + surround *pdiff,*pdiode,pfet,pcap dnwell 930 absence_okay "Deep N-well overlap of P-Diffusion < %d (DF.4e) [erase pdcontact pdiffusion pdiode pdiodec pmoscap ptransistor,deepnwell]" + surround *mvpdiff,*mvpdiode,mvpfet,mvpcap dnwell 1100 absence_okay "Deep N-well overlap of P-Diffusion < %d (DF.4e) [erase mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvpmoscap mvptransistor,deepnwell]" + + + variants (full) + # Use CIF-DRC rule to ensure that at least one exists. + cifmaxwidth missing_nwell 0 bend_illegal \ + "P-diffusion and N-ohmic must be over N-well or deep N-well" + # Use CIF-DRC rules to ensure where pwell must be inside dnwell + cifmaxwidth pohmic_missing_pwell 0 bend_illegal \ + "P-well must surround P-ohmic in deep N-well by 0.12um (DF.5)" + cifmaxwidth mvpohmic_missing_pwell 0 bend_illegal \ + "P-well must surround MV P-ohmic in deep N-well by 0.16um (DF.5)" + cifmaxwidth ndiff_missing_pwell 0 bend_illegal \ + "P-well must surround N-diffusion inside deep N-well by 0.43um (DF.8)" + cifmaxwidth mvndiff_missing_pwell 0 bend_illegal \ + "P-well must surround MV N-diffusion inside deep N-well by 0.60um (DF.8)" + + cifarea check_pplus 350000 400 "Minimum PPLUS area >= %a (PP.8a)" + cifarea check_nplus 350000 400 "Minimum NPLUS area >= %a (NP.8a)" + variants * + +# surround *psd pwell 120 absence_ok \ +# "P-well overlap of P-Ohmic < %d (DF.5)" +# surround *mvpsd pwell 160 absence_ok \ +# "MV P-well overlap of MV P-Ohmic < %d (DF.5)" # NAT requires additional spacing rules spacing *nndiode,*mvnndiode *psd,*mvpsd 610 touching_illegal "NAT Diffusion spacing to TAP diffusion < %d (NAT.5 + NAT.6) [erase mvnndiode mvnndiodec nndiode nndiodec,mvpsubstratepcontact mvpsubstratepdiff psubstratepcontact psubstratepdiff]" @@ -2294,17 +2552,17 @@ drc #----------------------------- # ORIGINAL RULE: width allpoly 180 "Poly width < %d (PL.1)" - width allpoly 180 "Poly width < %d (PL.1) [paint mvnhighres,mvnntransistor,mvntransistor,mvptransistor,mvvaractor,nhighres,nntransistor,npolyres,npolysilicide,ntransistor,polycontact,polysilicon,ppolyres,ppolysilicide,ptransistor,varactor]" - spacing allpoly allpoly 240 touching_ok "Poly spacing < %d (PL.3a) [erase mvnhighres mvnntransistor mvntransistor mvptransistor mvvaractor nhighres nntransistor npolyres npolysilicide ntransistor polycontact polysilicon ppolyres ppolysilicide ptransistor varactor]" + width allpoly 180 "Poly width < %d (PL.1) [paint mvnhighres,mvnmoscap,mvnntransistor,mvntransistor,mvnvaractor,mvpmoscap,mvptransistor,mvpvaractor,nhighres,nmoscap,nntransistor,npolyres,npolysilicide,ntransistor,nvaractor,pmoscap,polycontact,polysilicon,ppolyres,ppolysilicide,ptransistor,pvaractor]" + spacing allpoly allpoly 240 touching_ok "Poly spacing < %d (PL.3a) [erase mvnhighres mvnmoscap mvnntransistor mvntransistor mvnvaractor mvpmoscap mvptransistor mvpvaractor nhighres nmoscap nntransistor npolyres npolysilicide ntransistor nvaractor pmoscap polycontact polysilicon ppolyres ppolysilicide ptransistor pvaractor]" spacing allpolynonfet alldifflvnonfet 100 corner_ok allfets \ "Poly spacing to diffusion < %d (PL.5a)" spacing allpolynonfet alldiffmvnonfet 300 corner_ok allfets \ "Poly spacing to MV diffusion < %d (PL.5a) - overhang *ndiff,rndiff nfet,nnfet 230 "N-Diffusion overhang of nmos < %d (DF.6)" - overhang *mvndiff,mvrndiff mvnfet,mvnnfet 230 \ + overhang *ndiff,rndiff nfet,nnfet,ncap 230 "N-Diffusion overhang of nmos < %d (DF.6)" + overhang *mvndiff,mvrndiff mvnfet,mvncap,mvnnfet 230 \ "N-Diffusion overhang of nmos < %d (DF.6)" - overhang *pdiff,rpdiff pfet 230 "P-Diffusion overhang of pmos < %d (DF.6)" - overhang *mvpdiff,mvrpdiff mvpfet 230 "P-Diffusion overhang of pmos < %d (DF.6)" + overhang *pdiff,rpdiff pfet,pcap 230 "P-Diffusion overhang of pmos < %d (DF.6)" + overhang *mvpdiff,mvrpdiff mvpfet,mvpcap 230 "P-Diffusion overhang of pmos < %d (DF.6)" overhang *poly allfetsnonnat 220 "Poly overhang of transistor < %d (PL.4)" overhang *poly nnfet,mvnnfet 350 "Poly overhang of NAT transistor < %d (NAT.6)" @@ -2314,17 +2572,17 @@ drc # SBLK - more rules to do here (note PRES refers only to unsalicided resistor) #----------------------------------------------------------------------------- - spacing allpolysblkres allpolysblkres 250 touching_ok \ - "Poly resistor spacing < %d (PRES.2) + spacing allpolysblkres allpolysblkres 400 touching_ok \ + "Poly resistor spacing < %d (PRES/LRES.2) - spacing allpolysblkres allpolynonres 450 touching_ok "Poly resistor spacing to unrelated poly < %d (PRES.4) [erase mvnhighres nhighres npolyres ppolyres,mvnntransistor mvntransistor mvptransistor mvvaractor nntransistor ntransistor polycontact polysilicon ptransistor varactor]" - spacing allpolysblkres allactive,allactiveres 440 touching_illegal "Poly resistor spacing to diffusion < %d (PRES.3) [erase mvnhighres nhighres npolyres ppolyres,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvvaractor ndcontact ndiffres ndiffusion ndiode ndiodec nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec psubstratepcontact psubstratepdiff ptransistor varactor]" + spacing allpolysblkres allpolynonres 600 touching_ok "Poly resistor spacing to unrelated poly < %d (PRES/LRES.4) [erase mvnhighres nhighres npolyres ppolyres,mvnmoscap mvnntransistor mvntransistor mvnvaractor mvpmoscap mvptransistor mvpvaractor nmoscap nntransistor ntransistor nvaractor pmoscap polycontact polysilicon ptransistor pvaractor]" + spacing allpolysblkres allactive,allactiveres 600 touching_illegal "Poly resistor spacing to diffusion < %d (PRES/LRES.3) [erase mvnhighres nhighres npolyres ppolyres,ldndcontact ldndiffusion ldpdcontact ldpdiffusion mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnmoscap mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvnvaractor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpmoscap mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvpvaractor ndcontact ndiffres ndiffusion ndiode ndiodec nmoscap nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor nvaractor pdcontact pdiffres pdiffusion pdiode pdiodec pmoscap psubstratepcontact psubstratepdiff ptransistor pvaractor]" spacing allactiveres allactiveres 400 touching_ok \ "Diffusion resistor spacing < %d (NDRES.2) - spacing allactiveres allactive 450 touching_ok "Diffusion resistor spacing to unrelated diffusion < %d (NDRES.3) [erase mvndiffres mvpdiffres ndiffres pdiffres,mvndcontact mvndiffusion mvndiode mvndiodec mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvvaractor ndcontact ndiffusion ndiode ndiodec nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffusion pdiode pdiodec psubstratepcontact psubstratepdiff ptransistor varactor]" - spacing allactiveres allpoly 450 touching_ok "Diffusion resistor spacing to unrelated poly < %d (NDRES.4) [erase mvndiffres mvpdiffres ndiffres pdiffres,mvnhighres mvnntransistor mvntransistor mvptransistor mvvaractor nhighres nntransistor npolyres npolysilicide ntransistor polycontact polysilicon ppolyres ppolysilicide ptransistor varactor]" + spacing allactiveres allactive 450 touching_ok "Diffusion resistor spacing to unrelated diffusion < %d (NDRES.3) [erase mvndiffres mvpdiffres ndiffres pdiffres,ldndcontact ldndiffusion ldpdcontact ldpdiffusion mvndcontact mvndiffusion mvndiode mvndiodec mvnmoscap mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvnvaractor mvpdcontact mvpdiffusion mvpdiode mvpdiodec mvpmoscap mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvpvaractor ndcontact ndiffusion ndiode ndiodec nmoscap nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor nvaractor pdcontact pdiffusion pdiode pdiodec pmoscap psubstratepcontact psubstratepdiff ptransistor pvaractor]" + spacing allactiveres allpoly 450 touching_ok "Diffusion resistor spacing to unrelated poly < %d (NDRES.4) [erase mvndiffres mvpdiffres ndiffres pdiffres,mvnhighres mvnmoscap mvnntransistor mvntransistor mvnvaractor mvpmoscap mvptransistor mvpvaractor nhighres nmoscap nntransistor npolyres npolysilicide ntransistor nvaractor pmoscap polycontact polysilicon ppolyres ppolysilicide ptransistor pvaractor]" #----------------------------- # CONT @@ -2334,8 +2592,9 @@ drc "Diffusion contact width < %d (CO.1 + 2 * CO.6)" spacing (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 \ (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 240 \ - touching_ok "Diffusion contact spacing < %d (CO.2a - CO.6)" - surround (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 *m1 55 directional "Metal1 overlap of contact < %d in one direction (CO.6) [erase 1 1/m1 ndiodec nsubstratencontact pdcontact pdiodec psubstratepcontact,m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact padl pdcontact pdiodec polycontact psubstratepcontact]" + touching_ok "Diffusion contact spacing < %d (CO.2a - 2 * CO.6)" + surround (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 *m1 55 35 \ + directional "Metal1 overlap of contact < %d in one direction (CO.6)" surround ndc/a *ndiff 65 absence_illegal "N-Diffusion overlap of contact < %d (CO.4) [erase ndcontact/a,ndcontact ndiffusion]" surround nsc/a *nsd 65 absence_illegal "N-Diffusion overlap of contact < %d (CO.4) [erase nsubstratencontact/a,nsubstratencontact nsubstratendiff]" surround pdc/a *pdiff 65 absence_illegal "P-Diffusion overlap of contact < %d (CO.4) [erase pdcontact/a,pdcontact pdiffusion]" @@ -2348,8 +2607,9 @@ drc "MV Diffusion contact width < %d (CO.1 + 2 * CO.6)" spacing (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 \ (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 240 touching_ok \ - "Diffusion contact spacing < %d (CO.2a - CO.6)" - surround (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 *m1 55 directional "Metal1 overlap of contact < %d in one direction (CO.6) [erase 1 1/m1 mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpsubstratepcontact,m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact padl pdcontact pdiodec polycontact psubstratepcontact]" + "Diffusion contact spacing < %d (CO.2a - 2 * CO.6)" + surround (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 *m1 55 35 directional \ + "Metal1 overlap of contact < %d in one direction (CO.6)" surround mvndc/a *mvndiff 65 absence_illegal "MV N-Diffusion overlap of contact < %d (CO.4) [erase mvndcontact/a,mvndcontact mvndiffusion]" surround mvnsc/a *mvnsd 65 absence_illegal "MV N-Diffusion overlap of contact < %d (CO.4) [erase mvnsubstratencontact/a,mvnsubstratencontact mvnsubstratendiff]" surround mvpdc/a *mvpdiff 65 absence_illegal "MV P-Diffusion overlap of contact < %d (CO.4) [erase mvpdcontact/a,mvpdcontact mvpdiffusion]" @@ -2358,12 +2618,12 @@ drc surround mvnndic/a *mvnndiode 65 absence_illegal "MV NAT N-Diffusion overlap of contact < %d (CO.4) [erase mvnndiodec/a,mvnndiode mvnndiodec]" surround mvpdic/a *mvpdiode 65 absence_illegal "MV P-Diffusion overlap of contact < %d (CO.4) [erase mvpdiodec/a,mvpdiode mvpdiodec]" - spacing allpdiffcont allndiffcont 240 touching_illegal "Diffusion contact spacing < %d (CO.2a - CO.6) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec psubstratepcontact,mvndcontact mvndiodec mvnndiodec mvnsubstratencontact ndcontact ndiodec nndiodec nsubstratencontact]" - spacing allndiffcont allndiffcont 240 touching_ok "Diffusion contact spacing < %d (CO.2a - CO.6) [erase mvndcontact mvndiodec mvnndiodec mvnsubstratencontact ndcontact ndiodec nndiodec nsubstratencontact]" - spacing allpdiffcont allpdiffcont 240 touching_ok "Diffusion contact spacing < %d (CO.2a - CO.6) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec psubstratepcontact]" - spacing pc pc 240 touching_ok "Poly contact spacing < %d (CO.2a - CO.6) [erase polycontact]" - spacing pc alldiff 165 touching_illegal "Poly contact spacing to diffusion < %d (CO.8 - CO.6) [erase polycontact,mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvvaractor ndcontact ndiffres ndiffusion ndiode ndiodec nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec psubstratepcontact psubstratepdiff ptransistor]" - spacing allpdiffcont,allndiffcont allpoly 145 touching_illegal "Diffusion contact spacing to poly < %d (CO.7 - CO.6) [erase mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact pdcontact pdiodec psubstratepcontact,mvnhighres mvnntransistor mvntransistor mvptransistor mvvaractor nhighres nntransistor npolyres npolysilicide ntransistor polycontact polysilicon ppolyres ppolysilicide ptransistor varactor]" + spacing allpdiffcont allndiffcont 240 touching_illegal "Diffusion contact spacing < %d (CO.2a - 2 * CO.6) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec psubstratepcontact,mvndcontact mvndiodec mvnndiodec mvnsubstratencontact ndcontact ndiodec nndiodec nsubstratencontact]" + spacing allndiffcont allndiffcont 240 touching_ok "Diffusion contact spacing < %d (CO.2a - 2 * CO.6) [erase mvndcontact mvndiodec mvnndiodec mvnsubstratencontact ndcontact ndiodec nndiodec nsubstratencontact]" + spacing allpdiffcont allpdiffcont 240 touching_ok "Diffusion contact spacing < %d (CO.2a - 2 * CO.6) [erase mvpdcontact mvpdiodec mvpsubstratepcontact pdcontact pdiodec psubstratepcontact]" + spacing pc pc 240 touching_ok "Poly contact spacing < %d (CO.2a - 2 * CO.6) [erase polycontact]" + spacing pc alldiff 165 touching_illegal "Poly contact spacing to diffusion < %d (CO.8 - 2 * CO.6) [erase polycontact,ldndcontact ldndiffusion ldpdcontact ldpdiffusion mvndcontact mvndiffres mvndiffusion mvndiode mvndiodec mvnmoscap mvnndiode mvnndiodec mvnntransistor mvnsubstratencontact mvnsubstratendiff mvntransistor mvnvaractor mvpdcontact mvpdiffres mvpdiffusion mvpdiode mvpdiodec mvpmoscap mvpsubstratepcontact mvpsubstratepdiff mvptransistor mvpvaractor ndcontact ndiffres ndiffusion ndiode ndiodec nmoscap nndiode nndiodec nntransistor nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffres pdiffusion pdiode pdiodec pmoscap psubstratepcontact psubstratepdiff ptransistor]" + spacing allpdiffcont,allndiffcont allpoly 145 touching_illegal "Diffusion contact spacing to poly < %d (CO.7 - 2 * CO.6) [erase mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact pdcontact pdiodec psubstratepcontact,mvnhighres mvnmoscap mvnntransistor mvntransistor mvnvaractor mvpmoscap mvptransistor mvpvaractor nhighres nmoscap nntransistor npolyres npolysilicide ntransistor nvaractor pmoscap polycontact polysilicon ppolyres ppolysilicide ptransistor pvaractor]" exact_overlap (ndc,pdc,psc,nsc,pc,ndic,pdic)/a exact_overlap (mvndc,mvpdc,mvpsc,mvnsc,mvndic,mvnndic,mvpdic)/a @@ -2375,8 +2635,8 @@ variants * #----------------------------- # ORIGINAL RULE: width *m1,rm1 230 "Metal1 width < %d (M1.1)" - width *m1,rm1 230 "Metal1 width < %d (M1.1) [paint m2contact,metal1,mvndcontact,mvndiodec,mvnndiodec,mvnsubstratencontact,mvpdcontact,mvpdiodec,mvpsubstratepcontact,ndcontact,ndiodec,nndiodec,nsubstratencontact,padl,pdcontact,pdiodec,polycontact,psubstratepcontact,rmetal1]" - spacing allm1,obsm1 allm1,obsm1 230 touching_ok "Metal1 spacing < %d (M1.2a) [erase m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact obsm1 padl pdcontact pdiodec polycontact psubstratepcontact rmetal1]" + width *m1,rm1 230 "Metal1 width < %d (M1.1) [paint ldndcontact,ldpdcontact,m2contact,metal1,mvndcontact,mvndiodec,mvnndiodec,mvnsubstratencontact,mvpdcontact,mvpdiodec,mvpsubstratepcontact,ndcontact,ndiodec,nndiodec,nsubstratencontact,padl,pdcontact,pdiodec,polycontact,psubstratepcontact,rmetal1,schottkyc]" + spacing allm1,obsm1 allm1,obsm1 230 touching_ok "Metal1 spacing < %d (M1.2a) [erase ldndcontact ldpdcontact m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact obsm1 padl pdcontact pdiodec polycontact psubstratepcontact rmetal1 schottkyc]" area allm1,obsm1 144400 230 "Metal1 minimum area < %a (M1.3)" variants (fast),(full) @@ -2392,9 +2652,11 @@ variants * # ORIGINAL RULE: width v1/m1 260 "Via1 width < %d (V1.1)" width v1/m1 260 "Via1 width < %d (V1.1) [paint m2contact/m1]" spacing v1 v1 260 touching_ok "Via1 spacing < %d (V1.2a) [erase m2contact]" - surround v1/m1 *m1 60 directional "Metal1 overlap of Via1 < %d in one direction (V1.3) [erase m2contact/m1,m2contact metal1 mvndcontact mvndiodec mvnndiodec mvnsubstratencontact mvpdcontact mvpdiodec mvpsubstratepcontact ndcontact ndiodec nndiodec nsubstratencontact padl pdcontact pdiodec polycontact psubstratepcontact]" + surround v1/m1 *m1 60 40 directional \ + "Metal1 overlap of Via1 < %d in one direction (V1.3)" surround v1/m2 *m2 10 absence_illegal "Metal2 overlap of Via1 < %d (V1.4) [erase m2contact/m2,m2contact m3contact metal2 padl]" - surround v1/m2 *m2 60 directional "Metal2 overlap of Via1 < %d in one direction (V1.4i) [erase m2contact/m2,m2contact m3contact metal2 padl]" + surround v1/m2 *m2 60 40 directional \ + "Metal2 overlap of Via1 < %d in one direction (V1.4i)" exact_overlap v1/m2 #----------------------------- @@ -2404,7 +2666,7 @@ variants * # ORIGINAL RULE: width *m2,rm2 280 "Metal2 width < %d (M2.1)" width *m2,rm2 280 "Metal2 width < %d (M2.1) [paint m2contact,m3contact,metal2,padl,rmetal2]" spacing allm2,obsm2 allm2,obsm2 280 touching_ok "Metal2 spacing < %d (M2.2a) [erase m2contact m3contact metal2 obsm2 padl rmetal2]" - #area allm2,obsm2 144400 280 "Metal2 minimum area < %a (M2.3)" THIS RULE IS DISABLED FOR STANDARD CELLS SINCE THEY PROVIDE THE PADS ON LAYER METAL2 BUT THERE IS NOT ENOUGH METAL THERE TO FULFILL THE RULE + area allm2,obsm2 144400 280 "Metal2 minimum area < %a (M2.3)" variants (fast),(full) widespacing allm2,obsm2 10000 allm2,obsm2 300 touching_ok \ @@ -2419,7 +2681,8 @@ variants * # ORIGINAL RULE: width v2/m2 280 "Via2 width < %d (V2.1 + 2 * V2.3)" width v2/m2 280 "Via2 width < %d (V2.1 + 2 * V2.3) [paint m3contact/m2]" spacing v2 v2 240 touching_ok "Via2 spacing < %d (V2.2a - 2 * V2.3) [erase m3contact]" - surround v2/m2 *m2 50 directional "Metal2 overlap of Via2 < %d in one direction (V2.3i - V2.3) [erase m3contact/m2,m2contact m3contact metal2 padl]" + surround v2/m2 *m2 50 30 directional \ + "Metal2 overlap of Via2 < %d in one direction (V2.3i - V2.3)" exact_overlap v2/m3 @@ -2427,7 +2690,8 @@ variants * # METAL 3 #----------------------------- - surround v2/m3 *m3 50 directional "Metal3 overlap of Via2 < %d in one direction (V2.4i - V2.4) [erase m3contact/m3,m3contact metal3 padl via3]" + surround v2/m3 *m3 50 30 directional \ + "Metal3 overlap of Via2 < %d in one direction (V2.4i - V2.4)" # ORIGINAL RULE: width *m3,rm3 280 "Metal3 width < %d (M3.1)" width *m3,rm3 280 "Metal3 width < %d (M3.1) [paint m3contact,metal3,padl,rmetal3,via3]" @@ -2441,26 +2705,28 @@ variants (fast),(full) variants * #-------------------------------------------------------------- -# VIA 3 - Requires METALS4, 1, or METALS6 Module +# VIA 3 - Requires METAL4, METAL5, or METAL6 Module #-------------------------------------------------------------- # ORIGINAL RULE: width v3/m3 280 "Via3 width < %d (V3.1 + 2 * V3.4)" width v3/m3 280 "Via3 width < %d (V3.1 + 2 * V3.4) [paint via3/m3]" spacing v3 v3 240 touching_ok "Via3 spacing < %d (V3.2a - 2 * V3.4) [erase via3]" - surround v3/m3 *m3 50 directional "Metal3 overlap of Via3 < %d in one direction (V3.3i - V3.3) [erase via3/m3,m3contact metal3 padl via3]" + surround v3/m3 *m3 50 30 directional \ + "Metal3 overlap of Via3 < %d in one direction (V3.3i - V3.3)" exact_overlap v3/m4 #----------------------------- -# METAL 4 - METALS4 Module +# METAL 4 - METAL4 Module #----------------------------- - surround v3/m4 *m4 50 directional "Metal4 overlap of Via3 < %d in one direction (V3.4i - V3.4) [erase via3/m4,metal4 padl via3 via4]" + surround v3/m4 *m4 50 30 directional \ + "Metal4 overlap of Via3 < %d in one direction (V3.4i - V3.4)" - # ORIGINAL RULE: width *m4,rm4 200 "Metal4 width < %d (M4.1)" - width *m4,rm4 200 "Metal4 width < %d (M4.1) [paint metal4,padl,rmetal4,via3,via4]" - spacing allm4,obsm4 allm4,obsm4 210 touching_ok "Metal4 spacing < %d (M4.2a) [erase metal4 mimcap mimcapcontact obsm4 padl rmetal4 via3 via4]" - area allm4,obsm4 144000 200 "Metal4 minimum area < %a (M4.3)" + # ORIGINAL RULE: width *m4,rm4 230 "Metal4 width < %d (M4.1)" + width *m4,rm4 230 "Metal4 width < %d (M4.1) [paint metal4,padl,rmetal4,via3,via4]" + spacing allm4,obsm4 allm4,obsm4 280 touching_ok "Metal4 spacing < %d (M4.2a) [erase metal4 mimcap mimcapcontact obsm4 padl rmetal4 via3 via4]" + area allm4,obsm4 144000 230 "Metal4 minimum area < %a (M4.3)" variants (fast),(full) widespacing allm4,obsm4 10000 allm4,obsm4 300 touching_ok \ @@ -2469,7 +2735,7 @@ variants (fast),(full) variants * #------------------------------------------------------ -# VIA 4 - Requires 1 Module +# VIA 4 - Requires METAL5 Module #------------------------------------------------------ # ORIGINAL RULE: width v4/m4 280 "Via4 width < %d (V4.1 + 2 * V4.4)" @@ -2478,12 +2744,14 @@ variants * exact_overlap v4/m5 #----------------------------- -# METALS 5 - 1 Module +# METAL 5 - METAL5 Module #----------------------------- # Metal 5 is the top metal - surround v4/m4 *m4 50 directional "Metal4 overlap of Via4 < %d in one direction (V4.3i - V4.3) [erase via4/m4,metal4 padl via3 via4]" - surround v4/m5 *m5 50 directional "Metal5 overlap of Via4 < %d in one direction (V4.4i - V4.4) [erase via4/m5,metal5 mimcapcontact padl via4]" + surround v4/m4 *m4 50 30 directional \ + "Metal4 overlap of Via4 < %d in one direction (V4.3i - V4.3)" + surround v4/m5 *m5 50 30 directional \ + "Metal5 overlap of Via4 < %d in one direction (V4.4i - V4.4)" # ORIGINAL RULE: width *m5,rm5 360 "Metal5 width < %d (MT.1)" width *m5,rm5 360 "Metal5 width < %d (MT.1) [paint metal5,mimcapcontact,padl,rmetal5,via4]" @@ -2511,58 +2779,35 @@ variants * # ORIGINAL RULE: width mvnnfet 400 "MV nn Transistor width < %d (DF.2c)" width mvnnfet 400 "MV nn Transistor width < %d (DF.2c) [paint mvnntransistor]" - extend pfet *pdiff 280 exclusive "Transistor length < %d (PL.1a)" - extend nfet *ndiff 280 exclusive "Transistor length < %d (PL.1a)" - width pfet 300 angles "Bent Transistor length < %d (PL.7)" - width nfet 300 angles "Bent Transistor length < %d (PL.7)" - - extend mvpfet *mvpdiff 500 exclusive "MV Transistor length < %d (PL.1a)" - extend mvnfet *mvndiff 600 exclusive "MV Transistor length < %d (PL.1a)" - width mvpfet 700 angles "Bent MV Transistor length < %d (PL.7)" - width mvnfet 700 angles "Bent MV Transistor length < %d (PL.7)" + extend pfet,pcap *pdiff 280 exclusive "Transistor length < %d (PL.1a)" + extend nfet,ncap *ndiff 280 exclusive "Transistor length < %d (PL.1a)" + width pfet,pcap 300 angles "Bent Transistor length < %d (PL.7)" + width nfet,ncap 300 angles "Bent Transistor length < %d (PL.7)" - # NOTE: Use edge4way to deal with butted junctions - # spacing *nsd,*mvnsd pfet,mvnnfet,mvpfet 330 touching_illegal \ - # "n-ohmic spacing to PMOS gate < %d (NP.4b)" - # spacing *psd,*mvpsd nfet,nnfet,mvnfet 330 touching_illegal \ - # "p-ohmic spacing to NMOS gate < %d (NP.4c)" + extend mvpfet,mvpcap *mvpdiff 500 exclusive "MV Transistor length < %d (PL.1a)" + extend mvnfet,mvncap *mvndiff 600 exclusive "MV Transistor length < %d (PL.1a)" + width mvpfet,mvpcap 700 angles "Bent MV Transistor length < %d (PL.7)" + width mvnfet,mvncap 700 angles "Bent MV Transistor length < %d (PL.7)" - edge4way pfet,mvnnfet,mvpfet *poly/a 330 ~(*nsd,*mvnsd)/a (*pdiff,*mvpdiff)/a 300 \ + edge4way pfet,pcap,mvpfet,mvpcap *poly/a 330 \ + ~(*nsd,*mvnsd)/a (*pdiff,*mvpdiff)/a 300 \ "n-ohmic spacing to PMOS gate < %d (NP.4b + PP.4c)" - edge4way nfet,nnfet,mvnfet *poly/a 330 ~(*psd,*mvpsd)/a (*ndiff,*mvndiff)/a 300 \ + edge4way nfet,ncap,nnfet,mvnnfet,mvnfet,mvncap *poly/a 330 \ + ~(*psd,*mvpsd)/a (*ndiff,*mvndiff)/a 300 \ "p-ohmic spacing to NMOS gate < %d (PP.4b + NP.4c)" - # Butting junction rules (flag p/nsd distance to butting junction < 0.03um) - edge4way (*psd)/a ~(*ndiff,*psd)/a 330 ~(*ndiff)/a (*ndiff)/a 30 \ - "N-Diffusion to P-tap spacing < %d across butted junction (PP.4b + NP.4c)" - edge4way (*ndiff)/a ~(*ndiff,*psd)/a 330 ~(*psd)/a (*psd)/a 30 \ - "N-Diffusion to P-tap spacing < %d across butted junction (PP.4b + NP.4c)" - edge4way (*nsd)/a ~(*pdiff,*nsd)/a 330 ~(*pdiff)/a (*pdiff)/a 30 \ - "P-Diffusion to N-tap spacing < %d across butted junction (NP.4b + PP.4c)" - edge4way (*pdiff)/a ~(*pdiff,*nsd)/a 330 ~(*nsd)/a (*nsd)/a 30 \ - "P-Diffusion to N-tap spacing < %d across butted junction (NP.4b + PP.4c)" - - edge4way (*mvpsd)/a ~(*mvndiff,*mvpsd)/a 330 ~(*mvndiff)/a (*mvndiff)/a 30 \ - "MV N-Diffusion to MV P-tap spacing < %d across butted junction (PP.4b + NP.4c)" - edge4way (*mvndiff)/a ~(*mvndiff,*mvpsd)/a 330 ~(*mvpsd)/a (*mvpsd)/a 30 \ - "MV N-Diffusion to MV P-tap spacing < %d across butted junction (PP.4b + NP.4c)" - edge4way (*mvnsd)/a ~(*mvpdiff,*mvnsd)/a 330 ~(*mvpdiff)/a (*mvpdiff)/a 30 \ - "MV P-Diffusion to MV N-tap spacing < %d across butted junction (NP.4b + PP.4c)" - edge4way (*mvpdiff)/a ~(*mvpdiff,*mvnsd)/a 330 ~(*mvnsd)/a (*mvnsd)/a 30 \ - "MV P-Diffusion to MV N-tap spacing < %d across butted junction (NP.4b + PP.4c)" - #-------------------------------------------------- # RPP,RNP #-------------------------------------------------- # ORIGINAL RULE: width rpp 800 "ppolyres minimum width < %d (PRES.1)" width rpp 800 "ppolyres minimum width < %d (PRES.1) [paint ppolyres]" - # ORIGINAL RULE: width rnp 800 "npolyres minimum width < %d (NRES.1)" - width rnp 800 "npolyres minimum width < %d (NRES.1) [paint npolyres]" + # ORIGINAL RULE: width rnp 800 "npolyres minimum width < %d (LRES.1)" + width rnp 800 "npolyres minimum width < %d (LRES.1) [paint npolyres]" spacing rpp rpp 400 touching_ok "ppolyres minimum spacing < %d (PRES.2) [erase ppolyres]" - spacing rnp rnp 400 touching_ok "npolyres minimum spacing < %d (NRES.2) [erase npolyres]" + spacing rnp rnp 400 touching_ok "npolyres minimum spacing < %d (LRES.2) [erase npolyres]" - spacing rpp pc 215 touching_illegal "rpp spacing to Cont < %d (PRES.8 - CO.6) [erase ppolyres,polycontact]" - spacing rnp pc 215 touching_illegal "rnp spacing to Cont < %d (NRES.8 - CO.6) [erase npolyres,polycontact]" + spacing rpp pc 215 touching_illegal "rpp spacing to Cont < %d (PRES.7 - CO.6) [erase ppolyres,polycontact]" + spacing rnp pc 215 touching_illegal "rnp spacing to Cont < %d (LRES.7 - CO.6) [erase npolyres,polycontact]" #-------------------------------------------------- # HIRES @@ -2595,38 +2840,47 @@ variants * # MOS Varactor device rules #------------------------------------ - overhang *nsd var 320 \ + overhang *nsd nvar 320 \ "N-Ohmic overhang of Varactor < %d (FIXME)" - overhang *mvnsd mvvar 320 \ + overhang *mvnsd mvnvar 320 \ "N-Ohmic overhang of Varactor < %d (FIXME)" - width var,mvvar 1000 \ + width nvar,mvnvar 1000 \ + "Varactor length and width < %d (DF.1c)" + + overhang *psd pvar 320 \ + "P-Ohmic overhang of Varactor < %d (FIXME)" + + overhang *mvpsd mvpvar 320 \ + "P-Ohmic overhang of Varactor < %d (FIXME)" + + width pvar,mvpvar 1000 \ "Varactor length and width < %d (DF.1c)" #------------------------------------------------- # CAPM (FuseTop) #------------------------------------------------- - # ORIGINAL RULE: width *mimcap 5000 "MiM cap top plate width < %d (1TM.8a)" - width *mimcap 5000 "MiM cap top plate width < %d (1TM.8a) [paint mimcap,mimcapcontact]" - spacing *mimcap *mimcap 600 touching_ok "MiM cap top plate spacing < %d (1TM.6) [erase mimcap mimcapcontact]" - surround mimcc mimcap 390 absence_illegal "MiM cap must surround MiM cap contact by %d (1TM.4-VT.4) [erase mimcapcontact,mimcap]" - spacing pad *mimcap 50 touching_illegal "MiM cap cannot overlap pad (11M.X) [erase padl,mimcap mimcapcontact]" - spacing mimcc mimcc 480 touching_ok "MiM cap contact spacing < %d (1TM.9 - VT.3) [erase mimcapcontact]" - - spacing via3 *mimcap 50 touching_illegal "MiM cap cannot overlap via3 (1TM.10) [erase via3,mimcap mimcapcontact]" - spacing *mimcap *m4,rm4 1200 touching_ok "MiM cap to Metal4 spacing < %d (1TM.1) [erase mimcap mimcapcontact,metal4 padl rmetal4 via3 via4]" - spacing *mimcap via4/m5 390 touching_illegal "MiM cap spacing to via4 < %d (1TM.5) [erase mimcap mimcapcontact,via4/m5]" - surround *mimcap m4 600 absence_illegal "Bottom plate overlap of MiM cap < %d (1TM.3) [erase mimcap mimcapcontact,metal4]" + # ORIGINAL RULE: width *mimcap 5000 "MiM cap top plate width < %d (MIMTM.8a)" + width *mimcap 5000 "MiM cap top plate width < %d (MIMTM.8a) [paint mimcap,mimcapcontact]" + spacing *mimcap *mimcap 600 touching_ok "MiM cap top plate spacing < %d (MIMTM.6) [erase mimcap mimcapcontact]" + surround mimcc mimcap 390 absence_illegal "MiM cap must surround MiM cap contact by %d (MIMTM.4-VT.4) [erase mimcapcontact,mimcap]" + spacing pad *mimcap 50 touching_illegal "MiM cap cannot overlap pad (MIM1M.X) [erase padl,mimcap mimcapcontact]" + spacing mimcc mimcc 480 touching_ok "MiM cap contact spacing < %d (MIMTM.9 - 2 * VT.3) [erase mimcapcontact]" + + spacing via3 *mimcap 50 touching_illegal "MiM cap cannot overlap via3 (MIMTM.10) [erase via3,mimcap mimcapcontact]" + spacing *mimcap *m4,rm4 1200 touching_ok "MiM cap to Metal4 spacing < %d (MIMTM.1) [erase mimcap mimcapcontact,metal4 padl rmetal4 via3 via4]" + spacing *mimcap via4/m5 390 touching_illegal "MiM cap spacing to via4 < %d (MIMTM.5) [erase mimcap mimcapcontact,via4/m5]" + surround *mimcap m4 600 absence_illegal "Bottom plate overlap of MiM cap < %d (MIMTM.3) [erase mimcap mimcapcontact,metal4]" # ORIGINAL RULE: width mimcapc/m5 280 "MiM Contact width < %d (VT.1+VT.3)" width mimcapc/m5 280 "MiM Contact width < %d (VT.1+VT.3) [paint mimcapcontact/m5]" variants (full) cifmaxwidth mim_bottom_plate_space 0 bend_illegal \ - "MiM bottom plate to unrelated metal < 1.2um (1TM.1)" + "MiM bottom plate to unrelated metal < 1.2um (MIMTM.1)" cifmaxwidth mim_bot_cont_surround 0 bend_illegal \ - "MiM bottom plate surrounds contact < 0.4um (1TM.2)" + "MiM bottom plate surrounds contact < 0.4um (MIMTM.2)" #---------------------------- # End DRC style @@ -2644,16 +2898,19 @@ end lef + masterslice pwell Pwell PWELL + masterslice nwell Nwell NWELL + routing m1 Metal1 METAL1 MET1 m1 met1 metal1 routing m2 Metal2 METAL2 MET2 m2 met2 metal2 routing m3 Metal3 METAL3 MET3 m3 met3 metal3 routing m4 Metal4 METAL4 MET4 m4 met4 metal4 routing m5 Metal5 METAL5 MET5 m5 met5 metal5 - cut m2c Via1 VIA1 via1 cont2 via12 - cut m3c Via2 VIA2 via2 cont3 via23 - cut via3 Via3 VIA3 via3 cont4 via34 - cut via4 Via4 VIA4 via4 cont5 via45 + cut m2c Via1 VIA1 via1 cont2 via12 VIA12 + cut m3c Via2 VIA2 via2 cont3 via23 VIA23 + cut via3 Via3 VIA3 via3 cont4 via34 VIA34 + cut via4 Via4 VIA4 via4 cont5 via45 VIA45 obs obsm1 Metal1 obs obsm2 Metal2 @@ -2734,7 +2991,7 @@ variants () # See document 180MCU_YI-141-EP059-01_10.pdf resist (nwell,dnwell)/well 1000000 - resist (pwell)/well 3250000 + resist (pwell,isosub)/well 3250000 resist (*ndiff,nsd)/active 6300 resist (*pdiff,*psd)/active 7000 @@ -2767,10 +3024,10 @@ variants () resist (allm5)/metal5 60 - contact ndc,nsc 6300 - contact pdc,psc 5200 - contact mvndc,mvnsc 6300 - contact mvpdc,mvpsc 5200 + contact ndc,nsc,ndic,nndic 6300 + contact pdc,psc,pdic 5200 + contact mvndc,mvnsc,mvndic,mvnndic 6300 + contact mvpdc,mvpsc,mvpdic 5200 contact pc 8000 contact m2c 4500 contact m3c 4500 @@ -2783,7 +3040,7 @@ variants (hrhc),(hrlc) # High-end corner resistances (milliohms per square) resist (nwell,dnwell)/well 1200000 - resist (pwell)/well 3250000 + resist (pwell,isosub)/well 3250000 resist (*ndiff,nsd)/active 15000 resist (*pdiff,*psd)/active 15000 @@ -2816,10 +3073,10 @@ variants (hrhc),(hrlc) resist (allm5)/metal5 70 - contact ndc,nsc 15000 - contact pdc,psc 15000 - contact mvndc,mvnsc 15000 - contact mvpdc,mvpsc 15000 + contact ndc,nsc,ndic,nndic 15000 + contact pdc,psc,pdic 15000 + contact mvndc,mvnsc,mvndic,mvnndic 15000 + contact mvpdc,mvpsc,mvpdic 15000 contact pc 15000 contact m2c 15000 contact m3c 15000 @@ -2832,7 +3089,7 @@ variants (lrhc),(lrlc) # Low-end corner resistances (milliohms per square) resist (nwell,dnwell)/well 800000 - resist (pwell)/well 3250000 + resist (pwell,isosub)/well 3250000 resist (*ndiff,nsd)/active 1000 resist (*pdiff,*psd)/active 1000 @@ -2865,10 +3122,10 @@ variants (lrhc),(lrlc) resist (allm5)/metal5 50 - contact ndc,nsc 0 - contact pdc,psc 0 - contact mvndc,mvnsc 0 - contact mvpdc,mvpsc 0 + contact ndc,nsc,ndic,nndic 0 + contact pdc,psc,pdic 0 + contact mvndc,mvnsc,mvndic,mvnndic 0 + contact mvpdc,mvpsc,mvpdic 0 contact pc 0 contact m2c 0 contact m3c 0 @@ -2930,119 +3187,150 @@ defaultareacap nwell well 120 # defaultareacap allpolynonfet active 105 # defaultperimeter allpolynonfet active 57 - defaultsidewall *poly active 16.46 - defaultareacap *poly active nwell,obswell,pwell well 110.677 - defaultperimeter *poly active nwell,obswell,pwell well 51.29 + defaultsidewall *poly active 11.098 -0.082 + defaultareacap *poly active 110.67 + defaultperimeter *poly active 50.72 + defaultoverlap *poly active nwell,obswell,pwell well 110.67 + defaultsideoverlap *poly active nwell,obswell,pwell well 50.72 #metal1 - defaultsidewall allm1 metal1 28.01 - defaultareacap allm1 metal1 nwell,obswell,pwell well 29.3046 - defaultperimeter allm1 metal1 nwell,obswell,pwell well 25.783 - defaultoverlap allm1 metal1 nwell well 29.3046 - -#metal1->diff - defaultoverlap allm1 metal1 allactivenonfet active 39.2181 - defaultsideoverlap allm1 metal1 allactivenonfet active 30.683 + defaultsidewall allm1 metal1 40.512 -0.053 + defaultareacap allm1 metal1 29.304 + defaultperimeter allm1 metal1 39.431 + defaultoverlap allm1 metal1 nwell well 29.304 + defaultsideoverlap allm1 metal1 nwell well 39.431 +#metal1->active + defaultoverlap allm1 metal1 alllvactivenonfet active 30.502 + defaultsideoverlap allm1 metal1 alllvactivenonfet active 43.406 + defaultoverlap allm1 metal1 allmvactivenonfet active 39.187 + defaultsideoverlap allm1 metal1 allmvactivenonfet active 43.308 #metal1->poly - defaultoverlap allm1 metal1 allpolynonres active 51.4351 - defaultsideoverlap allm1 metal1 allpolynonres active 35.602 + defaultoverlap allm1 metal1 allpolynonres active 51.434 + defaultsideoverlap allm1 metal1 allpolynonres active 46.700 + defaultsideoverlap *poly active allm1 metal1 17.946 #metal2 - defaultsidewall allm2 metal2 30.381 - defaultareacap allm2 metal2 nwell,obswell,pwell well 15.0162 - defaultperimeter allm2 metal2 nwell,obswell,pwell well 18.263 - defaultoverlap allm2 metal2 nwell well 15.0162 + defaultsidewall allm2 metal2 46.736 0.289 + defaultareacap allm2 metal2 15.016 + defaultperimeter allm2 metal2 33.298 + defaultoverlap allm2 metal2 nwell well 15.016 + defaultsideoverlap allm2 metal2 nwell well 33.298 #metal2->active - defaultoverlap allm2 metal2 allactivenonfet active 17.2506 - defaultsideoverlap allm2 metal2 allactivenonfet active 20.018 + defaultoverlap allm2 metal2 alllvactivenonfet active 17.305 + defaultsideoverlap allm2 metal2 alllvactivenonfet active 35.189 + defaultoverlap allm2 metal2 allmvactivenonfet active 17.244 + defaultsideoverlap allm2 metal2 allmvactivenonfet active 35.153 #metal2->poly - defaultoverlap allm2 metal2 allpolynonres active 19.2632 - defaultsideoverlap allm2 metal2 allpolynonres active 21.531 + defaultoverlap allm2 metal2 allpolynonres active 19.263 + defaultsideoverlap allm2 metal2 allpolynonres active 36.169 + defaultsideoverlap *poly active allm2 metal2 8.706 #metal2->metal1 - defaultoverlap allm2 metal2 allm1 metal1 59.0279 - defaultsideoverlap allm2 metal2 allm1 metal1 40.880 + defaultoverlap allm2 metal2 allm1 metal1 59.027 + defaultsideoverlap allm2 metal2 allm1 metal1 47.566 + defaultsideoverlap allm1 metal1 allm2 metal2 32.048 #metal3 - defaultsidewall allm3 metal3 30.774 - defaultareacap allm3 metal3 nwell,obswell,pwell well 10.0944 - defaultoverlap allm3 metal3 nwell well 10.0944 - defaultperimeter allm3 metal3 nwell,obswell,pwell well 13.52 + defaultsidewall allm3 metal3 70.675 0.534 + defaultareacap allm3 metal3 10.094 + defaultperimeter allm3 metal3 30.021 + defaultoverlap allm3 metal3 nwell well 10.094 + defaultsideoverlap allm3 metal3 nwell well 30.021 #metal3->active - defaultoverlap allm3 metal3 allactive active 11.0571 - defaultsideoverlap allm3 metal3 allactive active 14.36 + defaultoverlap allm3 metal3 alllvactivenonfet active 11.079 + defaultsideoverlap allm3 metal3 alllvactivenonfet active 31.40 + defaultoverlap allm3 metal3 allmvactivenonfet active 11.054 + defaultsideoverlap allm3 metal3 allmvactivenonfet active 31.38 #metal3->poly - defaultoverlap allm3 metal3 allpolynonres active 11.8507 - defaultsideoverlap allm3 metal3 allpolynonres active 15.054 + defaultoverlap allm3 metal3 allpolynonres active 11.850 + defaultsideoverlap allm3 metal3 allpolynonres active 31.927 + defaultsideoverlap *poly active allm3 metal3 5.895 #metal3->metal1 - defaultoverlap allm3 metal3 allm1 metal1 20.2381 - defaultsideoverlap allm3 metal3 allm1 metal1 21.705 + defaultoverlap allm3 metal3 allm1 metal1 20.238 + defaultsideoverlap allm3 metal3 allm1 metal1 36.609 + defaultsideoverlap allm1 metal1 allm3 metal3 18.135 #metal3->metal2 - defaultoverlap allm3 metal3 allm2 metal2 59.0279 - defaultsideoverlap allm3 metal3 allm2 metal2 40.482 + defaultoverlap allm3 metal3 allm2 metal2 59.027 + defaultsideoverlap allm3 metal3 allm2 metal2 49.011 + defaultsideoverlap allm2 metal2 allm3 metal3 36.626 #metal4 - defaultsidewall allm4 metal4 30.994 - defaultareacap allm4 metal4 nwell,obswell,pwell well 7.6025 - defaultoverlap allm4 metal4 nwell well 7.6025 - defaultperimeter allm4 metal4 well 10.649 + defaultsidewall allm4 metal4 77.388 0.611 + defaultareacap allm4 metal4 7.602 + defaultperimeter allm4 metal4 28.153 + defaultoverlap allm4 metal4 nwell well 7.602 + defaultsideoverlap allm4 metal4 nwell well 28.153 #metal4->active - defaultoverlap allm4 metal4 allactivenonfet active 8.1360 - defaultsideoverlap allm4 metal4 allactivenonfet active 11.129 + defaultoverlap allm4 metal4 alllvactivenonfet active 8.148 + defaultsideoverlap allm4 metal4 alllvactivenonfet active 29.065 + defaultoverlap allm4 metal4 allmvactivenonfet active 8.135 + defaultsideoverlap allm4 metal4 allmvactivenonfet active 29.050 #metal4->poly - defaultoverlap allm4 metal4 allpolynonres active 8.5577 - defaultsideoverlap allm4 metal4 allpolynonres active 11.505 + defaultoverlap allm4 metal4 allpolynonres active 8.557 + defaultsideoverlap allm4 metal4 allpolynonres active 29.407 + defaultsideoverlap *poly active allm4 metal4 8.557 #metal4->metal1 - defaultoverlap allm4 metal4 allm1 metal1 12.2127 - defaultsideoverlap allm4 metal4 allm1 metal1 14.829 + defaultoverlap allm4 metal4 allm1 metal1 12.212 + defaultsideoverlap allm4 metal4 allm1 metal1 32.104 + defaultsideoverlap allm1 metal1 allm4 metal4 13.159 #metal4->metal2 - defaultoverlap allm4 metal4 allm2 metal2 20.2381 - defaultsideoverlap allm4 metal4 allm2 metal2 21.025 + defaultoverlap allm4 metal4 allm2 metal2 20.238 + defaultsideoverlap allm4 metal4 allm2 metal2 36.563 + defaultsideoverlap allm2 metal2 allm4 metal4 22.405 #metal4->metal3 - defaultoverlap allm4 metal4 allm3 metal3 59.0279 - defaultsideoverlap allm4 metal4 allm3 metal3 39.786 + defaultoverlap allm4 metal4 allm3 metal3 59.027 + defaultsideoverlap allm4 metal4 allm3 metal3 47.871 + defaultsideoverlap allm3 metal3 allm4 metal4 39.964 #metal5 - defaultsidewall allm5 metal5 54.148 + defaultsidewall allm5 metal5 114.86 0.025 - defaultareacap allm5 metal5 nwell,obswell,pwell well 5.7979 - defaultoverlap allm5 metal5 nwell well 5.7979 - defaultperimeter allm5 metal5 well 10.850 + defaultareacap allm5 metal5 5.798 + defaultperimeter allm5 metal5 30.386 + defaultoverlap allm5 metal5 nwell well 5.798 + defaultsideoverlap allm5 metal5 nwell well 30.386 #metal5->active - defaultoverlap allm5 metal5 allactivenonfet active 6.1031 - defaultsideoverlap allm5 metal5 allactivenonfet active 11.258 + defaultoverlap allm5 metal5 alllvactivenonfet active 6.110 + defaultsideoverlap allm5 metal5 alllvactivenonfet active 31.165 + defaultoverlap allm5 metal5 allmvactivenonfet active 6.102 + defaultsideoverlap allm5 metal5 allmvactivenonfet active 31.152 #metal5->poly - defaultoverlap allm5 metal5 allpolynonres active 6.3374 - defaultsideoverlap allm5 metal5 allpolynonres active 11.570 + defaultoverlap allm5 metal5 allpolynonres active 6.337 + defaultsideoverlap allm5 metal5 allpolynonres active 31.458 + defaultsideoverlap *poly active allm5 metal5 3.365 #metal5->metal1 - defaultoverlap allm5 metal5 allm1 metal1 8.1418 - defaultsideoverlap allm5 metal5 allm1 metal1 13.958 + defaultoverlap allm5 metal5 allm1 metal1 8.142 + defaultsideoverlap allm5 metal5 allm1 metal1 33.316 + defaultsideoverlap allm1 metal1 allm5 metal5 9.825 #metal5->metal2 - defaultoverlap allm5 metal5 allm2 metal2 11.0677 - defaultsideoverlap allm5 metal5 allm2 metal2 17.640 + defaultoverlap allm5 metal5 allm2 metal2 11.067 + defaultsideoverlap allm5 metal5 allm2 metal2 36.591 + defaultsideoverlap allm2 metal2 allm5 metal5 15.764 #metal5->metal3 - defaultoverlap allm5 metal5 allm3 metal3 17.2765 - defaultsideoverlap allm5 metal5 allm3 metal3 24.286 + defaultoverlap allm5 metal5 allm3 metal3 17.276 + defaultsideoverlap allm5 metal5 allm3 metal3 41.466 + defaultsideoverlap allm3 metal3 allm5 metal5 22.988 #metal5->metal4 - defaultoverlap allm5 metal5 allm4 metal4 39.3519 - defaultsideoverlap allm5 metal5 allm4 metal4 39.586 + defaultoverlap allm5 metal5 allm4 metal4 39.351 + defaultsideoverlap allm5 metal5 allm4 metal4 52.692 + defaultsideoverlap allm4 metal4 allm5 metal5 34.954 #------------------------------------------------------------------------- @@ -3073,118 +3361,149 @@ defaultareacap nwell well 120 # defaultareacap allpolynonfet active 105 # defaultperimeter allpolynonfet active 57 - defaultsidewall *poly active 16.56 - defaultareacap *poly active nwell,obswell,pwell well 130.2 - defaultperimeter *poly active nwell,obswell,pwell well 54.018 + defaultsidewall *poly active 10.434 -0.09 + defaultareacap *poly active 130.21 + defaultperimeter *poly active 53.551 + defaultoverlap *poly active nwell,obswell,pwell well 130.21 + defaultsideoverlap *poly active nwell,obswell,pwell well 53.551 #metal1 - defaultsidewall allm1 metal1 29.294 - defaultareacap allm1 metal1 nwell,obswell,pwell well 33.126 - defaultperimeter allm1 metal1 nwell,obswell,pwell well 25.790 + defaultsidewall allm1 metal1 29.146 -0.107 + defaultareacap allm1 metal1 33.126 + defaultperimeter allm1 metal1 41.362 defaultoverlap allm1 metal1 nwell well 33.126 - -#metal1->diff - defaultoverlap allm1 metal1 allactivenonfet active 43.636 - defaultsideoverlap allm1 metal1 allactivenonfet active 30.445 + defaultsideoverlap allm1 metal1 nwell well 41.362 +#metal1->active + defaultoverlap allm1 metal1 alllvactivenonfet active 43.987 + defaultsideoverlap allm1 metal1 alllvactivenonfet active 45.606 + defaultoverlap allm1 metal1 allmvactivenonfet active 43.597 + defaultsideoverlap allm1 metal1 allmvactivenonfet active 45.456 #metal1->poly - defaultoverlap allm1 metal1 allpolynonres active 60.321 - defaultsideoverlap allm1 metal1 allpolynonres active 36.440 + defaultoverlap allm1 metal1 allpolynonres active 60.319 + defaultsideoverlap allm1 metal1 allpolynonres active 49.813 + defaultsideoverlap *poly active allm1 metal1 19.203 #metal2 - defaultsidewall allm2 metal2 31.727 - defaultareacap allm2 metal2 nwell,obswell,pwell well 16.472 - defaultperimeter allm2 metal2 nwell,obswell,pwell well 18.124 - defaultoverlap allm2 metal2 nwell well 16.472 + defaultsidewall allm2 metal2 47.311 -0.055 + defaultareacap allm2 metal2 16.471 + defaultperimeter allm2 metal2 34.914 + defaultoverlap allm2 metal2 nwell well 16.471 + defaultsideoverlap allm2 metal2 nwell well 34.914 #metal2->active - defaultoverlap allm2 metal2 allactivenonfet active 18.713 - defaultsideoverlap allm2 metal2 allactivenonfet active 19.744 + defaultoverlap allm2 metal2 alllvactivenonfet active 18.777 + defaultsideoverlap allm2 metal2 alllvactivenonfet active 36.552 + defaultoverlap allm2 metal2 allmvactivenonfet active 18.706 + defaultsideoverlap allm2 metal2 allmvactivenonfet active 36.484 #metal2->poly defaultoverlap allm2 metal2 allpolynonres active 21.231 - defaultsideoverlap allm2 metal2 allpolynonres active 21.467 + defaultsideoverlap allm2 metal2 allpolynonres active 37.753 + defaultsideoverlap *poly active allm2 metal2 9.032 #metal2->metal1 - defaultoverlap allm2 metal2 allm1 metal1 73.632 - defaultsideoverlap allm2 metal2 allm1 metal1 43.788 + defaultoverlap allm2 metal2 allm1 metal1 73.630 + defaultsideoverlap allm2 metal2 allm1 metal1 53.172 + defaultsideoverlap allm1 metal1 allm2 metal2 35.957 #metal3 - defaultsidewall allm3 metal3 32.049 - defaultoverlap allm3 metal3 nwell well 11.910 - defaultareacap allm3 metal3 nwell,obswell,pwell well 10.961 - defaultperimeter allm3 metal3 nwell,obswell,pwell well 13.270 + defaultsidewall allm3 metal3 52.065 0.011 + defaultareacap allm3 metal3 10.961 + defaultperimeter allm3 metal3 31.797 + defaultoverlap allm3 metal3 nwell well 10.961 + defaultsideoverlap allm3 metal3 nwell well 31.797 #metal3->active - defaultoverlap allm3 metal3 allactive active 11.910 - defaultsideoverlap allm3 metal3 allactive active 14.034 + defaultoverlap allm3 metal3 alllvactivenonfet active 11.936 + defaultsideoverlap allm3 metal3 alllvactivenonfet active 32.182 + defaultoverlap allm3 metal3 allmvactivenonfet active 11.907 + defaultsideoverlap allm3 metal3 allmvactivenonfet active 32.161 #metal3->poly defaultoverlap allm3 metal3 allpolynonres active 12.883 - defaultsideoverlap allm3 metal3 allpolynonres active 14.812 + defaultsideoverlap allm3 metal3 allpolynonres active 33.123 + defaultsideoverlap *poly active allm3 metal3 6.048 #metal3->metal1 - defaultoverlap allm3 metal3 allm1 metal1 22.675 - defaultsideoverlap allm3 metal3 allm1 metal1 21.903 + defaultoverlap allm3 metal3 allm1 metal1 22.673 + defaultsideoverlap allm3 metal3 allm1 metal1 38.387 + defaultsideoverlap allm1 metal1 allm3 metal3 19.218 #metal3->metal2 - defaultoverlap allm3 metal3 allm2 metal2 73.632 - defaultsideoverlap allm3 metal3 allm2 metal2 43.400 + defaultoverlap allm3 metal3 allm2 metal2 73.630 + defaultsideoverlap allm3 metal3 allm2 metal2 53.162 + defaultsideoverlap allm2 metal2 allm3 metal3 40.062 #metal4 - defaultsidewall allm4 metal4 32.265 - defaultareacap allm4 metal4 nwell,obswell,pwell well 8.213 + defaultsidewall allm4 metal4 64.224 0.022 + defaultareacap allm4 metal4 8.213 + defaultperimeter allm4 metal4 29.318 defaultoverlap allm4 metal4 nwell well 8.213 - defaultperimeter allm4 metal4 well 10.397 + defaultsideoverlap allm4 metal4 nwell well 29.318 #metal4->active - defaultoverlap allm4 metal4 allactivenonfet active 8.735 - defaultsideoverlap allm4 metal4 allactivenonfet active 10.831 + defaultoverlap allm4 metal4 alllvactivenonfet active 8.749 + defaultsideoverlap allm4 metal4 alllvactivenonfet active 29.951 + defaultoverlap allm4 metal4 allmvactivenonfet active 8.733 + defaultsideoverlap allm4 metal4 allmvactivenonfet active 29.937 #metal4->poly defaultoverlap allm4 metal4 allpolynonres active 9.247 - defaultsideoverlap allm4 metal4 allpolynonres active 11.247 + defaultsideoverlap allm4 metal4 allpolynonres active 30.173 + defaultsideoverlap *poly active allm4 metal4 4.470 #metal4->metal1 defaultoverlap allm4 metal4 allm1 metal1 13.400 - defaultsideoverlap allm4 metal4 allm1 metal1 14.625 + defaultsideoverlap allm4 metal4 allm1 metal1 32.864 + defaultsideoverlap allm1 metal1 allm4 metal4 13.737 #metal4->metal2 - defaultoverlap allm4 metal4 allm2 metal2 22.674 - defaultsideoverlap allm4 metal4 allm2 metal2 21.263 + defaultoverlap allm4 metal4 allm2 metal2 22.673 + defaultsideoverlap allm4 metal4 allm2 metal2 38.349 + defaultsideoverlap allm2 metal2 allm4 metal4 23.678 #metal4->metal3 defaultoverlap allm4 metal4 allm3 metal3 73.63 - defaultsideoverlap allm4 metal4 allm3 metal3 42.64 + defaultsideoverlap allm4 metal4 allm3 metal3 51.717 + defaultsideoverlap allm3 metal3 allm4 metal4 43.907 #metal5 - defaultsidewall allm5 metal5 57.012 - defaultareacap allm5 metal5 nwell,obswell,pwell well 6.241 - defaultoverlap allm5 metal5 nwell well 6.241 - defaultperimeter allm5 metal5 well 10.676 + defaultsidewall allm5 metal5 129.73 0.043 + defaultareacap allm5 metal5 6.240 + defaultperimeter allm5 metal5 32.259 + defaultoverlap allm5 metal5 nwell well 6.240 + defaultsideoverlap allm5 metal5 nwell well 32.259 #metal5->active - defaultoverlap allm5 metal5 allactivenonfet active 6.537 - defaultsideoverlap allm5 metal5 allactivenonfet active 11.074 + defaultoverlap allm5 metal5 alllvactivenonfet active 6.545 + defaultsideoverlap allm5 metal5 alllvactivenonfet active 32.685 + defaultoverlap allm5 metal5 allmvactivenonfet active 6.536 + defaultsideoverlap allm5 metal5 allmvactivenonfet active 32.665 #metal5->poly defaultoverlap allm5 metal5 allpolynonres active 6.820 - defaultsideoverlap allm5 metal5 allpolynonres active 11.431 + defaultsideoverlap allm5 metal5 allpolynonres active 33.050 + defaultsideoverlap *poly active allm5 metal5 3.402 #metal5->metal1 defaultoverlap allm5 metal5 allm1 metal1 8.841 - defaultsideoverlap allm5 metal5 allm1 metal1 13.909 + defaultsideoverlap allm5 metal5 allm1 metal1 35.530 + defaultsideoverlap allm1 metal1 allm5 metal5 10.263 #metal5->metal2 defaultoverlap allm5 metal5 allm2 metal2 12.108 - defaultsideoverlap allm5 metal5 allm2 metal2 17.722 + defaultsideoverlap allm5 metal5 allm2 metal2 38.815 + defaultsideoverlap allm2 metal2 allm5 metal5 16.351 #metal5->metal3 - defaultoverlap allm5 metal5 allm3 metal3 19.207 - defaultsideoverlap allm5 metal5 allm3 metal3 24.724 + defaultoverlap allm5 metal5 allm3 metal3 19.206 + defaultsideoverlap allm5 metal5 allm3 metal3 44.329 + defaultsideoverlap allm3 metal3 allm5 metal5 23.969 #metal5->metal4 - defaultoverlap allm5 metal5 allm4 metal4 46.18 - defaultsideoverlap allm5 metal5 allm4 metal4 41.628 + defaultoverlap allm5 metal5 allm4 metal4 46.417 + defaultsideoverlap allm5 metal5 allm4 metal4 57.180 + defaultsideoverlap allm4 metal4 allm5 metal5 38.202 #------------------------------------------------------------------------- @@ -3215,118 +3534,149 @@ defaultareacap nwell well 120 # defaultareacap allpolynonfet active 105 # defaultperimeter allpolynonfet active 57 - defaultsidewall *poly active 16.388 - defaultareacap *poly active nwell,obswell,pwell well 96.241 - defaultperimeter *poly active nwell,obswell,pwell well 48.943 + defaultsidewall *poly active 11.749 -0.074 + defaultareacap *poly active 96.239 + defaultperimeter *poly active 48.703 + defaultoverlap *poly active nwell,obswell,pwell well 96.239 + defaultsideoverlap *poly active nwell,obswell,pwell well 48.703 #metal1 - defaultsidewall allm1 metal1 26.630 - defaultareacap allm1 metal1 nwell,obswell,pwell well 26.274 - defaultperimeter allm1 metal1 nwell,obswell,pwell well 25.666 - defaultoverlap allm1 metal1 nwell well 26.274 - -#metal1->diff - defaultoverlap allm1 metal1 allactivenonfet active 35.612 - defaultsideoverlap allm1 metal1 allactivenonfet active 30.684 + defaultsidewall allm1 metal1 34.592 -0.066 + defaultareacap allm1 metal1 26.273 + defaultperimeter allm1 metal1 38.065 + defaultoverlap allm1 metal1 nwell well 26.273 + defaultsideoverlap allm1 metal1 nwell well 38.065 + +#metal1->active + defaultoverlap allm1 metal1 alllvactivenonfet active 35.846 + defaultsideoverlap allm1 metal1 alllvactivenonfet active 41.401 + defaultoverlap allm1 metal1 allmvactivenonfet active 35.587 + defaultsideoverlap allm1 metal1 allmvactivenonfet active 41.319 #metal1->poly - defaultoverlap allm1 metal1 allpolynonres active 44.831 - defaultsideoverlap allm1 metal1 allpolynonres active 34.738 + defaultoverlap allm1 metal1 allpolynonres active 44.830 + defaultsideoverlap allm1 metal1 allpolynonres active 44.006 + defaultsideoverlap *poly active allm1 metal1 16.709 #metal2 - defaultsidewall allm2 metal2 29.023 - defaultareacap allm2 metal2 nwell,obswell,pwell well 13.797 - defaultperimeter allm2 metal2 nwell,obswell,pwell well 18.332 + defaultsidewall allm2 metal2 45.876 0.308 + defaultareacap allm2 metal2 13.797 + defaultperimeter allm2 metal2 32.628 defaultoverlap allm2 metal2 nwell well 13.797 #metal2->active - defaultoverlap allm2 metal2 allactivenonfet active 16.000 - defaultsideoverlap allm2 metal2 allactivenonfet active 20.206 + defaultoverlap allm2 metal2 alllvactivenonfet active 16.047 + defaultsideoverlap allm2 metal2 alllvactivenonfet active 33.878 + defaultoverlap allm2 metal2 allmvactivenonfet active 15.995 + defaultsideoverlap allm2 metal2 allmvactivenonfet active 34.723 #metal2->poly defaultoverlap allm2 metal2 allpolynonres active 17.629 - defaultsideoverlap allm2 metal2 allpolynonres active 21.511 + defaultsideoverlap allm2 metal2 allpolynonres active 34.723 + defaultsideoverlap *poly active allm2 metal2 8.497 #metal2->metal1 - defaultoverlap allm2 metal2 allm1 metal1 49.258 - defaultsideoverlap allm2 metal2 allm1 metal1 38.718 + defaultoverlap allm2 metal2 allm1 metal1 49.257 + defaultsideoverlap allm2 metal2 allm1 metal1 45.669 + defaultsideoverlap allm1 metal1 allm2 metal2 29.508 #metal3 - defaultsidewall allm3 metal3 28.809 - defaultoverlap allm3 metal3 nwell well 9.355 - defaultareacap allm3 metal3 nwell,obswell,pwell well 9.355 - defaultperimeter allm3 metal3 nwell,obswell,pwell well 13.710 + defaultsidewall allm3 metal3 80.787 0.719 + defaultareacap allm3 metal3 9.354 + defaultperimeter allm3 metal3 29.055 + defaultoverlap allm3 metal3 nwell well 9.354 + defaultsideoverlap allm3 metal3 nwell well 29.055 #metal3->active - defaultoverlap allm3 metal3 allactive active 10.318 - defaultsideoverlap allm3 metal3 allactive active 14.637 + defaultoverlap allm3 metal3 alllvactivenonfet active 10.337 + defaultsideoverlap allm3 metal3 alllvactivenonfet active 30.367 + defaultoverlap allm3 metal3 allmvactivenonfet active 10.316 + defaultsideoverlap allm3 metal3 allmvactivenonfet active 30.332 #metal3->poly - defaultoverlap allm3 metal3 allpolynonres active 10.972 - defaultsideoverlap allm3 metal3 allpolynonres active 15.232 + defaultoverlap allm3 metal3 allpolynonres active 10.971 + defaultsideoverlap allm3 metal3 allpolynonres active 30.302 + defaultsideoverlap *poly active allm3 metal3 5.744 #metal3->metal1 defaultoverlap allm3 metal3 allm1 metal1 18.275 - defaultsideoverlap allm3 metal3 allm1 metal1 21.483 + defaultsideoverlap allm3 metal3 allm1 metal1 34.455 + defaultsideoverlap allm1 metal1 allm3 metal3 17.162 #metal3->metal2 - defaultoverlap allm3 metal3 allm2 metal2 49.258 - defaultsideoverlap allm3 metal3 allm2 metal2 38.221 + defaultoverlap allm3 metal3 allm2 metal2 49.257 + defaultsideoverlap allm3 metal3 allm2 metal2 45.649 + defaultsideoverlap allm2 metal2 allm3 metal3 33.836 #metal4 - defaultsidewall allm4 metal4 29.629 - defaultareacap allm4 metal4 nwell,obswell,pwell well 7.076 + defaultsidewall allm4 metal4 79.719 0.700 + defaultareacap allm4 metal4 7.076 + defaultperimeter allm4 metal4 27.123 defaultoverlap allm4 metal4 nwell well 7.076 - defaultperimeter allm4 metal4 well 10.870 + defaultsideoverlap allm4 metal4 nwell well 27.123 #metal4->active - defaultoverlap allm4 metal4 allactivenonfet active 7.614 - defaultsideoverlap allm4 metal4 allactivenonfet active 11.375 + defaultoverlap allm4 metal4 alllvactivenonfet active 7.625 + defaultsideoverlap allm4 metal4 alllvactivenonfet active 28.128 + defaultoverlap allm4 metal4 allmvactivenonfet active 7.613 + defaultsideoverlap allm4 metal4 allmvactivenonfet active 28.136 #metal4->poly defaultoverlap allm4 metal4 allpolynonres active 7.964 - defaultsideoverlap allm4 metal4 allpolynonres active 11.720 + defaultsideoverlap allm4 metal4 allpolynonres active 28.175 + defaultsideoverlap *poly active allm4 metal4 4.342 #metal4->metal1 - defaultoverlap allm4 metal4 allm1 metal1 11.219 - defaultsideoverlap allm4 metal4 allm1 metal1 14.805 + defaultoverlap allm4 metal4 allm1 metal1 11.218 + defaultsideoverlap allm4 metal4 allm1 metal1 30.402 + defaultsideoverlap allm1 metal1 allm4 metal4 12.468 #metal4->metal2 defaultoverlap allm4 metal4 allm2 metal2 18.275 - defaultsideoverlap allm4 metal4 allm2 metal2 20.801 + defaultsideoverlap allm4 metal4 allm2 metal2 34.947 + defaultsideoverlap allm2 metal2 allm4 metal4 21.090 #metal4->metal3 - defaultoverlap allm4 metal4 allm3 metal3 49.258 - defaultsideoverlap allm4 metal4 allm3 metal3 37.616 + defaultoverlap allm4 metal4 allm3 metal3 49.257 + defaultsideoverlap allm4 metal4 allm3 metal3 45.614 + defaultsideoverlap allm3 metal3 allm4 metal4 36.938 #metal5 - defaultsidewall allm5 metal5 51.169 - defaultareacap allm5 metal5 nwell,obswell,pwell well 5.414 + defaultsidewall allm5 metal5 92.462 -0.034 + defaultareacap allm5 metal5 5.414 + defaultperimeter allm5 metal5 29.752 defaultoverlap allm5 metal5 nwell well 5.414 - defaultperimeter allm5 metal5 well 10.992 + defaultsideoverlap allm5 metal5 nwell well 29.752 #metal5->active - defaultoverlap allm5 metal5 allactivenonfet active 5.723 - defaultsideoverlap allm5 metal5 allactivenonfet active 11.430 + defaultoverlap allm5 metal5 alllvactivenonfet active 5.729 + defaultsideoverlap allm5 metal5 alllvactivenonfet active 30.199 + defaultoverlap allm5 metal5 allmvactivenonfet active 5.722 + defaultsideoverlap allm5 metal5 allmvactivenonfet active 30.189 #metal5->poly - defaultoverlap allm5 metal5 allpolynonres active 5.919 - defaultsideoverlap allm5 metal5 allpolynonres active 11.703 + defaultoverlap allm5 metal5 allpolynonres active 5.918 + defaultsideoverlap allm5 metal5 allpolynonres active 30.453 + defaultsideoverlap *poly active allm5 metal5 3.319 #metal5->metal1 defaultoverlap allm5 metal5 allm1 metal1 7.545 - defaultsideoverlap allm5 metal5 allm1 metal1 13.985 + defaultsideoverlap allm5 metal5 allm1 metal1 32.178 + defaultsideoverlap allm1 metal1 allm5 metal5 9.413 #metal5->metal2 defaultoverlap allm5 metal5 allm2 metal2 10.192 - defaultsideoverlap allm5 metal5 allm2 metal2 17.610 + defaultsideoverlap allm5 metal5 allm2 metal2 34.862 + defaultsideoverlap allm2 metal2 allm5 metal5 15.155 #metal5->metal3 defaultoverlap allm5 metal5 allm3 metal3 15.699 - defaultsideoverlap allm5 metal5 allm3 metal3 23.834 + defaultsideoverlap allm5 metal5 allm3 metal3 39.754 + defaultsideoverlap allm3 metal3 allm5 metal5 22.014 #metal5->metal4 - defaultoverlap allm5 metal5 allm4 metal4 34.153 - defaultsideoverlap allm5 metal5 allm4 metal4 37.782 + defaultoverlap allm5 metal5 allm4 metal4 34.152 + defaultsideoverlap allm5 metal5 allm4 metal4 49.818 + defaultsideoverlap allm4 metal4 allm5 metal5 33.358 #------------------------------------------------------------------------- @@ -3337,35 +3687,37 @@ variants * # All devices except diodes are modeled as subcircuits # device list: -# nmos_3p3 mosfet -# pmos_3p3 mosfet -# nmos_6p0 mosfet (thick oxide) -# pmos_6p0 mosfet (thick oxide) -# nmos_6p0_nat mosfet (native Vt) -# nmos_3p3_sab mosfet (unsalicided drain) -# pmos_3p3_sab mosfet (unsalicided drain) -# nmos_6p0_sab mosfet (unsalicided drain, thick oxide) -# pmos_6p0_sab mosfet (unsalicided drain, thick oxide) -# nmos_10p0_asym LDNMOS (extended drain) -# pmos_10p0_asym LDPMOS (extended drain) +# nfet_03v3 mosfet +# pfet_03v3 mosfet +# nfet_06v0 mosfet (thick oxide) +# pfet_06v0 mosfet (thick oxide) +# nfet_05v0 mosfet (thick oxide, short gate)* +# pfet_05v0 mosfet (thick oxide, short gate)* +# nfet_06v0_nvt mosfet (native Vt) +# nfet_03v3_dss mosfet (unsalicided drain) +# pfet_03v3_dss mosfet (unsalicided drain) +# nfet_06v0_dss mosfet (unsalicided drain, thick oxide) +# pfet_06v0_dss mosfet (unsalicided drain, thick oxide) +# nfet_10v0_asym LDNMOS (extended drain) +# pfet_10v0_asym LDPMOS (extended drain) # -# np_3p3 diode (N+/pwell) -# pn_3p3 diode (P+/nwell) -# np_6p0 diode (N+/pwell, high voltage) -# pn_6p0 diode (P+/nwell, high voltage) -# nwp_3p3 diode (nwell/pwell) -# nwp_6p0 diode (nwell/pwell, high voltage) -# dnwpw diode (pwell/dnwell) -# dnwps diode (dnwll/substrate) +# diode_nd2ps_03v3 diode (N+/pwell) +# diode_pd2nw_03v3 diode (P+/nwell) +# diode_nd2ps_06v0 diode (N+/pwell, high voltage) +# diode_pd2nw_06v0 diode (P+/nwell, high voltage) +# diode_nw2pw_03v3 diode (nwell/pwell) +# diode_nw2pw_06v0 diode (nwell/pwell, high voltage) +# diode_dnw2pw diode (pwell/dnwell) +# diode_dnw2ps diode (dnwll/substrate) # sc_diode diode (Schottky) # -# vpnp_WxL BJT (10x10, 5x5, 0.42x10, 0.42x5 emitter sizes) -# vnpn_WxL BJT (10x10, 5x5, 0.54x16, 0.54x8, 0.54x4, 0.54x2) +# pnp_WxL BJT (10x10, 5x5, 0.42x10, 0.42x5 emitter sizes) +# npn_WxL BJT (10x10, 5x5, 0.54x16, 0.54x8, 0.54x4, 0.54x2) # # npolyf_u resistor (N+ poly, unsalicided) # ppolyf_u resistor (P+ poly, unsalicided) # ppolyf_u_1k resistor (high res resistor)* -# ppolyf_u_1k_6p0 resistor (high res resistor, high voltage)* +# ppolyf_u_1k_6p0 resistor (high res resistor, high voltage)** # nplus_u resistor (N+ diffusion, unsalicided) # pplus_u resistor (P+ diffusion, unsalicided) # npolyf_s resistor (N+ poly, salicided) @@ -3378,55 +3730,100 @@ variants * # rm3 resistor (metal3) # rm4 resistor (metal4) # rm5 resistor (metal5) -# tm6k resistor (top metal, 0.6um thick (standard))** +# tm6k resistor (top metal, 0.6um thick (standard))*** # tm9k resistor (top metal, 0.9um thick) # tm11k resistor (top metal, 1.1um thick) # tm30k resistor (top metal, 3.0um thick) # -# nmoscap_3p3 mosfet (source-drain tied) -# pmoscap_3p3 mosfet (source-drain tied) -# nmoscap_6p0 mosfet (source-drain tied, high voltage) -# pmoscap_6p0 mosfet (source-drain tied, high voltage) -# nmoscap_3p3_b mosfet (n-varactor) -# pmoscap_3p3_b mosfet (p-varactor) -# nmoscap_6p0_b mosfet (n-varactor, high voltage) -# pmoscap_6p0_b mosfet (p-varactor, high voltage) +# cap_nmos_03v3 mosfet (source-drain tied) +# cap_pmos_03v3 mosfet (source-drain tied) +# cap_nmos_06v0 mosfet (source-drain tied, high voltage) +# cap_pmos_06v0 mosfet (source-drain tied, high voltage) +# cap_nmos_03v3_b mosfet (n-varactor) +# cap_pmos_03v3_b mosfet (p-varactor) +# cap_nmos_06v0_b mosfet (n-varactor, high voltage) +# cap_pmos_06v0_b mosfet (p-varactor, high voltage) # -# mim_2p0fF capacitor (MiM)* +# cap_mim_2f0fF capacitor (MiM)**,*** +# +# efuse fuse +# *Note that the "5V" and "6V" devices are the same device and have the same +# device model. However, a slightly shorter gate length is allowed when +# operating at 5V. The GF standard cell sets use the 5V length. Magic +# will extract the shortest gate length as a "5V" type and everything else +# as "6V", which should allow for clean LVS when using the standard cells. # -# *Note that there are multiple mutually exclusive process options for the +# **Note that there are multiple mutually exclusive process options for the # high sheet rho resistor and MiM capacitor. This tech file assumes the # options 1kOhm/sq for the resistor and 2fF/um for the MiM capacitor. # -# **The top metal may be any of metal3 to metaltp, depending on the stackup - - device msubcircuit pmos_3p3 pfet pdiff,pdc pdiff,pdc allnwell error l=l w=w - device msubcircuit nmos_3p3 nfet ndiff,ndc ndiff,ndc allpsub error l=l w=w - device msubcircuit pmos_6p0 mvpfet mvpdiff,mvpdc mvpdiff,mvpdc allnwell error l=l w=w - device msubcircuit nmos_6p0 mvnfet mvndiff,mvndc mvndiff,mvndc allpsub error l=l w=w - device msubcircuit pmos_3p3_sab pfet pdiffres pdiffres allnwell error l=l w=w - device msubcircuit nmos_3p3_sab nfet ndiffres ndiffres allpsub error l=l w=w - device msubcircuit pmos_6p0_sab mvpfet mvpdiffres mvpdiffres allnwell error l=l w=w - device msubcircuit nmos_6p0_sab mvnfet mvndiffres mvndiffres allpsub error l=l w=w - device msubcircuit nmos_6p0_nat mvnnfet mvndiff,mvndiffres,mvndc \ - mvndiff,mvndiffres,mvndc allpsub error l=l w=w - device subcircuit nmoscap_3p3 varactor *nndiff allnwell error l=l w=w - device subcircuit nmoscap_6p0 mvvaractor *mvnndiff allnwell error l=l w=w +# ***The top metal may be any of metal3 to metaltp, depending on the stackup + + device msubcircuit pfet_03v3 pfet pdiff,pdc pdiff,pdc allnwell error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit nfet_03v3 nfet ndiff,ndc ndiff,ndc allpsub error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit pfet_06v0 mvpfet mvpdiff,mvpdc mvpdiff,mvpdc allnwell error \ + l>=5.5e-7 l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit pfet_05v0 mvpfet mvpdiff,mvpdc mvpdiff,mvpdc allnwell error \ + l<5.5e-7 l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit nfet_06v0 mvnfet mvndiff,mvndc mvndiff,mvndc allpsub error \ + l>=7e-7 l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit nfet_05v0 mvnfet mvndiff,mvndc mvndiff,mvndc allpsub error \ + l<7e-7 l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit pfet_03v3_dss pfet pdiffres pdiffres allnwell error \ + l=l w=w a1=as p1=ps a2=ad p2=pd l1=s_sab l2=d_sab + device msubcircuit nfet_03v3_dss nfet ndiffres ndiffres allpsub error \ + l=l w=w a1=as p1=ps a2=ad p2=pd l1=s_sab l2=d_sab + device msubcircuit pfet_06v0_dss mvpfet mvpdiffres mvpdiffres allnwell error \ + l=l w=w a1=as p1=ps a2=ad p2=pd l1=s_sab l2=d_sab + device msubcircuit nfet_06v0_dss mvnfet mvndiffres mvndiffres allpsub error \ + l=l w=w a1=as p1=ps a2=ad p2=pd l1=s_sab l2=d_sab + device msubcircuit nfet_06v0_nvt mvnnfet mvndiff,mvndiffres,mvndc \ + mvndiff,mvndiffres,mvndc allpsub error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + device subcircuit cap_nmos_03v3_b nvaractor *nndiff l=c_length w=c_width + device subcircuit cap_nmos_06v0_b mvnvaractor *mvnndiff l=c_length w=c_width + device subcircuit cap_pmos_03v3_b pvaractor *ppdiff l=c_length w=c_width + device subcircuit cap_pmos_06v0_b mvpvaractor *mvppdiff l=c_length w=c_width + device subcircuit cap_pmos_03v3 pcap pdiff,pdc l=c_length w=c_width + device subcircuit cap_nmos_03v3 ncap ndiff,ndc l=c_length w=c_width + device subcircuit cap_pmos_06v0 mvpcap mvpdiff,mvpdc l=c_length w=c_width + device subcircuit cap_nmos_06v0 mvncap mvndiff,mvndc l=c_length w=c_width + + device msubcircuit npn_10p00x10p00 npn *ndiff dnwell space/w error a1>99.0 a1<101.0 + device msubcircuit npn_05p00x05p00 npn *ndiff dnwell space/w error a1>24.0 a1<26.0 + device msubcircuit npn_00p54x16p00 npn *ndiff dnwell space/w error a1>8.5 a1<8.7 + device msubcircuit npn_00p54x08p00 npn *ndiff dnwell space/w error a1>4.2 a1<4.4 + device msubcircuit npn_00p54x04p00 npn *ndiff dnwell space/w error a1>2.0 a1<2.2 + device msubcircuit npn_00p54x02p00 npn *ndiff dnwell space/w error a1>1.0 a1<1.2 + + device msubcircuit pnp_10p00x00p42 pnp *pdiff pwell,space/w error a1>4.1 a1<4.3 + device msubcircuit pnp_05p00x00p42 pnp *pdiff pwell,space/w error a1>2.0 a1<2.2 + device msubcircuit pnp_10p00x10p00 pnp *pdiff pwell,space/w error a1>99.0 a1<101.0 + device msubcircuit pnp_05p00x05p00 pnp *pdiff pwell,space/w error a1>24.0 a1<26.0 + + device msubcircuit nfet_10v0_asym mvnfet *mvndiff *ldndiff allpsub error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + device msubcircuit pfet_10v0_asym mvpfet *mvpdiff *ldpdiff allnwell error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + + device rsubcircuit efuse efuse *poly device rsubcircuit rm1 rm1 *m1 l=r_length w=r_width device rsubcircuit rm2 rm2 *m2 l=r_length w=r_width device rsubcircuit rm3 rm3 *m3 l=r_length w=r_width device rsubcircuit rm4 rm4 *m4 l=r_length w=r_width - device rsubcircuit tm9k rm5 *m5 l=r_length w=r_width + device rsubcircuit tm11k rm5 *m5 l=r_length w=r_width - device rsubcircuit ppolyf_s rpps *poly allpsub error l=r_length w=r_width - device rsubcircuit npolyf_s rnps *poly allpsub error l=r_length w=r_width - device rsubcircuit ppolyf_u rpp *poly allpsub error l=r_length w=r_width - device rsubcircuit npolyf_u rnp *poly allpsub error l=r_length w=r_width - device rsubcircuit ppolyf_u_1k hires *poly allpsub error l=r_length w=r_width - device rsubcircuit ppolyf_u_1k_6p0 mvhires *poly allpsub error l=r_length w=r_width + device rsubcircuit ppolyf_s rpps *poly allnwell,allpsub error l=r_length w=r_width + device rsubcircuit npolyf_s rnps *poly allnwell,allpsub error l=r_length w=r_width + device rsubcircuit ppolyf_u rpp *poly allnwell,allpsub error l=r_length w=r_width + device rsubcircuit npolyf_u rnp *poly allnwell,allpsub error l=r_length w=r_width + device rsubcircuit ppolyf_u_1k hires *poly allnwell,allpsub error l=r_length w=r_width + device rsubcircuit ppolyf_u_1k_6p0 mvhires *poly allnwell,allpsub error l=r_length w=r_width device rsubcircuit pplus_u rpd *pdiff allnwell error l=r_length w=r_width device rsubcircuit nplus_u rnd *ndiff allpsub error l=r_length w=r_width device rsubcircuit pplus_s rpds *pdiff allnwell error l=r_length w=r_width @@ -3435,13 +3832,20 @@ variants * device rsubcircuit nplus_u mvndiffres *mvndiff allpsub error l=r_length w=r_width device rsubcircuit nwell rnw nwell allpsub error l=r_length w=r_width - device pdiode pn_3p3 *pdiode allnwell a=area p=pj - device ndiode np_3p3 *ndiode allpsub a=area p=pj - device pdiode pn_6p0 *mvpdiode allnwell a=area p=pj - device ndiode np_6p0 *mvndiode allpsub a=area p=pj - device ndiode np_6p0_nat *mvnndiode allpsub a=area p=pj + # The following absorbs the source/drain resistor into a *_dss FET device + device subcircuit Short mvndiffres *mvndiff mvnfet allpsub error + device subcircuit Short mvpdiffres *mvpdiff mvpfet allnwell error + device subcircuit Short ndiffres *ndiff nfet allpsub error + device subcircuit Short pdiffres *pdiff pfet allnwell error + + device pdiode diode_pd2nw_03v3 *pdiode allnwell a=area p=pj + device ndiode diode_nd2ps_03v3 *ndiode allpsub a=area p=pj + device pdiode diode_pd2nw_06v0 *mvpdiode allnwell a=area p=pj + device ndiode diode_nd2ps_06v0 *mvndiode allpsub a=area p=pj + device ndiode diode_nd2ps_06v0_nvt *mvnndiode allpsub a=area p=pj + device pdiode sc_diode *schottky *nsd a=area p=pj - device csubcircuit mim_2p0fF *mimcap *m4 l=c_length w=c_width + device csubcircuit cap_mim_2f0_m4m5_noshield *mimcap *m4 l=c_length w=c_width end diff --git a/Tech.GF180MCU/transistors.ngspice b/Tech.GF180MCU/transistors.ngspice index 0052216b..aa7a3a85 100644 --- a/Tech.GF180MCU/transistors.ngspice +++ b/Tech.GF180MCU/transistors.ngspice @@ -1,47638 +1,47764 @@ -* Copyright 2022 GlobalFoundries PDK Authors -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* https://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. - -******************************************************************************* -* Document No. : YI-141-SM064 -* Revision : 9 -* Process Name : 0.18um 3.3V/6V high voltage MCU process -* Process ID : TH18300G0A-PID007347 -* TH18300G1A-PID007352 -* TH183G0G6A-PID009507 -* TH18300G7A-PID009628 -* TH18300G4A-PID009792 -* TH18300G9A-PID010186 -* Wafer ID : GT3512K wf#02 (3.3V NMOS, 6.0V NMOS, 6.0V native NMOS and NMOSCAP) -* GT3512K wf#06 (3.3V PMOS, 6.0V PMOS, PMOSCAP and BJT) -* GT9755L wf#18 (PWELL/DNWELL and DNWELL/Psub diode, Vertical NPN) -* JT1042L01 (6V NMOS L=0.6um) -* TCXN39W20 W#4 schottky diode -************************************************************************************************ -* Models included in this release : -* -* ModelName Description -* --------- ----------- -* nmos_3p3 Subcircuit model for 3.3V NMOS -* pmos_3p3 Subcircuit model for 3.3V PMOS -* nmos_6p0 Subcircuit model for 6.0V NMOS -* pmos_6p0 Subcircuit model for 6.0V PMOS -* nmos_3p3_sab Subcircuit model for 3.3V NMOS with Drain side SAB -* pmos_3p3_sab Subcircuit model for 3.3V PMOS with Drain side SAB -* nmos_6p0_sab Subcircuit model for 6.0V NMOS with Drain side SAB -* pmos_6p0_sab Subcircuit model for 6.0V PMOS with Drain side SAB -* nmos_6p0_nat Subcircuit model for 6.0V native NMOS -* -* np_3p3 Model for 3.3V N+/Psub diode -* pn_3p3 Model for 3.3V P+/Nwell diode -* np_6p0 Model for 6.0V N+/Psub diode -* pn_6p0 Model for 6.0V P+/Nwell diode -* nwp_3p3 Model for 3.3V Nwell/Psub diode -* nwp_6p0 Model for 6.0V Nwell/Psub diode -* dnwpw Model for PWELL/DNWELL diode -* dnwps Model for DNWELL/Psub diode -* sc_diode Model for Schottky Diode -* -* vpnp_0p42x10 Subcircuit GP model for VPNP with emitter size of 10umx0.42um -* vpnp_0p42x5 Subcircuit GP model for VPNP with emitter size of 5umx0.42um -* vpnp_10x10 Subcircuit GP model for VPNP with emitter size of 10umx10um -* vpnp_5x5 Subcircuit GP model for VPNP with emitter size of 5umx5um -* vnpn_10x10 Subcircuit GP model for VNPN with emitter size of 10umx10um(four terminal) -* vnpn_5x5 Subcircuit GP model for VNPN with emitter size of 5umx5um(four terminal) -* vnpn_0p54x16 Subcircuit GP model for VNPN with emitter size of 0.54umx16um(four terminal) -* vnpn_0p54x8 Subcircuit GP model for VNPN with emitter size of 0.54umx8um(four terminal) -* vnpn_0p54x4 Subcircuit GP model for VNPN with emitter size of 0.54umx4um(four terminal) -* vnpn_0p54x2 Subcircuit GP model for VNPN with emitter size of 0.54umx2um(four terminal) -* -* nplus_u Subcircuit Model for 3-terminal unsalicided n+ diffusion resistor -* pplus_u Subcircuit Model for 3-terminal unsalicided P+ diffusion resistor -* nplus_s Subcircuit Model for 3-terminal salicided N+ diffusion resistor -* pplus_s Subcircuit Model for 3-terminal salicided P+ diffusion resistor -* nwell Subcircuit Model for 3-terminal nwell resistor under STI -* npolyf_u Subcircuit Model for 3-terminal unsalicided n+ poly resistor -* ppolyf_u Subcircuit Model for 3-terminal unsalicided p+ poly resistor -* npolyf_s Subcircuit Model for 3-terminal salicided n+ poly resistor -* ppolyf_s Subcircuit Model for 3-terminal salicided p+ poly resistor -* ppolyf_u_1k Subcircuit Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (3.3V area) -* ppolyf_u_2k Subcircuit Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (3.3V area) -* ppolyf_u_1k_6p0 Subcircuit Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (6.0V area) -* ppolyf_u_2k_6p0 Subcircuit Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (6.0V area) -* ppolyf_u_3k Subcircuit Model for 3-terminal 3k high-Rs p+ poly resistor on field oxide (both 3.3V & 6.0V area) -* rm1 Subcircuit Model for 2-terminal metal 1 resistor -* rm2 Subcircuit Model for 2-terminal metal 2 resistor -* rm3 Subcircuit Model for 2-terminal metal 3 resistor -* tm6k Subcircuit Model for 2-terminal top metal 6k resistor -* tm9k Subcircuit Model for 2-terminal top metal 9k resistor -* tm11k Subcircuit Model for 2-terminal top metal 11k resistor -* tm30k Subcircuit Model for 2-terminal top metal 30k resistor -* -* mim_1p5fF Subcircuit Model for 1.5fF/um2 MIM (*)-usable for Volt <=6V across capacitor -* mim_1p0fF Subcircuit Model for 1.0fF/um2 MIM (*)-usable for Volt <=20V across capacitor -* mim_2p0fF Subcircuit Model for 2fF/um2 MIM -usable for Volt <=6V across capacitor -* -* nmoscap_3p3 Subcircuit Model for 3.3v inversion-mode NMOS capacitor -* pmoscap_3p3 Subcircuit Model for 3.3v inversion-mode PMOS capacitor -* nmoscap_6p0 Subcircuit Model for 6.0V inversion-mode NMOS capacitor -* pmoscap_6p0 Subcircuit Model for 6.0V inversion-mode PMOS capacitor -* nmoscap_3p3_b Subcircuit Model for 3.3v NMOS in Nwell capacitor -* pmoscap_3p3_b Subcircuit Model for 3.3v PMOS in Pwell capacitor -* nmoscap_6p0_b Subcircuit Model for 6.0V NMOS in Nwell capacitor -* pmoscap_6p0_b Subcircuit Model for 6.0V PMOS in Pwell capacitor -* -* efuse Subcircuit model for 6V/(5V) efuse -************************************************************************************************ -* -.LIB typical - .lib 'transistors.ngspice' nmos_3p3_t - .lib 'transistors.ngspice' pmos_3p3_t -* - .param rsh_nplus_u_m=60 - .param rsh_pplus_u_m=185 - .param nmos_6p0_vsat = 1 - .param nmos_6p0_vth0 = 0 - .param nmos_6p0_xl = 0 - .param nmos_6p0_xw = 0 - .param nmos_6p0_tox = 0 - .param nmos_6p0_cgso = 1 - .param nmos_6p0_cgdo = 1 - .param nmos_6p0_nat_u0 = '0.070102' - .param nmos_6p0_nat_vth0 = '-0.039' - .param nmos_6p0_nat_xl = '0' - .param nmos_6p0_nat_xw = '0' - .param nmos_6p0_nat_tox = '1.52e-008' - .param nmos_6p0_nat_cgso = '1e-010' - .param nmos_6p0_nat_cgdo = '1e-010' - .param pmos_6p0_dvth0 = 0 - .param pmos_6p0_dxl = 0 - .param pmos_6p0_dxw = 0 - .param pmos_6p0_dtox = 0 - .param pmos_6p0_dcgdo = 1 - .param pmos_6p0_dcgso = 1 - - .lib 'transistors.ngspice' nmos_6p0_t - .lib 'transistors.ngspice' pmos_6p0_t - .lib 'transistors.ngspice' nmos_6p0_nat_t - .lib 'transistors.ngspice' noise_corner - .lib 'transistors.ngspice' fets_mm -.ENDL -* -* -.LIB ff - .lib 'transistors.ngspice' nmos_3p3_f - .lib 'transistors.ngspice' pmos_3p3_f -* - .param rsh_nplus_u_m=45 - .param rsh_pplus_u_m=145 - .param nmos_6p0_vsat = 1.0846 - .param nmos_6p0_vth0 = -0.1298 - .param nmos_6p0_xl = -4.2E-8 - .param nmos_6p0_xw = 5E-8 - .param nmos_6p0_tox = -1E-9 - .param nmos_6p0_cgso = 0.9 - .param nmos_6p0_cgdo = 0.9 - .param nmos_6p0_nat_u0 = '0.118' - .param nmos_6p0_nat_vth0 = '-0.216' - .param nmos_6p0_nat_xl = '-2e-7' - .param nmos_6p0_nat_xw = '1e-7' - .param nmos_6p0_nat_tox = '1.42e-008' - .param nmos_6p0_nat_cgso = '9e-011' - .param nmos_6p0_nat_cgdo = '9e-011' - .param pmos_6p0_dvth0 = 0.1245 - .param pmos_6p0_dxl = -4.65E-8 - .param pmos_6p0_dxw = 5E-8 - .param pmos_6p0_dtox = -1E-9 - .param pmos_6p0_dcgdo = 0.9 - .param pmos_6p0_dcgso = 0.9 - - .lib 'transistors.ngspice' nmos_6p0_t - .lib 'transistors.ngspice' pmos_6p0_t - .lib 'transistors.ngspice' nmos_6p0_nat_t - .lib 'transistors.ngspice' noise_corner - .lib 'transistors.ngspice' fets_mm -.ENDL -* -* -.LIB ss - .lib 'transistors.ngspice' nmos_3p3_s - .lib 'transistors.ngspice' pmos_3p3_s -* - .param rsh_nplus_u_m=75 - .param rsh_pplus_u_m=225 - .param nmos_6p0_vsat = 0.899 - .param nmos_6p0_vth0 = 0.1193 - .param nmos_6p0_xl = 7E-8 - .param nmos_6p0_xw = -5E-8 - .param nmos_6p0_tox = 1E-9 - .param nmos_6p0_cgso = 1.1 - .param nmos_6p0_cgdo = 1.1 - .param nmos_6p0_nat_u0 = '0.046' - .param nmos_6p0_nat_vth0 = '0.1417' - .param nmos_6p0_nat_xl = '2e-7' - .param nmos_6p0_nat_xw = '-1e-7' - .param nmos_6p0_nat_tox = '1.62e-008' - .param nmos_6p0_nat_cgso = '1.1e-010' - .param nmos_6p0_nat_cgdo = '1.1e-010' - .param pmos_6p0_dvth0 = -0.1225 - .param pmos_6p0_dxl = 6.9E-8 - .param pmos_6p0_dxw = -5E-8 - .param pmos_6p0_dtox = 1E-9 - .param pmos_6p0_dcgdo = 1.1 - .param pmos_6p0_dcgso = 1.1 - - .lib 'transistors.ngspice' nmos_6p0_t - .lib 'transistors.ngspice' pmos_6p0_t - .lib 'transistors.ngspice' nmos_6p0_nat_t - .lib 'transistors.ngspice' noise_corner - .lib 'transistors.ngspice' fets_mm -.ENDL -* -* -.LIB fs - .lib 'transistors.ngspice' nmos_3p3_fs - .lib 'transistors.ngspice' pmos_3p3_fs -* - .param rsh_nplus_u_m=48 - .param rsh_pplus_u_m=219 - .param nmos_6p0_vsat = '0.0846*0.67+1' - .param nmos_6p0_vth0 = '-0.1298*0.75' - .param nmos_6p0_xl = '-4.2E-8*0.67' - .param nmos_6p0_xw = '5E-8*0.67' - .param nmos_6p0_tox = '-1E-9*0.75' - .param nmos_6p0_cgso = 0.93 - .param nmos_6p0_cgdo = 0.93 - .param nmos_6p0_nat_u0 = '0.102034' - .param nmos_6p0_nat_vth0 = '-0.157' - .param nmos_6p0_nat_xl = '-1.33e-7' - .param nmos_6p0_nat_xw = '6.7e-8' - .param nmos_6p0_nat_tox = '1.453e-008' - .param nmos_6p0_nat_cgso = '9.33e-011' - .param nmos_6p0_nat_cgdo = '9.33e-011' - .param pmos_6p0_dvth0 = -0.0829 - .param pmos_6p0_dxl = 4.1E-8 - .param pmos_6p0_dxw = -3.35E-8 - .param pmos_6p0_dtox = 6.7E-10 - .param pmos_6p0_dcgdo = 1.07 - .param pmos_6p0_dcgso = 1.07 - - .lib 'transistors.ngspice' nmos_6p0_t - .lib 'transistors.ngspice' pmos_6p0_t - .lib 'transistors.ngspice' nmos_6p0_nat_t - .lib 'transistors.ngspice' noise_corner - .lib 'transistors.ngspice' fets_mm -.ENDL -* -* -.LIB sf - .lib 'transistors.ngspice' nmos_3p3_sf - .lib 'transistors.ngspice' pmos_3p3_sf -* - .param rsh_nplus_u_m=72 - .param rsh_pplus_u_m=150 - .param nmos_6p0_vsat = '1-(1-0.899)*0.67' - .param nmos_6p0_vth0 = '0.1193*0.75' - .param nmos_6p0_xl = '7E-8*0.67' - .param nmos_6p0_xw = '-5E-8*0.67' - .param nmos_6p0_tox = '1E-9*0.75' - .param nmos_6p0_cgso = 1.07 - .param nmos_6p0_cgdo = 1.07 - .param nmos_6p0_nat_u0 = '0.054034' - .param nmos_6p0_nat_vth0 = '0.08147' - .param nmos_6p0_nat_xl = '1.33e-7' - .param nmos_6p0_nat_xw = '-6.7e-8' - .param nmos_6p0_nat_tox = '1.587e-008' - .param nmos_6p0_nat_cgso = '1.067e-010' - .param nmos_6p0_nat_cgdo = '1.067e-010' - .param pmos_6p0_dvth0 = 0.0827 - .param pmos_6p0_dxl = -3.22E-8 - .param pmos_6p0_dxw = 3.35E-8 - .param pmos_6p0_dtox = -6.7E-10 - .param pmos_6p0_dcgdo = 0.93 - .param pmos_6p0_dcgso = 0.93 - - .lib 'transistors.ngspice' nmos_6p0_t - .lib 'transistors.ngspice' pmos_6p0_t - .lib 'transistors.ngspice' nmos_6p0_nat_t - .lib 'transistors.ngspice' noise_corner - .lib 'transistors.ngspice' fets_mm -.ENDL -**************************************************** -* -.LIB bjt_typical -.param -+isa=1 bfa=1 rba=1 rea=1 -+rca=1 rbma=1 cjea=1 cjca=1 -+is_cor_npn =1 -+bf_cor_npn=1 -+rb_cor_npn=1 -+re_cor_npn=1 -+rc_cor_npn=1 -+rbm_cor_npn=1 -+cjc_cor_npn=1 -+cje_cor_npn=1 - -+ mc_xis_vnpn=0 -+ mc_xbf_vnpn=0 -+ mc_xrb_vnpn=0 -+ mc_xre_vnpn=0 -+ mc_xrc_vnpn=0 -+ mc_xcje_vnpn=0 -+ mc_xcjc_vnpn=0 -+ mc_xis_vpnp=0 -+ mc_xbf_vpnp=0 -+ mc_xrb_vpnp=0 -+ mc_xre_vpnp=0 -+ mc_xrc_vpnp=0 -+ mc_xcje_vpnp=0 -+ mc_xcjc_vpnp=0 -.lib 'transistors.ngspice' bjt_mc -.ENDL -* -.LIB bjt_ss -.param -+isa=0.65 bfa=0.80 rba=1.2 rea=1.2 -+rca=1.2 rbma=1.2 cjea=1.15 cjca=1.15 -+is_cor_npn =0.4 -+bf_cor_npn=0.57 -+rb_cor_npn=1.2 -+re_cor_npn=1.2 -+rc_cor_npn=1.2 -+rbm_cor_npn=1.2 -+cjc_cor_npn=1.15 -+cje_cor_npn=1.15 - -+ mc_xis_vnpn=0 -+ mc_xbf_vnpn=0 -+ mc_xrb_vnpn=0 -+ mc_xre_vnpn=0 -+ mc_xrc_vnpn=0 -+ mc_xcje_vnpn=0 -+ mc_xcjc_vnpn=0 -+ mc_xis_vpnp=0 -+ mc_xbf_vpnp=0 -+ mc_xrb_vpnp=0 -+ mc_xre_vpnp=0 -+ mc_xrc_vpnp=0 -+ mc_xcje_vpnp=0 -+ mc_xcjc_vpnp=0 -.lib 'transistors.ngspice' bjt_mc -.ENDL -* -.LIB bjt_ff -.param -+isa=1.35 bfa=1.2 rba=0.8 rea=0.8 -+rca=0.8 rbma=0.8 cjea=0.85 cjca=0.85 -+is_cor_npn =2.25 -+bf_cor_npn=1.31 -+rb_cor_npn=0.8 -+re_cor_npn=0.8 -+rc_cor_npn=0.8 -+rbm_cor_npn=0.8 -+cjc_cor_npn=0.85 -+cje_cor_npn=0.85 - -+ mc_xis_vnpn=0 -+ mc_xbf_vnpn=0 -+ mc_xrb_vnpn=0 -+ mc_xre_vnpn=0 -+ mc_xrc_vnpn=0 -+ mc_xcje_vnpn=0 -+ mc_xcjc_vnpn=0 -+ mc_xis_vpnp=0 -+ mc_xbf_vpnp=0 -+ mc_xrb_vpnp=0 -+ mc_xre_vpnp=0 -+ mc_xrc_vpnp=0 -+ mc_xcje_vpnp=0 -+ mc_xcjc_vpnp=0 -.lib 'transistors.ngspice' bjt_mc -.ENDL -**************************************************** -* -.LIB diode_typical - .param - +jsa=1 rsa=1 cja=1 cjswa=1 - +jsa_sc = 0 vba_sc=0 rs_sc=1 jtuna_sc=0 cja_sc=1 - .lib 'transistors.ngspice' dio -.ENDL -* -.LIB diode_ss - .param - +jsa=0.85 rsa=1.1 cja=1.1 cjswa=1.1 - +jsa_sc = -1.6 vba_sc=-7 rs_sc=1.1 jtuna_sc=-0.77 cja_sc=1.1 - .lib 'transistors.ngspice' dio -.ENDL -* -.LIB diode_ff - .param - +jsa=1.15 rsa=0.9 cja=0.9 cjswa=0.9 - +jsa_sc = 1.6 vba_sc=7 rs_sc=0.9 jtuna_sc=0.77 cja_sc=0.9 - .lib 'transistors.ngspice' dio -.ENDL -**************************************************** -* -.LIB res_typical -.param -+rsh_nplus_u=60 -+rsh_pplus_u=185 -+rsh_nplus_s=6.3 -+rsh_pplus_s=7 -+rsh_nwell=1000 -+rsh_npolyf_u=310 -+rsh_ppolyf_u=350 -+rsh_npolyf_s=6.8 -+rsh_ppolyf_s=7.3 -* -+rsh_ppolyf_u_1k=1000 -+rsh_ppolyf_u_2k=2000 -+rsh_ppolyf_u_1k_6p0=1000 -+rsh_ppolyf_u_2k_6p0=2000 -+rsh_ppolyf_u_3k=3000 -+rsh_rm1=0.09 -+rsh_rm2=0.09 -+rsh_rm3=0.09 -+rsh_tm6k=60e-3 -+rsh_tm9k=40e-3 -+rsh_tm11k=40e-3 -+rsh_tm30k=9.5e-3 -* -.lib 'transistors.ngspice' res -.lib 'transistors.ngspice' efuse -.lib 'transistors.ngspice' res_statistical_par -.ENDL -* -.LIB res_ss -.param -+rsh_nplus_u=75 -+rsh_pplus_u=225 -+rsh_nplus_s=15 -+rsh_pplus_s=15 -+rsh_nwell=1200 -+rsh_npolyf_u=370 -+rsh_ppolyf_u=420 -+rsh_npolyf_s=15 -+rsh_ppolyf_s=15 -* -+rsh_ppolyf_u_1k='1000+200' -+rsh_ppolyf_u_2k='2000+400' -+rsh_ppolyf_u_1k_6p0='1000+200' -+rsh_ppolyf_u_2k_6p0='2000+400' -+rsh_ppolyf_u_3k='3000+750' -+rsh_rm1='0.09+0.012' -+rsh_rm2='0.09+0.012' -+rsh_rm3='0.09+0.012' -+rsh_tm6k='60e-3 + 10e-3' -+rsh_tm9k='40e-3 + 9e-3' -+rsh_tm11k='40e-3 + 9e-3' -+rsh_tm30k='9.5e-3 + 4.5e-3' -* -.lib 'transistors.ngspice' res -.lib 'transistors.ngspice' efuse -.lib 'transistors.ngspice' res_statistical_par -.ENDL -* -.LIB res_ff -.param -+rsh_nplus_u=45 -+rsh_pplus_u=145 -+rsh_nplus_s=1 -+rsh_pplus_s=1 -+rsh_nwell=800 -+rsh_npolyf_u=250 -+rsh_ppolyf_u=280 -+rsh_npolyf_s=1 -+rsh_ppolyf_s=1 -* -+rsh_ppolyf_u_1k='1000-200' -+rsh_ppolyf_u_2k='2000-400' -+rsh_ppolyf_u_1k_6p0='1000-200' -+rsh_ppolyf_u_2k_6p0='2000-400' -+rsh_ppolyf_u_3k='3000-750' -+rsh_rm1='0.09-0.012' -+rsh_rm2='0.09-0.012' -+rsh_rm3='0.09-0.012' -+rsh_tm6k='60e-3 - 10e-3' -+rsh_tm9k='40e-3 - 9e-3' -+rsh_tm11k='40e-3 - 9e-3' -+rsh_tm30k='9.5e-3 - 3.5e-3' -* -.lib 'transistors.ngspice' res -.lib 'transistors.ngspice' efuse -.lib 'transistors.ngspice' res_statistical_par -.ENDL -**************************************************** -* -.LIB mimcap_typical -.param mim_corner_1p5fF=1 -.param mim_corner_1p0fF=1 -.param mim_corner_2p0fF=1 - -.param mc_c_cox_1p0fF=0 -.param mc_c_cox_1p5fF=0 -.param mc_c_cox_2p0fF=0 - -.lib 'transistors.ngspice' mim_cap -.ENDL -* -.LIB mimcap_ss -.param mim_corner_1p5fF=1.155 -.param mim_corner_1p0fF=1.1 -.param mim_corner_2p0fF=1.1 -.param mc_c_cox_1p0fF=0 -.param mc_c_cox_1p5fF=0 -.param mc_c_cox_2p0fF=0 - -.lib 'transistors.ngspice' mim_cap -.ENDL -* -.LIB mimcap_ff -.param mim_corner_1p5fF=0.845 -.param mim_corner_1p0fF=0.9 -.param mim_corner_2p0fF=0.9 - -.param mc_c_cox_1p0fF=0 -.param mc_c_cox_1p5fF=0 -.param mc_c_cox_2p0fF=0 - -.lib 'transistors.ngspice' mim_cap -.ENDL -**************************************************** -* -.lib moscap_typical -.param - + nmoscap_3p3_corner=1 - + pmoscap_3p3_corner=1 - + nmoscap_6p0_corner=1 - + pmoscap_6p0_corner=1 - + nmoscap_3p3_b_corner=1 - + pmoscap_3p3_b_corner=1 - + nmoscap_6p0_b_corner=1 - + pmoscap_6p0_b_corner=1 - -.lib 'transistors.ngspice' moscap -.ENDL -* -.lib moscap_ff -.param - + nmoscap_3p3_corner=0.9 - + pmoscap_3p3_corner=0.9 - + nmoscap_6p0_corner=0.9 - + pmoscap_6p0_corner=0.9 - + nmoscap_3p3_b_corner=0.9 - + pmoscap_3p3_b_corner=0.9 - + nmoscap_6p0_b_corner=0.9 - + pmoscap_6p0_b_corner=0.9 - -.lib 'transistors.ngspice' moscap -.ENDL -* -.lib moscap_ss -.param - + nmoscap_3p3_corner=1.1 - + pmoscap_3p3_corner=1.1 - + nmoscap_6p0_corner=1.1 - + pmoscap_6p0_corner=1.1 - + nmoscap_3p3_b_corner=1.1 - + pmoscap_3p3_b_corner=1.1 - + nmoscap_6p0_b_corner=1.1 - + pmoscap_6p0_b_corner=1.1 - -.lib 'transistors.ngspice' moscap -.ENDL -**************************************************** -* -.LIB statistical - .param - -* MOSFET statistical parameters - + mc_sig_vth2 = agauss(0, 1, 3) - + mc_toxe2 = agauss(0, 1, 3) - + mc_xl2 = agauss(0, 1, 3) - + mc_xw2 = agauss(0, 1, 3) - + mc_xj2 = agauss(0, 1, 3) - - + mc_sig_vthN2 = agauss(0, 1, 3) - + mc_toxeN2 = agauss(0, 1, 3) - + mc_xlN2 = agauss(0, 1, 3) - + mc_xwN2 = agauss(0, 1, 3) - + mc_xjN2 = agauss(0, 1, 3) - + mc_rdswN2 = agauss(0, 1, 3) - - + mc_sig_vthP2 = agauss(0, 1, 3) - + mc_toxeP2 = agauss(0, 1, 3) - + mc_xlP2 = agauss(0, 1, 3) - + mc_xwP2 = agauss(0, 1, 3) - + mc_xjP2 = agauss(0, 1, 3) - + mc_rdswP2 = agauss(0, 1, 3) - + mc_rsh_nplus_u_temp=agauss(0, 3.85, 3) - + mc_rsh_pplus_u_temp=agauss(0, 10, 3) - - - + mc_sig_vth = mc_sig_vth2 - + mc_toxe = mc_toxe2 - + mc_xl = mc_xl2 - + mc_xw = mc_xw2 - + mc_xj = mc_xj2 - - + mc_sig_vthN = mc_sig_vthN2 - + mc_toxeN = mc_toxeN2 - + mc_xlN = mc_xlN2 - + mc_xwN = mc_xwN2 - + mc_xjN = mc_xjN2 - + mc_rdswN = mc_rdswN2 - - + mc_sig_vthP = mc_sig_vthP2 - + mc_toxeP = mc_toxeP2 - + mc_xlP = mc_xlP2 - + mc_xwP = mc_xwP2 - + mc_xjP = mc_xjP2 - + mc_rdswP = mc_rdswP2 - + mc_rsh_nplus_u=mc_rsh_nplus_u_temp - + mc_rsh_pplus_u=mc_rsh_pplus_u_temp - - - ****** 3.3V devices monte carlo parameters ****** - .param - + nmos_3p3_sig_vth1 = '(5e-3*mc_sig_vth+30e-3*mc_sig_vthN)*sw_stat_global*mc_skew' - + nmos_3p3_sig_vth2 = '(5e-3*mc_sig_vth+25e-3*mc_sig_vthN)*sw_stat_global*mc_skew' - + nmos_3p3_sig_vth3 = '(5e-3*mc_sig_vth+15e-3*mc_sig_vthN)*sw_stat_global*mc_skew' - + nmos_3p3_tox = '8e-009 + (1.6e-10*mc_toxe+0.3e-10*mc_toxeN)*sw_stat_global*mc_skew' - + nmos_3p3_xl = '(7e-9*mc_xl+6e-9*mc_xlN)*sw_stat_global*mc_skew' - + nmos_3p3_xw = '(7e-9*mc_xw+3e-9*mc_xwN)*sw_stat_global*mc_skew' - + nmos_3p3_xj = '1e-7 + (0.3e-9*mc_xj+0.7e-9*mc_xjN)*sw_stat_global*mc_skew' - + nmos_3p3_rdsw = '530 * (1+0.15* mc_rdswN*sw_stat_global*mc_skew)' - + nmos_3p3_vth0_0 = '0.70837662 + nmos_3p3_sig_vth1' - + nmos_3p3_vth0_1 = '0.67781184 + nmos_3p3_sig_vth1' - + nmos_3p3_vth0_2 = '0.66097097 + nmos_3p3_sig_vth2' - + nmos_3p3_vth0_3 = '0.66064857 + nmos_3p3_sig_vth2' - + nmos_3p3_vth0_4 = '0.72356597 + nmos_3p3_sig_vth1' - + nmos_3p3_vth0_5 = '0.67504024 + nmos_3p3_sig_vth2' - + nmos_3p3_vth0_6 = '0.64923469 + nmos_3p3_sig_vth2' - + nmos_3p3_vth0_7 = '0.65055971 + nmos_3p3_sig_vth3' - + nmos_3p3_vth0_8 = '0.75419347 + nmos_3p3_sig_vth2' - + nmos_3p3_vth0_9 = '0.66260505 + nmos_3p3_sig_vth2' - + nmos_3p3_vth0_10 = '0.64815901 + nmos_3p3_sig_vth3' - + nmos_3p3_vth0_11 = '0.64889718 + nmos_3p3_sig_vth3' - + nmos_3p3_vth0_12 = '0.74840818 + nmos_3p3_sig_vth2' - + nmos_3p3_vth0_13 = '0.66297571 + nmos_3p3_sig_vth3' - + nmos_3p3_vth0_14 = '0.64787864 + nmos_3p3_sig_vth3' - + nmos_3p3_vth0_15 = '0.64857 + nmos_3p3_sig_vth3' - - .param - + pmos_3p3_sig_vth1 = '(-5e-3*mc_sig_vth-38e-3*mc_sig_vthP)*sw_stat_global*mc_skew' - + pmos_3p3_sig_vth2 = '(-5e-3*mc_sig_vth-30e-3*mc_sig_vthP)*sw_stat_global*mc_skew' - + pmos_3p3_sig_vth3 = '(-5e-3*mc_sig_vth-18e-3*mc_sig_vthP)*sw_stat_global*mc_skew' - + pmos_3p3_tox = '7.9e-009 + (1.6e-10*mc_toxe+0.3e-10*mc_toxeP)*sw_stat_global*mc_skew' - + pmos_3p3_xl = '(7e-9*mc_xl+4e-9*mc_xlP)*sw_stat_global*mc_skew' - + pmos_3p3_xw = '(7e-9*mc_xw+3e-9*mc_xwP)*sw_stat_global*mc_skew' - + pmos_3p3_xj = '1.0e-7 + (0.3e-9*mc_xj+0.7e-9*mc_xjP)*sw_stat_global*mc_skew' - + pmos_3p3_rdsw = '466 * (1+0.15*mc_rdswP*sw_stat_global*mc_skew)' - + pmos_3p3_vth0_0 = '-0.7506174 + pmos_3p3_sig_vth1' - + pmos_3p3_vth0_1 = '-0.78216327 + pmos_3p3_sig_vth1' - + pmos_3p3_vth0_2 = '-0.76745877 + pmos_3p3_sig_vth2' - + pmos_3p3_vth0_3 = '-0.76841429 + pmos_3p3_sig_vth2' - + pmos_3p3_vth0_4 = '-0.7710094 + pmos_3p3_sig_vth1' - + pmos_3p3_vth0_5 = '-0.77464237 + pmos_3p3_sig_vth2' - + pmos_3p3_vth0_6 = '-0.77376777 + pmos_3p3_sig_vth2' - + pmos_3p3_vth0_7 = '-0.77390514 + pmos_3p3_sig_vth3' - + pmos_3p3_vth0_8 = '-0.76226585 + pmos_3p3_sig_vth2' - + pmos_3p3_vth0_9 = '-0.76552347 + pmos_3p3_sig_vth2' - + pmos_3p3_vth0_10 = '-0.7677531 + pmos_3p3_sig_vth3' - + pmos_3p3_vth0_11 = '-0.7682 + pmos_3p3_sig_vth3' - + pmos_3p3_vth0_12 = '-0.76184364 + pmos_3p3_sig_vth2' - + pmos_3p3_vth0_13 = '-0.76642857 + pmos_3p3_sig_vth3' - + pmos_3p3_vth0_14 = '-0.76779091 + pmos_3p3_sig_vth3' - + pmos_3p3_vth0_15 = '-0.7682 + pmos_3p3_sig_vth3' - - ****** 6.0V devices monte carlo parameters ****** - .param - + nmos_6p0_vsat = '(1-0.063* mc_rdswN*sw_stat_global*mc_skew)' - + nmos_6p0_vth0 = '(8e-3*mc_sig_vth+28e-3*mc_sig_vthN)*sw_stat_global*mc_skew' - + nmos_6p0_xl = '(2e-8*mc_xl+0*mc_xlN)*sw_stat_global*mc_skew' - + nmos_6p0_xw = '(1.5e-8*mc_xw+9e-9*mc_xwN)*sw_stat_global*mc_skew' - + nmos_6p0_tox = '(4e-10*mc_toxe+1.3e-10*mc_toxeN)*sw_stat_global*mc_skew' - + nmos_6p0_cgso = 1 - + nmos_6p0_cgdo = 1 - - .param - + pmos_6p0_vth0 = '-0.8978 + (8e-3*mc_sig_vth+30e-3*mc_sig_vthP)*sw_stat_global*mc_skew' - + pmos_6p0_tox = '156e-010 + (4e-10*mc_toxe+1e-10*mc_toxeP)*sw_stat_global*mc_skew' - + pmos_6p0_xl = '0 + (2e-8*mc_xl+2e-9*mc_xlP)*sw_stat_global*mc_skew' - + pmos_6p0_xw = '0 + (1.5e-8*mc_xw+9e-9*mc_xwP)*sw_stat_global*mc_skew' - + pmos_6p0_xj = '1.5e-7 + (0.3e-9*mc_xj+1e-8*mc_xjP)*sw_stat_global*mc_skew' - + pmos_6p0_rdsw = '1426 * (1+0.2* mc_rdswP*sw_stat_global*mc_skew)' - - .param - + nmos_6p0_nat_vth0 = '-0.039 + (8e-3*mc_sig_vth+60e-3*mc_sig_vthN)*sw_stat_global*mc_skew' - + nmos_6p0_nat_tox = '152e-010 + (4e-10*mc_toxe+6e-10*mc_toxeN)*sw_stat_global*mc_skew' - + nmos_6p0_nat_xl = '0 + (2e-8*mc_xl+8e-8*mc_xlN)*sw_stat_global*mc_skew' - + nmos_6p0_nat_xw = '0 + (1.5e-8*mc_xw+8e-8*mc_xwN)*sw_stat_global*mc_skew' - + nmos_6p0_nat_xj = '1.5e-7 + (0.3e-9*mc_xj+2e-8*mc_xjN)*sw_stat_global*mc_skew' - + nmos_6p0_nat_rdsw = '3480 * (1+0.2* mc_rdswN*sw_stat_global*mc_skew)' - -.param -+ rsh_nplus2_u=60 -+ rsh_pplus2_u=185 - -+ rsh_nplus_u_m = 'rsh_nplus2_u*(1+(mc_rsh_nplus_u/(rsh_nplus2_u))*res_mc_skew*sw_stat_global)' -+ rsh_pplus_u_m = 'rsh_pplus2_u*(1+(mc_rsh_pplus_u/(rsh_pplus2_u))*res_mc_skew*sw_stat_global)' - - .lib 'transistors.ngspice' fets_mm - .lib 'transistors.ngspice' nmos_3p3_stat - .lib 'transistors.ngspice' pmos_3p3_stat - .lib 'transistors.ngspice' nmos_6p0_t - .lib 'transistors.ngspice' pmos_6p0_stat - .lib 'transistors.ngspice' nmos_6p0_nat_stat - .lib 'transistors.ngspice' noise_corner - -.ENDL -* -* -.LIB noise_corner - .param - +nmos_3p3_noia='(fnoicor==0)*3.2e+041 + (fnoicor==1)*3.5e+042' - +nmos_3p3_noib='(fnoicor==0)*1.2e+020 + (fnoicor==1)*1.2e+020' - +nmos_3p3_noic='(fnoicor==0)*6.0e+008 + (fnoicor==1)*6.0e+008' - - +pmos_3p3_noia='(fnoicor==0)*3.2e+041 + (fnoicor==1)*4.0e+042' - +pmos_3p3_noib='(fnoicor==0)*1.8e+020 + (fnoicor==1)*1.8e+020' - +pmos_3p3_noic='(fnoicor==0)*3.0e+009 + (fnoicor==1)*6.0e+009' - - +nmos_6p0_noia='(fnoicor==0)*1.998e+041 + (fnoicor==1)*8e+041' - +nmos_6p0_noib='(fnoicor==0)*1e+025 + (fnoicor==1)*4e+025' - +nmos_6p0_noic='(fnoicor==0)*5e+008 + (fnoicor==1)*2e+009' - - +pmos_6p0_noia='(fnoicor==0)*6e+040 + (fnoicor==1)*2e+043' - +pmos_6p0_noib='(fnoicor==0)*1.5945e+025 + (fnoicor==1)*1.5945e+025' - +pmos_6p0_noic='(fnoicor==0)*1.0499e+009 + (fnoicor==1)*1.0499e+009' - - +nmos_6p0_nat_noia='(fnoicor==0)*5.5e+040 + (fnoicor==1)*1e+041' - +nmos_6p0_nat_noib='(fnoicor==0)*2.5e+025 + (fnoicor==1)*9.5e+025' - +nmos_6p0_nat_noic='(fnoicor==0)*1e+007 + (fnoicor==1)*2e+007' - -.ENDL -* -* -*************************************************************************************************** -* 3.3V NMOS Models -*************************************************************************************************** -* -.lib nmos_3p3_t - - -.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.007148 -+ par_k=0.007008 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' - - -m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model nmos_3p3.0 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.70837662 -+lvth0 = -3.8715455e-008 -+wvth0 = -1.430587e-008 -+pvth0 = 4.3636364e-016 -+k1 = 0.95938091 -+lk1 = -9.9985454e-008 -+k2 = 0.054714558 -+lk2 = -4.1647636e-008 -+wk2 = -1.9242857e-008 -+pk2 = 5.388e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.1262652 -+lvoff = 3.9354545e-009 -+wvoff = 5.3064935e-009 -+pvoff = -1.4858182e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.023671338 -+lu0 = 4.6525455e-009 -+wu0 = 4.6066597e-009 -+pu0 = -6.5127273e-016 -+ua = -1.1554452e-009 -+lua = 7.0220545e-016 -+wua = 2.7073777e-016 -+pua = -1.4149745e-022 -+ub = 3.3771156e-018 -+lub = -7.9058636e-025 -+wub = -4.093733e-025 -+pub = 9.2644364e-032 -+uc = 2.2660166e-010 -+luc = -6.1360545e-017 -+wuc = -3.2577351e-017 -+puc = 5.4467782e-024 -+eu = 1.67 -+vsat = 92454.546 -+lvsat = -0.0027272727 -+wvsat = -0.00021818182 -+pvsat = 1.3090909e-009 -+a0 = 0.11197377 -+la0 = -3.1454545e-009 -+wa0 = -6.2322078e-009 -+pa0 = 1.7450182e-015 -+ags = 0.32403844 -+lags = -1.5116364e-008 -+wags = 4.7930493e-008 -+pags = -1.2213818e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.14896036 -+lketa = 3.8830182e-008 -+wketa = 8.1643636e-009 -+pketa = -2.4261818e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.3741 -+lpclm = -4.729e-008 -+wpclm = 2.1028364e-008 -+ppclm = 8.5658182e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0036363636 -+ldelta = 3.1818182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.652013e-006 -+lalpha0 = -3.0506364e-013 -+walpha0 = 4.8779221e-014 -+palpha0 = -1.3658182e-020 -+alpha1 = 0 -+beta0 = 19.905584 -+lbeta0 = 1.2863636e-007 -+wbeta0 = 1.3848312e-007 -+pbeta0 = 8.7272727e-016 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.45934558 -+lkt1 = 4.2126364e-008 -+wkt1 = 3.2086753e-008 -+pkt1 = -8.6530909e-015 -+kt1l = 0 -+kt2 = -0.024730519 -+lkt2 = 1.2545455e-009 -+wkt2 = 1.0597403e-009 -+pkt2 = -2.9672727e-016 -+ute = -1.5675325 -+lute = 9.0909091e-008 -+wute = 1.0441558e-007 -+pute = -4.3636364e-014 -+ua1 = 1.675e-009 -+ub1 = -4.1945234e-018 -+lub1 = 2.8745455e-025 -+wub1 = 3.3492467e-025 -+pub1 = -5.7490909e-032 -+uc1 = -4.2363636e-011 -+luc1 = -3.8181818e-018 -+wuc1 = -6.5454545e-018 -+puc1 = 1.8327273e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.1 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.67781184 -+lvth0 = -2.3433061e-008 -+wvth0 = -1.2304653e-008 -+pvth0 = -5.642449e-016 -+k1 = 0.74639857 -+lk1 = 6.5057143e-009 -+k2 = 0.0237458 -+lk2 = -2.6163257e-008 -+wk2 = -3.01296e-009 -+pk2 = -2.7269486e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.11273959 -+lvoff = -2.8273469e-009 -+wvoff = 1.6942041e-009 -+pvoff = 3.2032653e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.029675694 -+lu0 = 1.6503673e-009 -+wu0 = 8.572898e-010 -+pu0 = 1.2234122e-015 -+ua = -1.2961984e-009 -+lua = 7.7258204e-016 -+wua = 4.7264816e-017 -+pua = -2.976098e-023 -+ub = 3.0836898e-018 -+lub = -6.4387347e-025 -+wub = -2.7080816e-026 -+pub = -9.8501878e-032 -+uc = 8.4613959e-011 -+luc = 9.6333061e-018 -+wuc = 2.2398367e-018 -+puc = -1.1961815e-023 -+eu = 1.67 -+vsat = 83571.429 -+lvsat = 0.0017142857 -+wvsat = -0.0017142857 -+pvsat = 2.0571429e-009 -+a0 = 1.0861147 -+la0 = -4.9021592e-007 -+wa0 = -5.1997224e-008 -+pa0 = 2.4627526e-014 -+ags = 0.47870122 -+lags = -9.2447755e-008 -+wags = 4.3304327e-008 -+pags = -9.9007347e-015 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.028417143 -+lketa = -2.1441429e-008 -+wketa = -7.4262857e-009 -+pketa = 5.3691429e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.082893878 -+lpclm = 9.8313061e-008 -+wpclm = 4.3902367e-008 -+ppclm = -2.8711837e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.001359 -+lpdiblc2= 9.06e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0014285714 -+ldelta = 4.2857143e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.5720816e-006 -+lalpha0 = -2.265098e-012 -+walpha0 = -1.5330612e-014 -+palpha0 = 1.8396735e-020 -+alpha1 = 0 -+beta0 = 22.625306 -+lbeta0 = -1.2312245e-006 -+wbeta0 = -3.5054694e-007 -+pbeta0 = 2.4538775e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33916633 -+lkt1 = -1.7963265e-008 -+wkt1 = -2.4641633e-009 -+pkt1 = 8.6223674e-015 -+kt1l = 0 -+kt2 = -0.020311225 -+lkt2 = -9.5510204e-010 -+wkt2 = -3.9183673e-011 -+pkt2 = 2.5273469e-016 -+ute = -1.3857143 -+wute = 1.7142857e-008 -+ua1 = 1.675e-009 -+ub1 = -2.804398e-018 -+lub1 = -4.0760816e-025 -+wub1 = 5.6899592e-026 -+pub1 = 8.1521633e-032 -+uc1 = -6.0285714e-011 -+luc1 = 5.1428571e-018 -+wuc1 = 2.0571429e-018 -+puc1 = -2.4685714e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.2 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.66097097 -+lvth0 = -3.224026e-009 -+wvth0 = -9.7008312e-009 -+pvth0 = -3.6888312e-015 -+k1 = 0.79593364 -+lk1 = -5.2936364e-008 -+k2 = 0.0056393844 -+lk2 = -4.4355584e-009 -+wk2 = -7.4596769e-009 -+pk2 = 2.6091117e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12631325 -+lvoff = 1.3461039e-008 -+wvoff = 2.0819221e-009 -+pvoff = -1.4493507e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032447266 -+lu0 = -1.6755195e-009 -+wu0 = 6.7095584e-010 -+pu0 = 1.447013e-015 -+ua = -8.1547091e-010 -+lua = 1.9570909e-016 -+wua = 6.0458182e-018 -+pua = 1.9701818e-023 -+ub = 2.7427942e-018 -+lub = -2.347987e-025 -+wub = -1.6048831e-026 -+pub = -1.1174026e-031 -+uc = 9.84685e-011 -+luc = -6.9921429e-018 -+wuc = -8.8975636e-018 -+puc = 1.4030649e-024 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.224418 -+la0 = -6.5617987e-007 -+wa0 = 4.291948e-009 -+pa0 = -4.2919481e-014 -+ags = 0.25784649 -+lags = 1.7257792e-007 -+wags = -2.606026e-009 -+pags = 4.5191688e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.019651071 -+lketa = -3.1960714e-008 -+wketa = -6.5992208e-010 -+pketa = -2.7504935e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.18918506 -+lpclm = -2.9236364e-008 -+wpclm = 2.1551688e-009 -+ppclm = 4.7225454e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064013636 -+lpdiblc2= 1.7686364e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027272727 -+ldelta = 2.7272727e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 7.5243347e-005 -+lalpha0 = -8.4670617e-011 -+walpha0 = 7.5358442e-012 -+palpha0 = -9.043013e-018 -+alpha1 = 0 -+beta0 = 24.210162 -+lbeta0 = -3.133052e-006 -+wbeta0 = 1.1381299e-007 -+pbeta0 = -3.1184416e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.32898149 -+lkt1 = -3.0185065e-008 -+wkt1 = -7.3528831e-009 -+pkt1 = 1.4488831e-014 -+kt1l = 0 -+kt2 = -0.021107143 -+wkt2 = 1.7142857e-010 -+ute = -1.3857143 -+wute = 1.7142857e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5166039e-018 -+lub1 = -7.5296104e-025 -+wub1 = 2.224987e-026 -+pub1 = 1.231013e-031 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.3 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.66064857 -+wvth0 = -1.0069714e-008 -+k1 = 0.79064 -+k2 = 0.0051958286 -+wk2 = -7.1987657e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12496714 -+wvoff = 2.0674286e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032279714 -+wu0 = 8.1565714e-010 -+ua = -7.959e-010 -+wua = 8.016e-018 -+ub = 2.7193143e-018 -+wub = -2.7222857e-026 -+uc = 9.7769286e-011 -+wuc = -8.7572571e-018 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.1588 -+ags = 0.27510429 -+wags = 1.9131429e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.022847143 -+wketa = -9.3497143e-010 -+dwg = 0 -+dwb = 0 -+pclm = 0.18626143 -+wpclm = 6.8777143e-009 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.6776286e-005 -+walpha0 = 6.6315429e-012 -+alpha1 = 0 -+beta0 = 23.896857 -+wbeta0 = 8.2628571e-008 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.332 -+wkt1 = -5.904e-009 -+kt1l = 0 -+kt2 = -0.021107143 -+wkt2 = 1.7142857e-010 -+ute = -1.3857143 -+wute = 1.7142857e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5919e-018 -+wub1 = 3.456e-026 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.4 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.72356597 -+lvth0 = -4.1979273e-008 -+wvth0 = -2.1596758e-008 -+pvth0 = 2.0029964e-015 -+k1 = 0.95938091 -+lk1 = -9.9985454e-008 -+k2 = 0.041255727 -+lk2 = -3.7879164e-008 -+wk2 = -1.2782618e-008 -+pk2 = 3.5791331e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.079311948 -+lvoff = -9.2114546e-009 -+wvoff = -1.7231065e-008 -+pvoff = 4.8246982e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.033011551 -+lu0 = 4.0251818e-009 -+wu0 = 1.2335751e-010 -+pu0 = -3.5013818e-016 -+ua = -6.3005701e-010 -+lua = 3.9938436e-016 -+wua = 1.8551439e-017 -+pua = 3.8566691e-024 -+ub = 2.2836418e-018 -+lub = -9.0230909e-026 -+wub = 1.1549411e-025 -+pub = -2.4352626e-031 -+uc = 1.5877203e-010 -+luc = -3.4349127e-017 -+wuc = -1.9125195e-020 -+puc = -7.5187026e-024 -+eu = 1.67 -+vsat = 71618.182 -+lvsat = 0.0042909091 -+wvsat = 0.0097832727 -+pvsat = -2.0596364e-009 -+a0 = 0.10680558 -+la0 = -1.6983636e-009 -+wa0 = -3.7514805e-009 -+pa0 = 1.0504145e-015 -+ags = 0.35500309 -+lags = -1.1780546e-008 -+wags = 3.3067462e-008 -+pags = -1.3815011e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12490989 -+lketa = 3.0254945e-008 -+wketa = -3.3798633e-009 -+pketa = 1.6899316e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.45921829 -+lpclm = -8.0088e-008 -+wpclm = -1.9828414e-008 -+ppclm = 2.4308858e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0036363636 -+ldelta = 3.1818182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6500109e-006 -+lalpha0 = -2.8170545e-013 -+walpha0 = 4.9740218e-014 -+palpha0 = -2.4870109e-020 -+alpha1 = 0 -+beta0 = 20.982852 -+lbeta0 = -8.9454546e-008 -+wbeta0 = -3.786053e-007 -+pbeta0 = 1.0555636e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.37773746 -+lkt1 = 1.6718727e-008 -+wkt1 = -7.0851491e-009 -+pkt1 = 3.5425745e-015 -+kt1l = 0 -+kt2 = -0.014603854 -+lkt2 = -3.3230727e-009 -+wkt2 = -3.8010589e-009 -+pkt2 = 1.9005294e-015 -+ute = -1.4342857 -+wute = 4.0457143e-008 -+ua1 = 1.675e-009 -+ub1 = -3.65896e-018 -+lub1 = 2.4878e-025 -+wub1 = 7.7854254e-026 -+pub1 = -3.8927127e-032 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.5 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.67504024 -+lvth0 = -1.7716408e-008 -+wvth0 = -1.0974289e-008 -+pvth0 = -3.3082384e-015 -+k1 = 0.76833212 -+lk1 = -4.4610612e-009 -+wk1 = -1.0528104e-008 -+pk1 = 5.2640522e-015 -+k2 = 0.0082103273 -+lk2 = -2.1356464e-008 -+wk2 = 4.4440669e-009 -+pk2 = -5.0342094e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12049225 -+lvoff = 1.1378694e-008 -+wvoff = 5.4154776e-009 -+pvoff = -6.4985731e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.031181163 -+lu0 = 4.9403755e-009 -+wu0 = 1.3466449e-010 -+pu0 = -3.5579167e-016 -+ua = -1.1586455e-009 -+lua = 6.6367861e-016 -+wua = -1.8760555e-017 -+pua = 2.2512666e-023 -+ub = 2.8240225e-018 -+lub = -3.6042122e-025 -+wub = 9.755951e-026 -+pub = -2.3455895e-031 -+uc = 8.1997037e-011 -+luc = 4.0383673e-018 -+wuc = 3.4959595e-018 -+puc = -9.2762449e-024 -+eu = 1.67 -+vsat = 88428.571 -+lvsat = -0.0041142857 -+wvsat = -0.0040457143 -+pvsat = 4.8548571e-009 -+a0 = 0.97533082 -+la0 = -4.3596098e-007 -+wa0 = 1.1790367e-009 -+pa0 = -1.4148441e-015 -+ags = 0.441074 -+lags = -5.4816e-008 -+wags = 6.1365394e-008 -+pags = -2.7963977e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043888571 -+lketa = -1.0255714e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.21719837 -+lpclm = 4.0921959e-008 -+wpclm = -2.0563788e-008 -+ppclm = 2.4676545e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.001359 -+lpdiblc2= 9.06e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0014285714 -+ldelta = 4.2857143e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.8164074e-006 -+lalpha0 = -2.3649037e-012 -+walpha0 = -1.3260696e-013 -+palpha0 = 6.6303478e-020 -+alpha1 = 0 -+beta0 = 21.036008 -+lbeta0 = -1.1603265e-007 -+wbeta0 = 4.1231608e-007 -+pbeta0 = -2.8990433e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.4079911 -+lkt1 = 3.1845551e-008 -+wkt1 = 3.0571729e-008 -+pkt1 = -1.5285865e-014 -+kt1l = 0 -+kt2 = -0.031229592 -+lkt2 = 4.9897959e-009 -+wkt2 = 5.2016327e-009 -+pkt2 = -2.6008163e-015 -+ute = -1.4342857 -+wute = 4.0457143e-008 -+ua1 = 1.675e-009 -+ub1 = -2.8098294e-018 -+lub1 = -1.7578531e-025 -+wub1 = 5.9506678e-026 -+pub1 = -2.9753339e-032 -+uc1 = -1.1888774e-010 -+luc1 = 3.1443869e-017 -+wuc1 = 3.0186115e-017 -+puc1 = -1.5093057e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.6 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.64923469 -+lvth0 = 1.325026e-008 -+wvth0 = -4.067414e-009 -+pvth0 = -1.1596488e-014 -+k1 = 0.79418892 -+lk1 = -3.5489221e-008 -+wk1 = 8.3746286e-010 -+pk1 = -8.3746286e-015 -+k2 = -0.0057236965 -+lk2 = -4.6356351e-009 -+wk2 = -2.005398e-009 -+pk2 = 2.7051485e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12197591 -+lvoff = 1.3159091e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036490513 -+lu0 = -1.4308442e-009 -+wu0 = -1.2698026e-009 -+pu0 = 1.3295688e-015 -+ua = -7.881063e-010 -+lua = 2.1903156e-016 -+wua = -7.0891948e-018 -+pua = 8.5070338e-024 -+ub = 3.0594896e-018 -+lub = -6.4298182e-025 -+wub = -1.6806265e-025 -+pub = 8.4187636e-032 -+uc = 9.7557278e-011 -+luc = -1.4633922e-017 -+wuc = -8.460177e-018 -+puc = 5.071119e-024 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.2333595 -+la0 = -7.4559545e-007 -+ags = 0.28370796 -+lags = 1.3402325e-007 -+wags = -1.501953e-008 -+pags = 6.3697932e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021025909 -+lketa = -3.7690909e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.22708279 -+lpclm = 2.9060649e-008 -+wpclm = -1.603574e-008 -+ppclm = 1.9242888e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064013636 -+lpdiblc2= 1.7686364e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027272727 -+ldelta = 2.7272727e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0921047e-005 -+lalpha0 = -1.0329047e-010 -+walpha0 = 1.0548281e-014 -+palpha0 = -1.0548281e-019 -+alpha1 = 0 -+beta0 = 24.039866 -+lbeta0 = -3.7206623e-006 -+wbeta0 = 1.9555512e-007 -+pbeta0 = -2.9791169e-014 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33923366 -+lkt1 = -5.0663377e-008 -+wkt1 = -2.4318421e-009 -+pkt1 = 2.4318421e-014 -+kt1l = 0 -+kt2 = -0.021803571 -+lkt2 = -6.3214286e-009 -+wkt2 = 5.0571429e-010 -+pkt2 = 3.0342857e-015 -+ute = -1.7216234 -+lute = 3.448052e-007 -+wute = 1.7837922e-007 -+pute = -1.6550649e-013 -+ua1 = 1.675e-009 -+ub1 = -3.5465249e-018 -+lub1 = 7.0824935e-025 -+wub1 = 5.1661197e-025 -+pub1 = -5.7827969e-031 -+uc1 = -5.0997566e-011 -+luc1 = -5.0024338e-017 -+wuc1 = -2.4011682e-018 -+puc1 = 2.4011682e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.7 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.65055971 -+wvth0 = -5.2270629e-009 -+k1 = 0.79064 -+k2 = -0.00618726 -+wk2 = -1.7348832e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036347429 -+wu0 = -1.1368457e-009 -+ua = -7.6620314e-010 -+wua = -6.2384914e-018 -+ub = 2.9951914e-018 -+wub = -1.5964389e-025 -+uc = 9.6093886e-011 -+wuc = -7.9530651e-018 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.1588 -+ags = 0.29711029 -+wags = -8.6497371e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.22998886 -+wpclm = -1.4111451e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 23.6678 -+wbeta0 = 1.92576e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3443 -+kt1l = 0 -+kt2 = -0.022435714 -+wkt2 = 8.0914286e-010 -+ute = -1.6871429 -+wute = 1.6182857e-007 -+ua1 = 1.675e-009 -+ub1 = -3.4757e-018 -+wub1 = 4.58784e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.8 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.75419347 -+lvth0 = -5.5747725e-008 -+wvth0 = -5.7737207e-008 -+pvth0 = 1.824977e-014 -+k1 = 0.95060511 -+lk1 = -9.5597554e-008 -+wk1 = 1.0355446e-008 -+pk1 = -5.177723e-015 -+k2 = 0.013945175 -+lk2 = -3.0232209e-008 -+wk2 = 1.9443834e-008 -+pk2 = -5.4442735e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12424632 -+lvoff = 6.8691116e-010 -+wvoff = 3.5791497e-008 -+pvoff = -6.8553733e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.046898182 -+lu0 = 1.7050207e-010 -+wu0 = -1.6262868e-008 -+pu0 = 4.1983839e-015 -+ua = -6.6207759e-010 -+lua = 2.5458994e-016 -+wua = 5.6335718e-017 -+pua = 1.7471409e-022 -+ub = 3.7962141e-018 -+lub = -3.3240512e-025 -+wub = -1.6693412e-024 -+pub = 4.2239319e-032 -+uc = 2.9436835e-010 -+luc = -6.8059408e-017 -+wuc = -1.6002278e-016 -+puc = 3.2259428e-023 -+eu = 1.67 -+vsat = 85682.645 -+lvsat = -0.00034132231 -+wvsat = -0.0068127934 -+pvsat = 3.4063967e-009 -+a0 = 0.10362636 -+la0 = -8.0818182e-010 -+ags = 0.2705431 -+lags = 3.2753448e-008 -+wags = 1.3273025e-007 -+pags = -6.6365124e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12424077 -+lketa = 2.9920384e-008 -+wketa = -4.1694295e-009 -+pketa = 2.0847148e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.20476889 -+lpclm = -9.798626e-009 -+wpclm = 2.8042187e-007 -+ppclm = -5.8632603e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0036363636 -+ldelta = 3.1818182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.5953123e-006 -+lalpha0 = -2.5435614e-013 -+walpha0 = 1.1428461e-013 -+palpha0 = -5.7142305e-020 -+alpha1 = 0 -+beta0 = 21.140586 -+wbeta0 = -5.6473191e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.59809917 -+lnoff = 1.2990496e-006 -+wnoff = 3.065757e-006 -+pnoff = -1.5328785e-012 -+voffcv = 0.22872521 -+lvoffcv = -1.118626e-007 -+wvoffcv = -2.6399574e-007 -+pvoffcv = 1.3199787e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28115299 -+lkt1 = -1.0099496e-008 -+wkt1 = -1.2105482e-007 -+pkt1 = 3.5188078e-014 -+kt1l = 0 -+kt2 = -0.025449687 -+lkt2 = 9.6575269e-010 -+wkt2 = 8.9970236e-009 -+pkt2 = -3.1602845e-015 -+ute = -1.5701136 -+wute = 2.0073409e-007 -+ua1 = 1.675e-009 -+ub1 = -5.3788142e-018 -+lub1 = 4.827456e-025 -+wub1 = 2.1072821e-024 -+pub1 = -3.1500653e-031 -+uc1 = -2.2938539e-010 -+luc1 = 4.973267e-017 -+wuc1 = 2.0459475e-016 -+puc1 = -5.8684551e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.9 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.66260505 -+lvth0 = -9.953513e-009 -+wvth0 = 3.6992425e-009 -+pvth0 = -1.2468455e-014 -+k1 = 0.75941 -+k2 = 0.017155231 -+lk2 = -3.1837237e-008 -+wk2 = -6.1109193e-009 -+pk2 = 7.3331031e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10253679 -+lvoff = -1.0167857e-008 -+wvoff = -1.5771964e-008 -+pvoff = 1.8926357e-014 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.038465008 -+lu0 = 4.387089e-009 -+wu0 = -8.4602728e-009 -+pu0 = 2.9708645e-016 -+ua = -9.289245e-010 -+lua = 3.880134e-016 -+wua = -2.8983135e-016 -+pua = 3.4779762e-022 -+ub = 3.4725304e-018 -+lub = -1.7056325e-025 -+wub = -6.6767982e-025 -+pub = -4.5859137e-031 -+uc = 1.5722431e-010 -+luc = 5.1261039e-019 -+wuc = -8.5272224e-017 -+puc = -5.1158517e-024 -+eu = 1.67 -+vsat = 85000 -+a0 = 0.57970277 -+la0 = -2.3884638e-007 -+wa0 = 4.6802014e-007 -+pa0 = -2.3401007e-013 -+ags = 0.63340774 -+lags = -1.4867887e-007 -+wags = -1.6558842e-007 -+pags = 8.279421e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043888571 -+lketa = -1.0255714e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.047719 -+lpclm = 6.8726318e-008 -+wpclm = 1.7942187e-007 -+ppclm = -8.1325983e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.001359 -+lpdiblc2= 9.06e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0014285714 -+ldelta = 4.2857143e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7040286e-006 -+lalpha0 = -2.3087143e-012 -+alpha1 = 0 -+beta0 = 21.043581 -+lbeta0 = 4.8502597e-008 -+wbeta0 = 4.0337993e-007 -+pbeta0 = -4.8405592e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30297354 -+lkt1 = 8.1077922e-010 -+wkt1 = -9.3348999e-008 -+pkt1 = 2.1335166e-014 -+kt1l = 0 -+kt2 = -0.021799026 -+lkt2 = -8.5957792e-010 -+wkt2 = -5.9264351e-009 -+pkt2 = 4.3014448e-015 -+ute = -1.5701136 -+wute = 2.0073409e-007 -+ua1 = 1.675e-009 -+ub1 = -3.0334126e-018 -+lub1 = -6.899552e-025 -+wub1 = 3.2333483e-025 -+pub1 = 5.7696713e-031 -+uc1 = -1.4511739e-010 -+luc1 = 7.5986727e-018 -+wuc1 = 6.1137104e-017 -+puc1 = 1.3044275e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.10 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.64815901 -+lvth0 = 7.3817355e-009 -+wvth0 = -2.7981116e-009 -+pvth0 = -4.6716298e-015 -+k1 = 0.79747612 -+lk1 = -4.5679339e-008 -+wk1 = -3.0414256e-009 -+pk1 = 3.6497107e-015 -+k2 = -0.0074231864 -+lk2 = -2.3431364e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12197591 -+lvoff = 1.3159091e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040494054 -+lu0 = 1.9522345e-009 -+wu0 = -5.9939808e-009 -+pu0 = -2.662464e-015 -+ua = -8.1072595e-010 -+lua = 2.4617513e-016 -+wua = 1.9601988e-017 -+pua = -2.3522386e-023 -+ub = 3.1895805e-018 -+lub = 1.6897655e-025 -+wub = -3.2156993e-025 -+pub = -8.7392324e-031 -+uc = 1.0432829e-010 -+luc = 6.3987831e-017 -+wuc = -1.6449976e-017 -+puc = -8.7702549e-023 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.175342 -+la0 = -9.536135e-007 -+wa0 = 6.8460666e-008 -+pa0 = 2.454613e-013 -+ags = 0.26729169 -+lags = 2.9066039e-007 -+wags = 4.3516718e-009 -+pags = -1.211339e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021025909 -+lketa = -3.7690909e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23344442 -+lpclm = -1.5414418e-007 -+wpclm = -2.3542459e-008 -+ppclm = 2.3542459e-013 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064013636 -+lpdiblc2= 1.7686364e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027272727 -+ldelta = 2.7272727e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0929986e-005 -+lalpha0 = -1.0337986e-010 -+alpha1 = 0 -+beta0 = 24.512311 -+lbeta0 = -4.1139731e-006 -+wbeta0 = -3.6192965e-007 -+pbeta0 = 4.3431558e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.128874 -+lnoff = -1.5464876e-007 -+wnoff = -1.5207128e-007 -+pnoff = 1.8248554e-013 -+voffcv = -0.065880682 -+lvoffcv = 8.5056818e-008 -+wvoffcv = 8.3639205e-008 -+pvoffcv = -1.0036705e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31506405 -+lkt1 = 1.5319401e-008 -+wkt1 = -3.095198e-008 -+pkt1 = -5.3541257e-014 -+kt1l = 0 -+kt2 = -0.016812862 -+lkt2 = -6.8429752e-009 -+wkt2 = -5.3833233e-009 -+pkt2 = 3.6497107e-015 -+ute = -1.5472572 -+lute = -2.7427686e-008 -+wute = -2.7372831e-008 -+pute = 2.7372831e-013 -+ua1 = 1.6533492e-009 -+lua1 = 2.5980992e-017 -+wua1 = 2.5547975e-017 -+pua1 = -3.065757e-023 -+ub1 = -2.1483391e-018 -+lub1 = -1.7520434e-024 -+wub1 = -1.1332474e-024 -+pub1 = 2.3248657e-030 -+uc1 = -4.4711114e-011 -+luc1 = -1.1288886e-016 -+wuc1 = -9.8191818e-018 -+puc1 = 9.8191818e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.11 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.64889718 -+wvth0 = -3.2652745e-009 -+k1 = 0.79290818 -+wk1 = -2.6764545e-009 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040689277 -+wu0 = -6.2602272e-009 -+ua = -7.8610843e-010 -+wua = 1.7249749e-017 -+ub = 3.2064782e-018 -+wub = -4.0896225e-025 -+uc = 1.1072708e-010 -+wuc = -2.5220231e-017 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.0799807 -+wa0 = 9.3006796e-008 -+ags = 0.29635773 -+wags = -7.7617182e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.100914 -+wbeta0 = -3.1849809e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1134091 -+wnoff = -1.3382273e-007 -+voffcv = -0.057375 -+wvoffcv = 7.36025e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31353211 -+wkt1 = -3.6306106e-008 -+kt1l = 0 -+kt2 = -0.017497159 -+wkt2 = -5.0183523e-009 -+ute = -1.55 -+ua1 = 1.6559473e-009 -+wua1 = 2.2482218e-017 -+ub1 = -2.3235434e-018 -+wub1 = -9.0076078e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.12 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.74840818 -+lvth0 = -5.3919091e-008 -+k1 = 0.95164273 -+lk1 = -9.6116364e-008 -+k2 = 0.015893454 -+lk2 = -3.0777727e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.045268636 -+lu0 = 5.9118182e-010 -+ua = -6.5643273e-010 -+lua = 2.7209636e-016 -+ub = 3.6289455e-018 -+lub = -3.2817273e-025 -+uc = 2.78334e-010 -+luc = -6.4827e-017 -+eu = 1.67 -+vsat = 85000 -+a0 = 0.13211844 -+la0 = -1.5054221e-008 -+wa0 = -2.8435094e-007 -+pa0 = 1.4217547e-013 -+ags = 0.46155061 -+lags = -6.2750307e-008 -+wags = -1.7735247e-006 -+pags = 8.8676235e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12105603 -+lketa = 2.8328017e-008 -+wketa = -3.5953066e-008 -+pketa = 1.7976533e-014 -+dwg = 0 -+dwb = 0 -+pclm = 0.23286727 -+lpclm = -1.5673636e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0036363636 -+ldelta = 3.1818182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6067636e-006 -+lalpha0 = -2.6008182e-013 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.3e-010 -+cgdo = 2.3e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.29090909 -+lnoff = 1.1454545e-006 -+voffcv = 0.20227273 -+lvoffcv = -9.8636364e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29328273 -+lkt1 = -6.5736364e-009 -+kt1l = 0 -+kt2 = -0.024548182 -+lkt2 = 6.4909091e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -5.1676636e-018 -+lub1 = 4.5118182e-025 -+uc1 = -2.0888491e-010 -+luc1 = 4.3852454e-017 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.13 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.66297571 -+lvth0 = -1.1202857e-008 -+k1 = 0.75941 -+k2 = 0.016542914 -+lk2 = -3.1102457e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10411714 -+lvoff = -8.2714286e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.037617286 -+lu0 = 4.4168571e-009 -+ua = -9.5796571e-010 -+lua = 4.2286286e-016 -+ub = 3.4056286e-018 -+lub = -2.1651429e-025 -+uc = 1.4868e-010 -+eu = 1.67 -+vsat = 85000 -+a0 = 0.62659857 -+la0 = -2.6229429e-007 -+ags = 0.61681571 -+lags = -1.4038286e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043888571 -+lketa = -1.0255714e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.065697143 -+lpclm = 6.7911429e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.001359 -+lpdiblc2= 9.06e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0014285714 -+ldelta = 4.2857143e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7040286e-006 -+lalpha0 = -2.3087143e-012 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31232714 -+lkt1 = 2.9485714e-009 -+kt1l = 0 -+kt2 = -0.022392857 -+lkt2 = -4.2857143e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -3.0010143e-018 -+lub1 = -6.3214286e-025 -+uc1 = -1.3899143e-010 -+luc1 = 8.9057143e-018 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.14 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.64787864 -+lvth0 = 6.9136364e-009 -+k1 = 0.79717136 -+lk1 = -4.5313636e-008 -+k2 = -0.0074231864 -+lk2 = -2.3431364e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12197591 -+lvoff = 1.3159091e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.039893455 -+lu0 = 1.6854546e-009 -+ua = -8.0876182e-010 -+lua = 2.4381818e-016 -+ub = 3.1573591e-018 -+lub = 8.1409091e-026 -+uc = 1.0268e-010 -+luc = 5.52e-017 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.1822018 -+la0 = -9.2901818e-007 -+ags = 0.26772773 -+lags = 2.7852273e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021025909 -+lketa = -3.7690909e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23108545 -+lpclm = -1.3055455e-007 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064013636 -+lpdiblc2= 1.7686364e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027272727 -+ldelta = 2.7272727e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0929986e-005 -+lalpha0 = -1.0337986e-010 -+alpha1 = 0 -+beta0 = 24.476046 -+lbeta0 = -4.0704545e-006 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1136364 -+lnoff = -1.3636364e-007 -+voffcv = -0.0575 -+lvoffcv = 7.5e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31816545 -+lkt1 = 9.9545454e-009 -+kt1l = 0 -+kt2 = -0.017352273 -+lkt2 = -6.4772727e-009 -+ute = -1.55 -+ua1 = 1.6559091e-009 -+lua1 = 2.2909091e-017 -+ub1 = -2.2618909e-018 -+lub1 = -1.5190909e-024 -+uc1 = -4.5695e-011 -+luc1 = -1.0305e-016 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.15 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8e-009 -+toxp = 8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.64857 -+k1 = 0.79264 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040062 -+ua = -7.8438e-010 -+ub = 3.1655e-018 -+uc = 1.082e-010 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.0893 -+ags = 0.29558 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.069 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.3e-010 -+cgdo = 2.3e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1 -+voffcv = -0.05 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31717 -+kt1l = 0 -+kt2 = -0.018 -+ute = -1.55 -+ua1 = 1.6582e-009 -+ub1 = -2.4138e-018 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - -*resistor - -.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 -*------------------- -* body resistor parameters -*.param rsh_nplus_u_m=60 -+ r_rsh0=rsh_nplus_u_m -+ r_dw=-5E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.36E-3 -+ r_tc2=6.5E-7 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* model for substrate capacitor -.model np_junction d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 - -d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' - -*------------------- -.ends nplus_u_m1 - -.endl nmos_3p3_t -* -* -.lib nmos_3p3_f - - -.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.007148 -+ par_k=0.007008 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) -xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model nmos_3p3.0 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.63571386 -+lvth0 = -3.5583899e-008 -+wvth0 = -1.5283991e-008 -+pvth0 = 4.2939034e-016 -+k1 = 0.94866818 -+lk1 = -9.1790218e-008 -+k2 = 0.052196642 -+lk2 = -3.8749265e-008 -+wk2 = -2.0007159e-008 -+pk2 = 5.3018972e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12637972 -+lvoff = 3.7549747e-009 -+wvoff = 5.5172608e-009 -+pvoff = -1.4620741e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.023697233 -+lu0 = 4.3334833e-009 -+wu0 = 4.8629688e-009 -+pu0 = -6.4086508e-016 -+ua = -1.1068315e-009 -+lua = 6.5818091e-016 -+wua = 2.7394695e-016 -+pua = -1.3923626e-022 -+ub = 3.334019e-018 -+lub = -7.3464598e-025 -+wub = -4.2815738e-025 -+pub = 9.1163863e-032 -+uc = 2.2336e-010 -+luc = -5.6852041e-017 -+wuc = -3.4293319e-017 -+puc = 5.3597361e-024 -+eu = 1.67 -+vsat = 99670.454 -+lvsat = -0.0026289205 -+wvsat = -8.3522727e-005 -+pvsat = 1.288171e-009 -+a0 = 0.11226647 -+la0 = -3.0545135e-009 -+wa0 = -6.4797433e-009 -+pa0 = 1.717132e-015 -+ags = 0.31756239 -+lags = -1.270937e-008 -+wags = 4.9972817e-008 -+pags = -1.2018636e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.14562336 -+lketa = 3.5879506e-008 -+wketa = 8.4725454e-009 -+pketa = -2.3874103e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.36674716 -+lpclm = -4.4233047e-008 -+wpclm = 2.3523508e-008 -+ppclm = 8.4289324e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0039772727 -+ldelta = 2.9210227e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6143989e-006 -+lalpha0 = -2.787532e-013 -+walpha0 = 5.071667e-014 -+palpha0 = -1.3439918e-020 -+alpha1 = 0 -+beta0 = 19.904932 -+lbeta0 = 1.1800932e-007 -+wbeta0 = 1.4853682e-007 -+pbeta0 = 8.5878068e-016 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.45807784 -+lkt1 = 3.950099e-008 -+wkt1 = 3.3399235e-008 -+pkt1 = -8.5148105e-015 -+kt1l = 0 -+kt2 = -0.024703182 -+lkt2 = 1.1800932e-009 -+wkt2 = 1.1018318e-009 -+pkt2 = -2.9198543e-016 -+ute = -1.5681818 -+lute = 8.7630682e-008 -+wute = 1.0690909e-007 -+pute = -4.2939034e-014 -+ua1 = 1.675e-009 -+ub1 = -4.197971e-018 -+lub1 = 2.6939132e-025 -+wub1 = 3.5239491e-025 -+pub1 = -5.6572177e-032 -+uc1 = -4.2111364e-011 -+luc1 = -3.6804886e-018 -+wuc1 = -6.8054318e-018 -+puc1 = 1.8034394e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.1 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.60850921 -+lvth0 = -2.2389644e-008 -+wvth0 = -1.320417e-008 -+pvth0 = -5.793228e-016 -+k1 = 0.74656121 -+lk1 = 6.2316611e-009 -+k2 = 0.02341267 -+lk2 = -2.4789039e-008 -+wk2 = -3.3025902e-009 -+pk2 = -2.7998188e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.11298759 -+lvoff = -2.7402067e-009 -+wvoff = 1.8245588e-009 -+pvoff = 3.2888638e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.029624466 -+lu0 = 1.4587752e-009 -+wu0 = 9.5169113e-010 -+pu0 = 1.2561046e-015 -+ua = -1.2817297e-009 -+lua = 7.4300653e-016 -+wua = 4.9864474e-017 -+pua = -3.055626e-023 -+ub = 3.0706704e-018 -+lub = -6.0692192e-025 -+wub = -3.1666793e-026 -+pub = -1.0113407e-031 -+uc = 8.4652626e-011 -+luc = 1.0421037e-017 -+wuc = 2.0802857e-018 -+puc = -1.2281462e-023 -+eu = 1.67 -+vsat = 91287.5 -+lvsat = 0.0014368125 -+wvsat = -0.001782375 -+pvsat = 2.1121144e-009 -+a0 = 1.0792115 -+la0 = -4.7202287e-007 -+wa0 = -5.5074584e-008 -+pa0 = 2.528563e-014 -+ags = 0.47190495 -+lags = -8.7565511e-008 -+wags = 4.6151516e-008 -+pags = -1.0165305e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.028193589 -+lketa = -2.1073934e-008 -+wketa = -7.8161737e-009 -+pketa = 5.5126185e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.080786018 -+lpclm = 9.4458106e-008 -+wpclm = 4.6980911e-008 -+ppclm = -2.9479082e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00138165 -+lpdiblc2= 8.6783475e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0015357143 -+ldelta = 4.1051786e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.5170032e-006 -+lalpha0 = -2.1715163e-012 -+walpha0 = -1.5939525e-014 -+palpha0 = 1.8888337e-020 -+alpha1 = 0 -+beta0 = 22.630402 -+lbeta0 = -1.2038436e-006 -+wbeta0 = -3.6916688e-007 -+pbeta0 = 2.5194507e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33938118 -+lkt1 = -1.8066891e-008 -+wkt1 = -2.4102225e-009 -+pkt1 = 8.8527765e-015 -+kt1l = 0 -+kt2 = -0.020331679 -+lkt2 = -9.4008589e-010 -+wkt2 = -3.52275e-011 -+pkt2 = 2.5948834e-016 -+ute = -1.3875 -+wute = 1.8375e-008 -+ua1 = 1.675e-009 -+ub1 = -2.8207275e-018 -+lub1 = -3.9857179e-025 -+wub1 = 6.3173775e-026 -+pub1 = 8.3700075e-032 -+uc1 = -6.0365e-011 -+luc1 = 5.172525e-018 -+wuc1 = 2.13885e-018 -+puc1 = -2.5345373e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.2 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.59197793 -+lvth0 = -2.8000692e-009 -+wvth0 = -1.0403021e-008 -+pvth0 = -3.8986845e-015 -+k1 = 0.79586747 -+lk1 = -5.2196247e-008 -+k2 = 0.0064105499 -+lk2 = -4.6415264e-009 -+wk2 = -7.9923454e-009 -+pk2 = 2.757541e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12651327 -+lvoff = 1.3287723e-008 -+wvoff = 2.231366e-009 -+pvoff = -1.5318025e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032375092 -+lu0 = -1.8007167e-009 -+wu0 = 7.2111957e-010 -+pu0 = 1.5293319e-015 -+ua = -8.1585861e-010 -+lua = 1.9094925e-016 -+wua = 6.5067587e-018 -+pua = 2.0822632e-023 -+ub = 2.744187e-018 -+lub = -2.2003905e-025 -+wub = -1.7352055e-026 -+pub = -1.1809703e-031 -+uc = 9.9386407e-011 -+luc = -7.0384932e-018 -+wuc = -9.5351961e-018 -+puc = 1.4828837e-024 -+eu = 1.67 -+vsat = 92500 -+a0 = 1.2231563 -+la0 = -6.4259738e-007 -+wa0 = 4.5429264e-009 -+pa0 = -4.536112e-014 -+ags = 0.25832779 -+lags = 1.6552342e-007 -+wags = -2.7327843e-009 -+pags = 4.7762591e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.019621922 -+lketa = -3.123136e-008 -+wketa = -7.1103921e-010 -+pketa = -2.9069659e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.18891787 -+lpclm = -3.3678143e-008 -+wpclm = 2.3733463e-009 -+ppclm = 4.9912056e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064234716 -+lpdiblc2= 1.7439086e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027306818 -+ldelta = 2.689142e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 7.4353703e-005 -+lalpha0 = -8.2558005e-011 -+walpha0 = 8.0653667e-012 -+palpha0 = -9.5574596e-018 -+alpha1 = 0 -+beta0 = 24.194431 -+lbeta0 = -3.0572184e-006 -+wbeta0 = 1.2157547e-007 -+pbeta0 = -3.2958461e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.32825519 -+lkt1 = -3.1251192e-008 -+wkt1 = -7.8619588e-009 -+pkt1 = 1.5313084e-014 -+kt1l = 0 -+kt2 = -0.021125 -+wkt2 = 1.8375e-010 -+ute = -1.3875 -+wute = 1.8375e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5198788e-018 -+lub1 = -7.5507747e-025 -+wub1 = 2.4014016e-026 -+pub1 = 1.3010439e-031 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.3 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.5916975 -+wvth0 = -1.0793475e-008 -+k1 = 0.79064 -+k2 = 0.0059457 -+wk2 = -7.716177e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.1251825 -+wvoff = 2.216025e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.03219475 -+wu0 = 8.742825e-010 -+ua = -7.96735e-010 -+wua = 8.59215e-018 -+ub = 2.72215e-018 -+wub = -2.91795e-026 -+uc = 9.86815e-011 -+wuc = -9.386685e-018 -+eu = 1.67 -+vsat = 92500 -+a0 = 1.1588 -+ags = 0.274905 -+wags = 2.05065e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.02274975 -+wketa = -1.0021725e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.185545 -+wpclm = 7.37205e-009 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.60855e-005 -+walpha0 = 7.108185e-012 -+alpha1 = 0 -+beta0 = 23.88825 -+wbeta0 = 8.85675e-008 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.331385 -+wkt1 = -6.32835e-009 -+kt1l = 0 -+kt2 = -0.021125 -+wkt2 = 1.8375e-010 -+ute = -1.3875 -+wute = 1.8375e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5955e-018 -+wub1 = 3.7044e-026 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.4 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.6494457 -+lvth0 = -3.8570937e-008 -+wvth0 = -2.2012593e-008 -+pvth0 = 1.8930389e-015 -+k1 = 0.94866818 -+lk1 = -9.1790218e-008 -+k2 = 0.037416157 -+lk2 = -3.4832437e-008 -+wk2 = -1.2764722e-008 -+pk2 = 3.3826512e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.080003796 -+lvoff = -8.5346442e-009 -+wvoff = -1.720694e-008 -+pvoff = 4.5598392e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.033441305 -+lu0 = 3.7009358e-009 -+wu0 = 8.8373727e-011 -+pu0 = -3.3091682e-016 -+ua = -5.8760066e-010 -+lua = 3.665866e-016 -+wua = 1.9523816e-017 -+pua = 3.6449515e-024 -+ub = 2.2723958e-018 -+lub = -7.8888061e-026 -+wub = 9.2037981e-026 -+pub = -2.3015752e-031 -+uc = 1.5510632e-010 -+luc = -3.141186e-017 -+wuc = -8.4901632e-019 -+puc = -7.1059521e-024 -+eu = 1.67 -+vsat = 79409.091 -+lvsat = 0.0039725909 -+wvsat = 0.0098445454 -+pvsat = -1.9465696e-009 -+a0 = 0.10668786 -+la0 = -1.5761839e-009 -+wa0 = -3.7462282e-009 -+pa0 = 9.9275047e-016 -+ags = 0.3531832 -+lags = -1.0591044e-008 -+wags = 3.2518616e-008 -+pags = -1.3056615e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12161181 -+lketa = 2.774773e-008 -+wketa = -3.293114e-009 -+pketa = 1.5971603e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.45094152 -+lpclm = -7.3917648e-008 -+wpclm = -1.773173e-008 -+ppclm = 2.2974387e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0039772727 -+ldelta = 2.9210227e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.618997e-006 -+lalpha0 = -2.5821257e-013 -+walpha0 = 4.8463561e-014 -+palpha0 = -2.3504827e-020 -+alpha1 = 0 -+beta0 = 20.979752 -+lbeta0 = -8.3833352e-008 -+wbeta0 = -3.781252e-007 -+pbeta0 = 9.9761689e-014 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.37582777 -+lkt1 = 1.529097e-008 -+wkt1 = -6.9032982e-009 -+pkt1 = 3.3480996e-015 -+kt1l = 0 -+kt2 = -0.014896384 -+lkt2 = -3.0815037e-009 -+wkt2 = -3.7034991e-009 -+pkt2 = 1.7961971e-015 -+ute = -1.435 -+wute = 4.165e-008 -+ua1 = 1.675e-009 -+ub1 = -3.6336059e-018 -+lub1 = 2.2901987e-025 -+wub1 = 7.5856009e-026 -+pub1 = -3.6790164e-032 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.5 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.60479255 -+lvth0 = -1.6914157e-008 -+wvth0 = -1.1383005e-008 -+pvth0 = -3.2623115e-015 -+k1 = 0.76840415 -+lk1 = -4.3621628e-009 -+wk1 = -1.0703038e-008 -+pk1 = 5.1909737e-015 -+k2 = 0.0076001761 -+lk2 = -2.0371686e-008 -+wk2 = 4.4455318e-009 -+pk2 = -4.9643217e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12030052 -+lvoff = 1.1009268e-008 -+wvoff = 5.4078955e-009 -+pvoff = -6.4083562e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.031302452 -+lu0 = 4.7382792e-009 -+wu0 = 1.2947795e-010 -+pu0 = -3.5085237e-016 -+ua = -1.1417323e-009 -+lua = 6.3534043e-016 -+wua = -1.8734289e-017 -+pua = 2.2200133e-023 -+ub = 2.813393e-018 -+lub = -3.412717e-025 -+wub = 9.439913e-026 -+pub = -2.3130267e-031 -+uc = 8.2040368e-011 -+luc = 4.0251276e-018 -+wuc = 3.3602922e-018 -+puc = -9.1474668e-024 -+eu = 1.67 -+vsat = 95895 -+lvsat = -0.004023075 -+wvsat = -0.00404005 -+pvsat = 4.7874593e-009 -+a0 = 0.9644116 -+la0 = -4.175722e-007 -+wa0 = 1.177386e-009 -+pa0 = -1.3952024e-015 -+ags = 0.43863251 -+lags = -5.2033959e-008 -+wags = 6.2455008e-008 -+pags = -2.7575765e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.044144964 -+lketa = -9.8236923e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.21857359 -+lpclm = 3.8780801e-008 -+wpclm = -2.0534997e-008 -+ppclm = 2.4333971e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00138165 -+lpdiblc2= 8.6783475e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0015357143 -+ldelta = 4.1051786e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7595967e-006 -+lalpha0 = -2.2664034e-012 -+walpha0 = -1.3481034e-013 -+palpha0 = 6.5383015e-020 -+alpha1 = 0 -+beta0 = 21.025956 -+lbeta0 = -1.0624202e-007 -+wbeta0 = 4.170117e-007 -+pbeta0 = -2.8587971e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.40772797 -+lkt1 = 3.0762566e-008 -+wkt1 = 3.1079706e-008 -+pkt1 = -1.5073657e-014 -+kt1l = 0 -+kt2 = -0.031195536 -+lkt2 = 4.8235848e-009 -+wkt2 = 5.2880625e-009 -+pkt2 = -2.5647103e-015 -+ute = -1.435 -+wute = 4.165e-008 -+ua1 = 1.675e-009 -+ub1 = -2.8152615e-018 -+lub1 = -1.6787717e-025 -+wub1 = 6.0495435e-026 -+pub1 = -2.9340286e-032 -+uc1 = -1.1862793e-010 -+luc1 = 3.0374545e-017 -+wuc1 = 3.0687684e-017 -+puc1 = -1.4883527e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.6 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.57932332 -+lvth0 = 1.3266882e-008 -+wvth0 = -4.2022626e-009 -+pvth0 = -1.1771491e-014 -+k1 = 0.79412996 -+lk1 = -3.4847247e-008 -+wk1 = 8.5137806e-010 -+pk1 = -8.5010099e-015 -+k2 = -0.0056941447 -+lk2 = -4.6179157e-009 -+wk2 = -2.061045e-009 -+pk2 = 2.7459718e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12195946 -+lvoff = 1.297511e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036511114 -+lu0 = -1.433985e-009 -+wu0 = -1.3055311e-009 -+pu0 = 1.3496333e-015 -+ua = -7.8770753e-010 -+lua = 2.1582113e-016 -+wua = -7.2872686e-018 -+pua = 8.6354133e-024 -+ub = 3.0616512e-018 -+lub = -6.3545771e-025 -+wub = -1.7290955e-025 -+pub = 8.5458111e-032 -+uc = 9.7688241e-011 -+luc = -1.4517602e-017 -+wuc = -8.703095e-018 -+puc = 5.1476471e-024 -+eu = 1.67 -+vsat = 92500 -+a0 = 1.2324275 -+la0 = -7.351711e-007 -+ags = 0.28413926 -+lags = 1.3104055e-007 -+wags = -1.5380403e-008 -+pags = 6.4659197e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021073023 -+lketa = -3.7163943e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.22740181 -+lpclm = 2.8319355e-008 -+wpclm = -1.6483783e-008 -+ppclm = 1.9533282e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064234716 -+lpdiblc2= 1.7439086e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027306818 -+ldelta = 2.689142e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.079175e-005 -+lalpha0 = -1.018445e-010 -+walpha0 = 1.072355e-014 -+palpha0 = -1.0707464e-019 -+alpha1 = 0 -+beta0 = 24.031764 -+lbeta0 = -3.6681242e-006 -+wbeta0 = 2.0128262e-007 -+pbeta0 = -3.0240747e-014 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33925459 -+lkt1 = -5.0378387e-008 -+wkt1 = -2.4722493e-009 -+pkt1 = 2.468541e-014 -+kt1l = 0 -+kt2 = -0.021820469 -+lkt2 = -6.2858695e-009 -+wkt2 = 5.2452969e-010 -+pkt2 = 3.0800761e-015 -+ute = -1.7243381 -+lute = 3.4286561e-007 -+wute = 1.8342565e-007 -+pute = -1.6800415e-013 -+ua1 = 1.675e-009 -+ub1 = -3.5547478e-018 -+lub1 = 7.0841414e-025 -+wub1 = 5.3109983e-025 -+pub1 = -5.870065e-031 -+uc1 = -5.1018233e-011 -+luc1 = -4.9742943e-017 -+wuc1 = -2.4410658e-018 -+puc1 = 2.4374042e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.7 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.580652 -+wvth0 = -5.38118e-009 -+k1 = 0.79064 -+k2 = -0.00615663 -+wk2 = -1.7860353e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.0363675 -+wu0 = -1.170365e-009 -+ua = -7.66093e-010 -+wua = -6.42243e-018 -+ub = 2.99801e-018 -+wub = -1.643509e-025 -+uc = 9.62343e-011 -+wuc = -8.187557e-018 -+eu = 1.67 -+vsat = 92500 -+a0 = 1.1588 -+ags = 0.297263 -+wags = -8.90477e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.230238 -+wpclm = -1.452752e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 23.6644 -+wbeta0 = 1.98254e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3443 -+kt1l = 0 -+kt2 = -0.02245 -+wkt2 = 8.33e-010 -+ute = -1.69 -+wute = 1.666e-007 -+ua1 = 1.675e-009 -+ub1 = -3.4838e-018 -+wub1 = 4.72311e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.8 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.67826787 -+lvth0 = -5.1192629e-008 -+wvth0 = -5.631097e-008 -+pvth0 = 1.6912853e-014 -+k1 = 0.94035419 -+lk1 = -8.7757932e-008 -+wk1 = 9.8936502e-009 -+pk1 = -4.7984203e-015 -+k2 = 0.010689994 -+lk2 = -2.7750003e-008 -+wk2 = 1.9039412e-008 -+pk2 = -5.0454443e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12420249 -+lvoff = 6.3595312e-010 -+wvoff = 3.538951e-008 -+pvoff = -6.3531717e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.046929878 -+lu0 = 1.5325411e-010 -+wu0 = -1.5963029e-008 -+pu0 = 3.8908244e-015 -+ua = -6.3486383e-010 -+lua = 2.3358646e-016 -+wua = 7.5766988e-017 -+pua = 1.6191512e-022 -+ub = 3.762013e-018 -+lub = -3.051927e-025 -+wub = -1.6806064e-024 -+pub = 3.9145008e-032 -+uc = 2.8720922e-010 -+luc = -6.2506115e-017 -+wuc = -1.5805146e-016 -+puc = 2.9896211e-023 -+eu = 1.67 -+vsat = 93151.55 -+lvsat = -0.00031600155 -+wvsat = -0.0065089804 -+pvsat = 3.1568555e-009 -+a0 = 0.10353977 -+la0 = -7.4193977e-010 -+ags = 0.27394573 -+lags = 3.0120571e-008 -+wags = 1.2681121e-007 -+pags = -6.1503437e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12103166 -+lketa = 2.7466355e-008 -+wketa = -3.983496e-009 -+pketa = 1.9319955e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.20348625 -+lpclm = -8.9497813e-009 -+wpclm = 2.7674005e-007 -+ppclm = -5.4337375e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0039772727 -+ldelta = 2.9210227e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.567968e-006 -+lalpha0 = -2.3346347e-013 -+walpha0 = 1.0918815e-013 -+palpha0 = -5.2956251e-020 -+alpha1 = 0 -+beta0 = 21.141066 -+wbeta0 = -5.7008843e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.46137913 -+lnoff = 1.1937689e-006 -+wnoff = 2.9290412e-006 -+pnoff = -1.420585e-012 -+voffcv = 0.21695209 -+lvoffcv = -1.0279677e-007 -+wvoffcv = -2.5222299e-007 -+pvoffcv = 1.2232815e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28213548 -+lkt1 = -9.299129e-009 -+wkt1 = -1.1839713e-007 -+pkt1 = 3.2610317e-014 -+kt1l = 0 -+kt2 = -0.025353566 -+lkt2 = 8.8905907e-010 -+wkt2 = 8.7405474e-009 -+pkt2 = -2.9287727e-015 -+ute = -1.5702841 -+wute = 2.0263807e-007 -+ua1 = 1.675e-009 -+ub1 = -5.3288522e-018 -+lub1 = 4.4342327e-025 -+wub1 = 2.0931991e-024 -+pub1 = -2.9193021e-031 -+uc1 = -2.2422528e-010 -+luc1 = 4.5702115e-017 -+wuc1 = 2.0018808e-016 -+puc1 = -5.4385517e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.9 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.59235333 -+lvth0 = -9.5240796e-009 -+wvth0 = 3.4196621e-009 -+pvth0 = -1.2056503e-014 -+k1 = 0.75941 -+k2 = 0.016364333 -+lk2 = -3.0502058e-008 -+wk2 = -5.9838153e-009 -+pk2 = 7.0908211e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10277799 -+lvoff = -9.7549306e-009 -+wvoff = -1.5443916e-008 -+pvoff = 1.830104e-014 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.038581863 -+lu0 = 4.2020412e-009 -+wu0 = -8.5330215e-009 -+pu0 = 2.8727087e-016 -+ua = -9.1898543e-010 -+lua = 3.7138544e-016 -+wua = -2.8380301e-016 -+pua = 3.3630657e-022 -+ub = 3.468843e-018 -+lub = -1.6300526e-025 -+wub = -6.8558634e-025 -+pub = -4.4343974e-031 -+uc = 1.5730964e-010 -+luc = 4.9517784e-019 -+wuc = -8.6210147e-017 -+puc = -4.9468266e-024 -+eu = 1.67 -+vsat = 92500 -+a0 = 0.57333915 -+la0 = -2.2859464e-007 -+wa0 = 4.665536e-007 -+pa0 = -2.262785e-013 -+ags = 0.62982962 -+lags = -1.4248312e-007 -+wags = -1.6506955e-007 -+pags = 8.0058731e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.044144964 -+lketa = -9.8236923e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.049284973 -+lpclm = 6.5837837e-008 -+wpclm = 1.8091845e-007 -+ppclm = -7.8639014e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00138165 -+lpdiblc2= 8.6783475e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0015357143 -+ldelta = 4.1051786e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.6463107e-006 -+lalpha0 = -2.2114597e-012 -+alpha1 = 0 -+beta0 = 21.044461 -+lbeta0 = 4.6853149e-008 -+wbeta0 = 3.9498984e-007 -+pbeta0 = -4.6806296e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30287445 -+lkt1 = 7.5927144e-010 -+wkt1 = -9.3695983e-008 -+pkt1 = 2.0630263e-014 -+kt1l = 0 -+kt2 = -0.021815574 -+lkt2 = -8.2686693e-010 -+wkt2 = -5.8740916e-009 -+pkt2 = 4.1593273e-015 -+ute = -1.5702841 -+wute = 2.0263807e-007 -+ua1 = 1.675e-009 -+ub1 = -3.0509483e-018 -+lub1 = -6.6136013e-025 -+wub1 = 3.4096267e-025 -+pub1 = 5.5790443e-031 -+uc1 = -1.4497962e-010 -+luc1 = 7.2679686e-018 -+wuc1 = 6.2046194e-017 -+puc1 = 1.2613299e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.10 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.57817062 -+lvth0 = 7.2824411e-009 -+wvth0 = -2.8305468e-009 -+pvth0 = -4.6500058e-015 -+k1 = 0.79742159 -+lk1 = -4.504374e-008 -+wk1 = -3.0656684e-009 -+pk1 = 3.632817e-015 -+k2 = -0.0074261153 -+lk2 = -2.3103764e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12195946 -+lvoff = 1.297511e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040501587 -+lu0 = 1.9271691e-009 -+wu0 = -6.0541938e-009 -+pu0 = -2.65014e-015 -+ua = -8.1043485e-010 -+lua = 2.4275299e-016 -+wua = 1.9758233e-017 -+pua = -2.3413506e-023 -+ub = 3.1900657e-018 -+lub = 1.6734577e-025 -+wub = -3.2572281e-025 -+pub = -8.6987803e-031 -+uc = 1.0442234e-010 -+luc = 6.3166633e-017 -+wuc = -1.6716674e-017 -+puc = -8.7296592e-023 -+eu = 1.67 -+vsat = 92500 -+a0 = 1.1740916 -+la0 = -9.4048631e-007 -+wa0 = 6.9419757e-008 -+pa0 = 2.4432511e-013 -+ags = 0.26765145 -+lags = 2.8669802e-007 -+wags = 4.2400941e-009 -+pags = -1.205732e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021073023 -+lketa = -3.7163943e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23327148 -+lpclm = -1.5218617e-007 -+wpclm = -2.3468689e-008 -+ppclm = 2.3433486e-013 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064234716 -+lpdiblc2= 1.7439086e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027306818 -+ldelta = 2.689142e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0800762e-005 -+lalpha0 = -1.0193448e-010 -+alpha1 = 0 -+beta0 = 24.507475 -+lbeta0 = -4.0568183e-006 -+wbeta0 = -3.6481453e-007 -+pbeta0 = 4.3230522e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1288096 -+lnoff = -1.5263937e-007 -+wnoff = -1.5328342e-007 -+pnoff = 1.8164085e-013 -+voffcv = -0.065845277 -+lvoffcv = 8.3951653e-008 -+wvoffcv = 8.430588e-008 -+pvoffcv = -9.9902467e-014 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31501857 -+lkt1 = 1.5150046e-008 -+wkt1 = -3.1313123e-008 -+pkt1 = -5.3293425e-014 -+kt1l = 0 -+kt2 = -0.016816848 -+lkt2 = -6.7503577e-009 -+wkt2 = -5.4297792e-009 -+pkt2 = 3.632817e-015 -+ute = -1.5472686 -+lute = -2.7273401e-008 -+wute = -2.7287058e-008 -+pute = 2.7246128e-013 -+ua1 = 1.65336e-009 -+lua1 = 2.5643414e-017 -+wua1 = 2.5751614e-017 -+pua1 = -3.0515663e-023 -+ub1 = -2.1495693e-018 -+lub1 = -1.7294942e-024 -+wub1 = -1.1410626e-024 -+pub1 = 2.3141044e-030 -+uc1 = -4.4843991e-011 -+luc1 = -1.1139275e-016 -+wuc1 = -9.7884135e-018 -+puc1 = 9.7737308e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.11 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.57889996 -+wvth0 = -3.2962459e-009 -+k1 = 0.79291046 -+wk1 = -2.7018409e-009 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040694593 -+wu0 = -6.3196059e-009 -+ua = -7.8612308e-010 -+wua = 1.7413365e-017 -+ub = 3.2068255e-018 -+wub = -4.1284129e-025 -+uc = 1.1074849e-010 -+wuc = -2.5459447e-017 -+eu = 1.67 -+vsat = 92500 -+a0 = 1.0799017 -+wa0 = 9.3888972e-008 -+ags = 0.29636432 -+wags = -7.8353386e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.101184 -+wbeta0 = -3.2151907e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1135227 -+wnoff = -1.3509204e-007 -+voffcv = -0.0574375 -+wvoffcv = 7.4300625e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31350128 -+wkt1 = -3.6650472e-008 -+kt1l = 0 -+kt2 = -0.017492898 -+wkt2 = -5.0659517e-009 -+ute = -1.55 -+ua1 = 1.6559282e-009 -+wua1 = 2.2695464e-017 -+ub1 = -2.3227785e-018 -+wub1 = -9.0930456e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.12 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.67263114 -+lvth0 = -4.9499651e-008 -+k1 = 0.94134454 -+lk1 = -8.8238255e-008 -+k2 = 0.012595841 -+lk2 = -2.8255053e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.045331977 -+lu0 = 5.4272602e-010 -+ua = -6.2727955e-010 -+lua = 2.4979418e-016 -+ub = 3.5937841e-018 -+lub = -3.0127428e-025 -+uc = 2.7138825e-010 -+luc = -5.9513501e-017 -+eu = 1.67 -+vsat = 92500 -+a0 = 0.13050819 -+la0 = -1.3821621e-008 -+wa0 = -2.6941446e-007 -+pa0 = 1.3066601e-013 -+ags = 0.45484419 -+lags = -5.7615181e-008 -+wags = -1.6803644e-006 -+pags = 8.1497672e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.11802055 -+lketa = 2.6005966e-008 -+wketa = -3.4064511e-008 -+pketa = 1.6521288e-014 -+dwg = 0 -+dwb = 0 -+pclm = 0.23118796 -+lpclm = -1.4388958e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0039772727 -+ldelta = 2.9210227e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.5788977e-006 -+lalpha0 = -2.387644e-013 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.07e-010 -+cgdo = 2.07e-010 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.16818182 -+lnoff = 1.0515682e-006 -+voffcv = 0.19170454 -+lvoffcv = -9.0551705e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29398705 -+lkt1 = -6.034833e-009 -+kt1l = 0 -+kt2 = -0.024478636 -+lkt2 = 5.9588864e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -5.1193227e-018 -+lub1 = 4.1420102e-025 -+uc1 = -2.0418643e-010 -+luc1 = 4.0258119e-017 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.13 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.59269564 -+lvth0 = -1.0730937e-008 -+k1 = 0.75941 -+k2 = 0.015765353 -+lk2 = -2.9792266e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10432393 -+lvoff = -7.9229946e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.037727707 -+lu0 = 4.230797e-009 -+ua = -9.4739414e-010 -+lua = 4.0504976e-016 -+ub = 3.4002157e-018 -+lub = -2.0739362e-025 -+uc = 1.4868e-010 -+eu = 1.67 -+vsat = 92500 -+a0 = 0.62004121 -+la0 = -2.5124514e-007 -+ags = 0.61330614 -+lags = -1.3446923e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.044144964 -+lketa = -9.8236923e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.067394929 -+lpclm = 6.505066e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00138165 -+lpdiblc2= 8.6783475e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0015357143 -+ldelta = 4.1051786e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.6463107e-006 -+lalpha0 = -2.2114597e-012 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31225343 -+lkt1 = 2.8243629e-009 -+kt1l = 0 -+kt2 = -0.022403571 -+lkt2 = -4.1051786e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -3.0168179e-018 -+lub1 = -6.0551384e-025 -+uc1 = -1.3876879e-010 -+luc1 = 8.5305611e-018 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.14 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.57788728 -+lvth0 = 6.8169751e-009 -+k1 = 0.79711472 -+lk1 = -4.4680095e-008 -+k2 = -0.0074261153 -+lk2 = -2.3103764e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12195946 -+lvoff = 1.297511e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.039895561 -+lu0 = 1.6618898e-009 -+ua = -8.0845705e-010 -+lua = 2.404093e-016 -+ub = 3.1574609e-018 -+lub = 8.027089e-026 -+uc = 1.02749e-010 -+luc = 5.4428235e-017 -+eu = 1.67 -+vsat = 92500 -+a0 = 1.1810406 -+la0 = -9.1602935e-007 -+ags = 0.26807588 -+lags = 2.7462863e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021073023 -+lketa = -3.7163943e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23092226 -+lpclm = -1.2872923e-007 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064234716 -+lpdiblc2= 1.7439086e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027306818 -+ldelta = 2.689142e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0800762e-005 -+lalpha0 = -1.0193448e-010 -+alpha1 = 0 -+beta0 = 24.470957 -+lbeta0 = -4.0135445e-006 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 7.7e-011 -+cgdo = 7.7e-011 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1134659 -+lnoff = -1.344571e-007 -+voffcv = -0.05740625 -+lvoffcv = 7.3951406e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31815301 -+lkt1 = 9.8153685e-009 -+kt1l = 0 -+kt2 = -0.017360369 -+lkt2 = -6.3867124e-009 -+ute = -1.55 -+ua1 = 1.6559377e-009 -+lua1 = 2.2588793e-017 -+ub1 = -2.2637898e-018 -+lub1 = -1.4978521e-024 -+uc1 = -4.5823812e-011 -+luc1 = -1.0160923e-016 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.15 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.6e-009 -+toxp = 7.6e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.5e-008 -+xw = 1e-008 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.57857 -+k1 = 0.79264 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040062 -+ua = -7.8438e-010 -+ub = 3.1655e-018 -+uc = 1.082e-010 -+eu = 1.67 -+vsat = 92500 -+a0 = 1.0893 -+ags = 0.29558 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.069 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.07e-010 -+cgdo = 2.07e-010 -+cgbo = 1e-013 -+cgdl = 9e-011 -+cgsl = 9e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1 -+voffcv = -0.05 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31717 -+kt1l = 0 -+kt2 = -0.018 -+ute = -1.55 -+ua1 = 1.6582e-009 -+ub1 = -2.4138e-018 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - -*resistor -.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 -*------------------- -* body resistor parameters -*.param rsh_nplus_u_m=60 -+ r_rsh0=rsh_nplus_u_m -+ r_dw=-5E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.36E-3 -+ r_tc2=6.5E-7 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* model for substrate capacitor -.model np_junction d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 - -d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' - -*------------------- -.ends nplus_u_m1 - - -.endl nmos_3p3_f -* -* -.lib nmos_3p3_s - - -.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.007148 -+ par_k=0.007008 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - -.model nmos_3p3.0 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.78102964 -+lvth0 = -4.1963855e-008 -+wvth0 = -1.335093e-008 -+pvth0 = 4.4048385e-016 -+k1 = 0.97009364 -+lk1 = -1.0850207e-007 -+k2 = 0.057112207 -+lk2 = -4.4586066e-008 -+wk2 = -1.8436862e-008 -+pk2 = 5.4388743e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12611751 -+lvoff = 4.1027149e-009 -+wvoff = 5.0842288e-009 -+pvoff = -1.4998475e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.02365998 -+lu0 = 4.9752231e-009 -+wu0 = 4.3500625e-009 -+pu0 = -6.5742214e-016 -+ua = -1.2009004e-009 -+lua = 7.4602352e-016 -+wua = 2.6594489e-016 -+pua = -1.428335e-022 -+ub = 3.4181442e-018 -+lub = -8.4745491e-025 -+wub = -3.9003587e-025 -+pub = 9.3519126e-032 -+uc = 2.2972174e-010 -+luc = -6.5971448e-017 -+wuc = -3.0846577e-017 -+puc = 5.4982075e-024 -+eu = 1.67 -+vsat = 82709.416 -+lvsat = -0.002811599 -+wvsat = -0.00033342533 -+pvsat = 1.3214515e-009 -+a0 = 0.11164211 -+la0 = -3.216124e-009 -+wa0 = -5.9711692e-009 -+pa0 = 1.7614949e-015 -+ags = 0.33078713 -+lags = -1.7784597e-008 -+wags = 4.5802637e-008 -+pags = -1.2329143e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.15224321 -+lketa = 4.1863427e-008 -+wketa = 7.8363649e-009 -+pketa = -2.4490902e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.38126164 -+lpclm = -5.0349819e-008 -+wpclm = 1.8707045e-008 -+ppclm = 8.6466979e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0032954546 -+ldelta = 3.4528409e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.689932e-006 -+lalpha0 = -3.3259243e-013 -+walpha0 = 4.6736083e-014 -+palpha0 = -1.3787144e-020 -+alpha1 = 0 -+beta0 = 19.906217 -+lbeta0 = 1.3969208e-007 -+wbeta0 = 1.2873117e-007 -+pbeta0 = 8.809677e-016 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.46042018 -+lkt1 = 4.4736487e-008 -+wkt1 = 3.0709777e-008 -+pkt1 = -8.7347947e-015 -+kt1l = 0 -+kt2 = -0.024751234 -+lkt2 = 1.327864e-009 -+wkt2 = 1.0153526e-009 -+pkt2 = -2.9952902e-016 -+ute = -1.5659091 -+lute = 9.3719968e-008 -+wute = 1.0147727e-007 -+pute = -4.4048385e-014 -+ua1 = 1.675e-009 -+ub1 = -4.1897925e-018 -+lub1 = 3.0544077e-025 -+wub1 = 3.1727957e-025 -+pub1 = -5.8033747e-032 -+uc1 = -4.2656818e-011 -+luc1 = -3.9362386e-018 -+wuc1 = -6.2712955e-018 -+puc1 = 1.8500322e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.1 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.7471174 -+lvth0 = -2.4499049e-008 -+wvth0 = -1.1432769e-008 -+pvth0 = -5.4736891e-016 -+k1 = 0.74623593 -+lk1 = 6.7846468e-009 -+k2 = 0.024093133 -+lk2 = -2.7581243e-008 -+wk2 = -2.7392647e-009 -+pk2 = -2.6453883e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.11249326 -+lvoff = -2.9137715e-009 -+wvoff = 1.5685135e-009 -+pvoff = 3.1074589e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.02972055 -+lu0 = 1.8540296e-009 -+wu0 = 7.6900741e-010 -+pu0 = 1.1868212e-015 -+ua = -1.310512e-009 -+lua = 8.0247348e-016 -+wua = 4.4658224e-017 -+pua = -2.8870859e-023 -+ub = 3.0972222e-018 -+lub = -6.8218008e-025 -+wub = -2.2900119e-026 -+pub = -9.5555786e-032 -+uc = 8.4637593e-011 -+luc = 8.7468885e-018 -+wuc = 2.3616893e-018 -+puc = -1.160405e-023 -+eu = 1.67 -+vsat = 73344.643 -+lvsat = 0.0020112589 -+wvsat = -0.0016424821 -+pvsat = 1.9956158e-009 -+a0 = 1.0928896 -+la0 = -5.0855857e-007 -+wa0 = -4.894097e-008 -+pa0 = 2.3890942e-014 -+ags = 0.48554907 -+lags = -9.7486997e-008 -+wags = 4.0512289e-008 -+pags = -9.6046138e-015 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.028668661 -+lketa = -2.1777465e-008 -+wketa = -7.0328534e-009 -+pketa = 5.2085573e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.085016691 -+lpclm = 1.0221633e-007 -+wpclm = 4.0905118e-008 -+ppclm = -2.7853095e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00133635 -+lpdiblc2= 9.4484475e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0013214286 -+ldelta = 4.4694643e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.6270642e-006 -+lalpha0 = -2.3602156e-012 -+walpha0 = -1.4688483e-014 -+palpha0 = 1.7846507e-020 -+alpha1 = 0 -+beta0 = 22.618932 -+lbeta0 = -1.2573561e-006 -+wbeta0 = -3.3178823e-007 -+pbeta0 = 2.3804846e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33899638 -+lkt1 = -1.7796768e-008 -+wkt1 = -2.4927001e-009 -+pkt1 = 8.3644811e-015 -+kt1l = 0 -+kt2 = -0.020292087 -+lkt2 = -9.6859676e-010 -+wkt2 = -4.2326378e-011 -+pkt2 = 2.4517566e-016 -+ute = -1.3839286 -+wute = 1.5946429e-008 -+ua1 = 1.675e-009 -+ub1 = -2.788493e-018 -+lub1 = -4.1622844e-025 -+wub1 = 5.1032672e-026 -+pub1 = 7.9083403e-032 -+uc1 = -6.0193571e-011 -+luc1 = 5.0951893e-018 -+wuc1 = 1.9709786e-018 -+puc1 = -2.394739e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.2 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.72996498 -+lvth0 = -3.6588628e-009 -+wvth0 = -9.0195048e-009 -+pvth0 = -3.4794852e-015 -+k1 = 0.79599981 -+lk1 = -5.3678465e-008 -+k2 = 0.0048675395 -+lk2 = -4.2221469e-009 -+wk2 = -6.9420874e-009 -+pk2 = 2.4610412e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12611319 -+lvoff = 1.3634437e-008 -+wvoff = 1.9367898e-009 -+pvoff = -1.3670981e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032519064 -+lu0 = -1.5461646e-009 -+wu0 = 6.2244619e-010 -+pu0 = 1.3648931e-015 -+ua = -8.1508834e-010 -+lua = 2.0053373e-016 -+wua = 5.600962e-018 -+pua = 1.8583714e-023 -+ub = 2.7414305e-018 -+lub = -2.4989307e-025 -+wub = -1.4798829e-026 -+pub = -1.0539885e-031 -+uc = 9.7550228e-011 -+luc = -6.9419625e-018 -+wuc = -8.2782193e-018 -+puc = 1.3234392e-024 -+eu = 1.67 -+vsat = 75000 -+a0 = 1.2256909 -+la0 = -6.6991215e-007 -+wa0 = 4.0423109e-009 -+pa0 = -4.0483744e-014 -+ags = 0.25735343 -+lags = 1.7977071e-007 -+wags = -2.4766942e-009 -+pags = 4.2627001e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.019679504 -+lketa = -3.269929e-008 -+wketa = -6.1066685e-010 -+pketa = -2.5943994e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.18943996 -+lpclm = -2.465794e-008 -+wpclm = 1.9498441e-009 -+ppclm = 4.4545348e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00063792557 -+lpdiblc2= 1.7934304e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027238636 -+ldelta = 2.7655057e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 7.6135347e-005 -+lalpha0 = -8.6812779e-011 -+walpha0 = 7.0204199e-012 -+palpha0 = -8.5298102e-018 -+alpha1 = 0 -+beta0 = 24.225975 -+lbeta0 = -3.2099126e-006 -+wbeta0 = 1.0623239e-007 -+pbeta0 = -2.9414659e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.32971157 -+lkt1 = -2.9077811e-008 -+wkt1 = -6.8565602e-009 -+pkt1 = 1.3666571e-014 -+kt1l = 0 -+kt2 = -0.021089286 -+wkt2 = 1.5946429e-010 -+ute = -1.3839286 -+wute = 1.5946429e-008 -+ua1 = 1.675e-009 -+ub1 = -2.513361e-018 -+lub1 = -7.505138e-025 -+wub1 = 2.0553877e-026 -+pub1 = 1.1611514e-031 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.3 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.72959964 -+wvth0 = -9.3669321e-009 -+k1 = 0.79064 -+k2 = 0.0044459571 -+wk2 = -6.6963519e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12475179 -+wvoff = 1.9231393e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032364679 -+wu0 = 7.5873107e-010 -+ua = -7.95065e-010 -+wua = 7.45655e-018 -+ub = 2.7164786e-018 -+wub = -2.5322929e-026 -+uc = 9.6857071e-011 -+wuc = -8.1460736e-018 -+eu = 1.67 -+vsat = 75000 -+a0 = 1.1588 -+ags = 0.27530357 -+wags = 1.7796214e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.022944536 -+wketa = -8.6971821e-010 -+dwg = 0 -+dwb = 0 -+pclm = 0.18697786 -+wpclm = 6.3977071e-009 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7467071e-005 -+walpha0 = 6.1687164e-012 -+alpha1 = 0 -+beta0 = 23.905464 -+wbeta0 = 7.6861786e-008 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.332615 -+wkt1 = -5.49195e-009 -+kt1l = 0 -+kt2 = -0.021089286 -+wkt2 = 1.5946429e-010 -+ute = -1.3839286 -+wute = 1.5946429e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5883e-018 -+wub1 = 3.2148e-026 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.4 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.79767867 -+lvth0 = -4.5516631e-008 -+wvth0 = -2.1175971e-008 -+pvth0 = 2.1102886e-015 -+k1 = 0.97009364 -+lk1 = -1.0850207e-007 -+k2 = 0.045081757 -+lk2 = -4.1037083e-008 -+wk2 = -1.2782551e-008 -+pk2 = 3.7708524e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.078638354 -+lvoff = -9.9036356e-009 -+wvoff = -1.7230974e-008 -+pvoff = 5.0831372e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032583121 -+lu0 = 4.3613327e-009 -+wu0 = 1.5618588e-010 -+pu0 = -3.6889363e-016 -+ua = -6.7252796e-010 -+lua = 4.3347724e-016 -+wua = 1.7609833e-017 -+pua = 4.0632548e-024 -+ub = 2.2958092e-018 -+lub = -1.0258242e-025 -+wub = 1.3746161e-025 -+pub = -2.5657094e-031 -+uc = 1.6246617e-010 -+luc = -3.7418989e-017 -+wuc = 7.6353972e-019 -+puc = -7.9214482e-024 -+eu = 1.67 -+vsat = 61335.065 -+lvsat = 0.0046169416 -+wvsat = 0.0097125195 -+pvsat = -2.1699625e-009 -+a0 = 0.10691933 -+la0 = -1.8229027e-009 -+wa0 = -3.7514606e-009 -+pa0 = 1.1066809e-015 -+ags = 0.35687524 -+lags = -1.304868e-008 -+wags = 3.3541222e-008 -+pags = -1.4555024e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12821436 -+lketa = 3.2864396e-008 -+wketa = -3.4571927e-009 -+pketa = 1.7804543e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.46740308 -+lpclm = -8.6444043e-008 -+wpclm = -2.1779432e-008 -+ppclm = 2.5610983e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0032954546 -+ldelta = 3.4528409e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6811189e-006 -+lalpha0 = -3.0617722e-013 -+walpha0 = 5.0878248e-014 -+palpha0 = -2.6202298e-020 -+alpha1 = 0 -+beta0 = 20.985552 -+lbeta0 = -9.5051778e-008 -+wbeta0 = -3.7855616e-007 -+pbeta0 = 1.1121058e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.37966054 -+lkt1 = 1.8210678e-008 -+wkt1 = -7.2472535e-009 -+pkt1 = 3.7323356e-015 -+kt1l = 0 -+kt2 = -0.014318515 -+lkt2 = -3.5697146e-009 -+wkt2 = -3.8880251e-009 -+pkt2 = 2.0023329e-015 -+ute = -1.4335714 -+wute = 3.9278571e-008 -+ua1 = 1.675e-009 -+ub1 = -3.6841668e-018 -+lub1 = 2.6922491e-025 -+wub1 = 7.9635518e-026 -+pub1 = -4.1012292e-032 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.5 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.74529086 -+lvth0 = -1.8536912e-008 -+wvth0 = -1.0574296e-008 -+pvth0 = -3.3495736e-015 -+k1 = 0.76825545 -+lk1 = -4.5554057e-009 -+wk1 = -1.0349174e-008 -+pk1 = 5.3298247e-015 -+k2 = 0.0088249226 -+lk2 = -2.2364814e-008 -+wk2 = 4.4367941e-009 -+pk2 = -5.0971101e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12067823 -+lvoff = 1.1746901e-008 -+wvoff = 5.4154489e-009 -+pvoff = -6.5797704e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.031060188 -+lu0 = 5.1456432e-009 -+wu0 = 1.393772e-010 -+pu0 = -3.6023716e-016 -+ua = -1.1755786e-009 -+lua = 6.9254834e-016 -+wua = -1.8760456e-017 -+pua = 2.2793954e-023 -+ub = 2.834859e-018 -+lub = -3.8019306e-025 -+wub = 1.0041062e-025 -+pub = -2.3748968e-031 -+uc = 8.1961894e-011 -+luc = 4.040715e-018 -+wuc = 3.6192678e-018 -+puc = -9.3921482e-024 -+eu = 1.67 -+vsat = 78457.857 -+lvsat = -0.0042012964 -+wvsat = -0.0040456929 -+pvsat = 4.9155168e-009 -+a0 = 0.98625128 -+la0 = -4.5467886e-007 -+wa0 = 1.1790305e-009 -+pa0 = -1.432522e-015 -+ags = 0.44354017 -+lags = -5.7681118e-008 -+wags = 6.0256471e-008 -+pags = -2.8313377e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043632179 -+lketa = -1.0695428e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.21580136 -+lpclm = 4.3130841e-008 -+wpclm = -2.0563679e-008 -+ppclm = 2.498487e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00133635 -+lpdiblc2= 9.4484475e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0013214286 -+ldelta = 4.4694643e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.8731595e-006 -+lalpha0 = -2.4650781e-012 -+walpha0 = -1.3035323e-013 -+palpha0 = 6.7131915e-020 -+alpha1 = 0 -+beta0 = 21.046316 -+lbeta0 = -1.2634537e-007 -+wbeta0 = 4.0734123e-007 -+pbeta0 = -2.9352658e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.40824074 -+lkt1 = 3.292948e-008 -+wkt1 = 3.0052147e-008 -+pkt1 = -1.5476856e-014 -+kt1l = 0 -+kt2 = -0.031261352 -+lkt2 = 5.1558463e-009 -+wkt2 = 5.1132283e-009 -+pkt2 = -2.6333126e-015 -+ute = -1.4335714 -+wute = 3.9278571e-008 -+ua1 = 1.675e-009 -+ub1 = -2.804371e-018 -+lub1 = -1.8386993e-025 -+wub1 = 5.8495332e-026 -+pub1 = -3.0125096e-032 -+uc1 = -1.1913423e-010 -+luc1 = 3.2514127e-017 -+wuc1 = 2.9673087e-017 -+puc1 = -1.528164e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.6 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.71914657 -+lvth0 = 1.3228401e-008 -+wvth0 = -3.9348514e-009 -+pvth0 = -1.1416499e-014 -+k1 = 0.79424825 -+lk1 = -3.6136665e-008 -+wk1 = 8.2322976e-010 -+pk1 = -8.244646e-015 -+k2 = -0.0057533677 -+lk2 = -4.6521909e-009 -+wk2 = -1.950261e-009 -+pk2 = 2.6631619e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12199236 -+lvoff = 1.3343565e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036469853 -+lu0 = -1.4270997e-009 -+wu0 = -1.2344251e-009 -+pu0 = 1.3089326e-015 -+ua = -7.8850544e-010 -+lua = 2.2225441e-016 -+wua = -6.893001e-018 -+pua = 8.3749962e-024 -+ub = 3.0573243e-018 -+lub = -6.5048842e-025 -+wub = -1.6326892e-025 -+pub = 8.2880961e-032 -+uc = 9.7426091e-011 -+luc = -1.4748284e-017 -+wuc = -8.2198748e-018 -+puc = 4.9924102e-024 -+eu = 1.67 -+vsat = 75000 -+a0 = 1.2342915 -+la0 = -7.5604777e-007 -+ags = 0.28327385 -+lags = 1.3704246e-007 -+wags = -1.4659294e-008 -+pags = 6.2709277e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.020978796 -+lketa = -3.8219289e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.22676292 -+lpclm = 2.9812546e-008 -+wpclm = -1.559195e-008 -+ppclm = 1.894422e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00063792557 -+lpdiblc2= 1.7934304e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027238636 -+ldelta = 2.7655057e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.1050349e-005 -+lalpha0 = -1.0474036e-010 -+walpha0 = 1.0369007e-014 -+palpha0 = -1.0384561e-019 -+alpha1 = 0 -+beta0 = 24.04797 -+lbeta0 = -3.7733547e-006 -+wbeta0 = 1.8989449e-007 -+pbeta0 = -2.932878e-014 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3392138 -+lkt1 = -5.0938244e-008 -+wkt1 = -2.3905117e-009 -+pkt1 = 2.3940975e-014 -+kt1l = 0 -+kt2 = -0.021786808 -+lkt2 = -6.3557247e-009 -+wkt2 = 4.8729978e-010 -+pkt2 = 2.9871906e-015 -+ute = -1.7189014 -+lute = 3.4667589e-007 -+wute = 1.7338365e-007 -+pute = -1.6293767e-013 -+ua1 = 1.675e-009 -+ub1 = -3.5382765e-018 -+lub1 = 7.0782525e-025 -+wub1 = 5.0226415e-025 -+pub1 = -5.6930421e-031 -+uc1 = -5.0977959e-011 -+luc1 = -5.0295738e-017 -+wuc1 = -2.3603592e-018 -+puc1 = 2.3638997e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.7 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.72046743 -+wvth0 = -5.0747914e-009 -+k1 = 0.79064 -+k2 = -0.00621789 -+wk2 = -1.6843437e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036327357 -+wu0 = -1.1037279e-009 -+ua = -7.6631329e-010 -+wua = -6.0567557e-018 -+ub = 2.9923729e-018 -+wub = -1.5499324e-025 -+uc = 9.5953471e-011 -+wuc = -7.7213816e-018 -+eu = 1.67 -+vsat = 75000 -+a0 = 1.1588 -+ags = 0.29695757 -+wags = -8.3977586e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.22973971 -+wpclm = -1.3700366e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 23.6712 -+wbeta0 = 1.86966e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3443 -+kt1l = 0 -+kt2 = -0.022421429 -+wkt2 = 7.8557143e-010 -+ute = -1.6842857 -+wute = 1.5711429e-007 -+ua1 = 1.675e-009 -+ub1 = -3.4676e-018 -+wub1 = 4.45419e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.8 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.83011576 -+lvth0 = -6.047942e-008 -+wvth0 = -5.9127366e-008 -+pvth0 = 1.9616751e-014 -+k1 = 0.96085697 -+lk1 = -1.0374519e-007 -+wk1 = 1.0806903e-008 -+pk1 = -5.5655552e-015 -+k2 = 0.017201346 -+lk2 = -3.2812362e-008 -+wk2 = 1.983753e-008 -+pk2 = -5.8520714e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.1242889 -+lvoff = 7.3910414e-010 -+wvoff = 3.618017e-008 -+pvoff = -7.3688683e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.046865722 -+lu0 = 1.8889394e-010 -+wu0 = -1.6554457e-008 -+pu0 = 4.5128597e-015 -+ua = -6.8932314e-010 -+lua = 2.7643655e-016 -+wua = 3.7260197e-017 -+pua = 1.8780087e-022 -+ub = 3.8304076e-018 -+lub = -3.6068e-025 -+wub = -1.6580185e-024 -+pub = 4.5403213e-032 -+uc = 3.0152161e-010 -+luc = -7.3826884e-017 -+wuc = -1.6193132e-016 -+puc = 3.4675789e-023 -+eu = 1.67 -+vsat = 75713.12 -+lvsat = -0.00036725671 -+wvsat = -0.0071098048 -+pvsat = 3.6615494e-009 -+a0 = 0.10371296 -+la0 = -8.7702159e-010 -+ags = 0.26715255 -+lags = 3.5482186e-008 -+wags = 1.3851677e-007 -+pags = -7.1336137e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12745025 -+lketa = 3.247088e-008 -+wketa = -4.3512005e-009 -+pketa = 2.2408683e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.2060622 -+lpclm = -1.0687288e-008 -+wpclm = 2.839894e-007 -+ppclm = -6.302442e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0032954546 -+ldelta = 3.4528409e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.622667e-006 -+lalpha0 = -2.7607448e-013 -+walpha0 = 1.1926697e-013 -+palpha0 = -6.1422492e-020 -+alpha1 = 0 -+beta0 = 21.140107 -+wbeta0 = -5.5938498e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.73454029 -+lnoff = 1.4082882e-006 -+wnoff = 3.1994121e-006 -+pnoff = -1.6476972e-012 -+voffcv = 0.2404743 -+lvoffcv = -1.2126927e-007 -+wvoffcv = -2.7550493e-007 -+pvoffcv = 1.4188504e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28017689 -+lkt1 = -1.0927331e-008 -+wkt1 = -1.2364312e-007 -+pkt1 = 3.7823806e-014 -+kt1l = 0 -+kt2 = -0.025545233 -+lkt2 = 1.045102e-009 -+wkt2 = 9.247235e-009 -+pkt2 = -3.3970025e-015 -+ute = -1.5699432 -+wute = 1.9883352e-007 -+ua1 = 1.675e-009 -+ub1 = -5.4287188e-018 -+lub1 = 5.2357491e-025 -+wub1 = 2.1207614e-024 -+pub1 = -3.3860178e-031 -+uc1 = -2.3453481e-010 -+luc1 = 5.3914751e-017 -+wuc1 = 2.0888573e-016 -+puc1 = -6.3080258e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.9 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.73285729 -+lvth0 = -1.0391311e-008 -+wvth0 = 3.9729778e-009 -+pvth0 = -1.2879926e-014 -+k1 = 0.75941 -+k2 = 0.017945817 -+lk2 = -3.3195765e-008 -+wk2 = -6.2346523e-009 -+pk2 = 7.5751026e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10229638 -+lvoff = -1.0587044e-008 -+wvoff = -1.6091313e-008 -+pvoff = 1.9550945e-014 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.038348141 -+lu0 = 4.5754485e-009 -+wu0 = -8.387527e-009 -+pu0 = 3.068906e-016 -+ua = -9.3887833e-010 -+lua = 4.0495747e-016 -+wua = -2.9569982e-016 -+pua = 3.5927528e-022 -+ub = 3.4762372e-018 -+lub = -1.7828226e-025 -+wub = -6.5000193e-025 -+pub = -4.7372533e-031 -+uc = 1.5713919e-010 -+luc = 5.3005815e-019 -+wuc = -8.4338174e-017 -+puc = -5.2846797e-024 -+eu = 1.67 -+vsat = 75000 -+a0 = 0.58607632 -+la0 = -2.4929415e-007 -+wa0 = 4.6938374e-007 -+pa0 = -2.4173263e-013 -+ags = 0.63698234 -+lags = -1.5498016e-007 -+wags = -1.6607087e-007 -+pags = 8.5526499e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043632179 -+lketa = -1.0695428e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.046153372 -+lpclm = 7.1665757e-008 -+wpclm = 1.7792447e-007 -+ppclm = -8.4009819e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00133635 -+lpdiblc2= 9.4484475e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0013214286 -+ldelta = 4.4694643e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7617464e-006 -+lalpha0 = -2.4077004e-012 -+alpha1 = 0 -+beta0 = 21.042721 -+lbeta0 = 5.0153484e-008 -+wbeta0 = 4.1154752e-007 -+pbeta0 = -5.0003024e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30307353 -+lkt1 = 8.6443502e-010 -+wkt1 = -9.2993492e-008 -+pkt1 = 2.2039247e-014 -+kt1l = 0 -+kt2 = -0.02178266 -+lkt2 = -8.9262312e-010 -+wkt2 = -5.976841e-009 -+pkt2 = 4.4433966e-015 -+ute = -1.5699432 -+wute = 1.9883352e-007 -+ua1 = 1.675e-009 -+ub1 = -3.0159014e-018 -+lub1 = -7.1902608e-025 -+wub1 = 3.0598585e-025 -+pub1 = 5.960076e-031 -+uc1 = -1.4525572e-010 -+luc1 = 7.9360174e-018 -+wuc1 = 6.0235233e-017 -+puc1 = 1.3474748e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.10 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.71814741 -+lvth0 = 7.4811957e-009 -+wvth0 = -2.7658345e-009 -+pvth0 = -4.6922687e-015 -+k1 = 0.79753063 -+lk1 = -4.6316563e-008 -+wk1 = -3.0171481e-009 -+pk1 = 3.6658349e-015 -+k2 = -0.0074202574 -+lk2 = -2.3759842e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12199236 -+lvoff = 1.3343565e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040486527 -+lu0 = 1.9773099e-009 -+wu0 = -5.9339327e-009 -+pu0 = -2.6742266e-015 -+ua = -8.1101699e-010 -+lua = 2.4960595e-016 -+wua = 1.9445519e-017 -+pua = -2.3626306e-023 -+ub = 3.1890972e-018 -+lub = 1.7059289e-025 -+wub = -3.1744322e-025 -+pub = -8.7778417e-031 -+uc = 1.0423443e-010 -+luc = 6.4809343e-017 -+wuc = -1.6185636e-017 -+puc = -8.8090013e-023 -+eu = 1.67 -+vsat = 75000 -+a0 = 1.1765919 -+la0 = -9.6677061e-007 -+wa0 = 6.7508553e-008 -+pa0 = 2.4654573e-013 -+ags = 0.26693219 -+lags = 2.9463078e-007 -+wags = 4.4604535e-009 -+pags = -1.2166906e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.020978796 -+lketa = -3.8219289e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23361686 -+lpclm = -1.5610238e-007 -+wpclm = -2.3611051e-008 -+ppclm = 2.3646468e-013 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00063792557 -+lpdiblc2= 1.7934304e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027238636 -+ldelta = 2.7655057e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.1059211e-005 -+lalpha0 = -1.0482912e-010 -+alpha1 = 0 -+beta0 = 24.517146 -+lbeta0 = -4.1712719e-006 -+wbeta0 = -3.5904062e-007 -+pbeta0 = 4.3623435e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.128938 -+lnoff = -1.5665961e-007 -+wnoff = -1.508574e-007 -+pnoff = 1.8329175e-013 -+voffcv = -0.065915874 -+lvoffcv = 8.6162786e-008 -+wvoffcv = 8.2971572e-008 -+pvoffcv = -1.0081046e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31510943 -+lkt1 = 1.5488057e-008 -+wkt1 = -3.0592631e-008 -+pkt1 = -5.3777798e-014 -+kt1l = 0 -+kt2 = -0.016808883 -+lkt2 = -6.9357625e-009 -+wkt2 = -5.3368725e-009 -+pkt2 = 3.6658349e-015 -+ute = -1.5472465 -+lute = -2.7576491e-008 -+wute = -2.7452583e-008 -+pute = 2.7493762e-013 -+ua1 = 1.6533384e-009 -+lua1 = 2.6318815e-017 -+wua1 = 2.5344044e-017 -+pua1 = -3.0793013e-023 -+ub1 = -2.1471138e-018 -+lub1 = -1.774603e-024 -+wub1 = -1.1253962e-024 -+pub1 = 2.3351368e-030 -+uc1 = -4.4578445e-011 -+luc1 = -1.1438687e-016 -+wuc1 = -9.8477906e-018 -+puc1 = 9.8625623e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.11 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.71889441 -+wvth0 = -3.2343586e-009 -+k1 = 0.79290591 -+wk1 = -2.6511136e-009 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040683961 -+wu0 = -6.2009548e-009 -+ua = -7.8609378e-010 -+wua = 1.7086427e-017 -+ub = 3.2061309e-018 -+wub = -4.0509016e-025 -+uc = 1.1070566e-010 -+wuc = -2.4981444e-017 -+eu = 1.67 -+vsat = 75000 -+a0 = 1.0800597 -+wa0 = 9.2126199e-008 -+ags = 0.29635114 -+wags = -7.6882295e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.100643 -+wbeta0 = -3.1548252e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1132954 -+wnoff = -1.3255568e-007 -+voffcv = -0.0573125 -+wvoffcv = 7.2905625e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31356294 -+wkt1 = -3.5962356e-008 -+kt1l = 0 -+kt2 = -0.017501421 -+wkt2 = -4.9708381e-009 -+ute = -1.55 -+ua1 = 1.6559664e-009 -+wua1 = 2.2269354e-017 -+ub1 = -2.3243083e-018 -+wub1 = -8.9223229e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.12 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.82418523 -+lvth0 = -5.8511842e-008 -+k1 = 0.96194091 -+lk1 = -1.0430342e-007 -+k2 = 0.019191068 -+lk2 = -3.339933e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.045205295 -+lu0 = 6.4153784e-010 -+ua = -6.8558591e-010 -+lua = 2.9527314e-016 -+ub = 3.6641068e-018 -+lub = -3.5612601e-025 -+uc = 2.8527975e-010 -+luc = -7.0348871e-017 -+eu = 1.67 -+vsat = 75000 -+a0 = 0.13372839 -+la0 = -1.6334972e-008 -+wa0 = -2.9925391e-007 -+pa0 = 1.5411576e-013 -+ags = 0.46825513 -+lags = -6.8085644e-008 -+wags = -1.866476e-006 -+pags = 9.6123512e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12409156 -+lketa = 3.0741152e-008 -+wketa = -3.7837384e-008 -+pketa = 1.9486253e-014 -+dwg = 0 -+dwb = 0 -+pclm = 0.23454659 -+lpclm = -1.7008694e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0032954546 -+ldelta = 3.4528409e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6346295e-006 -+lalpha0 = -2.8223522e-013 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.53e-010 -+cgdo = 2.53e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.41363636 -+lnoff = 1.2430227e-006 -+voffcv = 0.21284091 -+lvoffcv = -1.0703807e-007 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29257841 -+lkt1 = -7.1335693e-009 -+kt1l = 0 -+kt2 = -0.024617727 -+lkt2 = 7.0437954e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -5.2160045e-018 -+lub1 = 4.8961284e-025 -+uc1 = -2.1358339e-010 -+luc1 = 4.7587744e-017 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.13 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.73325579 -+lvth0 = -1.168318e-008 -+k1 = 0.75941 -+k2 = 0.017320476 -+lk2 = -3.2435975e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10391036 -+lvoff = -8.6260661e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.037506864 -+lu0 = 4.6062299e-009 -+ua = -9.6853729e-010 -+lua = 4.409931e-016 -+ub = 3.4110414e-018 -+lub = -2.2579734e-025 -+uc = 1.4868e-010 -+eu = 1.67 -+vsat = 75000 -+a0 = 0.63315593 -+la0 = -2.7354015e-007 -+ags = 0.62032529 -+lags = -1.4640177e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043632179 -+lketa = -1.0695428e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.063999357 -+lpclm = 7.0823131e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00133635 -+lpdiblc2= 9.4484475e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0013214286 -+ldelta = 4.4694643e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7617464e-006 -+lalpha0 = -2.4077004e-012 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31240086 -+lkt1 = 3.0749914e-009 -+kt1l = 0 -+kt2 = -0.022382143 -+lkt2 = -4.4694643e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -2.9852107e-018 -+lub1 = -6.5924598e-025 -+uc1 = -1.3921407e-010 -+luc1 = 9.2875468e-018 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.14 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.71786999 -+lvth0 = 7.0105569e-009 -+k1 = 0.79722801 -+lk1 = -4.5948877e-008 -+k2 = -0.0074202574 -+lk2 = -2.3759842e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12199236 -+lvoff = 1.3343565e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.039891348 -+lu0 = 1.7090825e-009 -+ua = -8.0906659e-010 -+lua = 2.4723621e-016 -+ub = 3.1572573e-018 -+lub = 8.2550345e-026 -+uc = 1.02611e-010 -+luc = 5.5973835e-017 -+eu = 1.67 -+vsat = 75000 -+a0 = 1.1833631 -+la0 = -9.4204186e-007 -+ags = 0.26737957 -+lags = 2.8242727e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.020978796 -+lketa = -3.8219289e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23124865 -+lpclm = -1.3238476e-007 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00063792557 -+lpdiblc2= 1.7934304e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027238636 -+ldelta = 2.7655057e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.1059211e-005 -+lalpha0 = -1.0482912e-010 -+alpha1 = 0 -+beta0 = 24.481134 -+lbeta0 = -4.1275172e-006 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.23e-010 -+cgdo = 1.23e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1138068 -+lnoff = -1.3827528e-007 -+voffcv = -0.05759375 -+lvoffcv = 7.6051406e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3181779 -+lkt1 = 1.0094096e-008 -+kt1l = 0 -+kt2 = -0.017344176 -+lkt2 = -6.568076e-009 -+ute = -1.55 -+ua1 = 1.6558805e-009 -+lua1 = 2.3230248e-017 -+ub1 = -2.2599921e-018 -+lub1 = -1.5403867e-024 -+uc1 = -4.5566188e-011 -+luc1 = -1.0449463e-016 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.15 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.4e-009 -+toxp = 8.4e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.5e-008 -+xw = -1e-008 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.71857 -+k1 = 0.79264 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040062 -+ua = -7.8438e-010 -+ub = 3.1655e-018 -+uc = 1.082e-010 -+eu = 1.67 -+vsat = 75000 -+a0 = 1.0893 -+ags = 0.29558 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.069 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.53e-010 -+cgdo = 2.53e-010 -+cgbo = 1e-013 -+cgdl = 1.1e-010 -+cgsl = 1.1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1 -+voffcv = -0.05 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31717 -+kt1l = 0 -+kt2 = -0.018 -+ute = -1.55 -+ua1 = 1.6582e-009 -+ub1 = -2.4138e-018 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - -*resistor - -.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 -*------------------- -* body resistor parameters -*.param rsh_nplus_u_m=60 -+ r_rsh0=rsh_nplus_u_m -+ r_dw=-5E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.36E-3 -+ r_tc2=6.5E-7 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* model for substrate capacitor -.model np_junction d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 - -d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' - -*------------------- -.ends nplus_u_m1 - -.endl nmos_3p3_s -* -* -.lib nmos_3p3_fs - - - -.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.007148 -+ par_k=0.007008 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model nmos_3p3.0 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.64635471 -+lvth0 = -3.6607582e-008 -+wvth0 = -1.4783981e-008 -+pvth0 = 4.2707557e-016 -+k1 = 0.95223909 -+lk1 = -9.4486255e-008 -+k2 = 0.052721915 -+lk2 = -3.9622207e-008 -+wk2 = -1.9530798e-008 -+pk2 = 5.2733156e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12625494 -+lvoff = 3.7921347e-009 -+wvoff = 5.3858974e-009 -+pvoff = -1.4541923e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.023766155 -+lu0 = 4.4287103e-009 -+wu0 = 4.7228328e-009 -+pu0 = -6.3741029e-016 -+ua = -1.1188622e-009 -+lua = 6.7054848e-016 -+wua = 2.6992935e-016 -+pua = -1.3848566e-022 -+ub = 3.341622e-018 -+lub = -7.5166395e-025 -+wub = -4.17125e-025 -+pub = 9.0672414e-032 -+uc = 2.2389524e-010 -+luc = -5.8253799e-017 -+wuc = -3.333668e-017 -+puc = 5.3308427e-024 -+eu = 1.67 -+vsat = 97266.234 -+lvsat = -0.0026417045 -+wvsat = -0.00012912338 -+pvsat = 1.2812267e-009 -+a0 = 0.11206719 -+la0 = -3.0583422e-009 -+wa0 = -6.3254637e-009 -+pa0 = 1.7078752e-015 -+ags = 0.32050776 -+lags = -1.3683815e-008 -+wags = 4.8736973e-008 -+pags = -1.1953845e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.14660298 -+lketa = 3.6813935e-008 -+wketa = 8.2761628e-009 -+pketa = -2.3745402e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.36959505 -+lpclm = -4.5110649e-008 -+wpclm = 2.2412267e-008 -+ppclm = 8.3834934e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0038636364 -+ldelta = 3.0068182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.627733e-006 -+lalpha0 = -2.876129e-013 -+walpha0 = 4.9509131e-014 -+palpha0 = -1.3367465e-020 -+alpha1 = 0 -+beta0 = 19.907557 -+lbeta0 = 1.2151841e-007 -+wbeta0 = 1.4348835e-007 -+pbeta0 = 8.5415114e-016 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.45797555 -+lkt1 = 4.0235308e-008 -+wkt1 = 3.2591386e-008 -+pkt1 = -8.4689085e-015 -+kt1l = 0 -+kt2 = -0.024695 -+lkt2 = 1.20015e-009 -+wkt2 = 1.0755977e-009 -+pkt2 = -2.9041139e-016 -+ute = -1.5663149 -+lute = 8.8056818e-008 -+wute = 1.0491274e-007 -+pute = -4.2707557e-014 -+ua1 = 1.675e-009 -+ub1 = -4.191221e-018 -+lub1 = 2.7447418e-025 -+wub1 = 3.4262081e-025 -+pub1 = -5.6267206e-032 -+uc1 = -4.2302273e-011 -+luc1 = -3.6983864e-018 -+wuc1 = -6.6433977e-018 -+puc1 = 1.7937174e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.1 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.61806264 -+lvth0 = -2.274447e-008 -+wvth0 = -1.2753387e-008 -+pvth0 = -5.679156e-016 -+k1 = 0.746507 -+lk1 = 6.32247e-009 -+k2 = 0.023469038 -+lk2 = -2.5288297e-008 -+wk2 = -3.1675243e-009 -+pk2 = -2.7446888e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.11287523 -+lvoff = -2.7639238e-009 -+wvoff = 1.7601776e-009 -+pvoff = 3.2241042e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.029657487 -+lu0 = 1.5419574e-009 -+wu0 = 9.0899306e-010 -+pu0 = 1.2313712e-015 -+ua = -1.2857579e-009 -+lua = 7.5232737e-016 -+wua = 4.8437374e-017 -+pua = -2.995459e-023 -+ub = 3.0744545e-018 -+lub = -6.207519e-025 -+wub = -2.974725e-026 -+pub = -9.9142683e-032 -+uc = 8.466824e-011 -+luc = 9.9674311e-018 -+wuc = 2.1132713e-018 -+puc = -1.2039633e-023 -+eu = 1.67 -+vsat = 88687.5 -+lvsat = 0.001561875 -+wvsat = -0.0017399375 -+pvsat = 2.0705256e-009 -+a0 = 1.0806312 -+la0 = -4.7765472e-007 -+wa0 = -5.3427231e-008 -+pa0 = 2.4787741e-014 -+ags = 0.47491359 -+lags = -8.9342671e-008 -+wags = 4.4678399e-008 -+pags = -9.965144e-015 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.028392375 -+lketa = -2.1109261e-008 -+wketa = -7.5985556e-009 -+pketa = 5.4040719e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.082248339 -+lpclm = 9.5689239e-008 -+wpclm = 4.5419115e-008 -+ppclm = -2.8898622e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0013741 -+lpdiblc2= 8.80481e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0015 -+ldelta = 4.165e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.5351125e-006 -+lalpha0 = -2.2022289e-012 -+walpha0 = -1.5560013e-014 -+palpha0 = 1.8516415e-020 -+alpha1 = 0 -+beta0 = 22.62283 -+lbeta0 = -1.2089656e-006 -+wbeta0 = -3.5881772e-007 -+pbeta0 = 2.4698413e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33934486 -+lkt1 = -1.7893733e-008 -+wkt1 = -2.4032443e-009 -+pkt1 = 8.6784603e-015 -+kt1l = 0 -+kt2 = -0.020325321 -+lkt2 = -9.409925e-010 -+wkt2 = -3.6219107e-011 -+pkt2 = 2.5437886e-016 -+ute = -1.3866071 -+wute = 1.7754464e-008 -+ua1 = 1.675e-009 -+ub1 = -2.8142257e-018 -+lub1 = -4.0025352e-025 -+wub1 = 6.0336771e-026 -+pub1 = 8.2051973e-032 -+uc1 = -6.0305e-011 -+luc1 = 5.12295e-018 -+wuc1 = 2.087925e-018 -+puc1 = -2.4846307e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.2 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.6014737 -+lvth0 = -3.0036269e-009 -+wvth0 = -1.0050112e-008 -+pvth0 = -3.7848123e-015 -+k1 = 0.79588952 -+lk1 = -5.2442732e-008 -+k2 = 0.0060240997 -+lk2 = -4.5288209e-009 -+wk2 = -7.7235646e-009 -+pk2 = 2.6769992e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12641046 -+lvoff = 1.3342993e-008 -+wvoff = 2.1560739e-009 -+pvoff = -1.4870619e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032410861 -+lu0 = -1.7345577e-009 -+wu0 = 6.9614246e-010 -+pu0 = 1.4846634e-015 -+ua = -8.1562356e-010 -+lua = 1.9286754e-016 -+wua = 6.2785193e-018 -+pua = 2.0214448e-023 -+ub = 2.7434392e-018 -+lub = -2.2684367e-025 -+wub = -1.6717846e-026 -+pub = -1.1464767e-031 -+uc = 9.8926027e-011 -+luc = -6.999336e-018 -+wuc = -9.2137918e-018 -+puc = 1.4395718e-024 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.2236495 -+la0 = -6.4784645e-007 -+wa0 = 4.40803e-009 -+pa0 = -4.4036219e-014 -+ags = 0.25812408 -+lags = 1.6863685e-007 -+wags = -2.659998e-009 -+pags = 4.6367549e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.019643215 -+lketa = -3.1520762e-008 -+wketa = -6.8584002e-010 -+pketa = -2.8220597e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.1890464 -+lpclm = -3.1400457e-008 -+wpclm = 2.2728176e-009 -+ppclm = 4.8454232e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064161023 -+lpdiblc2= 1.7521438e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027295455 -+ldelta = 2.7018409e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 7.4780689e-005 -+lalpha0 = -8.3414465e-011 -+walpha0 = 7.7968964e-012 -+palpha0 = -9.2783067e-018 -+alpha1 = 0 -+beta0 = 24.201637 -+lbeta0 = -3.0877458e-006 -+wbeta0 = 1.1760436e-007 -+pbeta0 = -3.1995815e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.32862431 -+lkt1 = -3.0651179e-008 -+wkt1 = -7.6027077e-009 -+pkt1 = 1.4865822e-014 -+kt1l = 0 -+kt2 = -0.021116071 -+wkt2 = 1.7754464e-010 -+ute = -1.3866071 -+wute = 1.7754464e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5183956e-018 -+lub1 = -7.5229142e-025 -+wub1 = 2.3149925e-026 -+pub1 = 1.2630432e-031 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.3 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.60117304 -+wvth0 = -1.0428972e-008 -+k1 = 0.79064 -+k2 = 0.0055707643 -+wk2 = -7.4555967e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12507482 -+wvoff = 2.1411884e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032237232 -+wu0 = 8.4475741e-010 -+ua = -7.963175e-010 -+wua = 8.3019875e-018 -+ub = 2.7207321e-018 -+wub = -2.8194089e-026 -+uc = 9.8225393e-011 -+wuc = -9.0696905e-018 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1588 -+ags = 0.27500464 -+wags = 1.9813982e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.022798446 -+wketa = -9.6832848e-010 -+dwg = 0 -+dwb = 0 -+pclm = 0.18590321 -+wpclm = 7.1230911e-009 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.6430893e-005 -+walpha0 = 6.868137e-012 -+alpha1 = 0 -+beta0 = 23.892554 -+wbeta0 = 8.5576518e-008 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3316925 -+wkt1 = -6.1146375e-009 -+kt1l = 0 -+kt2 = -0.021116071 -+wkt2 = 1.7754464e-010 -+ute = -1.3866071 -+wute = 1.7754464e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5937e-018 -+wub1 = 3.5793e-026 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.4 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.66075684 -+lvth0 = -3.9687122e-008 -+wvth0 = -2.1769016e-008 -+pvth0 = 1.9206526e-015 -+k1 = 0.95223909 -+lk1 = -9.4486255e-008 -+k2 = 0.038660657 -+lk2 = -3.5825667e-008 -+wk2 = -1.2711088e-008 -+pk2 = 3.4319939e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.079820841 -+lvoff = -8.7450729e-009 -+wvoff = -1.7134642e-008 -+pvoff = 4.6263534e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.033298195 -+lu0 = 3.8067177e-009 -+wu0 = 9.9793159e-011 -+pu0 = -3.357439e-016 -+ua = -6.0169576e-010 -+lua = 3.7738605e-016 -+wua = 1.9103635e-017 -+pua = 3.6981203e-024 -+ub = 2.2763308e-018 -+lub = -8.3236674e-026 -+wub = 9.954125e-026 -+pub = -2.3351482e-031 -+uc = 1.5632343e-010 -+luc = -3.2397203e-017 -+wuc = -5.6435009e-019 -+puc = -7.2096064e-024 -+eu = 1.67 -+vsat = 76839.61 -+lvsat = 0.0040720909 -+wvsat = 0.009777789 -+pvsat = -1.9749641e-009 -+a0 = 0.10671673 -+la0 = -1.6137164e-009 -+wa0 = -3.7304877e-009 -+pa0 = 1.0072317e-015 -+ags = 0.35387843 -+lags = -1.1017368e-008 -+wags = 3.25522e-008 -+pags = -1.3247072e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12272005 -+lketa = 2.8576826e-008 -+wketa = -3.3070572e-009 -+pketa = 1.620458e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.45365742 -+lpclm = -7.5885948e-008 -+wpclm = -1.8357985e-008 -+ppclm = 2.3309514e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0038636364 -+ldelta = 3.0068182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6294657e-006 -+lalpha0 = -2.6600418e-013 -+walpha0 = 4.8668758e-014 -+palpha0 = -2.3847691e-020 -+alpha1 = 0 -+beta0 = 20.979738 -+lbeta0 = -8.5415114e-008 -+wbeta0 = -3.7651952e-007 -+pbeta0 = 1.0121691e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.37648295 -+lkt1 = 1.5769644e-008 -+wkt1 = -6.932527e-009 -+pkt1 = 3.3969382e-015 -+kt1l = 0 -+kt2 = -0.014808861 -+lkt2 = -3.1561582e-009 -+wkt2 = -3.7191798e-009 -+pkt2 = 1.8223981e-015 -+ute = -1.4346429 -+wute = 4.1051786e-008 -+ua1 = 1.675e-009 -+ub1 = -3.6418527e-018 -+lub1 = 2.3542184e-025 -+wub1 = 7.6177186e-026 -+pub1 = -3.7326821e-032 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.5 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.61484234 -+lvth0 = -1.7189014e-008 -+wvth0 = -1.1191538e-008 -+pvth0 = -3.2623115e-015 -+k1 = 0.76834994 -+lk1 = -4.3805685e-009 -+wk1 = -1.0593824e-008 -+pk1 = 5.1909737e-015 -+k2 = 0.0078158961 -+lk2 = -2.0711735e-008 -+wk2 = 4.4242495e-009 -+pk2 = -4.9643217e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12034945 -+lvoff = 1.1113945e-008 -+wvoff = 5.3851733e-009 -+pvoff = -6.4083562e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.031262366 -+lu0 = 4.804274e-009 -+wu0 = 1.3062678e-010 -+pu0 = -3.5085237e-016 -+ua = -1.1474219e-009 -+lua = 6.4479186e-016 -+wua = -1.8655574e-017 -+pua = 2.2200133e-023 -+ub = 2.8171887e-018 -+lub = -3.4825707e-025 -+wub = 9.5026674e-026 -+pub = -2.3130267e-031 -+uc = 8.2034846e-011 -+luc = 4.0042012e-018 -+wuc = 3.390467e-018 -+puc = -9.1474668e-024 -+eu = 1.67 -+vsat = 93395 -+lvsat = -0.00404005 -+wvsat = -0.004023075 -+pvsat = 4.7874593e-009 -+a0 = 0.9680546 -+la0 = -4.2366927e-007 -+wa0 = 1.172439e-009 -+pa0 = -1.3952024e-015 -+ags = 0.4396228 -+lags = -5.3032112e-008 -+wags = 6.1794432e-008 -+pags = -2.7575765e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.0440595 -+lketa = -9.966845e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.2180583 -+lpclm = 3.9557623e-008 -+wpclm = -2.0448715e-008 -+ppclm = 2.4333971e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0013741 -+lpdiblc2= 8.80481e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0015 -+ldelta = 4.165e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7781531e-006 -+lalpha0 = -2.298861e-012 -+walpha0 = -1.3343472e-013 -+palpha0 = 6.5383015e-020 -+alpha1 = 0 -+beta0 = 21.030477 -+lbeta0 = -1.102773e-007 -+wbeta0 = 4.1347359e-007 -+pbeta0 = -2.8587971e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.40772797 -+lkt1 = 3.1079706e-008 -+wkt1 = 3.0762566e-008 -+pkt1 = -1.5073657e-014 -+kt1l = 0 -+kt2 = -0.031191964 -+lkt2 = 4.8715625e-009 -+wkt2 = 5.2341027e-009 -+pkt2 = -2.5647103e-015 -+ute = -1.4346429 -+wute = 4.1051786e-008 -+ua1 = 1.675e-009 -+ub1 = -2.8132801e-018 -+lub1 = -1.7057876e-025 -+wub1 = 5.9878135e-026 -+pub1 = -2.9340286e-032 -+uc1 = -1.1862793e-010 -+luc1 = 3.0687684e-017 -+wuc1 = 3.0374545e-017 -+puc1 = -1.4883527e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.6 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.58928172 -+lvth0 = 1.3228117e-008 -+wvth0 = -4.137003e-009 -+pvth0 = -1.1657208e-014 -+k1 = 0.79415202 -+lk1 = -3.5085045e-008 -+wk1 = 8.4269053e-010 -+pk1 = -8.4184784e-015 -+k2 = -0.0057098764 -+lk2 = -4.6160653e-009 -+wk2 = -2.0325861e-009 -+pk2 = 2.7193127e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12196494 -+lvoff = 1.3036382e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.03650052 -+lu0 = -1.4291291e-009 -+wu0 = -1.287342e-009 -+pu0 = 1.3365305e-015 -+ua = -7.8786125e-010 -+lua = 2.1691469e-016 -+wua = -7.1861991e-018 -+pua = 8.5515769e-024 -+ub = 3.0604368e-018 -+lub = -6.3772226e-025 -+wub = -1.7046166e-025 -+pub = 8.4628446e-032 -+uc = 9.7619729e-011 -+luc = -1.4541809e-017 -+wuc = -8.5802375e-018 -+puc = 5.0976715e-024 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.2327382 -+la0 = -7.3864278e-007 -+ags = 0.28395177 -+lags = 1.3221642e-007 -+wags = -1.5186427e-008 -+pags = 6.4031457e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021057318 -+lketa = -3.7339441e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.22724843 -+lpclm = 2.8621373e-008 -+wpclm = -1.6255164e-008 -+ppclm = 1.9343645e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064161023 -+lpdiblc2= 1.7521438e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027295455 -+ldelta = 2.7018409e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0834879e-005 -+lalpha0 = -1.0232637e-010 -+walpha0 = 1.0614126e-014 -+palpha0 = -1.0603512e-019 -+alpha1 = 0 -+beta0 = 24.03504 -+lbeta0 = -3.6857066e-006 -+wbeta0 = 1.9840421e-007 -+pbeta0 = -2.9947156e-014 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33925459 -+lkt1 = -5.0403614e-008 -+wkt1 = -2.4470223e-009 -+pkt1 = 2.4445753e-014 -+kt1l = 0 -+kt2 = -0.021813326 -+lkt2 = -6.2890172e-009 -+wkt2 = 5.1571306e-010 -+pkt2 = 3.0501733e-015 -+ute = -1.7229095 -+lute = 3.430373e-007 -+wute = 1.8086111e-007 -+pute = -1.6637309e-013 -+ua1 = 1.675e-009 -+ub1 = -3.550491e-018 -+lub1 = 7.0670219e-025 -+wub1 = 5.237162e-025 -+pub1 = -5.8130758e-031 -+uc1 = -5.1018233e-011 -+luc1 = -4.9767852e-017 -+wuc1 = -2.416157e-018 -+puc1 = 2.4137408e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.7 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.59060586 -+wvth0 = -5.3038907e-009 -+k1 = 0.79064 -+k2 = -0.006171945 -+wk2 = -1.7603827e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036357464 -+wu0 = -1.1535552e-009 -+ua = -7.6614807e-010 -+wua = -6.3301854e-018 -+ub = 2.9966007e-018 -+wub = -1.6199035e-025 -+uc = 9.6164093e-011 -+wuc = -8.06996e-018 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1588 -+ags = 0.29718664 -+wags = -8.7768718e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.23011343 -+wpclm = -1.4318863e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 23.6661 -+wbeta0 = 1.954065e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3443 -+kt1l = 0 -+kt2 = -0.022442857 -+wkt2 = 8.2103571e-010 -+ute = -1.6885714 -+wute = 1.6420714e-007 -+ua1 = 1.675e-009 -+ub1 = -3.47975e-018 -+wub1 = 4.6552725e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.8 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.69023545 -+lvth0 = -5.2688923e-008 -+wvth0 = -5.6701171e-008 -+pvth0 = 1.7327786e-014 -+k1 = 0.94377247 -+lk1 = -9.0337611e-008 -+wk1 = 1.0032944e-008 -+pk1 = -4.9161428e-015 -+k2 = 0.011777641 -+lk2 = -2.8567253e-008 -+wk2 = 1.9145286e-008 -+pk2 = -5.1692271e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12421225 -+lvoff = 6.518816e-010 -+wvoff = 3.5469172e-008 -+pvoff = -6.5090378e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.046917138 -+lu0 = 1.5943995e-010 -+wu0 = -1.6038654e-008 -+pu0 = 3.9862803e-015 -+ua = -6.4392181e-010 -+lua = 2.405174e-016 -+wua = 6.9141509e-017 -+pua = 1.6588748e-022 -+ub = 3.7731784e-018 -+lub = -3.1413979e-025 -+wub = -1.6742232e-024 -+pub = 4.0105376e-032 -+uc = 2.8957392e-010 -+luc = -6.4329084e-017 -+wuc = -1.5846619e-016 -+puc = 3.0629672e-023 -+eu = 1.67 -+vsat = 90661.054 -+lvsat = -0.00032391632 -+wvsat = -0.0066006214 -+pvsat = 3.2343045e-009 -+a0 = 0.10356864 -+la0 = -7.6373182e-010 -+ags = 0.27282829 -+lags = 3.0978636e-008 -+wags = 1.2859661e-007 -+pags = -6.3012337e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12210189 -+lketa = 2.8273926e-008 -+wketa = -4.0395803e-009 -+pketa = 1.9793943e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.2039517 -+lpclm = -9.2361767e-009 -+wpclm = 2.775433e-007 -+ppclm = -5.5670466e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0038636364 -+ldelta = 3.0068182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.5770972e-006 -+lalpha0 = -2.4034362e-013 -+walpha0 = 1.1072542e-013 -+palpha0 = -5.4255458e-020 -+alpha1 = 0 -+beta0 = 21.140826 -+wbeta0 = -5.6740897e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.50656508 -+lnoff = 1.2282169e-006 -+wnoff = 2.9702796e-006 -+pnoff = -1.455437e-012 -+voffcv = 0.2208431 -+lvoffcv = -1.0576312e-007 -+wvoffcv = -2.5577408e-007 -+pvoffcv = 1.253293e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28182405 -+lkt1 = -9.558142e-009 -+wkt1 = -1.1910332e-007 -+pkt1 = 3.3410365e-014 -+kt1l = 0 -+kt2 = -0.025384429 -+lkt2 = 9.1390428e-010 -+wkt2 = 8.8128685e-009 -+pkt2 = -3.000626e-015 -+ute = -1.5701989 -+wute = 2.0168565e-007 -+ua1 = 1.675e-009 -+ub1 = -5.3452175e-018 -+lub1 = 4.5632098e-025 -+wub1 = 2.0946644e-024 -+pub1 = -2.9909231e-031 -+uc1 = -2.2591814e-010 -+luc1 = 4.7020919e-017 -+wuc1 = 2.0135299e-016 -+puc1 = -5.5719789e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.9 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.60243767 -+lvth0 = -9.668011e-009 -+wvth0 = 3.5079858e-009 -+pvth0 = -1.2174701e-014 -+k1 = 0.75941 -+k2 = 0.016627153 -+lk2 = -3.0943514e-008 -+wk2 = -6.0170898e-009 -+pk2 = 7.1603368e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10269969 -+lvoff = -9.8892719e-009 -+wvoff = -1.5529795e-008 -+pvoff = 1.8480456e-014 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.038541716 -+lu0 = 4.2633967e-009 -+wu0 = -8.4954031e-009 -+pu0 = 2.9008716e-016 -+ua = -9.2233701e-010 -+lua = 3.7694084e-016 -+wua = -2.8538117e-016 -+pua = 3.3960359e-022 -+ub = 3.4699744e-018 -+lub = -1.6556982e-025 -+wub = -6.7852432e-025 -+pub = -4.4778706e-031 -+uc = 1.572691e-010 -+luc = 5.0028276e-019 -+wuc = -8.5762118e-017 -+puc = -4.9953234e-024 -+eu = 1.67 -+vsat = 90000 -+a0 = 0.57552494 -+la0 = -2.3202232e-007 -+wa0 = 4.6632009e-007 -+pa0 = -2.2849684e-013 -+ags = 0.63099948 -+lags = -1.4452524e-007 -+wags = -1.6498693e-007 -+pags = 8.0843597e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.0440595 -+lketa = -9.966845e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.048788318 -+lpclm = 6.6793883e-008 -+wpclm = 1.8013621e-007 -+ppclm = -7.9409961e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0013741 -+lpdiblc2= 8.80481e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0015 -+ldelta = 4.165e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.66555e-006 -+lalpha0 = -2.2436855e-012 -+alpha1 = 0 -+beta0 = 21.044222 -+lbeta0 = 4.7336172e-008 -+wbeta0 = 3.9718628e-007 -+pbeta0 = -4.7265167e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30292054 -+lkt1 = 7.7913898e-010 -+wkt1 = -9.343424e-008 -+pkt1 = 2.0832514e-014 -+kt1l = 0 -+kt2 = -0.021810866 -+lkt2 = -8.3714133e-010 -+wkt2 = -5.8824982e-009 -+pkt2 = 4.2001037e-015 -+ute = -1.5701989 -+wute = 2.0168565e-007 -+ua1 = 1.675e-009 -+ub1 = -3.0450532e-018 -+lub1 = -6.7075952e-025 -+wub1 = 3.3452927e-025 -+pub1 = 5.6337391e-031 -+uc1 = -1.450168e-010 -+luc1 = 7.3792611e-018 -+wuc1 = 6.1645354e-017 -+puc1 = 1.2736955e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.10 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.58816635 -+lvth0 = 7.3148658e-009 -+wvth0 = -2.8152872e-009 -+pvth0 = -4.6500058e-015 -+k1 = 0.79743934 -+lk1 = -4.5254914e-008 -+wk1 = -3.0527874e-009 -+pk1 = 3.632817e-015 -+k2 = -0.007425139 -+lk2 = -2.3212866e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12196494 -+lvoff = 1.3036382e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040498226 -+lu0 = 1.9351498e-009 -+wu0 = -6.024624e-009 -+pu0 = -2.65014e-015 -+ua = -8.1052911e-010 -+lua = 2.4388945e-016 -+wua = 1.9675215e-017 -+pua = -2.3413506e-023 -+ub = 3.1898582e-018 -+lub = 1.6776843e-025 -+wub = -3.2382603e-025 -+pub = -8.6987803e-031 -+uc = 1.0438863e-010 -+luc = 6.3428033e-017 -+wuc = -1.6601388e-017 -+puc = -8.7296592e-023 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1745182 -+la0 = -9.448243e-007 -+wa0 = 6.8990718e-008 -+pa0 = 2.4432511e-013 -+ags = 0.2675321 -+lags = 2.8800094e-007 -+wags = 4.2708771e-009 -+pags = -1.205732e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021057318 -+lketa = -3.7339441e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23332588 -+lpclm = -1.5280581e-007 -+wpclm = -2.3456943e-008 -+ppclm = 2.3433486e-013 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064161023 -+lpdiblc2= 1.7521438e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027295455 -+ldelta = 2.7018409e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0843837e-005 -+lalpha0 = -1.0241585e-010 -+alpha1 = 0 -+beta0 = 24.509036 -+lbeta0 = -4.075793e-006 -+wbeta0 = -3.632817e-007 -+pbeta0 = 4.3230522e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1288096 -+lnoff = -1.5328342e-007 -+wnoff = -1.5263937e-007 -+pnoff = 1.8164085e-013 -+voffcv = -0.065845277 -+lvoffcv = 8.430588e-008 -+wvoffcv = 8.3951653e-008 -+pvoffcv = -9.9902467e-014 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31503813 -+lkt1 = 1.5199068e-008 -+wkt1 = -3.1143535e-008 -+pkt1 = -5.3293425e-014 -+kt1l = 0 -+kt2 = -0.01681628 -+lkt2 = -6.7806996e-009 -+wkt2 = -5.4057867e-009 -+pkt2 = 3.632817e-015 -+ute = -1.5472686 -+lute = -2.7287058e-008 -+wute = -2.7273401e-008 -+pute = 2.7246128e-013 -+ua1 = 1.65336e-009 -+lua1 = 2.5751614e-017 -+wua1 = 2.5643414e-017 -+pua1 = -3.0515663e-023 -+ub1 = -2.1493188e-018 -+lub1 = -1.7366835e-024 -+wub1 = -1.1366728e-024 -+pub1 = 2.3141044e-030 -+uc1 = -4.4801054e-011 -+luc1 = -1.1187747e-016 -+wuc1 = -9.7835144e-018 -+puc1 = 9.7737308e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.11 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.58889857 -+wvth0 = -3.2807533e-009 -+k1 = 0.79290932 -+wk1 = -2.689142e-009 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040691935 -+wu0 = -6.2899032e-009 -+ua = -7.8611576e-010 -+wua = 1.733152e-017 -+ub = 3.2066518e-018 -+wub = -4.109009e-025 -+uc = 1.1073779e-010 -+wuc = -2.5339785e-017 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.0799412 -+wa0 = 9.3447686e-008 -+ags = 0.29636102 -+wags = -7.7985119e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.101049 -+wbeta0 = -3.200079e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1134659 -+wnoff = -1.344571e-007 -+voffcv = -0.05740625 -+wvoffcv = 7.3951406e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3135167 -+wkt1 = -3.6478212e-008 -+kt1l = 0 -+kt2 = -0.017495028 -+wkt2 = -5.0421413e-009 -+ute = -1.55 -+ua1 = 1.6559377e-009 -+wua1 = 2.2588793e-017 -+ub1 = -2.323161e-018 -+wub1 = -9.0503075e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.12 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.68455682 -+lvth0 = -5.0953541e-008 -+k1 = 0.94477727 -+lk1 = -9.0829964e-008 -+k2 = 0.013695045 -+lk2 = -2.9084952e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.045310864 -+lu0 = 5.5866682e-010 -+ua = -6.3699727e-010 -+lua = 2.5713106e-016 -+ub = 3.6055045e-018 -+lub = -3.1012323e-025 -+uc = 2.737035e-010 -+luc = -6.1261515e-017 -+eu = 1.67 -+vsat = 90000 -+a0 = 0.13104451 -+la0 = -1.4226912e-008 -+wa0 = -2.7434664e-007 -+pa0 = 1.3442985e-013 -+ags = 0.45707702 -+lags = -5.9303239e-008 -+wags = -1.7111269e-006 -+pags = 8.3845218e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.11903243 -+lketa = 2.6769891e-008 -+wketa = -3.4688132e-008 -+pketa = 1.6997185e-014 -+dwg = 0 -+dwb = 0 -+pclm = 0.23174773 -+lpclm = -1.4811586e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0038636364 -+ldelta = 3.0068182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.5881864e-006 -+lalpha0 = -2.4577732e-013 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.185e-010 -+cgdo = 2.185e-010 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.20909091 -+lnoff = 1.0824545e-006 -+voffcv = 0.19522727 -+lvoffcv = -9.3211364e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29375227 -+lkt1 = -6.2120864e-009 -+kt1l = 0 -+kt2 = -0.024501818 -+lkt2 = 6.1339091e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -5.1354364e-018 -+lub1 = 4.2636682e-025 -+uc1 = -2.0575259e-010 -+luc1 = 4.144057e-017 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.13 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.602789 -+lvth0 = -1.088731e-008 -+k1 = 0.75941 -+k2 = 0.01602454 -+lk2 = -3.0226405e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.104255 -+lvoff = -8.03845e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.0376909 -+lu0 = 4.292449e-009 -+ua = -9.50918e-010 -+lua = 4.1095222e-016 -+ub = 3.40202e-018 -+lub = -2.104158e-025 -+uc = 1.4868e-010 -+eu = 1.67 -+vsat = 90000 -+a0 = 0.622227 -+la0 = -2.5490633e-007 -+ags = 0.614476 -+lags = -1.3642874e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.0440595 -+lketa = -9.966845e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.066829 -+lpclm = 6.599859e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0013741 -+lpdiblc2= 8.80481e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0015 -+ldelta = 4.165e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.66555e-006 -+lalpha0 = -2.2436855e-012 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.312278 -+lkt1 = 2.86552e-009 -+kt1l = 0 -+kt2 = -0.0224 -+lkt2 = -4.165e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -3.01155e-018 -+lub1 = -6.143375e-025 -+uc1 = -1.38843e-010 -+luc1 = 8.65487e-018 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.14 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.5878844 -+lvth0 = 6.8491667e-009 -+k1 = 0.7971336 -+lk1 = -4.4891087e-008 -+k2 = -0.007425139 -+lk2 = -2.3212866e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12196494 -+lvoff = 1.3036382e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.039894859 -+lu0 = 1.6697377e-009 -+ua = -8.0855864e-010 -+lua = 2.4154458e-016 -+ub = 3.1574269e-018 -+lub = 8.0649951e-026 -+uc = 1.02726e-010 -+luc = 5.468526e-017 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1814276 -+la0 = -9.2035509e-007 -+ags = 0.26795983 -+lags = 2.759255e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021057318 -+lketa = -3.7339441e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23097666 -+lpclm = -1.2933712e-007 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064161023 -+lpdiblc2= 1.7521438e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027295455 -+ldelta = 2.7018409e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0843837e-005 -+lalpha0 = -1.0241585e-010 -+alpha1 = 0 -+beta0 = 24.472653 -+lbeta0 = -4.0324976e-006 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 8.85e-011 -+cgdo = 8.85e-011 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1135227 -+lnoff = -1.3509204e-007 -+voffcv = -0.0574375 -+lvoffcv = 7.4300625e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31815716 -+lkt1 = 9.8617193e-009 -+kt1l = 0 -+kt2 = -0.017357671 -+lkt2 = -6.4168722e-009 -+ute = -1.55 -+ua1 = 1.6559282e-009 -+lua1 = 2.2695464e-017 -+ub1 = -2.2631568e-018 -+lub1 = -1.5049254e-024 -+uc1 = -4.5780875e-011 -+luc1 = -1.0208906e-016 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.15 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.8e-009 -+toxp = 7.8e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1e-008 -+xw = 5e-009 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.58857 -+k1 = 0.79264 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040062 -+ua = -7.8438e-010 -+ub = 3.1655e-018 -+uc = 1.082e-010 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.0893 -+ags = 0.29558 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.069 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.185e-010 -+cgdo = 2.185e-010 -+cgbo = 1e-013 -+cgdl = 9.5e-011 -+cgsl = 9.5e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1 -+voffcv = -0.05 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31717 -+kt1l = 0 -+kt2 = -0.018 -+ute = -1.55 -+ua1 = 1.6582e-009 -+ub1 = -2.4138e-018 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - - -*resistor - -.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 -*------------------- -* body resistor parameters -*.param rsh_nplus_u_m=60 -+ r_rsh0=rsh_nplus_u_m -+ r_dw=-5E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.36E-3 -+ r_tc2=6.5E-7 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* model for substrate capacitor -.model np_junction d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 - -d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' - -*------------------- -.ends nplus_u_m1 - - - -.endl nmos_3p3_fs -* -* -.lib nmos_3p3_sf - - - -.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.007148 -+ par_k=0.007008 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model nmos_3p3.0 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.77039529 -+lvth0 = -4.0876103e-008 -+wvth0 = -1.3833002e-008 -+pvth0 = 4.4478044e-016 -+k1 = 0.96652273 -+lk1 = -1.0562749e-007 -+k2 = 0.056667113 -+lk2 = -4.3701293e-008 -+wk2 = -1.8937677e-008 -+pk2 = 5.4919265e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12626439 -+lvoff = 4.0757735e-009 -+wvoff = 5.2223358e-009 -+pvoff = -1.5144774e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.023581366 -+lu0 = 4.8792474e-009 -+wu0 = 4.4895909e-009 -+pu0 = -6.638348e-016 -+ua = -1.1909754e-009 -+lua = 7.3404439e-016 -+wua = 2.7097128e-016 -+pua = -1.4422673e-022 -+ub = 3.4119198e-018 -+lub = -8.3010051e-025 -+wub = -4.0136608e-025 -+pub = 9.4431335e-032 -+uc = 2.2926756e-010 -+luc = -6.4523339e-017 -+wuc = -3.1807431e-017 -+puc = 5.5518384e-024 -+eu = 1.67 -+vsat = 86133.117 -+lvsat = -0.0028091396 -+wvsat = -0.00030073052 -+pvsat = 1.3343413e-009 -+a0 = 0.11186736 -+la0 = -3.2269331e-009 -+wa0 = -6.1333689e-009 -+pa0 = 1.778677e-015 -+ags = 0.32766 -+lags = -1.6641391e-008 -+wags = 4.7087182e-008 -+pags = -1.2449405e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.1512997 -+lketa = 4.0887819e-008 -+wketa = 8.0445414e-009 -+pketa = -2.4729792e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37854122 -+lpclm = -4.9487196e-008 -+wpclm = 1.9698751e-008 -+ppclm = 8.73104e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0034090909 -+ldelta = 3.3613636e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6763946e-006 -+lalpha0 = -3.2302945e-013 -+walpha0 = 4.8005613e-014 -+palpha0 = -1.3921628e-020 -+alpha1 = 0 -+beta0 = 19.903605 -+lbeta0 = 1.3594315e-007 -+wbeta0 = 1.3355442e-007 -+pbeta0 = 8.8956088e-016 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.46065123 -+lkt1 = 4.4027381e-008 -+wkt1 = 3.1555052e-008 -+pkt1 = -8.8199961e-015 -+kt1l = 0 -+kt2 = -0.024763831 -+lkt2 = 1.309011e-009 -+wkt2 = 1.0429334e-009 -+pkt2 = -3.024507e-016 -+ute = -1.5684253 -+lute = 9.3637987e-008 -+wute = 1.0375203e-007 -+pute = -4.4478044e-014 -+ua1 = 1.675e-009 -+ub1 = -4.197398e-018 -+lub1 = 3.0051191e-025 -+wub1 = 3.271121e-025 -+pub1 = -5.8599823e-032 -+uc1 = -4.2438636e-011 -+luc1 = -3.9327954e-018 -+wuc1 = -6.4416477e-018 -+puc1 = 1.8680778e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.1 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.73756201 -+lvth0 = -2.4131129e-008 -+wvth0 = -1.1862994e-008 -+pvth0 = -5.599238e-016 -+k1 = 0.74629014 -+lk1 = 6.6911271e-009 -+k2 = 0.024027296 -+lk2 = -2.7054986e-008 -+wk2 = -2.8631843e-009 -+pk2 = -2.7060651e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.11260451 -+lvoff = -2.8907672e-009 -+wvoff = 1.6294911e-009 -+pvoff = 3.1787341e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.029691776 -+lu0 = 1.7629382e-009 -+wu0 = 8.0747734e-010 -+pu0 = 1.2140431e-015 -+ua = -1.3065872e-009 -+lua = 7.930064e-016 -+wua = 4.6081741e-017 -+pua = -2.9533064e-023 -+ub = 3.0930961e-018 -+lub = -6.6750038e-025 -+wub = -2.4544774e-026 -+pub = -9.774753e-032 -+uc = 8.4580446e-011 -+luc = 9.2670883e-018 -+wuc = 2.3534472e-018 -+puc = -1.187021e-023 -+eu = 1.67 -+vsat = 76951.786 -+lvsat = 0.0018733393 -+wvsat = -0.0016870982 -+pvsat = 2.0413888e-009 -+a0 = 1.0915554 -+la0 = -5.0286783e-007 -+wa0 = -5.0565226e-008 -+pa0 = 2.4438924e-014 -+ags = 0.48250605 -+lags = -9.5612876e-008 -+wags = 4.194112e-008 -+pags = -9.8249129e-015 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.028451232 -+lketa = -2.1764897e-008 -+wketa = -7.2515451e-009 -+pketa = 5.3280249e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.083544401 -+lpclm = 1.0096118e-007 -+wpclm = 4.2405096e-008 -+ppclm = -2.8491956e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0013439 -+lpdiblc2= 9.31821e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0013571429 -+ldelta = 4.4078571e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.6090188e-006 -+lalpha0 = -2.3286678e-012 -+walpha0 = -1.5087478e-014 -+palpha0 = 1.8255849e-020 -+alpha1 = 0 -+beta0 = 22.627356 -+lbeta0 = -1.2531695e-006 -+wbeta0 = -3.4216904e-007 -+pbeta0 = 2.4350853e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33900277 -+lkt1 = -1.8013338e-008 -+wkt1 = -2.5161865e-009 -+pkt1 = 8.5563355e-015 -+kt1l = 0 -+kt2 = -0.020297566 -+lkt2 = -9.6878403e-010 -+wkt2 = -4.1870281e-011 -+pkt2 = 2.507992e-016 -+ute = -1.3848214 -+wute = 1.6540179e-008 -+ua1 = 1.675e-009 -+ub1 = -2.7947117e-018 -+lub1 = -4.1485807e-025 -+wub1 = 5.3588288e-026 -+pub1 = 8.0897323e-032 -+uc1 = -6.0262143e-011 -+luc1 = 5.1571929e-018 -+wuc1 = 2.0245179e-018 -+puc1 = -2.4496666e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.2 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.72046857 -+lvth0 = -3.4480652e-009 -+wvth0 = -9.3568204e-009 -+pvth0 = -3.5923941e-015 -+k1 = 0.79597775 -+lk1 = -5.3430878e-008 -+k2 = 0.0052544426 -+lk2 = -4.3398333e-009 -+wk2 = -7.1995204e-009 -+pk2 = 2.5409017e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12621602 -+lvoff = 1.3579168e-008 -+wvoff = 2.008846e-009 -+pvoff = -1.4114603e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032483545 -+lu0 = -1.6151023e-009 -+wu0 = 6.462041e-010 -+pu0 = 1.4091837e-015 -+ua = -8.1531997e-010 -+lua = 1.9857306e-016 -+wua = 5.8174289e-018 -+pua = 1.9186754e-023 -+ub = 2.7421588e-018 -+lub = -2.4286628e-025 -+wub = -1.5394771e-026 -+pub = -1.0881903e-031 -+uc = 9.8010851e-011 -+luc = -6.9837022e-018 -+wuc = -8.5858868e-018 -+puc = 1.3663846e-024 -+eu = 1.67 -+vsat = 78500 -+a0 = 1.2251902 -+la0 = -6.6456596e-007 -+wa0 = 4.1755681e-009 -+pa0 = -4.1797436e-014 -+ags = 0.25756499 -+lags = 1.7656581e-007 -+wags = -2.5507436e-009 -+pags = 4.4010242e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.019658689 -+lketa = -3.2403874e-008 -+wketa = -6.345102e-010 -+pketa = -2.6785874e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.18931963 -+lpclm = -2.7026844e-008 -+wpclm = 2.0414302e-009 -+ppclm = 4.5990839e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0006386625 -+lpdiblc2= 1.7851584e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.002725 -+ldelta = 2.75275e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 7.5706791e-005 -+lalpha0 = -8.5936972e-011 -+walpha0 = 7.2781831e-012 -+palpha0 = -8.8066015e-018 -+alpha1 = 0 -+beta0 = 24.218715 -+lbeta0 = -3.1787135e-006 -+wbeta0 = 1.1006248e-007 -+pbeta0 = -3.0369161e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.32933993 -+lkt1 = -2.9705368e-008 -+wkt1 = -7.1060329e-009 -+pkt1 = 1.411005e-014 -+kt1l = 0 -+kt2 = -0.021098214 -+wkt2 = 1.6540179e-010 -+ute = -1.3848214 -+wute = 1.6540179e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5148229e-018 -+lub1 = -7.5352353e-025 -+wub1 = 2.136867e-026 -+pub1 = 1.1988306e-031 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.3 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.72012411 -+wvth0 = -9.7157009e-009 -+k1 = 0.79064 -+k2 = 0.0048208929 -+wk2 = -6.9456841e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12485946 -+wvoff = 1.9947455e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032322196 -+wu0 = 7.869817e-010 -+ua = -7.954825e-010 -+wua = 7.7341875e-018 -+ub = 2.7178964e-018 -+wub = -2.6265804e-026 -+uc = 9.7313179e-011 -+wuc = -8.4493848e-018 -+eu = 1.67 -+vsat = 78500 -+a0 = 1.1588 -+ags = 0.27520393 -+wags = 1.8458839e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.022895839 -+wketa = -9.0210134e-010 -+dwg = 0 -+dwb = 0 -+pclm = 0.18661964 -+wpclm = 6.6359196e-009 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7121679e-005 -+walpha0 = 6.3984027e-012 -+alpha1 = 0 -+beta0 = 23.901161 -+wbeta0 = 7.9723661e-008 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3323075 -+wkt1 = -5.6964375e-009 -+kt1l = 0 -+kt2 = -0.021098214 -+wkt2 = 1.6540179e-010 -+ute = -1.3848214 -+wute = 1.6540179e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5901e-018 -+wub1 = 3.3345e-026 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.4 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.78637258 -+lvth0 = -4.4329423e-008 -+wvth0 = -2.1422215e-008 -+pvth0 = 2.0851079e-015 -+k1 = 0.96652273 -+lk1 = -1.0562749e-007 -+k2 = 0.043846284 -+lk2 = -3.9983252e-008 -+wk2 = -1.2847784e-008 -+pk2 = 3.7258573e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.07880914 -+lvoff = -9.6862495e-009 -+wvoff = -1.7318909e-008 -+pvoff = 5.0224835e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032725347 -+lu0 = 4.2490518e-009 -+wu0 = 1.4619976e-010 -+pu0 = -3.6449187e-016 -+ua = -6.5842313e-010 -+lua = 4.2195702e-016 -+wua = 1.8008954e-017 -+pua = 4.0147707e-024 -+ub = 2.29126e-018 -+lub = -9.7593592e-026 -+wub = 1.3094736e-025 -+pub = -2.5350945e-031 -+uc = 1.6123011e-010 -+luc = -3.6357518e-017 -+wuc = 5.103582e-019 -+puc = -7.8269268e-024 -+eu = 1.67 -+vsat = 64899.351 -+lvsat = 0.0045138312 -+wvsat = 0.0097853084 -+pvsat = -2.1440698e-009 -+a0 = 0.10689312 -+la0 = -1.7844039e-009 -+wa0 = -3.7706055e-009 -+pa0 = 1.0934756e-015 -+ags = 0.35614518 -+lags = -1.2574141e-008 -+wags = 3.3556722e-008 -+pags = -1.4381348e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12710186 -+lketa = 3.1977949e-008 -+wketa = -3.4494299e-009 -+pketa = 1.7592093e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.46474849 -+lpclm = -8.4380551e-008 -+wpclm = -2.1249703e-008 -+ppclm = 2.5305384e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0034090909 -+ldelta = 3.3613636e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6705875e-006 -+lalpha0 = -2.9783362e-013 -+walpha0 = 5.0764006e-014 -+palpha0 = -2.5889643e-020 -+alpha1 = 0 -+beta0 = 20.985833 -+lbeta0 = -9.3517938e-008 -+wbeta0 = -3.8050353e-007 -+pbeta0 = 1.0988358e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.37899643 -+lkt1 = 1.7695179e-008 -+wkt1 = -7.2309805e-009 -+pkt1 = 3.6878001e-015 -+kt1l = 0 -+kt2 = -0.014401245 -+lkt2 = -3.492865e-009 -+wkt2 = -3.8792949e-009 -+pkt2 = 1.9784404e-015 -+ute = -1.4339286 -+wute = 3.9866071e-008 -+ua1 = 1.675e-009 -+ub1 = -3.6760182e-018 -+lub1 = 2.6245527e-025 -+wub1 = 7.9456704e-026 -+pub1 = -4.0522919e-032 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.5 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.73523913 -+lvth0 = -1.8251362e-008 -+wvth0 = -1.0759625e-008 -+pvth0 = -3.3528129e-015 -+k1 = 0.76831276 -+lk1 = -4.5404077e-009 -+wk1 = -1.0460743e-008 -+pk1 = 5.334979e-015 -+k2 = 0.0086062399 -+lk2 = -2.201083e-008 -+wk2 = 4.4618175e-009 -+pk2 = -5.1020394e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12063313 -+lvoff = 1.1643984e-008 -+wvoff = 5.4430856e-009 -+pvoff = -6.5861336e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.031100065 -+lu0 = 5.0779459e-009 -+wu0 = 1.3854029e-010 -+pu0 = -3.6058554e-016 -+ua = -1.1698757e-009 -+lua = 6.8279785e-016 -+wua = -1.8856196e-017 -+pua = 2.2815997e-023 -+ub = 2.8309252e-018 -+lub = -3.7282285e-025 -+wub = 9.9986385e-026 -+pub = -2.3771936e-031 -+uc = 8.1961957e-011 -+luc = 4.0692393e-018 -+wuc = 3.5972295e-018 -+puc = -9.4012312e-024 -+eu = 1.67 -+vsat = 81960.714 -+lvsat = -0.0041874643 -+wvsat = -0.0040663393 -+pvsat = 4.9202705e-009 -+a0 = 0.98260745 -+la0 = -4.4839871e-007 -+wa0 = 1.1850475e-009 -+pa0 = -1.4339074e-015 -+ags = 0.44253343 -+lags = -5.6632149e-008 -+wags = 6.0928114e-008 -+pags = -2.8340758e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043717643 -+lketa = -1.0548002e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.21633117 -+lpclm = 4.231228e-008 -+wpclm = -2.0668622e-008 -+ppclm = 2.5009032e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0013439 -+lpdiblc2= 9.31821e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0013571429 -+ldelta = 4.4078571e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.854642e-006 -+lalpha0 = -2.4317014e-012 -+walpha0 = -1.3175851e-013 -+palpha0 = 6.7196838e-020 -+alpha1 = 0 -+beta0 = 21.041625 -+lbeta0 = -1.219717e-007 -+wbeta0 = 4.1105337e-007 -+pbeta0 = -2.9381044e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.40824973 -+lkt1 = 3.2614365e-008 -+wkt1 = 3.0376124e-008 -+pkt1 = -1.5491823e-014 -+kt1l = 0 -+kt2 = -0.031266454 -+lkt2 = 5.1083916e-009 -+wkt2 = 5.1683514e-009 -+pkt2 = -2.6358592e-015 -+ute = -1.4339286 -+wute = 3.9866071e-008 -+ua1 = 1.675e-009 -+ub1 = -2.8063699e-018 -+lub1 = -1.8106533e-025 -+wub1 = 5.912594e-026 -+pub1 = -3.0154229e-032 -+uc1 = -1.1914311e-010 -+luc1 = 3.2202986e-017 -+wuc1 = 2.9992977e-017 -+puc1 = -1.5296418e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.6 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.70918783 -+lvth0 = 1.3270713e-008 -+wvth0 = -3.9984673e-009 -+pvth0 = -1.1533814e-014 -+k1 = 0.79422595 -+lk1 = -3.5895368e-008 -+wk1 = 8.3210458e-010 -+pk1 = -8.3293668e-015 -+k2 = -0.0057375564 -+lk2 = -4.6548363e-009 -+wk2 = -1.9783209e-009 -+pk2 = 2.6905281e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12198688 -+lvoff = 1.3282019e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036480486 -+lu0 = -1.432364e-009 -+wu0 = -1.2523428e-009 -+pu0 = 1.322383e-015 -+ua = -7.8835147e-010 -+lua = 2.2115348e-016 -+wua = -6.9926086e-018 -+pua = 8.4610564e-024 -+ub = 3.0585412e-018 -+lub = -6.4823822e-025 -+wub = -1.6567642e-025 -+pub = 8.3732633e-032 -+uc = 9.7494752e-011 -+luc = -1.4725443e-017 -+wuc = -8.3407396e-018 -+puc = 5.0437114e-024 -+eu = 1.67 -+vsat = 78500 -+a0 = 1.2339809 -+la0 = -7.5256056e-007 -+ags = 0.28346322 -+lags = 1.3584281e-007 -+wags = -1.4852404e-008 -+pags = 6.3353669e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.0209945 -+lketa = -3.8043005e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.22691687 -+lpclm = 2.9503581e-008 -+wpclm = -1.5817263e-008 -+ppclm = 1.9138888e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0006386625 -+lpdiblc2= 1.7851584e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.002725 -+ldelta = 2.75275e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.1007216e-005 -+lalpha0 = -1.0425632e-010 -+walpha0 = 1.048079e-014 -+palpha0 = -1.0491271e-019 -+alpha1 = 0 -+beta0 = 24.044693 -+lbeta0 = -3.755685e-006 -+wbeta0 = 1.9272256e-007 -+pbeta0 = -2.9630159e-014 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33921309 -+lkt1 = -5.0919976e-008 -+wkt1 = -2.4162826e-009 -+pkt1 = 2.4186989e-014 -+kt1l = 0 -+kt2 = -0.021793862 -+lkt2 = -6.3534453e-009 -+wkt2 = 4.9583426e-010 -+pkt2 = 3.0178865e-015 -+ute = -1.7203348 -+lute = 3.4655156e-007 -+wute = 1.7590904e-007 -+pute = -1.6461199e-013 -+ua1 = 1.675e-009 -+ub1 = -3.5425504e-018 -+lub1 = 7.0971302e-025 -+wub1 = 5.0953922e-025 -+pub1 = -5.751543e-031 -+uc1 = -5.0977253e-011 -+luc1 = -5.0277701e-017 -+wuc1 = -2.385805e-018 -+puc1 = 2.3881908e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.7 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.71051357 -+wvth0 = -5.1506964e-009 -+k1 = 0.79064 -+k2 = -0.006202575 -+wk2 = -1.7095369e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036337393 -+wu0 = -1.1202366e-009 -+ua = -7.6625821e-010 -+wua = -6.1473482e-018 -+ub = 2.9937821e-018 -+wub = -1.5731152e-025 -+uc = 9.6023679e-011 -+wuc = -7.8368723e-018 -+eu = 1.67 -+vsat = 78500 -+a0 = 1.1588 -+ags = 0.29703393 -+wags = -8.5233661e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.22986429 -+wpclm = -1.3905286e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 23.6695 -+wbeta0 = 1.897625e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3443 -+kt1l = 0 -+kt2 = -0.022428571 -+wkt2 = 7.9732143e-010 -+ute = -1.6857143 -+wute = 1.5946429e-007 -+ua1 = 1.675e-009 -+ub1 = -3.47165e-018 -+wub1 = 4.5208125e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.8 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.81815039 -+lvth0 = -5.8885304e-008 -+wvth0 = -5.8761136e-008 -+pvth0 = 1.9188267e-014 -+k1 = 0.95743806 -+lk1 = -1.0099431e-007 -+wk1 = 1.0674487e-008 -+pk1 = -5.4439881e-015 -+k2 = 0.016113039 -+lk2 = -3.1940611e-008 -+wk2 = 1.973878e-008 -+pk2 = -5.7242461e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12427998 -+lvoff = 7.2259769e-010 -+wvoff = 3.6109333e-008 -+pvoff = -7.2079119e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.046878971 -+lu0 = 1.8200638e-010 -+wu0 = -1.6484308e-008 -+pu0 = 4.4142864e-015 -+ua = -6.8024396e-010 -+lua = 2.6903445e-016 -+wua = 4.3648431e-017 -+pua = 1.8369878e-022 -+ub = 3.8192473e-018 -+lub = -3.5114332e-025 -+wub = -1.6644377e-024 -+pub = 4.4411482e-032 -+uc = 2.9916081e-010 -+luc = -7.1885433e-017 -+wuc = -1.6155822e-016 -+puc = 3.3918374e-023 -+eu = 1.67 -+vsat = 79204.029 -+lvsat = -0.00035905475 -+wvsat = -0.0070226885 -+pvsat = 3.5815711e-009 -+a0 = 0.10368409 -+la0 = -8.5378636e-010 -+ags = 0.26826194 -+lags = 3.4571911e-008 -+wags = 1.3681953e-007 -+pags = -6.977796e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12637977 -+lketa = 3.1609683e-008 -+wketa = -4.2978854e-009 -+pketa = 2.1919215e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.20558963 -+lpclm = -1.0377847e-008 -+wpclm = 2.8326196e-007 -+ppclm = -6.1647793e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0034090909 -+ldelta = 3.3613636e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6135308e-006 -+lalpha0 = -2.6873472e-013 -+walpha0 = 1.178056e-013 -+palpha0 = -6.0080856e-020 -+alpha1 = 0 -+beta0 = 21.140347 -+wbeta0 = -5.6205724e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.68954029 -+lnoff = 1.3716655e-006 -+wnoff = 3.1602098e-006 -+pnoff = -1.611707e-012 -+voffcv = 0.2365993 -+lvoffcv = -1.1811564e-007 -+wvoffcv = -2.7212918e-007 -+pvoffcv = 1.3878588e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28048406 -+lkt1 = -1.0653613e-008 -+wkt1 = -1.2298302e-007 -+pkt1 = 3.699763e-014 -+kt1l = 0 -+kt2 = -0.025514754 -+lkt2 = 1.0188312e-009 -+wkt2 = 9.1790777e-009 -+pkt2 = -3.3228026e-015 -+ute = -1.5700284 -+wute = 1.9978338e-007 -+ua1 = 1.675e-009 -+ub1 = -5.4123917e-018 -+lub1 = 5.0984495e-025 -+wub1 = 2.1196956e-024 -+pub1 = -3.3120579e-031 -+uc1 = -2.3284907e-010 -+luc1 = 5.2512691e-017 -+wuc1 = 2.0779766e-016 -+puc1 = -6.1702412e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.9 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.7227726 -+lvth0 = -1.0242633e-008 -+wvth0 = 3.8885457e-009 -+pvth0 = -1.276307e-014 -+k1 = 0.75941 -+k2 = 0.017683205 -+lk2 = -3.2741396e-008 -+wk2 = -6.2036165e-009 -+pk2 = 7.5063759e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10237415 -+lvoff = -1.0449376e-008 -+wvoff = -1.6011211e-008 -+pvoff = 1.9373565e-014 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.038388296 -+lu0 = 4.5122507e-009 -+wu0 = -8.4251315e-009 -+pu0 = 3.0410627e-016 -+ua = -9.355169e-010 -+lua = 3.9922365e-016 -+wua = -2.9422783e-016 -+pua = 3.5601568e-022 -+ub = 3.4750928e-018 -+lub = -1.7562456e-025 -+wub = -6.5691059e-025 -+pub = -4.6942736e-031 -+uc = 1.571796e-010 -+luc = 5.249858e-019 -+wuc = -8.47835e-017 -+puc = -5.2367334e-024 -+eu = 1.67 -+vsat = 78500 -+a0 = 0.58388391 -+la0 = -2.4575569e-007 -+wa0 = 4.6968521e-007 -+pa0 = -2.3953946e-013 -+ags = 0.63581483 -+lags = -1.5288006e-007 -+wags = -1.6617753e-007 -+pags = 8.4750542e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043717643 -+lketa = -1.0548002e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.046649798 -+lpclm = 7.0681467e-008 -+wpclm = 1.7870699e-007 -+ppclm = -8.3247623e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0013439 -+lpdiblc2= 9.31821e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0013571429 -+ldelta = 4.4078571e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7425071e-006 -+lalpha0 = -2.3745126e-012 -+alpha1 = 0 -+beta0 = 21.042948 -+lbeta0 = 4.9673545e-008 -+wbeta0 = 4.0949885e-007 -+pbeta0 = -4.9549361e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30302683 -+lkt1 = 8.4320304e-010 -+wkt1 = -9.3260785e-008 -+pkt1 = 2.1839292e-014 -+kt1l = 0 -+kt2 = -0.021787246 -+lkt2 = -8.8219755e-010 -+wkt2 = -5.9697177e-009 -+pkt2 = 4.403083e-015 -+ute = -1.5700284 -+wute = 1.9978338e-007 -+ua1 = 1.675e-009 -+ub1 = -3.0217801e-018 -+lub1 = -7.0936697e-025 -+wub1 = 3.1223288e-025 -+pub1 = 5.906002e-031 -+uc1 = -1.4521817e-010 -+luc1 = 7.820931e-018 -+wuc1 = 6.0631174e-017 -+puc1 = 1.3352496e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.10 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.70815167 -+lvth0 = 7.4486913e-009 -+wvth0 = -2.7809847e-009 -+pvth0 = -4.6929382e-015 -+k1 = 0.79751289 -+lk1 = -4.6104496e-008 -+wk1 = -3.0300479e-009 -+pk1 = 3.666358e-015 -+k2 = -0.0074212337 -+lk2 = -2.3650252e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12198688 -+lvoff = 1.3282019e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040489883 -+lu0 = 1.9693306e-009 -+wu0 = -5.9633841e-009 -+pu0 = -2.6746082e-015 -+ua = -8.1092276e-010 -+lua = 2.4846474e-016 -+wua = 1.9528659e-017 -+pua = -2.3629677e-023 -+ub = 3.1893035e-018 -+lub = 1.7018056e-025 -+wub = -3.193221e-025 -+pub = -8.7790942e-031 -+uc = 1.0426802e-010 -+luc = 6.4547999e-017 -+wuc = -1.6299327e-017 -+puc = -8.8102583e-023 -+eu = 1.67 -+vsat = 78500 -+a0 = 1.1761657 -+la0 = -9.6241665e-007 -+wa0 = 6.7932842e-008 -+pa0 = 2.4658091e-013 -+ags = 0.26705136 -+lags = 2.9332373e-007 -+wags = 4.4315284e-009 -+pags = -1.2168642e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.0209945 -+lketa = -3.8043005e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23356279 -+lpclm = -1.5548326e-007 -+wpclm = -2.3626216e-008 -+ppclm = 2.3649842e-013 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0006386625 -+lpdiblc2= 1.7851584e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.002725 -+ldelta = 2.75275e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.1016136e-005 -+lalpha0 = -1.043456e-010 -+alpha1 = 0 -+beta0 = 24.515585 -+lbeta0 = -4.1522184e-006 -+wbeta0 = -3.605757e-007 -+pbeta0 = 4.362966e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1289382 -+lnoff = -1.5601523e-007 -+wnoff = -1.515024e-007 -+pnoff = 1.833179e-013 -+voffcv = -0.065916016 -+lvoffcv = 8.5808379e-008 -+wvoffcv = 8.3326318e-008 -+pvoffcv = -1.0082485e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31508994 -+lkt1 = 1.5439565e-008 -+wkt1 = -3.076098e-008 -+pkt1 = -5.3785472e-014 -+kt1l = 0 -+kt2 = -0.016809446 -+lkt2 = -6.9053359e-009 -+wkt2 = -5.3608541e-009 -+pkt2 = 3.666358e-015 -+ute = -1.5472461 -+lute = -2.7566602e-008 -+wute = -2.7470215e-008 -+pute = 2.7497685e-013 -+ua1 = 1.6533384e-009 -+lua1 = 2.6210559e-017 -+wua1 = 2.5452403e-017 -+pua1 = -3.0797407e-023 -+ub1 = -2.147361e-018 -+lub1 = -1.7674141e-024 -+wub1 = -1.1298083e-024 -+pub1 = 2.3354701e-030 -+uc1 = -4.4621244e-011 -+luc1 = -1.1390135e-016 -+wuc1 = -9.8541155e-018 -+puc1 = 9.8639696e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.11 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.7088958 -+wvth0 = -3.2498097e-009 -+k1 = 0.79290705 -+wk1 = -2.6637784e-009 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040686619 -+wu0 = -6.2305777e-009 -+ua = -7.8610111e-010 -+wua = 1.7168052e-017 -+ub = 3.2063045e-018 -+wub = -4.0702534e-025 -+uc = 1.1071637e-010 -+wuc = -2.5100784e-017 -+eu = 1.67 -+vsat = 78500 -+a0 = 1.0800202 -+wa0 = 9.25663e-008 -+ags = 0.29635443 -+wags = -7.7249574e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.100778 -+wbeta0 = -3.1698963e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1133523 -+wnoff = -1.3318892e-007 -+voffcv = -0.05734375 -+wvoffcv = 7.3253906e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31354753 -+wkt1 = -3.6134154e-008 -+kt1l = 0 -+kt2 = -0.01749929 -+wkt2 = -4.9945845e-009 -+ute = -1.55 -+ua1 = 1.6559568e-009 -+wua1 = 2.2375739e-017 -+ub1 = -2.3239259e-018 -+wub1 = -8.9649462e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.12 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.81225955 -+lvth0 = -5.6961668e-008 -+k1 = 0.95850818 -+lk1 = -1.0154007e-007 -+k2 = 0.018091864 -+lk2 = -3.2514471e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.045226409 -+lu0 = 6.2454136e-010 -+ua = -6.7586818e-010 -+lua = 2.8745037e-016 -+ub = 3.6523864e-018 -+lub = -3.4669104e-025 -+uc = 2.829645e-010 -+luc = -6.8485095e-017 -+eu = 1.67 -+vsat = 78500 -+a0 = 0.13319227 -+la0 = -1.5902956e-008 -+wa0 = -2.9434406e-007 -+pa0 = 1.5011547e-013 -+ags = 0.46602357 -+lags = -6.6286522e-008 -+wags = -1.8358528e-006 -+pags = 9.3628492e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12307965 -+lketa = 2.9926622e-008 -+wketa = -3.7216588e-008 -+pketa = 1.898046e-014 -+dwg = 0 -+dwb = 0 -+pclm = 0.23398682 -+lpclm = -1.6558077e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0034090909 -+ldelta = 3.3613636e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6253409e-006 -+lalpha0 = -2.7475786e-013 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.415e-010 -+cgdo = 2.415e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.37272727 -+lnoff = 1.2100909e-006 -+voffcv = 0.20931818 -+lvoffcv = -1.0420227e-007 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29281318 -+lkt1 = -6.9445773e-009 -+kt1l = 0 -+kt2 = -0.024594545 -+lkt2 = 6.8571818e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -5.1998909e-018 -+lub1 = 4.7664136e-025 -+uc1 = -2.1201723e-010 -+luc1 = 4.6326986e-017 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.13 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.72316243 -+lvth0 = -1.1522139e-008 -+k1 = 0.75941 -+k2 = 0.017061289 -+lk2 = -3.1988877e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10397929 -+lvoff = -8.5071643e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.037543671 -+lu0 = 4.5427376e-009 -+ua = -9.6501343e-010 -+lua = 4.3491445e-016 -+ub = 3.4092371e-018 -+lub = -2.2268494e-025 -+uc = 1.4868e-010 -+eu = 1.67 -+vsat = 78500 -+a0 = 0.63097014 -+la0 = -2.6976967e-007 -+ags = 0.61915543 -+lags = -1.4438377e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043717643 -+lketa = -1.0548002e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.064565286 -+lpclm = 6.9846904e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0013439 -+lpdiblc2= 9.31821e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0013571429 -+ldelta = 4.4078571e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7425071e-006 -+lalpha0 = -2.3745126e-012 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31237629 -+lkt1 = 3.0326057e-009 -+kt1l = 0 -+kt2 = -0.022385714 -+lkt2 = -4.4078571e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -2.9904786e-018 -+lub1 = -6.5015893e-025 -+uc1 = -1.3913986e-010 -+luc1 = 9.1595271e-018 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.14 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.70787288 -+lvth0 = 6.9782212e-009 -+k1 = 0.79720913 -+lk1 = -4.5736941e-008 -+k2 = -0.0074212337 -+lk2 = -2.3650252e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12198688 -+lvoff = 1.3282019e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.03989205 -+lu0 = 1.7011995e-009 -+ua = -8.08965e-010 -+lua = 2.4609585e-016 -+ub = 3.1572912e-018 -+lub = 8.2169587e-026 -+uc = 1.02634e-010 -+luc = 5.571566e-017 -+eu = 1.67 -+vsat = 78500 -+a0 = 1.182976 -+la0 = -9.3769676e-007 -+ags = 0.26749563 -+lags = 2.8112459e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.0209945 -+lketa = -3.8043005e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23119425 -+lpclm = -1.3177414e-007 -+pdiblc1 = 0.39 -+pdiblc2 = 0.0006386625 -+lpdiblc2= 1.7851584e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.002725 -+ldelta = 2.75275e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.1016136e-005 -+lalpha0 = -1.043456e-010 -+alpha1 = 0 -+beta0 = 24.479438 -+lbeta0 = -4.1084794e-006 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1.115e-010 -+cgdo = 1.115e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.11375 -+lnoff = -1.376375e-007 -+voffcv = -0.0575625 -+lvoffcv = 7.5700625e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31817375 -+lkt1 = 1.0047538e-008 -+kt1l = 0 -+kt2 = -0.017346875 -+lkt2 = -6.5377812e-009 -+ute = -1.55 -+ua1 = 1.65589e-009 -+lua1 = 2.31231e-017 -+ub1 = -2.260625e-018 -+lub1 = -1.5332818e-024 -+uc1 = -4.5609125e-011 -+luc1 = -1.0401266e-016 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.15 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.2e-009 -+toxp = 8.2e-009 -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1e-008 -+xw = -5e-009 -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = 0.70857 -+k1 = 0.79264 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040062 -+ua = -7.8438e-010 -+ub = 3.1655e-018 -+uc = 1.082e-010 -+eu = 1.67 -+vsat = 78500 -+a0 = 1.0893 -+ags = 0.29558 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 530 -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.069 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.415e-010 -+cgdo = 2.415e-010 -+cgbo = 1e-013 -+cgdl = 1.05e-010 -+cgsl = 1.05e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1 -+voffcv = -0.05 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31717 -+kt1l = 0 -+kt2 = -0.018 -+ute = -1.55 -+ua1 = 1.6582e-009 -+ub1 = -2.4138e-018 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - -*resistor - -.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 -*------------------- -* body resistor parameters -*.param rsh_nplus_u_m=60 -+ r_rsh0=rsh_nplus_u_m -+ r_dw=-5E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.36E-3 -+ r_tc2=6.5E-7 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* model for substrate capacitor -.model np_junction d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 - -d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' - -*------------------- -.ends nplus_u_m1 - - -.endl nmos_3p3_sf -* -*************************************************************************************************** -* 3.3V PMOS Models -*************************************************************************************************** -* -.lib pmos_3p3_t - - -.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.00666 -+ par_k=0.002833 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model pmos_3p3.0 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.7506174 -+lvth0 = -7.6827273e-009 -+wvth0 = 4.2938493e-009 -+pvth0 = 2.3570182e-015 -+k1 = 0.86959286 -+lk1 = 4.91e-009 -+wk1 = 6.7137132e-008 -+pk1 = -2.0974909e-014 -+k2 = 0.029351195 -+lk2 = -2.4890454e-008 -+wk2 = -2.1522854e-008 -+pk2 = 3.4158327e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094658091 -+lvoff = -1.6014546e-009 -+wvoff = -1.6655127e-009 -+pvoff = 8.3275636e-016 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0077071688 -+lu0 = 2.4492727e-009 -+wu0 = 6.0892675e-010 -+pu0 = -5.2642909e-016 -+ua = -2.4381818e-012 -+lua = 1.0386891e-015 -+wua = 3.3100364e-018 -+pua = -1.9180342e-022 -+ub = 6.7035533e-019 -+lub = -1.4361909e-025 -+wub = -4.8420779e-027 -+pub = 1.3557818e-033 -+uc = 8.6801065e-011 -+luc = 8.4861818e-018 -+wuc = -1.3364176e-017 -+puc = -4.4743636e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.0272635 -+la0 = -2.0434818e-007 -+wa0 = 1.1112467e-008 -+pa0 = -2.7370909e-015 -+ags = 0.19081247 -+lags = 1.0492091e-007 -+wags = -2.3219283e-008 -+pags = -1.2080073e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.066404636 -+lketa = 8.2658182e-009 -+wketa = -7.3229236e-009 -+pketa = 3.6614618e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.35627558 -+lpclm = 7.0823636e-008 -+wpclm = 2.9266005e-008 -+ppclm = 6.5406545e-015 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.1485698e-005 -+lalpha0 = -3.0054064e-012 -+walpha0 = -1.0325417e-013 -+palpha0 = 6.39288e-020 -+alpha1 = 0 -+beta0 = 39.773597 -+lbeta0 = -3.6237273e-006 -+wbeta0 = 2.1005299e-007 -+pbeta0 = 1.1827636e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28373805 -+lkt1 = -1.5974545e-008 -+wkt1 = -1.1172031e-008 -+pkt1 = 1.9400727e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.1563636e-009 -+lua1 = 1.7181818e-016 -+wua1 = 1.7869091e-016 -+pua1 = -8.9345454e-023 -+ub1 = -2.100161e-018 -+lub1 = -6.7359091e-025 -+wub1 = -1.4002317e-025 -+pub1 = 1.4950473e-031 -+uc1 = -2.5418182e-010 -+luc1 = 5.8570909e-017 -+wuc1 = 4.0843636e-017 -+puc1 = -1.4057018e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.1 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.78216327 -+lvth0 = 8.0902041e-009 -+wvth0 = 5.9668408e-009 -+pvth0 = 1.5205225e-015 -+k1 = 1.011648 -+lk1 = -6.6117551e-008 -+wk1 = -1.7990939e-008 -+pk1 = 2.1589126e-014 -+k2 = -0.018784 -+lk2 = -8.2285714e-010 -+wk2 = -2.5231886e-009 -+pk2 = -6.084e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12364214 -+lvoff = 1.2890571e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010288147 -+lu0 = 1.1587837e-009 -+wu0 = -2.4611069e-010 -+pu0 = -9.8910367e-017 -+ua = 3.7095469e-010 -+lua = 8.5199265e-016 -+wua = -4.3130498e-017 -+pua = -1.6858315e-022 -+ub = 1.0877988e-018 -+lub = -3.5234082e-025 -+wub = -1.9235628e-025 -+pub = 9.5112882e-032 -+uc = -1.3265853e-011 -+luc = 5.8519641e-017 -+wuc = -7.386721e-018 -+puc = -7.4630909e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1510659 -+la0 = -2.6624939e-007 -+wa0 = 3.8929322e-008 -+pa0 = -1.6645518e-014 -+ags = 0.19022326 -+lags = 1.0521551e-007 -+wags = 1.3854074e-008 -+pags = -3.0616751e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0050909592 -+lketa = -2.239102e-008 -+wketa = -2.2043755e-009 -+pketa = 1.1021878e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.25657102 -+lpclm = 1.2067592e-007 -+wpclm = 8.5357469e-008 -+ppclm = -2.1505078e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024628714 -+lpdiblc2= 2.4533143e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 7.5504633e-005 -+lalpha0 = -3.5014873e-011 -+walpha0 = 4.8045453e-012 -+palpha0 = -2.3899709e-018 -+alpha1 = 0 -+beta0 = 42.422959 -+lbeta0 = -4.9484082e-006 -+wbeta0 = 2.7621551e-007 -+pbeta0 = 8.5195102e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30703735 -+lkt1 = -4.324898e-009 -+wkt1 = 2.5044049e-008 -+pkt1 = -1.6167967e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.2391388e-018 -+lub1 = -6.0410204e-025 -+wub1 = -3.3103837e-026 -+pub1 = 9.6045061e-032 -+uc1 = -7.5563755e-011 -+luc1 = -3.0738122e-017 -+wuc1 = 6.2211526e-018 -+puc1 = 3.2542237e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.2 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.76745877 -+lvth0 = -9.5551948e-009 -+wvth0 = 3.6783584e-009 -+pvth0 = 4.2667013e-015 -+k1 = 0.95493474 -+lk1 = 1.9383117e-009 -+wk1 = 3.0592208e-008 -+pk1 = -3.6710649e-014 -+k2 = -0.010993416 -+lk2 = -1.0171558e-008 -+wk2 = -1.5055864e-008 -+pk2 = 8.9552104e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097716396 -+lvoff = -1.8220325e-008 -+wvoff = 9.9120779e-010 -+pvoff = -1.1894494e-015 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0087516409 -+lu0 = 3.0025909e-009 -+wu0 = 2.1888218e-010 -+pu0 = -6.5690182e-016 -+ua = 3.9822779e-010 -+lua = 8.1926494e-016 -+wua = -5.2662561e-017 -+pua = -1.5714468e-022 -+ub = 8.5181617e-019 -+lub = -6.9161688e-026 -+wub = -1.0966152e-025 -+pub = -4.1208312e-033 -+uc = -4.4095525e-011 -+luc = 9.5515247e-017 -+wuc = 1.8553586e-018 -+puc = -1.8553586e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.2626103 -+la0 = -4.001026e-007 -+wa0 = -3.4170078e-009 -+pa0 = 3.4170078e-014 -+ags = 0.15731682 -+lags = 1.4470325e-007 -+wags = 7.2894545e-010 -+pags = -1.4866597e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.00016272403 -+lketa = -2.8304903e-008 -+wketa = -3.463048e-009 -+pketa = 2.6125948e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32143299 -+lpclm = 4.2841558e-008 -+wpclm = 4.9757922e-009 -+ppclm = 7.4952935e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8434545e-005 -+lpdiblc2= 4.4675455e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0020588939 -+lalpha0 = -2.415082e-009 -+walpha0 = 2.2256682e-011 -+palpha0 = -2.3332535e-017 -+alpha1 = 0 -+beta0 = 44.45026 -+lbeta0 = -7.3811688e-006 -+wbeta0 = 4.0343221e-007 -+pbeta0 = -6.7464935e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9454546 -+lnoff = 5.4545454e-007 -+voffcv = 0.021818182 -+lvoffcv = -2.1818182e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.26850506 -+lkt1 = -5.0563636e-008 -+wkt1 = -1.0340166e-008 -+pkt1 = 2.6293091e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.0202519e-018 -+lub1 = 3.3323377e-025 -+wub1 = 1.9133501e-025 -+pub1 = -1.7328156e-031 -+uc1 = -3.5566519e-011 -+luc1 = -7.8734805e-017 -+wuc1 = -1.2279955e-017 -+puc1 = 2.5455553e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.3 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.76841429 -+wvth0 = 4.1050286e-009 -+k1 = 0.95512857 -+wk1 = 2.6921143e-008 -+k2 = -0.012010571 -+wk2 = -1.4160343e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.099538429 -+wvoff = 8.7226286e-010 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0090519 -+wu0 = 1.53192e-010 -+ua = 4.8015429e-010 -+wua = -6.8377029e-017 -+ub = 8.449e-019 -+wub = -1.100736e-025 -+uc = -3.4544e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.2226 -+ags = 0.17178714 -+wags = -7.5771429e-010 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0029932143 -+wketa = -3.2017886e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.32571714 -+wpclm = 1.2471086e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018173857 -+walpha0 = 1.9923429e-011 -+alpha1 = 0 -+beta0 = 43.712143 -+wbeta0 = 3.9668571e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.27356143 -+wkt1 = -7.7108571e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.9869286e-018 -+wub1 = 1.7400686e-025 -+uc1 = -4.344e-011 -+wuc1 = -9.7344e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.4 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.7710094 -+lvth0 = -2.1407273e-009 -+wvth0 = 1.4897689e-008 -+pvth0 = -5.2482182e-016 -+k1 = 0.99870273 -+lk1 = -3.5426364e-008 -+k2 = 0.014249873 -+lk2 = -2.0467636e-008 -+wk2 = -1.3670166e-008 -+pk2 = 1.1159673e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0091928468 -+lu0 = 1.8372909e-009 -+wu0 = -1.6362577e-010 -+pu0 = -2.0819854e-016 -+ua = -8.0522078e-011 -+lua = 7.4813818e-016 -+wua = 4.3913662e-017 -+pua = -4.0716945e-023 -+ub = 1.748897e-018 -+lub = -3.0903909e-025 -+wub = -5.6568377e-025 -+pub = 8.7374182e-032 -+uc = 8.6704408e-011 -+luc = 1.2453182e-017 -+wuc = -1.3313914e-017 -+puc = -6.5372036e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.66833429 -+la0 = -1.03128e-007 -+wa0 = 1.9775566e-007 -+pa0 = -5.5371585e-014 -+ags = 0.20459958 -+lags = 6.9689636e-008 -+wags = -3.0388584e-008 -+pags = 6.2401891e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.10490303 -+lketa = 2.2143527e-008 -+wketa = 1.2696239e-008 -+pketa = -3.5549469e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.3781492 -+lpclm = 2.7248545e-008 -+wpclm = 1.7891728e-008 -+ppclm = 2.9199702e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.2079775e-005 -+lalpha0 = -3.0255502e-012 -+walpha0 = -4.121738e-013 -+palpha0 = 7.4403585e-020 -+alpha1 = 0 -+beta0 = 38.238696 -+lbeta0 = -2.7152909e-006 -+wbeta0 = 1.0082017e-006 -+pbeta0 = -3.5411055e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33225761 -+lkt1 = 5.3309091e-010 -+wkt1 = 1.4058139e-008 -+pkt1 = -6.6438982e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 2.0124e-009 -+lua1 = -2.562e-016 -+wua1 = -2.66448e-016 -+pua1 = 1.33224e-022 -+ub1 = -2.8876353e-018 -+lub1 = -2.9730909e-026 -+wub1 = 2.6946346e-025 -+pub1 = -1.8530247e-031 -+uc1 = 6.432e-012 -+luc1 = -3.4608e-017 -+wuc1 = -9.4675549e-017 -+puc1 = 3.4396015e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.5 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.77464237 -+lvth0 = -3.242449e-010 -+wvth0 = 2.0559739e-009 -+pvth0 = 5.8960359e-015 -+k1 = 0.97705 -+lk1 = -2.46e-008 -+k2 = -0.026847376 -+lk2 = 8.0987755e-011 -+wk2 = 1.6697667e-009 -+pk2 = -6.5539994e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12364214 -+lvoff = 1.2890571e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097471347 -+lu0 = 1.5601469e-009 -+wu0 = 3.5215673e-011 -+pu0 = -3.0761926e-016 -+ua = 2.6778433e-010 -+lua = 5.7398498e-016 -+wua = 1.0518093e-017 -+pua = -2.4019161e-023 -+ub = 1.2732368e-018 -+lub = -7.120898e-026 -+wub = -2.8878406e-025 -+pub = -5.1075673e-032 -+uc = 8.0016841e-012 -+luc = 5.1804544e-017 -+wuc = -1.844584e-017 -+puc = -3.9712404e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.3454526 -+la0 = -4.4168718e-007 -+wa0 = -6.215178e-008 -+pa0 = 7.4582136e-014 -+ags = 0.19226653 -+lags = 7.5856163e-008 -+wags = 1.2791576e-008 -+pags = -1.5349891e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0016565918 -+lketa = -2.947969e-008 -+wketa = -3.9902465e-009 -+pketa = 4.7882958e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.55246506 -+lpclm = -5.9909388e-008 -+wpclm = -6.8507432e-008 -+ppclm = 7.2399282e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024628714 -+lpdiblc2= 2.4533143e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.000123026 -+lalpha0 = -5.8498663e-011 -+walpha0 = -1.9906566e-011 -+palpha0 = 9.8215995e-018 -+alpha1 = 0 -+beta0 = 43.366204 -+lbeta0 = -5.2790449e-006 -+wbeta0 = -2.1427184e-007 -+pbeta0 = 2.571262e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28366163 -+lkt1 = -2.3764898e-008 -+wkt1 = 1.2888678e-008 -+pkt1 = -6.0591673e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.1375788e-018 -+lub1 = 9.5240816e-026 -+wub1 = 4.3408496e-025 -+pub1 = -2.6761322e-031 -+uc1 = -9.9154286e-011 -+luc1 = 1.8185143e-017 -+wuc1 = 1.8488229e-017 -+puc1 = -2.2185874e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.6 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.77376777 -+lvth0 = -1.3737662e-009 -+wvth0 = 6.9590384e-009 -+pvth0 = 1.2358442e-017 -+k1 = 1.0137659 -+lk1 = -6.8659091e-008 -+k2 = -0.027452061 -+lk2 = 8.0661039e-010 -+wk2 = -6.4973683e-009 -+pk2 = 3.2465626e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.095810227 -+lvoff = -2.0507727e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097323026 -+lu0 = 1.5779454e-009 -+wu0 = -2.910619e-010 -+pu0 = 8.3913818e-017 -+ua = 4.0315384e-010 -+lua = 4.1154156e-016 -+wua = -5.5224108e-017 -+pua = 5.487148e-023 -+ub = 1.1661759e-018 -+lub = 5.7264156e-026 -+wub = -2.7312856e-025 -+pub = -6.986227e-032 -+uc = 1.1632475e-012 -+luc = 6.0010667e-017 -+wuc = -2.1679203e-017 -+puc = -9.1205299e-026 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1189871 -+la0 = -1.6992857e-007 -+wa0 = 7.1267013e-008 -+pa0 = -8.5520416e-014 -+ags = 0.16561084 -+lags = 1.0784299e-007 -+wags = -3.583948e-009 -+pags = 4.3007377e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068224318 -+lketa = -2.3280682e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.3288581 -+lpclm = 2.0841896e-007 -+wpclm = 1.1147314e-009 -+ppclm = -1.1147314e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8434545e-005 -+lpdiblc2= 4.4675455e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.002173683 -+lalpha0 = -2.519287e-009 -+walpha0 = -3.7433637e-011 -+palpha0 = 3.0854085e-017 -+alpha1 = 0 -+beta0 = 44.354662 -+lbeta0 = -6.4651948e-006 -+wbeta0 = 4.5314286e-007 -+pbeta0 = -5.4377143e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9454546 -+lnoff = 5.4545454e-007 -+voffcv = 0.021818182 -+lvoffcv = -2.1818182e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33774851 -+lkt1 = 4.1139351e-008 -+wkt1 = 2.5666423e-008 -+pkt1 = -2.1392462e-014 -+kt1l = 0 -+kt2 = -0.016947818 -+lkt2 = 4.6581818e-009 -+wkt2 = 2.0185455e-009 -+pkt2 = -2.4222546e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5969484e-018 -+lub1 = -5.5351558e-025 -+wub1 = -2.878281e-026 -+pub1 = 2.878281e-031 -+uc1 = -4.2545455e-011 -+luc1 = -4.9745455e-017 -+wuc1 = -8.6509091e-018 -+puc1 = 1.0381091e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.7 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.77390514 -+wvth0 = 6.9602743e-009 -+k1 = 1.0069 -+k2 = -0.0273714 -+wk2 = -6.172712e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0098900971 -+wu0 = -2.8267051e-010 -+ua = 4.44308e-010 -+wua = -4.973696e-017 -+ub = 1.1719023e-018 -+wub = -2.8011479e-025 -+uc = 7.1643143e-012 -+wuc = -2.1688323e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1019943 -+wa0 = 6.2714971e-008 -+ags = 0.17639514 -+wags = -3.1538743e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0019217543 -+walpha0 = -3.4348229e-011 -+alpha1 = 0 -+beta0 = 43.708143 -+wbeta0 = 3.9876571e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33363457 -+wkt1 = 2.3527177e-008 -+kt1l = 0 -+kt2 = -0.016482 -+wkt2 = 1.77632e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.6523e-018 -+uc1 = -4.752e-011 -+wuc1 = -7.6128e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.8 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.76226585 -+lvth0 = -8.7733719e-009 -+wvth0 = 4.2305517e-009 -+pvth0 = 7.5670046e-015 -+k1 = 0.99870273 -+lk1 = -3.5426364e-008 -+k2 = -0.00067810868 -+lk2 = -1.7691446e-008 -+wk2 = 4.5419708e-009 -+pk2 = -2.2709854e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011352976 -+lu0 = 1.7890915e-009 -+wu0 = -2.7989835e-009 -+pu0 = -1.493953e-016 -+ua = 3.4788822e-010 -+lua = 6.3071157e-016 -+wua = -4.7874691e-016 -+pua = 1.0254352e-022 -+ub = 9.2772209e-019 -+lub = 3.2915171e-026 -+wub = 4.3614967e-025 -+pub = -3.2981002e-031 -+uc = 1.3375779e-010 -+luc = -7.439668e-018 -+wuc = -7.0719038e-017 -+puc = 1.7732073e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.8879706 -+la0 = -1.4725376e-007 -+wa0 = -7.0200638e-008 -+pa0 = -1.5381528e-015 -+ags = 0.3341873 -+lags = -2.4436508e-009 -+wags = -1.884856e-007 -+pags = 9.42428e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.088919542 -+lketa = 1.7668152e-008 -+wketa = -6.803611e-009 -+pketa = 1.9050111e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32482036 -+lpclm = 5.0559583e-008 -+wpclm = 8.2952909e-008 -+ppclm = 7.6023645e-016 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.723125e-006 -+lalpha0 = -2.2830898e-012 -+walpha0 = 2.4629388e-012 -+palpha0 = -8.3139811e-019 -+alpha1 = 0 -+beta0 = 37.805966 -+lbeta0 = -2.3737058e-006 -+wbeta0 = 1.5361323e-006 -+pbeta0 = -7.708444e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29596713 -+lkt1 = -8.3979897e-009 -+wkt1 = -3.0216242e-008 -+pkt1 = 4.2520201e-015 -+kt1l = 0 -+kt2 = -0.020842369 -+lkt2 = 2.1773833e-009 -+wkt2 = 9.4871699e-009 -+pkt2 = -2.6564076e-015 -+ute = -1 -+ua1 = 1.8116799e-009 -+lua1 = -1.5583996e-016 -+wua1 = -2.1569499e-017 -+pua1 = 1.078475e-023 -+ub1 = -2.5843988e-018 -+lub1 = -1.2857843e-025 -+wub1 = -1.0048507e-025 -+pub1 = -6.4708497e-032 -+uc1 = -8.5778578e-011 -+luc1 = 8.8928926e-019 -+wuc1 = 1.7821357e-017 -+puc1 = -8.9106783e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.9 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.76552347 -+lvth0 = -7.1445584e-009 -+wvth0 = -9.069076e-009 -+pvth0 = 1.4216818e-014 -+k1 = 0.97705 -+lk1 = -2.46e-008 -+k2 = -0.030551827 -+lk2 = -2.7545864e-009 -+wk2 = 6.1891978e-009 -+pk2 = -3.0945989e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094286796 -+lvoff = -1.787102e-009 -+wvoff = -3.5813523e-008 -+pvoff = 1.7906761e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010127025 -+lu0 = 2.4020669e-009 -+wu0 = -4.282509e-010 -+pu0 = -1.3347616e-015 -+ua = 3.2582253e-010 -+lua = 6.4174442e-016 -+wua = -6.0288518e-017 -+pua = -1.0668567e-022 -+ub = 9.6220002e-019 -+lub = 1.5676208e-026 -+wub = 9.0680837e-026 -+pub = -1.570756e-031 -+uc = -2.7758895e-011 -+luc = 7.3318673e-017 -+wuc = 2.5182066e-017 -+puc = -3.0218479e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1782327 -+la0 = -2.9238479e-007 -+wa0 = 1.4185662e-007 -+pa0 = -1.0756678e-013 -+ags = 0.20788505 -+lags = 6.0707474e-008 -+wags = -6.2630205e-009 -+pags = 3.1315103e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0066799724 -+lketa = -2.3451633e-008 -+wketa = 2.1382778e-009 -+pketa = -2.5659333e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37778426 -+lpclm = 2.407763e-008 -+wpclm = 1.4460314e-007 -+ppclm = -3.006488e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024628714 -+lpdiblc2= 2.4533143e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011108151 -+lalpha0 = -5.296228e-011 -+walpha0 = -5.3342836e-012 -+palpha0 = 3.0672131e-018 -+alpha1 = 0 -+beta0 = 43.187318 -+lbeta0 = -5.0643818e-006 -+wbeta0 = 3.968961e-009 -+pbeta0 = -4.7627532e-015 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30269355 -+lkt1 = -5.0347792e-009 -+wkt1 = 3.6107623e-008 -+pkt1 = -2.8909912e-014 -+kt1l = 0 -+kt2 = -0.010621998 -+lkt2 = -2.9328019e-009 -+wkt2 = -2.981682e-009 -+pkt2 = 3.5780184e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.4617658e-018 -+lub1 = -1.8989494e-025 -+wub1 = -3.9040685e-025 -+pub1 = 8.0252392e-032 -+uc1 = -3.4810909e-011 -+luc1 = -2.4594545e-017 -+wuc1 = -6.0010691e-017 -+puc1 = 3.0005345e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.10 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.7677531 -+lvth0 = -4.4690083e-009 -+wvth0 = -3.7885537e-010 -+pvth0 = 3.7885537e-015 -+k1 = 1.0137659 -+lk1 = -6.8659091e-008 -+k2 = -0.036023042 -+lk2 = 3.8108709e-009 -+wk2 = 3.9592281e-009 -+pk2 = -4.1863519e-016 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.098145312 -+lvoff = 2.8431167e-009 -+wvoff = 2.848803e-009 -+pvoff = -2.848803e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011031559 -+lu0 = 1.3166262e-009 -+wu0 = -1.8761549e-009 -+pu0 = 4.0272326e-016 -+ua = 6.676128e-010 -+lua = 2.315961e-016 -+wua = -3.7786403e-016 -+pua = 2.7440495e-022 -+ub = 9.7526352e-019 -+wub = -4.0215498e-026 -+uc = -1.6606591e-011 -+luc = 5.9935909e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1832393 -+la0 = -2.9839274e-007 -+wa0 = -7.1205867e-009 -+pa0 = 7.1205867e-014 -+ags = 0.16685819 -+lags = 1.0993971e-007 -+wags = -5.1057076e-009 -+pags = 1.7427347e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068224318 -+lketa = -2.3280682e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34313423 -+lpclm = 6.5657665e-008 -+wpclm = -1.6302147e-008 -+ppclm = 1.6302147e-013 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8434545e-005 -+lpdiblc2= 4.4675455e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021426891 -+lalpha0 = -2.4908914e-009 -+walpha0 = 3.7885537e-013 -+palpha0 = -3.7885537e-018 -+alpha1 = 0 -+beta0 = 44.161948 -+lbeta0 = -6.233938e-006 -+wbeta0 = 6.8825393e-007 -+pbeta0 = -8.2590471e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9454546 -+lnoff = 5.4545454e-007 -+voffcv = 0.021818182 -+lvoffcv = -2.1818182e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29670927 -+lkt1 = -1.2215919e-008 -+wkt1 = -2.4401443e-008 -+pkt1 = 4.3700967e-014 -+kt1l = 0 -+kt2 = -0.012757219 -+lkt2 = -3.7053719e-010 -+wkt2 = -3.0939855e-009 -+pkt2 = 3.7127826e-015 -+ute = -1 -+ua1 = 1.39597e-009 -+lua1 = 1.2483595e-016 -+wua1 = 1.2691655e-016 -+pua1 = -1.5229986e-022 -+ub1 = -2.656703e-018 -+lub1 = 4.4029649e-026 -+wub1 = 4.4117708e-026 -+pub1 = -4.4117708e-031 -+uc1 = -6.6591694e-011 -+luc1 = 1.3542397e-017 -+wuc1 = 2.0685503e-017 -+puc1 = -6.6830088e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.11 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.7682 -+k1 = 1.0069 -+k2 = -0.035641955 -+wk2 = 3.9173646e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011163222 -+wu0 = -1.8358826e-009 -+ua = 6.9077241e-010 -+wua = -3.5042354e-016 -+ub = 9.7526352e-019 -+wub = -4.0215498e-026 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1534 -+ags = 0.17785216 -+wags = -4.9314341e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.538555 -+wbeta0 = 6.0566345e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29793086 -+wkt1 = -2.0031346e-008 -+kt1l = 0 -+kt2 = -0.012794273 -+wkt2 = -2.7227073e-009 -+ute = -1 -+ua1 = 1.4084536e-009 -+wua1 = 1.1168656e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.5237455e-011 -+wuc1 = 1.4002494e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.12 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.76184364 -+lvth0 = -8.0181818e-009 -+k1 = 0.99870273 -+lk1 = -3.5426364e-008 -+k2 = -0.00022481818 -+lk2 = -1.7918091e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011073636 -+lu0 = 1.7741818e-009 -+ua = 3.0010909e-010 -+lua = 6.4094546e-016 -+ub = 9.7125e-019 -+uc = 1.267e-010 -+luc = -5.67e-018 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.88096455 -+la0 = -1.4740727e-007 -+ags = 0.31537636 -+lags = 6.9618182e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.089598545 -+lketa = 1.7858273e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.33309909 -+lpclm = 5.0635454e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.9689273e-006 -+lalpha0 = -2.3660636e-012 -+alpha1 = 0 -+beta0 = 37.959273 -+lbeta0 = -2.4506364e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29898273 -+lkt1 = -7.9736364e-009 -+kt1l = 0 -+kt2 = -0.019895546 -+lkt2 = 1.9122727e-009 -+ute = -1 -+ua1 = 1.8095273e-009 -+lua1 = -1.5476364e-016 -+ub1 = -2.5944273e-018 -+lub1 = -1.3503636e-025 -+uc1 = -8.4e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.13 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.76642857 -+lvth0 = -5.7257143e-009 -+k1 = 0.97705 -+lk1 = -2.46e-008 -+k2 = -0.029934143 -+lk2 = -3.0634286e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010084286 -+lu0 = 2.2688571e-009 -+ua = 3.1980571e-010 -+lua = 6.3109714e-016 -+ub = 9.7125e-019 -+uc = -2.5245714e-011 -+luc = 7.0302857e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.19239 -+la0 = -3.0312e-007 -+ags = 0.20726 -+lags = 6.102e-008 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0064665714 -+lketa = -2.3707714e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.39221571 -+lpclm = 2.1077143e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024628714 -+lpdiblc2= 2.4533143e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011054914 -+lalpha0 = -5.2656171e-011 -+alpha1 = 0 -+beta0 = 43.187714 -+lbeta0 = -5.0648571e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29909 -+lkt1 = -7.92e-009 -+kt1l = 0 -+kt2 = -0.010919571 -+lkt2 = -2.5757143e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5007286e-018 -+lub1 = -1.8188571e-025 -+uc1 = -4.08e-011 -+luc1 = -2.16e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.14 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.76779091 -+lvth0 = -4.0909091e-009 -+k1 = 1.0137659 -+lk1 = -6.8659091e-008 -+k2 = -0.035627909 -+lk2 = 3.7690909e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010844318 -+lu0 = 1.3568182e-009 -+ua = 6.2990182e-010 -+lua = 2.5898182e-016 -+ub = 9.7125e-019 -+uc = -1.6606591e-011 -+luc = 5.9935909e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1825286 -+la0 = -2.9128636e-007 -+ags = 0.16634864 -+lags = 1.1011364e-007 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068224318 -+lketa = -2.3280682e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34150727 -+lpclm = 8.1927273e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8434545e-005 -+lpdiblc2= 4.4675455e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.002142727 -+lalpha0 = -2.4912696e-009 -+alpha1 = 0 -+beta0 = 44.230636 -+lbeta0 = -6.3163636e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9454546 -+lnoff = 5.4545454e-007 -+voffcv = 0.021818182 -+lvoffcv = -2.1818182e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29914454 -+lkt1 = -7.8545455e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4086364e-009 -+lua1 = 1.0963636e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.4527273e-011 -+luc1 = 6.8727273e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.15 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.9e-009 -+toxp = 7.9e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 0 -+xw = 0 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.7682 -+k1 = 1.0069 -+k2 = -0.035251 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01098 -+ua = 6.558e-010 -+ub = 9.7125e-019 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1534 -+ags = 0.17736 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.599 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29993 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4196e-009 -+ub1 = -2.6523e-018 -+uc1 = -6.384e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - -* model for unsalicided p+ diffusion resistor -.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 -*------------------- -* body resistor parameters -*.param rsh_pplus_u_m=185 -+ r_rsh0=rsh_pplus_u_m -+ r_dw=2.75E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for substrate capacitor -.model pn_junction d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends pplus_u_m1 - - - - -.endl pmos_3p3_t -* -* -.lib pmos_3p3_f - - -.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.00666 -+ par_k=0.002833 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - - -.model pmos_3p3.0 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.67663617 -+lvth0 = -7.3534153e-009 -+wvth0 = 4.7732695e-009 -+pvth0 = 2.3377109e-015 -+k1 = 0.8647782 -+lk1 = 6.1568267e-009 -+wk1 = 6.9370633e-008 -+pk1 = -2.0803095e-014 -+k2 = 0.028918855 -+lk2 = -2.3507638e-008 -+wk2 = -2.2539936e-008 -+pk2 = 3.3878523e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094667623 -+lvoff = -1.5583678e-009 -+wvoff = -1.6924896e-009 -+pvoff = 8.2593493e-016 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0078719299 -+lu0 = 2.3274457e-009 -+wu0 = 5.9859026e-010 -+pu0 = -5.221169e-016 -+ua = 8.7644416e-011 -+lua = 9.8467082e-016 -+wua = -1.3940377e-017 -+pua = -1.9023228e-022 -+ub = 6.5842379e-019 -+lub = -1.3426634e-025 -+wub = -5.017448e-027 -+pub = 1.3446761e-033 -+uc = 8.863003e-011 -+luc = 8.2624703e-018 -+wuc = -1.4595908e-017 -+puc = -4.4377124e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.0088763 -+la0 = -1.9069135e-007 -+wa0 = 1.154901e-008 -+pa0 = -2.7146703e-015 -+ags = 0.20174918 -+lags = 9.8918351e-008 -+wags = -2.5751203e-008 -+pags = -1.198112e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.065134512 -+lketa = 7.447618e-009 -+wketa = -7.4415355e-009 -+pketa = 3.6314694e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.35995623 -+lpclm = 6.5671827e-008 -+wpclm = 3.1666898e-008 -+ppclm = 6.4870775e-015 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.1235927e-005 -+lalpha0 = -2.8123501e-012 -+walpha0 = -1.0380713e-013 -+palpha0 = 6.3405134e-020 -+alpha1 = 0 -+beta0 = 39.445349 -+lbeta0 = -3.3940359e-006 -+wbeta0 = 2.3377646e-007 -+pbeta0 = 1.1730752e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28422543 -+lkt1 = -1.5068185e-008 -+wkt1 = -1.1684779e-008 -+pkt1 = 1.9241808e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.1573864e-009 -+lua1 = 1.6719545e-016 -+wua1 = 1.8158523e-016 -+pua1 = -8.8613591e-023 -+ub1 = -2.1477044e-018 -+lub1 = -6.4044033e-025 -+wub1 = -1.3505708e-025 -+pub1 = 1.4828008e-031 -+uc1 = -2.5233764e-010 -+luc1 = 5.5767486e-017 -+wuc1 = 4.2084409e-017 -+puc1 = -1.3941872e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.1 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70748201 -+lvth0 = 7.6993553e-009 -+wvth0 = 6.367274e-009 -+pvth0 = 1.5598367e-015 -+k1 = 1.0117326 -+lk1 = -6.5556919e-008 -+wk1 = -1.8642534e-008 -+pk1 = 2.214733e-014 -+k2 = -0.018588529 -+lk2 = -3.2403549e-010 -+wk2 = -2.8080536e-009 -+pk2 = -6.2413065e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12338433 -+lvoff = 1.2455386e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010331202 -+lu0 = 1.1273211e-009 -+wu0 = -2.6339567e-010 -+pu0 = -1.0146777e-016 -+ua = 3.9172068e-010 -+lua = 8.3628161e-016 -+wua = -4.9371285e-017 -+pua = -1.72942e-022 -+ub = 1.0960127e-018 -+lub = -3.4780972e-025 -+wub = -2.0220479e-025 -+pub = 9.7572098e-032 -+uc = -1.1491615e-011 -+luc = 5.7121833e-017 -+wuc = -8.0009433e-018 -+puc = -7.6560549e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1426483 -+la0 = -2.5597206e-007 -+wa0 = 4.0977761e-008 -+pa0 = -1.7075901e-014 -+ags = 0.19126654 -+lags = 1.0403388e-007 -+wags = 1.4058737e-008 -+pags = -3.1408371e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0053639133 -+lketa = -2.1720434e-008 -+wketa = -2.3169788e-009 -+pketa = 1.1306857e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.25217946 -+lpclm = 1.1826689e-007 -+wpclm = 9.0167278e-008 -+ppclm = -2.2061108e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00025119377 -+lpdiblc2= 2.3704904e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 7.4423186e-005 -+lalpha0 = -3.3647732e-011 -+walpha0 = 5.050231e-012 -+palpha0 = -2.4517655e-018 -+alpha1 = 0 -+beta0 = 42.301722 -+lbeta0 = -4.787946e-006 -+wbeta0 = 2.9506668e-007 -+pbeta0 = 8.7397887e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30910467 -+lkt1 = -2.9271156e-009 -+wkt1 = 2.6245925e-008 -+pkt1 = -1.6586003e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.2487222e-018 -+lub1 = -5.9114366e-025 -+wub1 = -3.3106883e-026 -+pub1 = 9.852838e-032 -+uc1 = -7.6682222e-011 -+luc1 = -2.9952355e-017 -+wuc1 = 6.6740899e-018 -+puc1 = 3.3383641e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.2 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.6927634 -+lvth0 = -9.7863494e-009 -+wvth0 = 3.9098384e-009 -+pvth0 = 4.4792702e-015 -+k1 = 0.95248832 -+lk1 = 4.8252741e-009 -+wk1 = 3.2440732e-008 -+pk1 = -3.853959e-014 -+k2 = -0.0097979054 -+lk2 = -1.0767296e-008 -+wk2 = -1.5975284e-008 -+pk2 = 9.4013629e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097813945 -+lvoff = -1.7922234e-008 -+wvoff = 1.0511012e-009 -+pvoff = -1.2487082e-015 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0087371575 -+lu0 = 3.0210454e-009 -+wu0 = 2.316895e-010 -+pu0 = -6.8962895e-016 -+ua = 4.0327941e-010 -+lua = 8.2254984e-016 -+wua = -5.6078614e-017 -+pua = -1.6497369e-022 -+ub = 8.6053432e-019 -+lub = -6.8061407e-026 -+wub = -1.1643187e-025 -+pub = -4.3261328e-033 -+uc = -4.4147189e-011 -+luc = 9.5916655e-017 -+wuc = 1.9501336e-018 -+puc = -1.9477934e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.2624812 -+la0 = -3.983336e-007 -+wa0 = -3.5915545e-009 -+pa0 = 3.5872446e-014 -+ags = 0.1574043 -+lags = 1.4426221e-007 -+wags = 7.5813661e-010 -+pags = -1.5607258e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = 8.6249554e-005 -+lketa = -2.8195228e-008 -+wketa = -3.6739399e-009 -+pketa = 2.7427554e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32107112 -+lpclm = 3.6423596e-008 -+wpclm = 5.3623696e-009 -+ppclm = 7.8687123e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.88813e-005 -+lpdiblc2= 4.4175626e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0020546973 -+lalpha0 = -2.3862134e-009 -+walpha0 = 2.3605119e-011 -+palpha0 = -2.4494972e-017 -+alpha1 = 0 -+beta0 = 44.410558 -+lbeta0 = -7.2932429e-006 -+wbeta0 = 4.2825183e-007 -+pbeta0 = -7.0826067e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.946 -+lnoff = 5.39352e-007 -+voffcv = 0.0216 -+lvoffcv = -2.157408e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.2677292 -+lkt1 = -5.2081178e-008 -+wkt1 = -1.0950226e-008 -+pkt1 = 2.7603024e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.0352362e-018 -+lub1 = 3.4323494e-025 -+wub1 = 2.0295616e-025 -+pub1 = -1.8191452e-031 -+uc1 = -3.4663323e-011 -+luc1 = -7.9870808e-017 -+wuc1 = -1.3010587e-017 -+puc1 = 2.672376e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.3 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69374321 -+wvth0 = 4.3583036e-009 -+k1 = 0.95297143 -+wk1 = 2.8582143e-008 -+k2 = -0.010875929 -+wk2 = -1.5034018e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.099608321 -+wvoff = 9.2608036e-010 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.009039625 -+wu0 = 1.6264375e-010 -+ua = 4.8563321e-010 -+wua = -7.2595804e-017 -+ub = 8.5372e-019 -+wub = -1.16865e-025 -+uc = -3.4544e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.2226 -+ags = 0.17184786 -+wags = -8.0446429e-010 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0027366607 -+wketa = -3.3993348e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.32471786 -+wpclm = 1.3240536e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018157893 -+walpha0 = 2.1152679e-011 -+alpha1 = 0 -+beta0 = 43.680357 -+wbeta0 = 4.2116071e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.27294357 -+wkt1 = -8.1866071e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.0008714e-018 -+wub1 = 1.8474286e-025 -+uc1 = -4.266e-011 -+wuc1 = -1.0335e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.4 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69642702 -+lvth0 = -1.9920781e-009 -+wvth0 = 1.5262418e-008 -+pvth0 = -5.037978e-016 -+k1 = 0.99566618 -+lk1 = -3.3094297e-008 -+k2 = 0.012709478 -+lk2 = -1.9136714e-008 -+wk2 = -1.3948967e-008 -+pk2 = 1.0712624e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0093531893 -+lu0 = 1.7194104e-009 -+wu0 = -1.8647726e-010 -+pu0 = -1.9985825e-016 -+ua = -1.7033143e-011 -+lua = 6.9948888e-016 -+wua = 4.1538729e-017 -+pua = -3.9085851e-023 -+ub = 1.7312067e-018 -+lub = -2.899821e-025 -+wub = -5.7359242e-025 -+pub = 8.387403e-032 -+uc = 8.7990522e-011 -+luc = 1.1729669e-017 -+wuc = -1.4256968e-017 -+puc = -6.2753276e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.65645235 -+la0 = -9.5523869e-008 -+wa0 = 1.9833372e-007 -+pa0 = -5.3153436e-014 -+ags = 0.21104356 -+lags = 6.5010179e-008 -+wags = -3.0677229e-008 -+pags = 5.9902112e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.10320034 -+lketa = 2.0738198e-008 -+wketa = 1.2733351e-008 -+pketa = -3.4125381e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.38016331 -+lpclm = 2.5024839e-008 -+wpclm = 2.0957146e-008 -+ppclm = 2.8029981e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.1826838e-005 -+lalpha0 = -2.8274782e-012 -+walpha0 = -4.1699029e-013 -+palpha0 = 7.1423027e-020 -+alpha1 = 0 -+beta0 = 37.990543 -+lbeta0 = -2.5313328e-006 -+wbeta0 = 1.0048234e-006 -+pbeta0 = -3.3992511e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33242454 -+lkt1 = 5.9583152e-010 -+wkt1 = 1.3860749e-008 -+pkt1 = -6.377748e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.99446e-009 -+lua1 = -2.4129648e-016 -+wua1 = -2.620638e-016 -+pua1 = 1.2788713e-022 -+ub1 = -2.8941809e-018 -+lub1 = -2.5045136e-026 -+wub1 = 2.6057544e-025 -+pub1 = -1.7787938e-031 -+uc1 = 4.9114909e-012 -+luc1 = -3.2836296e-017 -+wuc1 = -9.4257628e-017 -+puc1 = 3.3018133e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.5 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69968312 -+lvth0 = -4.0309955e-010 -+wvth0 = 2.2338617e-009 -+pvth0 = 5.8541378e-015 -+k1 = 0.976558 -+lk1 = -2.3769504e-008 -+k2 = -0.02687001 -+lk2 = 1.7807606e-010 -+wk2 = 1.5811316e-009 -+pk2 = -6.5074256e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12338433 -+lvoff = 1.2455386e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097778795 -+lu0 = 1.5121617e-009 -+wu0 = 2.9865002e-011 -+pu0 = -3.0543328e-016 -+ua = 2.791058e-010 -+lua = 5.5497308e-016 -+wua = 1.0314601e-017 -+pua = -2.3848477e-023 -+ub = 1.2763808e-018 -+lub = -6.8027043e-026 -+wub = -2.9779989e-025 -+pub = -5.0712722e-032 -+uc = 9.3297873e-012 -+luc = 5.0116107e-017 -+wuc = -1.9036287e-017 -+puc = -3.9430202e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.3375751 -+la0 = -4.2791177e-007 -+wa0 = -6.2333454e-008 -+pa0 = 7.4052143e-014 -+ags = 0.19358686 -+lags = 7.352905e-008 -+wags = 1.2828966e-008 -+pags = -1.5240812e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0021847972 -+lketa = -2.8557385e-008 -+wketa = -4.0019103e-009 -+pketa = 4.7542695e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.55232393 -+lpclm = -5.8989544e-008 -+wpclm = -6.8909289e-008 -+ppclm = 7.1884801e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00025119377 -+lpdiblc2= 2.3704904e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00012216672 -+lalpha0 = -5.6673338e-011 -+walpha0 = -2.025384e-011 -+palpha0 = 9.7518057e-018 -+alpha1 = 0 -+beta0 = 43.26392 -+lbeta0 = -5.1047406e-006 -+wbeta0 = -2.1489817e-007 -+pbeta0 = 2.5529903e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28433818 -+lkt1 = -2.2870309e-008 -+wkt1 = 1.3119687e-008 -+pkt1 = -6.01611e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.142432e-018 -+lub1 = 9.6101442e-026 -+wub1 = 4.4055934e-025 -+pub1 = -2.6571152e-031 -+uc1 = -9.9075017e-011 -+luc1 = 1.790912e-017 -+wuc1 = 1.8542271e-017 -+puc1 = -2.2028218e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.6 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69887884 -+lvth0 = -1.3585892e-009 -+wvth0 = 7.151017e-009 -+pvth0 = 1.255727e-017 -+k1 = 1.0136972 -+lk1 = -6.7890933e-008 -+k2 = -0.027348888 -+lk2 = 7.4698326e-010 -+wk2 = -6.6732628e-009 -+pk2 = 3.2987949e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.095830735 -+lvoff = -2.0278287e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097384672 -+lu0 = 1.5589835e-009 -+wu0 = -2.9900464e-010 -+pu0 = 8.5263865e-017 -+ua = 4.0443501e-010 -+lua = 4.0608197e-016 -+wua = -5.6691087e-017 -+pua = 5.575428e-023 -+ub = 1.1705395e-018 -+lub = 5.7712398e-026 -+wub = -2.8073464e-025 -+pub = -7.0986249e-032 -+uc = 1.5649873e-012 -+luc = 5.934069e-017 -+wuc = -2.227732e-017 -+puc = -9.2672655e-026 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1176952 -+la0 = -1.6669444e-007 -+wa0 = 7.3145043e-008 -+pa0 = -8.6896311e-014 -+ags = 0.16577511 -+lags = 1.0656941e-007 -+wags = -3.6783923e-009 -+pags = 4.3699301e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068457125 -+lketa = -2.3020218e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.32904913 -+lpclm = 2.0626092e-007 -+wpclm = 1.1340266e-009 -+ppclm = -1.1326658e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.88813e-005 -+lpdiblc2= 4.4175626e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021717533 -+lalpha0 = -2.4915822e-009 -+walpha0 = -3.8434543e-011 -+palpha0 = 3.1350481e-017 -+alpha1 = 0 -+beta0 = 44.341063 -+lbeta0 = -6.3843867e-006 -+wbeta0 = 4.6508409e-007 -+pbeta0 = -5.5251989e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.946 -+lnoff = 5.39352e-007 -+voffcv = 0.0216 -+lvoffcv = -2.157408e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33811161 -+lkt1 = 4.1012519e-008 -+wkt1 = 2.6352452e-008 -+pkt1 = -2.1736635e-014 -+kt1l = 0 -+kt2 = -0.01697494 -+lkt2 = 4.6438207e-009 -+wkt2 = 2.0717382e-009 -+pkt2 = -2.461225e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5970528e-018 -+lub1 = -5.5180911e-025 -+wub1 = -2.928102e-026 -+pub1 = 2.9245883e-031 -+uc1 = -4.2459e-011 -+luc1 = -4.9350708e-017 -+wuc1 = -8.878878e-018 -+puc1 = 1.0548107e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.7 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69901486 -+wvth0 = 7.1522743e-009 -+k1 = 1.0069 -+k2 = -0.0272741 -+wk2 = -6.342987e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0098945529 -+wu0 = -2.9046801e-010 -+ua = 4.45092e-010 -+wua = -5.110896e-017 -+ub = 1.1763177e-018 -+wub = -2.8784179e-025 -+uc = 7.5061857e-012 -+wuc = -2.2286598e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1010057 -+wa0 = 6.4444971e-008 -+ags = 0.17644486 -+wags = -3.2408743e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0019222957 -+walpha0 = -3.5295729e-011 -+alpha1 = 0 -+beta0 = 43.701857 -+wbeta0 = 4.0976571e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33400543 -+wkt1 = 2.4176177e-008 -+kt1l = 0 -+kt2 = -0.01651 -+wkt2 = 1.82532e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.6523e-018 -+uc1 = -4.74e-011 -+wuc1 = -7.8228e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.8 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.68802184 -+lvth0 = -8.201616e-009 -+wvth0 = 4.9240544e-009 -+pvth0 = 7.1339337e-015 -+k1 = 0.99566618 -+lk1 = -3.3094297e-008 -+k2 = -0.0021980746 -+lk2 = -1.6525108e-008 -+wk2 = 4.3873228e-009 -+pk2 = -2.1410135e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011508627 -+lu0 = 1.6714324e-009 -+wu0 = -2.8376654e-009 -+pu0 = -1.4084519e-016 -+ua = 4.0233366e-010 -+lua = 5.8911437e-016 -+wua = -4.7428243e-016 -+pua = 9.6674803e-023 -+ub = 9.3020973e-019 -+lub = 3.1000449e-026 -+wub = 4.1163392e-025 -+pub = -3.109345e-031 -+uc = 1.3317671e-010 -+luc = -6.9634759e-018 -+wuc = -6.9835979e-017 -+puc = 1.671724e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.87540638 -+la0 = -1.3755908e-007 -+wa0 = -7.0979742e-008 -+pa0 = -1.4501221e-015 -+ags = 0.33412543 -+lags = -2.3548078e-009 -+wags = -1.8206792e-007 -+pags = 8.8849145e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.087399697 -+lketa = 1.6503627e-008 -+wketa = -6.7014347e-009 -+pketa = 1.7959845e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32908612 -+lpclm = 4.7230737e-008 -+wpclm = 8.378208e-008 -+ppclm = 7.1672699e-016 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.5254751e-006 -+lalpha0 = -2.1321619e-012 -+walpha0 = 2.4136865e-012 -+palpha0 = -7.8381597e-019 -+alpha1 = 0 -+beta0 = 37.601303 -+lbeta0 = -2.2168591e-006 -+wbeta0 = 1.4835887e-006 -+pbeta0 = -7.2672783e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29666254 -+lkt1 = -7.8484113e-009 -+wkt1 = -3.0126506e-008 -+pkt1 = 4.0086707e-015 -+kt1l = 0 -+kt2 = -0.02066331 -+lkt2 = 2.0360792e-009 -+wkt2 = 9.344692e-009 -+pkt2 = -2.5043775e-015 -+ute = -1 -+ua1 = 1.7983391e-009 -+lua1 = -1.4558948e-016 -+wua1 = -2.0835087e-017 -+pua1 = 1.0167522e-023 -+ub1 = -2.5953331e-018 -+lub1 = -1.2006485e-025 -+wub1 = -1.0700731e-025 -+pub1 = -6.1005134e-032 -+uc1 = -8.5716307e-011 -+luc1 = 8.3755803e-019 -+wuc1 = 1.7214564e-017 -+puc1 = -8.400707e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.9 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69065918 -+lvth0 = -6.9145954e-009 -+wvth0 = -8.8655847e-009 -+pvth0 = 1.3863278e-014 -+k1 = 0.976558 -+lk1 = -2.3769504e-008 -+k2 = -0.030611931 -+lk2 = -2.6591455e-009 -+wk2 = 6.1836949e-009 -+pk2 = -3.0176431e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094293534 -+lvoff = -1.7409232e-009 -+wvoff = -3.578168e-008 -+pvoff = 1.746146e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010175439 -+lu0 = 2.3220281e-009 -+wu0 = -4.5913295e-010 -+pu0 = -1.301569e-015 -+ua = 3.3870848e-010 -+lua = 6.2016345e-016 -+wua = -6.2996698e-017 -+pua = -1.0403264e-022 -+ub = 9.6244193e-019 -+lub = 1.5271135e-026 -+wub = 8.8344941e-026 -+pub = -1.5316948e-031 -+uc = -2.6312627e-011 -+luc = 7.086732e-017 -+wuc = 2.4803882e-017 -+puc = -2.9467012e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1722707 -+la0 = -2.8242886e-007 -+wa0 = 1.4099098e-007 -+pa0 = -1.0489184e-013 -+ags = 0.20910427 -+lags = 5.8655514e-008 -+wags = -6.2574519e-009 -+pags = 3.0536365e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0071507123 -+lketa = -2.2657878e-008 -+wketa = 2.1061652e-009 -+pketa = -2.5021242e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37814802 -+lpclm = 2.3288533e-008 -+wpclm = 1.4532708e-007 -+ppclm = -2.9317233e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00025119377 -+lpdiblc2= 2.3704904e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011002657 -+lalpha0 = -5.1176698e-011 -+walpha0 = -5.3214657e-012 -+palpha0 = 2.9909383e-018 -+alpha1 = 0 -+beta0 = 43.086027 -+lbeta0 = -4.8934045e-006 -+wbeta0 = 3.9093553e-009 -+pbeta0 = -4.6443141e-015 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30282331 -+lkt1 = -4.8419541e-009 -+wkt1 = 3.5856399e-008 -+pkt1 = -2.8190987e-014 -+kt1l = 0 -+kt2 = -0.010678274 -+lkt2 = -2.8366187e-009 -+wkt2 = -2.9369032e-009 -+pkt2 = 3.489041e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.4652457e-018 -+lub1 = -1.8354751e-025 -+wub1 = -3.9237991e-025 -+pub1 = 7.8256693e-032 -+uc1 = -3.52542e-011 -+luc1 = -2.378795e-017 -+wuc1 = -5.9957334e-017 -+puc1 = 2.9259179e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.10 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69275726 -+lvth0 = -4.4220735e-009 -+wvth0 = -3.7851852e-010 -+pvth0 = 3.780643e-015 -+k1 = 1.0136972 -+lk1 = -6.7890933e-008 -+k2 = -0.036022469 -+lk2 = 3.7685735e-009 -+wk2 = 3.995242e-009 -+pk2 = -4.1776105e-016 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.098144776 -+lvoff = 2.8343515e-009 -+wvoff = 2.84627e-009 -+pvoff = -2.8428545e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01103441 -+lu0 = 1.3015701e-009 -+wu0 = -1.8930146e-009 -+pu0 = 4.0188235e-016 -+ua = 6.6815328e-010 -+lua = 2.2878304e-016 -+wua = -3.8106455e-016 -+pua = 2.7383197e-022 -+ub = 9.7529642e-019 -+wub = -4.0585597e-026 -+uc = -1.6546655e-011 -+luc = 5.9265346e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1829466 -+la0 = -2.9511192e-007 -+wa0 = -7.1142556e-009 -+pa0 = 7.1057185e-014 -+ags = 0.1669723 -+lags = 1.087083e-007 -+wags = -5.1509361e-009 -+pags = 1.7390958e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068457125 -+lketa = -2.3020218e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34321309 -+lpclm = 6.4791222e-008 -+wpclm = -1.6287652e-008 -+ppclm = 1.6268107e-013 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.88813e-005 -+lpdiblc2= 4.4175626e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.002140198 -+lalpha0 = -2.4630203e-009 -+walpha0 = 3.7851852e-013 -+palpha0 = -3.780643e-018 -+alpha1 = 0 -+beta0 = 44.155152 -+lbeta0 = -6.1635247e-006 -+wbeta0 = 6.9375436e-007 -+pbeta0 = -8.2418018e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.946 -+lnoff = 5.39352e-007 -+voffcv = 0.0216 -+lvoffcv = -2.157408e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29670156 -+lkt1 = -1.2114597e-008 -+wkt1 = -2.4581904e-008 -+pkt1 = 4.3609717e-014 -+kt1l = 0 -+kt2 = -0.012755062 -+lkt2 = -3.6939483e-010 -+wkt2 = -3.1187122e-009 -+pkt2 = 3.7050301e-015 -+ute = -1 -+ua1 = 1.3959912e-009 -+lua1 = 1.2356248e-016 -+wua1 = 1.2793085e-016 -+pua1 = -1.5198185e-022 -+ub1 = -2.6566947e-018 -+lub1 = 4.3893906e-026 -+wub1 = 4.4078482e-026 -+pub1 = -4.4025588e-031 -+uc1 = -6.6595019e-011 -+luc1 = 1.3444942e-017 -+wuc1 = 2.0808425e-017 -+puc1 = -6.6690543e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.11 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.6932 -+k1 = 1.0069 -+k2 = -0.035645159 -+wk2 = 3.9534157e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011164724 -+wu0 = -1.8527781e-009 -+ua = 6.9105907e-010 -+wua = -3.5364845e-016 -+ub = 9.7529642e-019 -+wub = -4.0585597e-026 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1534 -+ags = 0.17785619 -+wags = -4.9768176e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.538059 -+wbeta0 = 6.1123732e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29791448 -+wkt1 = -2.0215693e-008 -+kt1l = 0 -+kt2 = -0.012792045 -+wkt2 = -2.7477641e-009 -+ute = -1 -+ua1 = 1.4083623e-009 -+wua1 = 1.1271441e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.5248909e-011 -+wuc1 = 1.4131358e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.12 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.68753091 -+lvth0 = -7.4903564e-009 -+k1 = 0.99566618 -+lk1 = -3.3094297e-008 -+k2 = -0.0017606545 -+lk2 = -1.6738569e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011225709 -+lu0 = 1.65739e-009 -+ua = 3.5504727e-010 -+lua = 5.9875293e-016 -+ub = 9.7125e-019 -+uc = 1.26214e-010 -+luc = -5.296752e-018 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.86832964 -+la0 = -1.3770366e-007 -+ags = 0.31597309 -+lags = 6.5035316e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.088067836 -+lketa = 1.6682688e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.33743927 -+lpclm = 4.7302195e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.7661218e-006 -+lalpha0 = -2.2103091e-012 -+alpha1 = 0 -+beta0 = 37.749218 -+lbeta0 = -2.2893145e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29966618 -+lkt1 = -7.4487433e-009 -+kt1l = 0 -+kt2 = -0.019731636 -+lkt2 = 1.7863906e-009 -+ute = -1 -+ua1 = 1.7962618e-009 -+lua1 = -1.4457577e-016 -+ub1 = -2.6060018e-018 -+lub1 = -1.2614711e-025 -+uc1 = -8.4e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.13 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69154309 -+lvth0 = -5.5324142e-009 -+k1 = 0.976558 -+lk1 = -2.3769504e-008 -+k2 = -0.029995411 -+lk2 = -2.9600072e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010129663 -+lu0 = 2.1922605e-009 -+ua = 3.3242766e-010 -+lua = 6.097913e-016 -+ub = 9.7125e-019 -+uc = -2.3839657e-011 -+luc = 6.7929433e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1863276 -+la0 = -2.9288667e-007 -+ags = 0.2084804 -+lags = 5.8959965e-008 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0069407257 -+lketa = -2.2907342e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.39263726 -+lpclm = 2.0365578e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00025119377 -+lpdiblc2= 2.3704904e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00010949602 -+lalpha0 = -5.0878499e-011 -+alpha1 = 0 -+beta0 = 43.086417 -+lbeta0 = -4.8938676e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.2992484 -+lkt1 = -7.6526208e-009 -+kt1l = 0 -+kt2 = -0.010971086 -+lkt2 = -2.4887582e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5043663e-018 -+lub1 = -1.7574525e-025 -+uc1 = -4.1232e-011 -+luc1 = -2.0870784e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.14 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.692795 -+lvth0 = -4.04514e-009 -+k1 = 1.0136972 -+lk1 = -6.7890933e-008 -+k2 = -0.03562414 -+lk2 = 3.7269223e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010845675 -+lu0 = 1.3416381e-009 -+ua = 6.301608e-010 -+lua = 2.5608433e-016 -+ub = 9.7125e-019 -+uc = -1.6546655e-011 -+luc = 5.9265346e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1822374 -+la0 = -2.8802745e-007 -+ags = 0.16645875 -+lags = 1.0888169e-007 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068457125 -+lketa = -2.3020217e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.3415892 -+lpclm = 8.101067e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.88813e-005 -+lpdiblc2= 4.4175626e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021402357 -+lalpha0 = -2.4633972e-009 -+alpha1 = 0 -+beta0 = 44.22432 -+lbeta0 = -6.2456962e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.946 -+lnoff = 5.39352e-007 -+voffcv = 0.0216 -+lvoffcv = -2.157408e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.2991524 -+lkt1 = -7.7666688e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.408746e-009 -+lua1 = 1.0840975e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.45204e-011 -+luc1 = 6.7958352e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.15 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.5e-009 -+toxp = 7.5e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -1.2e-008 -+xw = 1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.6932 -+k1 = 1.0069 -+k2 = -0.035251 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01098 -+ua = 6.558e-010 -+ub = 9.7125e-019 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1534 -+ags = 0.17736 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.599 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.116e-010 -+cgdo = 1.116e-010 -+cgbo = 1e-013 -+cgdl = 3.6e-011 -+cgsl = 3.6e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29993 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4196e-009 -+ub1 = -2.6523e-018 -+uc1 = -6.384e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - - -* model for unsalicided p+ diffusion resistor -.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 -*------------------- -* body resistor parameters -*.param rsh_pplus_u_m=185 -+ r_rsh0=rsh_pplus_u_m -+ r_dw=2.75E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for substrate capacitor -.model pn_junction d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends pplus_u_m1 - - - -.endl pmos_3p3_f -* -* -.lib pmos_3p3_s - - -.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.00666 -+ par_k=0.002833 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model pmos_3p3.0 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.82463101 -+lvth0 = -8.0025899e-009 -+wvth0 = 3.8459166e-009 -+pvth0 = 2.3657625e-015 -+k1 = 0.87469564 -+lk1 = 3.4485427e-009 -+wk1 = 6.4792254e-008 -+pk1 = -2.1052724e-014 -+k2 = 0.029736614 -+lk2 = -2.6287876e-008 -+wk2 = -2.0504604e-008 -+pk2 = 3.4285051e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094659997 -+lvoff = -1.6389133e-009 -+wvoff = -1.6325113e-009 -+pvoff = 8.358458e-016 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.007549639 -+lu0 = 2.570498e-009 -+wu0 = 6.147434e-010 -+pu0 = -5.2838208e-016 -+ua = -8.9886117e-011 -+lua = 1.092789e-015 -+wua = 1.8563411e-017 -+pua = -1.9251499e-022 -+ub = 6.8226823e-019 -+lub = -1.5325276e-025 -+wub = -4.6603138e-027 -+pub = 1.3608116e-033 -+uc = 8.5033561e-011 -+luc = 8.679411e-018 -+wuc = -1.2200571e-017 -+puc = -4.490963e-024 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.0456883 -+la0 = -2.1845471e-007 -+wa0 = 1.066516e-008 -+pa0 = -2.7472452e-015 -+ags = 0.1800417 -+lags = 1.1100987e-007 -+wags = -2.0850684e-008 -+pags = -1.2124889e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.067725055 -+lketa = 9.1402523e-009 -+wketa = -7.1778231e-009 -+pketa = 3.6750454e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.3525051 -+lpclm = 7.6191219e-008 -+wpclm = 2.6980295e-008 -+ppclm = 6.5649197e-015 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.1734592e-005 -+lalpha0 = -3.2039603e-012 -+walpha0 = -1.021993e-013 -+palpha0 = 6.4165969e-020 -+alpha1 = 0 -+beta0 = 40.100221 -+lbeta0 = -3.8596059e-006 -+wbeta0 = 1.8790089e-007 -+pbeta0 = 1.1871516e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28327733 -+lkt1 = -1.6892981e-008 -+wkt1 = -1.0656933e-008 -+pkt1 = 1.9472702e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.1565682e-009 -+lua1 = 1.7583709e-016 -+wua1 = 1.7515023e-016 -+pua1 = -8.9676916e-023 -+ub1 = -2.0546713e-018 -+lub1 = -7.0652532e-025 -+wub1 = -1.4365289e-025 -+pub1 = 1.5005937e-031 -+uc1 = -2.5583291e-010 -+luc1 = 6.134421e-017 -+wuc1 = 3.9521569e-017 -+puc1 = -1.4109168e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.1 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.85684939 -+lvth0 = 8.4932211e-009 -+wvth0 = 5.5796737e-009 -+pvth0 = 1.4780788e-015 -+k1 = 1.0114941 -+lk1 = -6.6592286e-008 -+wk1 = -1.7315587e-008 -+pk1 = 2.0986491e-014 -+k2 = -0.018959971 -+lk2 = -1.3552238e-009 -+wk2 = -2.2571871e-009 -+pk2 = -5.9141722e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12389995 -+lvoff = 1.3331945e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010245409 -+lu0 = 1.1902635e-009 -+wu0 = -2.2946106e-010 -+pu0 = -9.6149399e-017 -+ua = 3.5072904e-010 -+lua = 8.6719409e-016 -+wua = -3.7369482e-017 -+pua = -1.6387735e-022 -+ub = 1.07928e-018 -+lub = -3.5652279e-025 -+wub = -1.8258434e-025 -+pub = 9.2457915e-032 -+uc = -1.5016171e-011 -+luc = 5.9904874e-017 -+wuc = -6.8025157e-018 -+puc = -7.2547674e-024 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1595369 -+la0 = -2.7674521e-007 -+wa0 = 3.6902724e-008 -+pa0 = -1.6180878e-014 -+ags = 0.18927812 -+lags = 1.0628082e-007 -+wags = 1.3597033e-008 -+pags = -2.976212e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0048215378 -+lketa = -2.3066349e-008 -+wketa = -2.09262e-009 -+pketa = 1.0714215e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.26103151 -+lpclm = 1.230257e-007 -+wpclm = 8.0632068e-008 -+ppclm = -2.0904788e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024138051 -+lpdiblc2= 2.5373158e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 7.659374e-005 -+lalpha0 = -3.6411844e-011 -+walpha0 = 4.5607375e-012 -+palpha0 = -2.3232577e-018 -+alpha1 = 0 -+beta0 = 42.543923 -+lbeta0 = -5.1107814e-006 -+wbeta0 = 2.5801452e-007 -+pbeta0 = 8.2816979e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3049182 -+lkt1 = -5.8128509e-009 -+wkt1 = 2.3842925e-008 -+pkt1 = -1.5716657e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.2298632e-018 -+lub1 = -6.1682707e-025 -+wub1 = -3.2919886e-026 -+pub1 = 9.3364074e-032 -+uc1 = -7.4455718e-011 -+luc1 = -3.1520912e-017 -+wuc1 = 5.7861122e-018 -+puc1 = 3.1633858e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.2 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84215481 -+lvth0 = -9.3166113e-009 -+wvth0 = 3.453293e-009 -+pvth0 = 4.0552523e-015 -+k1 = 0.95738704 -+lk1 = -1.0144952e-009 -+wk1 = 2.8788238e-008 -+pk1 = -3.4891345e-014 -+k2 = -0.012190361 -+lk2 = -9.5599917e-009 -+wk2 = -1.4159481e-008 -+pk2 = 8.5114085e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097618657 -+lvoff = -1.8520988e-008 -+wvoff = 9.3275798e-010 -+pvoff = -1.1305027e-015 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0087662296 -+lu0 = 2.9830294e-009 -+wu0 = 2.0634563e-010 -+pu0 = -6.2434711e-016 -+ua = 3.9320136e-010 -+lua = 8.1571763e-016 -+wua = -4.9350043e-017 -+pua = -1.4935691e-022 -+ub = 8.4309868e-019 -+lub = -7.0271026e-026 -+wub = -1.0306741e-025 -+pub = -3.9166112e-033 -+uc = -4.4040887e-011 -+luc = 9.508283e-017 -+wuc = 1.7612973e-018 -+puc = -1.7634109e-023 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.2627338 -+la0 = -4.0181986e-007 -+wa0 = -3.2437753e-009 -+pa0 = 3.2476679e-014 -+ags = 0.15723172 -+lags = 1.4512107e-007 -+wags = 6.9911181e-010 -+pags = -1.4129839e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.00041211629 -+lketa = -2.8410567e-008 -+wketa = -3.2573878e-009 -+pketa = 2.48312e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32178284 -+lpclm = 4.939508e-008 -+wpclm = 4.6063177e-009 -+ppclm = 7.1238421e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.7987791e-005 -+lpdiblc2= 4.5176356e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0020630943 -+lalpha0 = -2.4440505e-009 -+walpha0 = 2.0941071e-011 -+palpha0 = -2.2176222e-017 -+alpha1 = 0 -+beta0 = 44.489973 -+lbeta0 = -7.4693929e-006 -+wbeta0 = 3.7925089e-007 -+pbeta0 = -6.4121511e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9449091 -+lnoff = 5.5157018e-007 -+voffcv = 0.022036364 -+lvoffcv = -2.2062807e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.26928515 -+lkt1 = -4.9000116e-008 -+wkt1 = -9.743475e-009 -+pkt1 = 2.4990059e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.00524e-018 -+lub1 = 3.2292957e-025 -+wub1 = 1.7999938e-025 -+pub1 = -1.6469408e-031 -+uc1 = -3.6473795e-011 -+luc1 = -7.7555003e-017 -+wuc1 = -1.1565903e-017 -+puc1 = 2.4194028e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.3 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84308536 -+wvth0 = 3.8583321e-009 -+k1 = 0.95728571 -+wk1 = 2.5303286e-008 -+k2 = -0.013145214 -+wk2 = -1.3309361e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.099468536 -+wvoff = 8.1984321e-010 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.009064175 -+wu0 = 1.4398575e-010 -+ua = 4.7467536e-010 -+wua = -6.4267832e-017 -+ub = 8.3608e-019 -+wub = -1.034586e-025 -+uc = -3.4544e-011 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.2226 -+ags = 0.17172643 -+wags = -7.1217857e-010 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0032497679 -+wketa = -3.0093734e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.32671643 -+wpclm = 1.1721621e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018189821 -+walpha0 = 1.8726107e-011 -+alpha1 = 0 -+beta0 = 43.743929 -+wbeta0 = 3.7284643e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.27417929 -+wkt1 = -7.2474643e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.9729857e-018 -+wub1 = 1.6354971e-025 -+uc1 = -4.422e-011 -+wuc1 = -9.1494e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.4 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84559037 -+lvth0 = -2.2948864e-009 -+wvth0 = 1.4535189e-008 -+pvth0 = -5.4516634e-016 -+k1 = 1.0017393 -+lk1 = -3.7831308e-008 -+k2 = 0.015787252 -+lk2 = -2.1838311e-008 -+wk2 = -1.3390429e-008 -+pk2 = 1.1592273e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0090330668 -+lu0 = 1.9585121e-009 -+wu0 = -1.4180477e-010 -+pu0 = -2.1626928e-016 -+ua = -1.4390099e-010 -+lua = 7.9824069e-016 -+wua = 4.6110994e-017 -+pua = -4.2295322e-023 -+ub = 1.7663512e-018 -+lub = -3.2854766e-025 -+wub = -5.5754264e-025 -+pub = 9.0761209e-032 -+uc = 8.5435958e-011 -+luc = 1.3188534e-017 -+wuc = -1.2405794e-017 -+puc = -6.7906158e-024 -+eu = 1.67 -+vsat = 84000 -+a0 = 0.68036585 -+la0 = -1.1106099e-007 -+wa0 = 1.969796e-007 -+pa0 = -5.7518044e-014 -+ags = 0.19813874 -+lags = 7.4525608e-008 -+wags = -3.0080179e-008 -+pags = 6.4820876e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.10659611 -+lketa = 2.3586916e-008 -+wketa = 1.2646415e-008 -+pketa = -3.6927531e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37605618 -+lpclm = 2.9589851e-008 -+wpclm = 1.4969243e-008 -+ppclm = 3.0331617e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.233251e-005 -+lalpha0 = -3.2296894e-012 -+walpha0 = -4.0713741e-013 -+palpha0 = 7.7287812e-020 -+alpha1 = 0 -+beta0 = 38.487806 -+lbeta0 = -2.9055811e-006 -+wbeta0 = 1.0102328e-006 -+pbeta0 = -3.6783751e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33207273 -+lkt1 = 4.5744341e-010 -+wkt1 = 1.4228723e-008 -+pkt1 = -6.9014464e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 2.02998e-009 -+lua1 = -2.7134976e-016 -+wua1 = -2.702898e-016 -+pua1 = 1.3838838e-022 -+ub1 = -2.8805891e-018 -+lub1 = -3.486841e-026 -+wub1 = 2.7756517e-025 -+pub1 = -1.9248565e-031 -+uc1 = 7.8595636e-012 -+luc1 = -3.6378401e-017 -+wuc1 = -9.4961592e-017 -+puc1 = 3.5729363e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.5 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84960533 -+lvth0 = -2.3922604e-010 -+wvth0 = 1.8852027e-009 -+pvth0 = 5.9316269e-015 -+k1 = 0.977542 -+lk1 = -2.5442304e-008 -+k2 = -0.026820609 -+lk2 = -2.308677e-011 -+wk2 = 1.7517378e-009 -+pk2 = -6.593562e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12389995 -+lvoff = 1.3331945e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097165838 -+lu0 = 1.6085514e-009 -+wu0 = 4.0239958e-011 -+pu0 = -3.0947618e-016 -+ua = 2.5647799e-010 -+lua = 5.9324665e-016 -+wua = 1.0698549e-017 -+pua = -2.416415e-023 -+ub = 1.270125e-018 -+lub = -7.4479844e-026 -+wub = -2.799153e-025 -+pub = -5.1383988e-032 -+uc = 6.6760848e-012 -+luc = 5.351359e-017 -+wuc = -1.7865566e-017 -+puc = -3.9952125e-024 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.3532832 -+la0 = -4.5559467e-007 -+wa0 = -6.1907875e-008 -+pa0 = 7.5032345e-014 -+ags = 0.19095588 -+lags = 7.8203234e-008 -+wags = 1.2741377e-008 -+pags = -1.5442549e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0011314055 -+lketa = -3.0411013e-008 -+wketa = -3.9745875e-009 -+pketa = 4.8172e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.55256055 -+lpclm = -6.0780385e-008 -+wpclm = -6.8047743e-008 -+ppclm = 7.2836314e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024138051 -+lpdiblc2= 2.5373158e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00012387909 -+lalpha0 = -6.0341539e-011 -+walpha0 = -1.9554792e-011 -+palpha0 = 9.8808868e-018 -+alpha1 = 0 -+beta0 = 43.468326 -+lbeta0 = -5.4556076e-006 -+wbeta0 = -2.1343096e-007 -+pbeta0 = 2.5867832e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28298126 -+lkt1 = -2.4677389e-008 -+wkt1 = 1.2655084e-008 -+pkt1 = -6.095743e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.1325568e-018 -+lub1 = 9.4139058e-026 -+wub1 = 4.2745384e-025 -+pub1 = -2.6922865e-031 -+uc1 = -9.9219566e-011 -+luc1 = 1.8446114e-017 -+wuc1 = 1.8415675e-017 -+puc1 = -2.2319798e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.6 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.8486567 -+lvth0 = -1.3889719e-009 -+wvth0 = 6.7692544e-009 -+pvth0 = 1.2156213e-017 -+k1 = 1.0138346 -+lk1 = -6.9428897e-008 -+k2 = -0.027555336 -+lk2 = 8.6740321e-010 -+wk2 = -6.323344e-009 -+pk2 = 3.1934371e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.095789719 -+lvoff = -2.073766e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097261353 -+lu0 = 1.5969749e-009 -+wu0 = -2.832063e-010 -+pu0 = 8.2540685e-017 -+ua = 4.0187094e-010 -+lua = 4.1703039e-016 -+wua = -5.3771529e-017 -+pua = 5.3973585e-023 -+ub = 1.1618144e-018 -+lub = 5.6792621e-026 -+wub = -2.6561243e-025 -+pub = -6.8719071e-032 -+uc = 7.6151064e-013 -+luc = 6.0682053e-017 -+wuc = -2.1087925e-017 -+puc = -8.9712851e-026 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1202818 -+la0 = -1.7319698e-007 -+wa0 = 6.940676e-008 -+pa0 = -8.4120993e-014 -+ags = 0.16544644 -+lags = 1.0912068e-007 -+wags = -3.4903977e-009 -+pags = 4.2303621e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0067991511 -+lketa = -2.3541705e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.32866743 -+lpclm = 2.1057807e-007 -+wpclm = 1.0951762e-009 -+ppclm = -1.0964904e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.7987791e-005 -+lpdiblc2= 4.5176356e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021756117 -+lalpha0 = -2.5470415e-009 -+walpha0 = -3.644284e-011 -+palpha0 = 3.0349201e-017 -+alpha1 = 0 -+beta0 = 44.368279 -+lbeta0 = -6.5463501e-006 -+wbeta0 = 4.4131466e-007 -+pbeta0 = -5.3487336e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9449091 -+lnoff = 5.5157018e-007 -+voffcv = 0.022036364 -+lvoffcv = -2.2062807e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33738473 -+lkt1 = 4.1259616e-008 -+wkt1 = 2.4987313e-008 -+pkt1 = -2.1042404e-014 -+kt1l = 0 -+kt2 = -0.01692062 -+lkt2 = 4.6717994e-009 -+wkt2 = 1.9658562e-009 -+pkt2 = -2.3826177e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5968532e-018 -+lub1 = -5.5513372e-025 -+wub1 = -2.8277886e-026 -+pub1 = 2.831182e-031 -+uc1 = -4.2632236e-011 -+luc1 = -5.013773e-017 -+wuc1 = -8.425098e-018 -+puc1 = 1.0211219e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.7 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84879543 -+wvth0 = 6.7704686e-009 -+k1 = 1.0069 -+k2 = -0.0274687 -+wk2 = -6.004383e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0098856414 -+wu0 = -2.7496213e-010 -+ua = 4.43524e-010 -+wua = -4.838064e-017 -+ub = 1.1674869e-018 -+wub = -2.724761e-025 -+uc = 6.8224429e-012 -+wuc = -2.1096886e-017 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1029829 -+wa0 = 6.1004743e-008 -+ags = 0.17634543 -+wags = -3.0678686e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0019212129 -+walpha0 = -3.3411557e-011 -+alpha1 = 0 -+beta0 = 43.714429 -+wbeta0 = 3.8789143e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33326371 -+wkt1 = 2.2885594e-008 -+kt1l = 0 -+kt2 = -0.016454 -+wkt2 = 1.72788e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.6523e-018 -+uc1 = -4.764e-011 -+wuc1 = -7.4052e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.8 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83651091 -+lvth0 = -9.3623482e-009 -+wvth0 = 3.5490455e-009 -+pvth0 = 8.0064625e-015 -+k1 = 1.0017393 -+lk1 = -3.7831308e-008 -+k2 = 0.00084217568 -+lk2 = -1.8894426e-008 -+wk2 = 4.6931134e-009 -+pk2 = -2.4028741e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011197346 -+lu0 = 1.9104148e-009 -+wu0 = -2.7605828e-009 -+pu0 = -1.5807151e-016 -+ua = 2.9342841e-010 -+lua = 6.7361745e-016 -+wua = -4.8305758e-016 -+pua = 1.0849879e-022 -+ub = 9.252807e-019 -+lub = 3.486153e-026 -+wub = 4.6015269e-025 -+pub = -3.4896391e-031 -+uc = 1.3433638e-010 -+luc = -7.929225e-018 -+wuc = -7.1575304e-017 -+puc = 1.8761873e-023 -+eu = 1.67 -+vsat = 84000 -+a0 = 0.90053503 -+la0 = -1.5725154e-007 -+wa0 = -6.9425106e-008 -+pa0 = -1.6274819e-015 -+ags = 0.33423596 -+lags = -2.5272122e-009 -+wags = -1.9475781e-007 -+pags = 9.9716e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.090439654 -+lketa = 1.8869231e-008 -+wketa = -6.902896e-009 -+pketa = 2.0156456e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32055448 -+lpclm = 5.399252e-008 -+wpclm = 8.2126294e-008 -+ppclm = 8.0438758e-016 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.9208915e-006 -+lalpha0 = -2.4388052e-012 -+walpha0 = 2.5109209e-012 -+palpha0 = -8.79682e-019 -+alpha1 = 0 -+beta0 = 38.010737 -+lbeta0 = -2.5355199e-006 -+wbeta0 = 1.5874859e-006 -+pbeta0 = -8.156116e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29527232 -+lkt1 = -8.9643788e-009 -+wkt1 = -3.029977e-008 -+pkt1 = 4.4989585e-015 -+kt1l = 0 -+kt2 = -0.021021054 -+lkt2 = 2.3228759e-009 -+wkt2 = 9.625616e-009 -+pkt2 = -2.8106799e-015 -+ute = -1 -+ua1 = 1.8250192e-009 -+lua1 = -1.6640984e-016 -+wua1 = -2.2287265e-017 -+pua1 = 1.141108e-023 -+ub1 = -2.5734555e-018 -+lub1 = -1.373636e-025 -+wub1 = -9.4066473e-026 -+pub1 = -6.8466478e-032 -+uc1 = -8.58396e-011 -+luc1 = 9.418752e-019 -+wuc1 = 1.8414396e-017 -+puc1 = -9.4281708e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.9 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84038823 -+lvth0 = -7.3771601e-009 -+wvth0 = -9.2674868e-009 -+pvth0 = 1.4568527e-014 -+k1 = 0.977542 -+lk1 = -2.5442304e-008 -+k2 = -0.030491622 -+lk2 = -2.8515216e-009 -+wk2 = 6.1936639e-009 -+pk2 = -3.1711559e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094280644 -+lvoff = -1.8331424e-009 -+wvoff = -3.5839366e-008 -+pvoff = 1.8349755e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010078656 -+lu0 = 2.4831844e-009 -+wu0 = -3.9786675e-010 -+pu0 = -1.3677821e-015 -+ua = 3.1294007e-010 -+lua = 6.6362748e-016 -+wua = -5.7620563e-017 -+pua = -1.0932496e-022 -+ub = 9.6196324e-019 -+lub = 1.6080068e-026 -+wub = 9.2960446e-026 -+pub = -1.6096148e-031 -+uc = -2.9204174e-011 -+luc = 7.5803538e-017 -+wuc = 2.5549547e-017 -+puc = -3.0966051e-023 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1841981 -+la0 = -3.0248705e-007 -+wa0 = 1.4268502e-007 -+pa0 = -1.1022787e-013 -+ags = 0.20666573 -+lags = 6.2788747e-008 -+wags = -6.2675398e-009 -+pags = 3.2089804e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0062091486 -+lketa = -2.4256788e-008 -+wketa = 2.1694816e-009 -+pketa = -2.6294117e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37742149 -+lpclm = 2.4876612e-008 -+wpclm = 1.4387051e-007 -+ppclm = -3.0808652e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024138051 -+lpdiblc2= 2.5373158e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011213634 -+lalpha0 = -5.4773114e-011 -+walpha0 = -5.3460607e-012 -+palpha0 = 3.1430926e-018 -+alpha1 = 0 -+beta0 = 43.288609 -+lbeta0 = -5.2377903e-006 -+wbeta0 = 4.02688e-009 -+pbeta0 = -4.8805786e-015 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30256285 -+lkt1 = -5.2316292e-009 -+wkt1 = 3.6348805e-008 -+pkt1 = -2.9625112e-014 -+kt1l = 0 -+kt2 = -0.01056584 -+lkt2 = -3.0301939e-009 -+wkt2 = -3.0251936e-009 -+pkt2 = 3.6665346e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.4582886e-018 -+lub1 = -1.9632904e-025 -+wub1 = -3.8841067e-025 -+pub1 = 8.2237749e-032 -+uc1 = -3.43686e-011 -+luc1 = -2.5411277e-017 -+wuc1 = -6.0053994e-017 -+puc1 = 3.0747645e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.10 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84274894 -+lvth0 = -4.5159809e-009 -+wvth0 = -3.7912875e-010 -+pvth0 = 3.795837e-015 -+k1 = 1.0138346 -+lk1 = -6.9428897e-008 -+k2 = -0.036023613 -+lk2 = 3.853252e-009 -+wk2 = 3.9232712e-009 -+pk2 = -4.1943999e-016 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.098145801 -+lvoff = 2.8514282e-009 -+wvoff = 2.8508586e-009 -+pvoff = -2.8542797e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011028707 -+lu0 = 1.3317214e-009 -+wu0 = -1.8593186e-009 -+pu0 = 4.0349748e-016 -+ua = 6.6707187e-010 -+lua = 2.3441974e-016 -+wua = -3.7466465e-016 -+pua = 2.7493248e-022 -+ub = 9.7523062e-019 -+wub = -3.9846056e-026 -+uc = -1.6666527e-011 -+luc = 6.0607911e-017 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1835318 -+la0 = -3.0167941e-007 -+wa0 = -7.1257249e-009 -+pa0 = 7.1342757e-014 -+ags = 0.16674407 -+lags = 1.111738e-007 -+wags = -5.0605305e-009 -+pags = 1.746085e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0067991511 -+lketa = -2.3541705e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34305511 -+lpclm = 6.6528672e-008 -+wpclm = -1.631391e-008 -+ppclm = 1.6333487e-013 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.7987791e-005 -+lpdiblc2= 4.5176356e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021451803 -+lalpha0 = -2.5188225e-009 -+walpha0 = 3.7912875e-013 -+palpha0 = -3.795837e-018 -+alpha1 = 0 -+beta0 = 44.168746 -+lbeta0 = -6.3045161e-006 -+wbeta0 = 6.8274957e-007 -+pbeta0 = -8.2749248e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9449091 -+lnoff = 5.5157018e-007 -+voffcv = 0.022036364 -+lvoffcv = -2.2062807e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29671705 -+lkt1 = -1.2316734e-008 -+wkt1 = -2.4220578e-008 -+pkt1 = 4.378498e-014 -+kt1l = 0 -+kt2 = -0.012759382 -+lkt2 = -3.7162041e-010 -+wkt2 = -3.0692412e-009 -+pkt2 = 3.7199203e-015 -+ute = -1 -+ua1 = 1.3959491e-009 -+lua1 = 1.2610963e-016 -+wua1 = 1.2590153e-016 -+pua1 = -1.5259265e-022 -+ub1 = -2.6567105e-018 -+lub1 = 4.4158364e-026 -+wub1 = 4.4149543e-026 -+pub1 = -4.4202522e-031 -+uc1 = -6.658826e-011 -+luc1 = 1.3638952e-017 -+wuc1 = 2.0561691e-017 -+puc1 = -6.6958565e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.11 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.8432 -+k1 = 1.0069 -+k2 = -0.03563875 -+wk2 = 3.8813775e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01116172 -+wu0 = -1.8190172e-009 -+ua = 6.9048575e-010 -+wua = -3.4720436e-016 -+ub = 9.7523062e-019 -+wub = -3.9846056e-026 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1534 -+ags = 0.17784813 -+wags = -4.8861312e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.53905 -+wbeta0 = 6.000995e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29794725 -+wkt1 = -1.9847327e-008 -+kt1l = 0 -+kt2 = -0.0127965 -+wkt2 = -2.697695e-009 -+ute = -1 -+ua1 = 1.408545e-009 -+wua1 = 1.1066055e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.5226e-011 -+wuc1 = 1.387386e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.12 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83615636 -+lvth0 = -8.5625018e-009 -+k1 = 1.0017393 -+lk1 = -3.7831308e-008 -+k2 = 0.0013110182 -+lk2 = -1.9134473e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010921564 -+lu0 = 1.8946234e-009 -+ua = 2.4517091e-010 -+lua = 6.844565e-016 -+ub = 9.7125e-019 -+uc = 1.27186e-010 -+luc = -6.054912e-018 -+eu = 1.67 -+vsat = 84000 -+a0 = 0.89359946 -+la0 = -1.5741412e-007 -+ags = 0.31477964 -+lags = 7.4344262e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.091129254 -+lketa = 1.9070594e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.32875891 -+lpclm = 5.4072878e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.0171733e-005 -+lalpha0 = -2.5266856e-012 -+alpha1 = 0 -+beta0 = 38.169327 -+lbeta0 = -2.6169996e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29829927 -+lkt1 = -8.5149324e-009 -+kt1l = 0 -+kt2 = -0.020059454 -+lkt2 = 2.0420887e-009 -+ute = -1 -+ua1 = 1.8227927e-009 -+lua1 = -1.6526988e-016 -+ub1 = -2.5828527e-018 -+lub1 = -1.442034e-025 -+uc1 = -8.4e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.13 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84131406 -+lvth0 = -5.9217627e-009 -+k1 = 0.977542 -+lk1 = -2.5442304e-008 -+k2 = -0.029872874 -+lk2 = -3.1683204e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010038909 -+lu0 = 2.3465428e-009 -+ua = 3.0718377e-010 -+lua = 6.5270591e-016 -+ub = 9.7125e-019 -+uc = -2.6651771e-011 -+luc = 7.2710027e-017 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1984524 -+la0 = -3.1349883e-007 -+ags = 0.2060396 -+lags = 6.3109325e-008 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0059924171 -+lketa = -2.4519466e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.39179417 -+lpclm = 2.1798824e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024138051 -+lpdiblc2= 2.5373158e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011160227 -+lalpha0 = -5.4459119e-011 -+alpha1 = 0 -+beta0 = 43.289011 -+lbeta0 = -5.2382779e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.2989316 -+lkt1 = -8.1911808e-009 -+kt1l = 0 -+kt2 = -0.010868057 -+lkt2 = -2.6639067e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.4970909e-018 -+lub1 = -1.8811348e-025 -+uc1 = -4.0368e-011 -+luc1 = -2.2339584e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.14 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84278682 -+lvth0 = -4.1367764e-009 -+k1 = 1.0138346 -+lk1 = -6.9428897e-008 -+k2 = -0.035631678 -+lk2 = 3.81135e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010842961 -+lu0 = 1.3720308e-009 -+ua = 6.2964284e-010 -+lua = 2.6188552e-016 -+ub = 9.7125e-019 -+uc = -1.6666527e-011 -+luc = 6.0607911e-017 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1828199 -+la0 = -2.9455227e-007 -+ags = 0.16623852 -+lags = 1.1134823e-007 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0067991511 -+lketa = -2.3541705e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34142534 -+lpclm = 8.2845841e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.7987791e-005 -+lpdiblc2= 4.5176356e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021452182 -+lalpha0 = -2.5192017e-009 -+alpha1 = 0 -+beta0 = 44.236953 -+lbeta0 = -6.3871827e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9449091 -+lnoff = 5.5157018e-007 -+voffcv = 0.022036364 -+lvoffcv = -2.2062807e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29913669 -+lkt1 = -7.9426106e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4085267e-009 -+lua1 = 1.1086561e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.4534145e-011 -+luc1 = 6.9497843e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.15 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.3e-009 -+toxp = 8.3e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 1.2e-008 -+xw = -1e-008 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.8432 -+k1 = 1.0069 -+k2 = -0.035251 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01098 -+ua = 6.558e-010 -+ub = 9.7125e-019 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 84000 -+a0 = 1.1534 -+ags = 0.17736 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.599 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.364e-010 -+cgdo = 1.364e-010 -+cgbo = 1e-013 -+cgdl = 4.4e-011 -+cgsl = 4.4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29993 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4196e-009 -+ub1 = -2.6523e-018 -+uc1 = -6.384e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - -* model for unsalicided p+ diffusion resistor -.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 -*------------------- -* body resistor parameters -*.param rsh_pplus_u_m=225 -+ r_rsh0=rsh_pplus_u_m -+ r_dw=2.75E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for substrate capacitor -.model pn_junction d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends pplus_u_m1 - - - -.endl pmos_3p3_s -* -* -.lib pmos_3p3_fs - - -.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.00666 -+ par_k=0.002833 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model pmos_3p3.0 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.81501176 -+lvth0 = -7.9299856e-009 -+wvth0 = 4.0333546e-009 -+pvth0 = 2.388645e-015 -+k1 = 0.87205009 -+lk1 = 4.25291e-009 -+wk1 = 6.6268653e-008 -+pk1 = -2.1256353e-014 -+k2 = 0.029903393 -+lk2 = -2.5868222e-008 -+wk2 = -2.1061108e-008 -+pk2 = 3.4616668e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094635213 -+lvoff = -1.6386998e-009 -+wvoff = -1.6612803e-009 -+pvoff = 8.439304e-016 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0075928117 -+lu0 = 2.5375194e-009 -+wu0 = 6.1967943e-010 -+pu0 = -5.3349279e-016 -+ua = -6.122012e-011 -+lua = 1.0774293e-015 -+wua = 1.383858e-017 -+pua = -1.9437706e-022 -+ub = 6.7836503e-019 -+lub = -1.5002929e-025 -+wub = -4.7707426e-027 -+pub = 1.3739739e-033 -+uc = 8.579096e-011 -+luc = 8.6809692e-018 -+wuc = -1.2711987e-017 -+puc = -4.5344012e-024 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.039392 -+la0 = -2.1366429e-007 -+wa0 = 1.0928007e-008 -+pa0 = -2.7738176e-015 -+ags = 0.18391438 -+lags = 1.0913958e-007 -+wags = -2.1847527e-008 -+pags = -1.2242165e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.067178738 -+lketa = 8.7913147e-009 -+wketa = -7.3043146e-009 -+pketa = 3.7105918e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.35338606 -+lpclm = 7.4286569e-008 -+wpclm = 2.8018309e-008 -+ppclm = 6.6284179e-015 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.1653153e-005 -+lalpha0 = -3.1380589e-012 -+walpha0 = -1.0367347e-013 -+palpha0 = 6.4786605e-020 -+alpha1 = 0 -+beta0 = 39.988812 -+lbeta0 = -3.7819465e-006 -+wbeta0 = 1.9714501e-007 -+pbeta0 = 1.1986341e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28327726 -+lkt1 = -1.6612629e-008 -+wkt1 = -1.0941603e-008 -+pkt1 = 1.9661049e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.1539091e-009 -+lua1 = 1.7581418e-016 -+wua1 = 1.7823682e-016 -+pua1 = -9.0544304e-023 -+ub1 = -2.0676223e-018 -+lub1 = -6.9766226e-025 -+wub1 = -1.440724e-025 -+pub1 = 1.515108e-031 -+uc1 = -2.5586018e-010 -+luc1 = 6.0619732e-017 -+wuc1 = 4.0387143e-017 -+puc1 = -1.4245637e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.1 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.84703289 -+lvth0 = 8.3367507e-009 -+wvth0 = 5.7666931e-009 -+pvth0 = 1.508109e-015 -+k1 = 1.0117972 -+lk1 = -6.6738621e-008 -+wk1 = -1.772589e-008 -+pk1 = 2.1412875e-014 -+k2 = -0.018870868 -+lk2 = -1.0908973e-009 -+wk2 = -2.3681999e-009 -+pk2 = -6.0343307e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12381402 -+lvoff = 1.3184133e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010262889 -+lu0 = 1.18112e-009 -+wu0 = -2.3738733e-010 -+pu0 = -9.8102871e-017 -+ua = 3.5795686e-010 -+lua = 8.6448742e-016 -+wua = -3.9646086e-017 -+pua = -1.6720685e-022 -+ub = 1.0847393e-018 -+lub = -3.564674e-025 -+wub = -1.8776762e-025 -+pub = 9.4336388e-032 -+uc = -1.4338071e-011 -+luc = 5.9546517e-017 -+wuc = -7.0667866e-018 -+puc = -7.4021628e-024 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1561845 -+la0 = -2.7299485e-007 -+wa0 = 3.7967e-008 -+pa0 = -1.6509626e-014 -+ags = 0.1893918 -+lags = 1.0635705e-007 -+wags = 1.3830885e-008 -+pags = -3.0366798e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0048813173 -+lketa = -2.2855775e-008 -+wketa = -2.151948e-009 -+pketa = 1.0931896e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.25839327 -+lpclm = 1.2254291e-007 -+wpclm = 8.3053604e-008 -+ppclm = -2.1329512e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024301606 -+lpdiblc2= 2.5091844e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 7.6165264e-005 -+lalpha0 = -3.5910211e-011 -+walpha0 = 4.6901179e-012 -+palpha0 = -2.3704594e-018 -+alpha1 = 0 -+beta0 = 42.499959 -+lbeta0 = -5.0576089e-006 -+wbeta0 = 2.6675886e-007 -+pbeta0 = 8.4499576e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30596768 -+lkt1 = -5.0858969e-009 -+wkt1 = 2.4495559e-008 -+pkt1 = -1.6035973e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.2324617e-018 -+lub1 = -6.1392387e-025 -+wub1 = -3.3344363e-026 -+pub1 = 9.5260957e-032 -+uc1 = -7.4906407e-011 -+luc1 = -3.1304785e-017 -+wuc1 = 5.9908956e-018 -+puc1 = 3.2276564e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.2 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83230514 -+lvth0 = -9.4543724e-009 -+wvth0 = 3.5643356e-009 -+pvth0 = 4.1685569e-015 -+k1 = 0.95616008 -+lk1 = 4.7102587e-010 -+wk1 = 2.9690576e-008 -+pk1 = -3.5866216e-014 -+k2 = -0.011590073 -+lk2 = -9.886097e-009 -+wk2 = -1.4606238e-008 -+pk2 = 8.7492189e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097664506 -+lvoff = -1.8404477e-008 -+wvoff = 9.6199433e-010 -+pvoff = -1.1620891e-015 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0087584261 -+lu0 = 2.9985114e-009 -+wu0 = 2.1268603e-010 -+pu0 = -6.4179149e-016 -+ua = 3.9557594e-010 -+lua = 8.1904358e-016 -+wua = -5.0968009e-017 -+pua = -1.5352997e-022 -+ub = 8.474689e-019 -+lub = -6.9844795e-026 -+wub = -1.0634177e-025 -+pub = -4.0260421e-033 -+uc = -4.4084373e-011 -+luc = 9.548005e-017 -+wuc = 1.8112319e-018 -+puc = -1.8126809e-023 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.2627392 -+la0 = -4.0171294e-007 -+wa0 = -3.3357398e-009 -+pa0 = 3.3384084e-014 -+ags = 0.15724995 -+lags = 1.451844e-007 -+wags = 7.1650729e-010 -+pags = -1.452463e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.00028266778 -+lketa = -2.8410943e-008 -+wketa = -3.3599854e-009 -+pketa = 2.5524988e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32160177 -+lpclm = 4.6187031e-008 -+wpclm = 4.7768253e-009 -+ppclm = 7.3228837e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8136709e-005 -+lpdiblc2= 4.500927e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0020613963 -+lalpha0 = -2.4340693e-009 -+walpha0 = 2.1598538e-011 -+palpha0 = -2.279583e-017 -+alpha1 = 0 -+beta0 = 44.471345 -+lbeta0 = -7.439044e-006 -+wbeta0 = 3.9127265e-007 -+pbeta0 = -6.5913079e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9450909 -+lnoff = 5.4953018e-007 -+voffcv = 0.021963636 -+lvoffcv = -2.1981207e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.26888633 -+lkt1 = -4.9880168e-008 -+wkt1 = -1.0044391e-008 -+pkt1 = 2.5688286e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.0128038e-018 -+lub1 = 3.2872945e-025 -+wub1 = 1.8565946e-025 -+pub1 = -1.6929566e-031 -+uc1 = -3.6006695e-011 -+luc1 = -7.8295637e-017 -+wuc1 = -1.1924963e-017 -+puc1 = 2.4870014e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.3 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83324982 -+wvth0 = 3.980858e-009 -+k1 = 0.95620714 -+wk1 = 2.6106821e-008 -+k2 = -0.012577893 -+wk2 = -1.3732015e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.099503482 -+wvoff = 8.458783e-010 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0090580375 -+wu0 = 1.4855819e-010 -+ua = 4.7741482e-010 -+wua = -6.6308733e-017 -+ub = 8.4049e-019 -+wub = -1.0674405e-025 -+uc = -3.4544e-011 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.2226 -+ags = 0.17175679 -+wags = -7.3479464e-010 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0031214911 -+wketa = -3.1049396e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.32621679 -+wpclm = 1.2093855e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018181839 -+walpha0 = 1.9320777e-011 -+alpha1 = 0 -+beta0 = 43.728036 -+wbeta0 = 3.8468661e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.27387036 -+wkt1 = -7.4776161e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.9799571e-018 -+wub1 = 1.6874343e-025 -+uc1 = -4.383e-011 -+wuc1 = -9.43995e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.4 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83576942 -+lvth0 = -2.2414438e-009 -+wvth0 = 1.4723553e-008 -+pvth0 = -5.4095405e-016 -+k1 = 1.0007271 -+lk1 = -3.7021562e-008 -+k2 = 0.015311208 -+lk2 = -2.1380073e-008 -+wk2 = -1.3546134e-008 -+pk2 = 1.1502704e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0090866629 -+lu0 = 1.9183067e-009 -+wu0 = -1.4965392e-010 -+pu0 = -2.1459826e-016 -+ua = -1.2290839e-010 -+lua = 7.8149042e-016 -+wua = 4.5608039e-017 -+pua = -4.1968523e-023 -+ub = 1.7620586e-018 -+lub = -3.2223504e-025 -+wub = -5.6287295e-025 -+pub = 9.0059933e-032 -+uc = 8.5890808e-011 -+luc = 1.2960088e-017 -+wuc = -1.2763408e-017 -+puc = -6.7381475e-024 -+eu = 1.67 -+vsat = 90000 -+a0 = 0.67581086 -+la0 = -1.0822777e-007 -+wa0 = 1.9817231e-007 -+pa0 = -5.7073625e-014 -+ags = 0.200375 -+lags = 7.2879057e-008 -+wags = -3.0324746e-008 -+pags = 6.4320031e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.1060667 -+lketa = 2.3111339e-008 -+wketa = 1.2722988e-008 -+pketa = -3.6642206e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37672 -+lpclm = 2.8716008e-008 -+wpclm = 1.6001332e-008 -+ppclm = 3.0097257e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.2249381e-005 -+lalpha0 = -3.1611736e-012 -+walpha0 = -4.1073109e-013 -+palpha0 = 7.669064e-020 -+alpha1 = 0 -+beta0 = 38.401961 -+lbeta0 = -2.8404732e-006 -+wbeta0 = 1.0143734e-006 -+pbeta0 = -3.6499537e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33217428 -+lkt1 = 5.0237373e-010 -+wkt1 = 1.4240363e-008 -+pkt1 = -6.8481216e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 2.02488e-009 -+lua1 = -2.6663904e-016 -+wua1 = -2.703132e-016 -+pua1 = 1.3731911e-022 -+ub1 = -2.8837292e-018 -+lub1 = -3.2595866e-026 -+wub1 = 2.7622264e-025 -+pub1 = -1.9099839e-031 -+uc1 = 7.6479273e-012 -+luc1 = -3.5883051e-017 -+wuc1 = -9.5319534e-017 -+puc1 = 3.5453296e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.5 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83962246 -+lvth0 = -2.8410138e-010 -+wvth0 = 1.950321e-009 -+pvth0 = 5.9478478e-015 -+k1 = 0.977378 -+lk1 = -2.5160224e-008 -+k2 = -0.026834606 -+lk2 = 3.0000655e-011 -+wk2 = 1.7331254e-009 -+pk2 = -6.6115932e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12381402 -+lvoff = 1.3184133e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097266426 -+lu0 = 1.593197e-009 -+wu0 = 3.8779626e-011 -+pu0 = -3.103225e-016 -+ua = 2.6021662e-010 -+lua = 5.8686291e-016 -+wua = 1.0690141e-017 -+pua = -2.4230231e-023 -+ub = 1.2719156e-018 -+lub = -7.3242364e-026 -+wub = -2.8416343e-025 -+pub = -5.1524505e-032 -+uc = 7.1659939e-012 -+luc = 5.2952294e-017 -+wuc = -1.814138e-017 -+puc = -4.006138e-024 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.3508441 -+la0 = -4.5114467e-007 -+wa0 = -6.2282725e-008 -+pa0 = 7.5237532e-014 -+ags = 0.19135754 -+lags = 7.7459926e-008 -+wags = 1.2818526e-008 -+pags = -1.5484779e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0012954815 -+lketa = -3.0112442e-008 -+wketa = -3.9986535e-009 -+pketa = 4.8303734e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.55271631 -+lpclm = -6.0690117e-008 -+wpclm = -6.8522762e-008 -+ppclm = 7.3035497e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024301606 -+lpdiblc2= 2.5091844e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00012364806 -+lalpha0 = -5.9751701e-011 -+walpha0 = -1.9763521e-011 -+palpha0 = 9.9079077e-018 -+alpha1 = 0 -+beta0 = 43.434876 -+lbeta0 = -5.3971937e-006 -+wbeta0 = -2.1472328e-007 -+pbeta0 = 2.5938572e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28324255 -+lkt1 = -2.4354946e-008 -+wkt1 = 1.2792118e-008 -+pkt1 = -6.1124128e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.1353993e-018 -+lub1 = 9.525255e-026 -+wub1 = 4.3166852e-025 -+pub1 = -2.699649e-031 -+uc1 = -9.9248709e-011 -+luc1 = 1.842044e-017 -+wuc1 = 1.8527181e-017 -+puc1 = -2.2380835e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.6 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.838712 -+lvth0 = -1.3839329e-009 -+wvth0 = 6.8638701e-009 -+pvth0 = 1.2280528e-017 -+k1 = 1.0138117 -+lk1 = -6.9172112e-008 -+k2 = -0.027503825 -+lk2 = 8.3841624e-010 -+wk2 = -6.4106558e-009 -+pk2 = 3.2260946e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.095796556 -+lvoff = -2.0660961e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097289562 -+lu0 = 1.5904022e-009 -+wu0 = -2.8713699e-010 -+pu0 = 8.3384782e-017 -+ua = 4.0244395e-010 -+lua = 4.150523e-016 -+wua = -5.4505036e-017 -+pua = 5.4525543e-023 -+ub = 1.1639854e-018 -+lub = 5.7137303e-026 -+wub = -2.6934777e-025 -+pub = -6.9421823e-032 -+uc = 9.5237707e-013 -+luc = 6.0458343e-017 -+wuc = -2.1382694e-017 -+puc = -9.0630294e-026 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1196626 -+la0 = -1.7187734e-007 -+wa0 = 7.0348718e-008 -+pa0 = -8.4981251e-014 -+ags = 0.16551068 -+lags = 1.0868294e-007 -+wags = -3.5377679e-009 -+pags = 4.2736236e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068069114 -+lketa = -2.3454635e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.328728 -+lpclm = 2.0988775e-007 -+wpclm = 1.1068181e-009 -+ppclm = -1.1077036e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8136709e-005 -+lpdiblc2= 4.500927e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021750673 -+lalpha0 = -2.5378662e-009 -+walpha0 = -3.6942045e-011 -+palpha0 = 3.0659565e-017 -+alpha1 = 0 -+beta0 = 44.362547 -+lbeta0 = -6.5178205e-006 -+wbeta0 = 4.4730399e-007 -+pbeta0 = -5.4034322e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9450909 -+lnoff = 5.4953018e-007 -+voffcv = 0.021963636 -+lvoffcv = -2.1981207e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33757353 -+lkt1 = 4.127688e-008 -+wkt1 = 2.5329519e-008 -+pkt1 = -2.1257593e-014 -+kt1l = 0 -+kt2 = -0.016935002 -+lkt2 = 4.6737542e-009 -+wkt2 = 1.9925359e-009 -+pkt2 = -2.4069834e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5968078e-018 -+lub1 = -5.55366e-025 -+wub1 = -2.8578486e-026 -+pub1 = 2.8601349e-031 -+uc1 = -4.2580527e-011 -+luc1 = -5.0034723e-017 -+wuc1 = -8.5394397e-018 -+puc1 = 1.0315643e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.7 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83885029 -+wvth0 = 6.8650971e-009 -+k1 = 1.0069 -+k2 = -0.02742005 -+wk2 = -6.0883043e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0098878693 -+wu0 = -2.7880518e-010 -+ua = 4.43916e-010 -+wua = -4.905684e-017 -+ub = 1.1696946e-018 -+wub = -2.762844e-025 -+uc = 6.9933786e-012 -+wuc = -2.139175e-017 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1024886 -+wa0 = 6.1857386e-008 -+ags = 0.17637029 -+wags = -3.1107471e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0019214836 -+walpha0 = -3.3878539e-011 -+alpha1 = 0 -+beta0 = 43.711286 -+wbeta0 = 3.9331286e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33344914 -+wkt1 = 2.3205459e-008 -+kt1l = 0 -+kt2 = -0.016468 -+wkt2 = 1.75203e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.6523e-018 -+uc1 = -4.758e-011 -+wuc1 = -7.5087e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.8 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.82676296 -+lvth0 = -9.1651899e-009 -+wvth0 = 3.7806977e-009 -+pvth0 = 7.8713975e-015 -+k1 = 1.0007271 -+lk1 = -3.7021562e-008 -+k2 = 0.0003347419 -+lk2 = -1.8489037e-008 -+wk2 = 4.6502732e-009 -+pk2 = -2.3623388e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011249601 -+lu0 = 1.8695879e-009 -+wu0 = -2.7776236e-009 -+pu0 = -1.5540493e-016 -+ua = 3.1164935e-010 -+lua = 6.5915544e-016 -+wua = -4.8237961e-016 -+pua = 1.0666847e-022 -+ub = 9.2602732e-019 -+lub = 3.4256322e-026 -+wub = 4.5290511e-025 -+pub = -3.4307707e-031 -+uc = 1.3415357e-010 -+luc = -7.7670863e-018 -+wuc = -7.1402667e-017 -+puc = 1.8445369e-023 -+eu = 1.67 -+vsat = 90000 -+a0 = 0.89635642 -+la0 = -1.5388505e-007 -+wa0 = -6.9790553e-008 -+pa0 = -1.6000271e-015 -+ags = 0.33424764 -+lags = -2.5134022e-009 -+wags = -1.9298e-007 -+pags = 9.8033842e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.089931978 -+lketa = 1.8464538e-008 -+wketa = -6.8807038e-009 -+pketa = 1.9816427e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32196515 -+lpclm = 5.2836533e-008 -+wpclm = 8.2528472e-008 -+ppclm = 7.9081797e-016 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.8546141e-006 -+lalpha0 = -2.3862494e-012 -+walpha0 = 2.4989109e-012 -+palpha0 = -8.6484221e-019 -+alpha1 = 0 -+beta0 = 37.942253 -+lbeta0 = -2.4809199e-006 -+wbeta0 = 1.5729191e-006 -+pbeta0 = -8.0185264e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29549971 -+lkt1 = -8.7743217e-009 -+wkt1 = -3.0319244e-008 -+pkt1 = 4.4230633e-015 -+kt1l = 0 -+kt2 = -0.020962848 -+lkt2 = 2.2742923e-009 -+wkt2 = 9.5946705e-009 -+pkt2 = -2.7632651e-015 -+ute = -1 -+ua1 = 1.820576e-009 -+lua1 = -1.628526e-016 -+wua1 = -2.208382e-017 -+pua1 = 1.121858e-023 -+ub1 = -2.5770911e-018 -+lub1 = -1.3439579e-025 -+wub1 = -9.6342676e-026 -+pub1 = -6.7311483e-032 -+uc1 = -8.5821897e-011 -+luc1 = 9.2552394e-019 -+wuc1 = 1.8246304e-017 -+puc1 = -9.2691223e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.9 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.830432 -+lvth0 = -7.3013165e-009 -+wvth0 = -9.2160872e-009 -+pvth0 = 1.4473764e-014 -+k1 = 0.977378 -+lk1 = -2.5160224e-008 -+k2 = -0.030512551 -+lk2 = -2.818612e-009 -+wk2 = 6.2018282e-009 -+pk2 = -3.1505287e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094277714 -+lvoff = -1.8203092e-009 -+wvoff = -3.5886608e-008 -+pvoff = 1.8230397e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01009483 -+lu0 = 2.4562116e-009 -+wu0 = -4.0856791e-010 -+pu0 = -1.3588852e-015 -+ua = 3.1724186e-010 -+lua = 6.5631445e-016 -+wua = -5.8595534e-017 -+pua = -1.0861384e-022 -+ub = 9.6202895e-019 -+lub = 1.5967497e-026 -+wub = 9.2348847e-026 -+pub = -1.5991449e-031 -+uc = -2.8726012e-011 -+luc = 7.4975743e-017 -+wuc = 2.5467408e-017 -+puc = -3.0764628e-023 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1821897 -+la0 = -2.9908837e-007 -+wa0 = 1.4263238e-007 -+pa0 = -1.0951088e-013 -+ags = 0.20707304 -+lags = 6.2091296e-008 -+wags = -6.2758015e-009 -+pags = 3.1881072e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0063663954 -+lketa = -2.3986778e-008 -+wketa = 2.1625069e-009 -+pketa = -2.6123084e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37752254 -+lpclm = 2.4613381e-008 -+wpclm = 1.4433767e-007 -+ppclm = -3.0608253e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024301606 -+lpdiblc2= 2.5091844e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011178547 -+lalpha0 = -5.4167125e-011 -+walpha0 = -5.3504792e-012 -+palpha0 = 3.122648e-018 -+alpha1 = 0 -+beta0 = 43.254845 -+lbeta0 = -5.1797167e-006 -+wbeta0 = 4.013934e-009 -+pbeta0 = -4.8488322e-015 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3026115 -+lkt1 = -5.1615319e-009 -+wkt1 = 3.6325391e-008 -+pkt1 = -2.9432412e-014 -+kt1l = 0 -+kt2 = -0.010584133 -+lkt2 = -2.9980948e-009 -+wkt2 = -3.0154679e-009 -+pkt2 = 3.6426852e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.459394e-018 -+lub1 = -1.941859e-025 -+wub1 = -3.8967792e-025 -+pub1 = 8.1702823e-032 -+uc1 = -3.4507691e-011 -+luc1 = -2.5142093e-017 -+wuc1 = -6.0133156e-017 -+puc1 = 3.0547643e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.10 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83275028 -+lvth0 = -4.5008395e-009 -+wvth0 = -3.7962851e-010 -+pvth0 = 3.7993221e-015 -+k1 = 1.0138117 -+lk1 = -6.9172112e-008 -+k2 = -0.036023963 -+lk2 = 3.8391732e-009 -+wk2 = 3.941312e-009 -+pk2 = -4.1982509e-016 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.098146034 -+lvoff = 2.8526213e-009 -+wvoff = 2.8546166e-009 -+pvoff = -2.8569002e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011029914 -+lu0 = 1.32663e-009 -+wu0 = -1.8678007e-009 -+pu0 = 4.0386794e-016 -+ua = 6.6730377e-010 -+lua = 2.3343966e-016 -+wua = -3.7630972e-016 -+pua = 2.751849e-022 -+ub = 9.7524707e-019 -+wub = -4.0030695e-026 -+uc = -1.6646548e-011 -+luc = 6.038375e-017 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1834353 -+la0 = -3.0059299e-007 -+wa0 = -7.1351178e-009 -+pa0 = 7.1408259e-014 -+ags = 0.16678281 -+lags = 1.107619e-007 -+wags = -5.0834017e-009 -+pags = 1.7476882e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068069114 -+lketa = -2.3454635e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34308375 -+lpclm = 6.6215436e-008 -+wpclm = -1.6335415e-008 -+ppclm = 1.6348483e-013 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8136709e-005 -+lpdiblc2= 4.500927e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021443499 -+lalpha0 = -2.509505e-009 -+walpha0 = 3.7962851e-013 -+palpha0 = -3.7993221e-018 -+alpha1 = 0 -+beta0 = 44.166386 -+lbeta0 = -6.2808583e-006 -+wbeta0 = 6.8563925e-007 -+pbeta0 = -8.2825222e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9450909 -+lnoff = 5.4953018e-007 -+voffcv = 0.021963636 -+lvoffcv = -2.1981207e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29671112 -+lkt1 = -1.2289189e-008 -+wkt1 = -2.4318311e-008 -+pkt1 = 4.382518e-014 -+kt1l = 0 -+kt2 = -0.012758239 -+lkt2 = -3.717759e-010 -+wkt2 = -3.0822315e-009 -+pkt2 = 3.7233357e-015 -+ute = -1 -+ua1 = 1.3959388e-009 -+lua1 = 1.2570597e-016 -+wua1 = 1.2643439e-016 -+pua1 = -1.5273275e-022 -+ub1 = -2.6567142e-018 -+lub1 = 4.4176841e-026 -+wub1 = 4.420774e-026 -+pub1 = -4.4243106e-031 -+uc1 = -6.6592244e-011 -+luc1 = 1.3616046e-017 -+wuc1 = 2.0634796e-017 -+puc1 = -6.7020042e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.11 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.8332 -+k1 = 1.0069 -+k2 = -0.035640352 -+wk2 = 3.899363e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011162471 -+wu0 = -1.8274461e-009 -+ua = 6.9062908e-010 -+wua = -3.4881323e-016 -+ub = 9.7524707e-019 -+wub = -4.0030695e-026 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1534 -+ags = 0.17785014 -+wags = -4.9087726e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.538802 -+wbeta0 = 6.0288024e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29793906 -+wkt1 = -1.9939296e-008 -+kt1l = 0 -+kt2 = -0.012795386 -+wkt2 = -2.7101956e-009 -+ute = -1 -+ua1 = 1.4084993e-009 -+wua1 = 1.1117333e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.5231727e-011 -+wuc1 = 1.3938149e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.12 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.82638545 -+lvth0 = -8.3792291e-009 -+k1 = 1.0007271 -+lk1 = -3.7021562e-008 -+k2 = 0.00079907273 -+lk2 = -1.8724917e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010972255 -+lu0 = 1.8540707e-009 -+ua = 2.6348364e-010 -+lua = 6.6980631e-016 -+ub = 9.7125e-019 -+uc = 1.27024e-010 -+luc = -5.925312e-018 -+eu = 1.67 -+vsat = 90000 -+a0 = 0.88938782 -+la0 = -1.5404481e-007 -+ags = 0.31497855 -+lags = 7.2752989e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.090619018 -+lketa = 1.8662405e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.33020564 -+lpclm = 5.2915497e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.0104131e-005 -+lalpha0 = -2.4726041e-012 -+alpha1 = 0 -+beta0 = 38.099309 -+lbeta0 = -2.560985e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29852709 -+lkt1 = -8.3326778e-009 -+kt1l = 0 -+kt2 = -0.020004818 -+lkt2 = 1.9983796e-009 -+ute = -1 -+ua1 = 1.8183709e-009 -+lua1 = -1.6173242e-016 -+ub1 = -2.5867109e-018 -+lub1 = -1.4111686e-025 -+uc1 = -8.4e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.13 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83135223 -+lvth0 = -5.8561079e-009 -+k1 = 0.977378 -+lk1 = -2.5160224e-008 -+k2 = -0.029893297 -+lk2 = -3.133193e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010054034 -+lu0 = 2.3205266e-009 -+ua = 3.1139109e-010 -+lua = 6.4546933e-016 -+ub = 9.7125e-019 -+uc = -2.6183086e-011 -+luc = 7.1903887e-017 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1964316 -+la0 = -3.1002305e-007 -+ags = 0.2064464 -+lags = 6.2409629e-008 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0061504686 -+lketa = -2.4247618e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.39193469 -+lpclm = 2.155714e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024301606 -+lpdiblc2= 2.5091844e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011125123 -+lalpha0 = -5.3855328e-011 -+alpha1 = 0 -+beta0 = 43.255246 -+lbeta0 = -5.1802008e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.2989844 -+lkt1 = -8.1003648e-009 -+kt1l = 0 -+kt2 = -0.010885229 -+lkt2 = -2.6343719e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.4983034e-018 -+lub1 = -1.8602786e-025 -+uc1 = -4.0512e-011 -+luc1 = -2.2091904e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.14 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.83278818 -+lvth0 = -4.1214764e-009 -+k1 = 1.0138117 -+lk1 = -6.9172112e-008 -+k2 = -0.035630422 -+lk2 = 3.7972536e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010843414 -+lu0 = 1.3669563e-009 -+ua = 6.2972916e-010 -+lua = 2.6091693e-016 -+ub = 9.7125e-019 -+uc = -1.6646548e-011 -+luc = 6.038375e-017 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1827228 -+la0 = -2.9346286e-007 -+ags = 0.16627523 -+lags = 1.109364e-007 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068069114 -+lketa = -2.3454635e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34145265 -+lpclm = 8.2539433e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8136709e-005 -+lpdiblc2= 4.500927e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021443878 -+lalpha0 = -2.5098843e-009 -+alpha1 = 0 -+beta0 = 44.234847 -+lbeta0 = -6.3635595e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9450909 -+lnoff = 5.4953018e-007 -+voffcv = 0.021963636 -+lvoffcv = -2.1981207e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29913931 -+lkt1 = -7.9132346e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4085633e-009 -+lua1 = 1.1045557e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.4531855e-011 -+luc1 = 6.9240803e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.15 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 8.1e-009 -+toxp = 8.1e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = 8e-009 -+xw = -5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.8332 -+k1 = 1.0069 -+k2 = -0.035251 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01098 -+ua = 6.558e-010 -+ub = 9.7125e-019 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 90000 -+a0 = 1.1534 -+ags = 0.17736 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.599 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.302e-010 -+cgdo = 1.302e-010 -+cgbo = 1e-013 -+cgdl = 4.2e-011 -+cgsl = 4.2e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29993 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4196e-009 -+ub1 = -2.6523e-018 -+uc1 = -6.384e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - - -* model for unsalicided p+ diffusion resistor -.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 -*------------------- -* body resistor parameters -*.param rsh_pplus_u_m=185 -+ r_rsh0=rsh_pplus_u_m -+ r_dw=2.75E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for substrate capacitor -.model pn_junction d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends pplus_u_m1 - -.endl pmos_3p3_fs -* -* -.lib pmos_3p3_sf - -.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.00666 -+ par_k=0.002833 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model pmos_3p3.0 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.68623384 -+lvth0 = -7.4340753e-009 -+wvth0 = 4.5642665e-009 -+pvth0 = 2.3220935e-015 -+k1 = 0.86723166 -+lk1 = 5.4966687e-009 -+wk1 = 6.795952e-008 -+pk1 = -2.0664117e-014 -+k2 = 0.028783357 -+lk2 = -2.3923245e-008 -+wk2 = -2.1981336e-008 -+pk2 = 3.3652193e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094684782 -+lvoff = -1.5626994e-009 -+wvoff = -1.6675146e-009 -+pvoff = 8.2041716e-016 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0078239364 -+lu0 = 2.3613853e-009 -+wu0 = 5.9658614e-010 -+pu0 = -5.1862883e-016 -+ua = 5.7221977e-011 -+lua = 1.0002135e-015 -+wua = -7.884629e-018 -+pua = -1.8896141e-022 -+ub = 6.6233941e-019 -+lub = -1.3733536e-025 -+wub = -4.9106352e-027 -+pub = 1.3356928e-033 -+uc = 8.7831657e-011 -+luc = 8.2831734e-018 -+wuc = -1.4037289e-017 -+puc = -4.4080656e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.0151475 -+la0 = -1.9522869e-007 -+wa0 = 1.1291855e-008 -+pa0 = -2.6965346e-015 -+ags = 0.19776586 -+lags = 1.0075502e-007 -+wags = -2.4642378e-008 -+pags = -1.1901079e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0656473 -+lketa = 7.7609556e-009 -+wketa = -7.3317252e-009 -+pketa = 3.6072088e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.35913516 -+lpclm = 6.7448817e-008 -+wpclm = 3.0548187e-008 -+ppclm = 6.4437396e-015 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.1317951e-005 -+lalpha0 = -2.8752733e-012 -+walpha0 = -1.0265377e-013 -+palpha0 = 6.2981547e-020 -+alpha1 = 0 -+beta0 = 39.557841 -+lbeta0 = -3.4683987e-006 -+wbeta0 = 2.234567e-007 -+pbeta0 = 1.1652383e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28420773 -+lkt1 = -1.5344138e-008 -+wkt1 = -1.1400184e-008 -+pkt1 = 1.9113261e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.1592273e-009 -+lua1 = 1.6766018e-016 -+wua1 = 1.7890568e-016 -+pua1 = -8.8021596e-023 -+ub1 = -2.1333843e-018 -+lub1 = -6.4960147e-025 -+wub1 = -1.3550978e-025 -+pub1 = 1.4728947e-031 -+uc1 = -2.5243909e-010 -+luc1 = 5.6525433e-017 -+wuc1 = 4.1267577e-017 -+puc1 = -1.3848731e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.1 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.71729526 -+lvth0 = 7.848145e-009 -+wvth0 = 6.1706137e-009 -+pvth0 = 1.5317707e-015 -+k1 = 1.0114756 -+lk1 = -6.5471375e-008 -+wk1 = -1.8245666e-008 -+pk1 = 2.1748834e-014 -+k2 = -0.018690632 -+lk2 = -5.6604249e-010 -+wk2 = -2.6841281e-009 -+pk2 = -6.129007e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12347027 -+lvoff = 1.259976e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01031351 -+lu0 = 1.1365149e-009 -+wu0 = -2.5501298e-010 -+pu0 = -9.9642066e-017 -+ua = 3.8413264e-010 -+lua = 8.3937346e-016 -+wua = -4.6769074e-017 -+pua = -1.6983026e-022 -+ub = 1.0907567e-018 -+lub = -3.4811665e-025 -+wub = -1.9694477e-025 -+pub = 9.5816488e-032 -+uc = -1.2185662e-011 -+luc = 5.7491694e-017 -+wuc = -7.7156746e-018 -+puc = -7.5182999e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1459651 -+la0 = -2.5959096e-007 -+wa0 = 3.9893726e-008 -+pa0 = -1.6768655e-014 -+ags = 0.19108744 -+lags = 1.0404081e-007 -+wags = 1.3857952e-008 -+pags = -3.0843241e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0053017786 -+lketa = -2.1929041e-008 -+wketa = -2.2567913e-009 -+pketa = 1.1103413e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.25477175 -+lpclm = 1.1879561e-007 -+wpclm = 8.7678071e-008 -+ppclm = -2.1664163e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024955823 -+lpdiblc2= 2.3979675e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 7.4846555e-005 -+lalpha0 = -3.4131346e-011 -+walpha0 = 4.918957e-012 -+palpha0 = -2.407651e-018 -+alpha1 = 0 -+beta0 = 42.345869 -+lbeta0 = -4.8401083e-006 -+wbeta0 = 2.85852e-007 -+pbeta0 = 8.5825341e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30808974 -+lkt1 = -3.5941865e-009 -+wkt1 = 2.5589445e-008 -+pkt1 = -1.6287571e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.2459185e-018 -+lub1 = -5.9423465e-025 -+wub1 = -3.2798588e-026 -+pub1 = 9.6755564e-032 -+uc1 = -7.622458e-011 -+luc1 = -3.0172107e-017 -+wuc1 = 6.4565445e-018 -+puc1 = 3.2782971e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.2 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70261262 -+lvth0 = -9.6535664e-009 -+wvth0 = 3.7940282e-009 -+pvth0 = 4.3646606e-015 -+k1 = 0.95371136 -+lk1 = 3.3836545e-009 -+wk1 = 3.1504606e-008 -+pk1 = -3.755349e-014 -+k2 = -0.010397236 -+lk2 = -1.045177e-008 -+wk2 = -1.5511159e-008 -+pk2 = 9.1608133e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097768223 -+lvoff = -1.8037078e-008 -+wvoff = 1.0207701e-009 -+pvoff = -1.2167579e-015 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0087448909 -+lu0 = 3.0063094e-009 -+wu0 = 2.2513935e-010 -+pu0 = -6.7198364e-016 -+ua = 4.0088804e-010 -+lua = 8.1940101e-016 -+wua = -5.4384592e-017 -+pua = -1.6075256e-022 -+ub = 8.5616366e-019 -+lub = -6.8481784e-026 -+wub = -1.1302537e-025 -+pub = -4.2154414e-033 -+uc = -4.4105686e-011 -+luc = 9.5540362e-017 -+wuc = 1.8994754e-018 -+puc = -1.8979559e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.2624795 -+la0 = -3.9847608e-007 -+wa0 = -3.4982576e-009 -+pa0 = 3.495459e-014 -+ags = 0.15738448 -+lags = 1.4421474e-007 -+wags = 7.410721e-010 -+pags = -1.520792e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -4.2919829e-005 -+lketa = -2.8197601e-008 -+wketa = -3.567392e-009 -+pketa = 2.6725774e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32126019 -+lpclm = 3.9541387e-008 -+wpclm = 5.1797956e-009 -+ppclm = 7.6673781e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8732382e-005 -+lpdiblc2= 4.4342116e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0020563928 -+lalpha0 = -2.3961345e-009 -+walpha0 = 2.2922796e-011 -+palpha0 = -2.3868227e-017 -+alpha1 = 0 -+beta0 = 44.429178 -+lbeta0 = -7.3234127e-006 -+wbeta0 = 4.1575066e-007 -+pbeta0 = -6.9013864e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9458182 -+lnoff = 5.4138473e-007 -+voffcv = 0.021672727 -+lvoffcv = -2.1655389e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.26812521 -+lkt1 = -5.1231914e-008 -+wkt1 = -1.0639016e-008 -+pkt1 = 2.6896755e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.0276908e-018 -+lub1 = 3.3763797e-025 -+wub1 = 1.9708019e-025 -+pub1 = -1.7725993e-031 -+uc1 = -3.5127704e-011 -+luc1 = -7.9159583e-017 -+wuc1 = -1.2638834e-017 -+puc1 = 2.6039988e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.3 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70357875 -+wvth0 = 4.2308437e-009 -+k1 = 0.95405 -+wk1 = 2.774625e-008 -+k2 = -0.01144325 -+wk2 = -1.4594344e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.099573375 -+wvoff = 8.9899687e-010 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0090457625 -+wu0 = 1.5788719e-010 -+ua = 4.8289375e-010 -+wua = -7.0472719e-017 -+ub = 8.4931e-019 -+wub = -1.1344725e-025 -+uc = -3.4544e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.2226 -+ags = 0.1718175 -+wags = -7.809375e-010 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0028649375 -+wketa = -3.2999203e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.3252175 -+wpclm = 1.2853312e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018165875 -+walpha0 = 2.0534062e-011 -+alpha1 = 0 -+beta0 = 43.69625 -+wbeta0 = 4.0884375e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.2732525 -+wkt1 = -7.9471875e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.9939e-018 -+wub1 = 1.7934e-025 -+uc1 = -4.305e-011 -+wuc1 = -1.003275e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.4 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70624891 -+lvth0 = -2.0423367e-009 -+wvth0 = 1.5072177e-008 -+pvth0 = -5.0856922e-016 -+k1 = 0.99667836 -+lk1 = -3.3863555e-008 -+k2 = 0.013187532 -+lk2 = -1.9573129e-008 -+wk2 = -1.3793528e-008 -+pk2 = 1.0814082e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0092992182 -+lu0 = 1.7578086e-009 -+wu0 = -1.7793682e-010 -+pu0 = -2.0175109e-016 -+ua = -3.8099091e-011 -+lua = 7.1544135e-016 -+wua = 4.2158932e-017 -+pua = -3.9456029e-023 -+ub = 1.7356567e-018 -+lub = -2.9606431e-025 -+wub = -5.6840223e-025 -+pub = 8.4668392e-032 -+uc = 8.7523896e-011 -+luc = 1.1953068e-017 -+wuc = -1.3875714e-017 -+puc = -6.3347605e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.66090759 -+la0 = -9.8161425e-008 -+wa0 = 1.9726782e-007 -+pa0 = -5.3656847e-014 -+ags = 0.20881855 -+lags = 6.6568316e-008 -+wags = -3.0445036e-008 -+pags = 6.0469439e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.10373614 -+lketa = 2.1193464e-008 -+wketa = 1.2664919e-008 -+pketa = -3.4448579e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37955209 -+lpclm = 2.5826511e-008 -+wpclm = 1.9829298e-008 -+ppclm = 2.829545e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.1910101e-005 -+lalpha0 = -2.8926407e-012 -+walpha0 = -4.1353238e-013 -+palpha0 = 7.2099467e-020 -+alpha1 = 0 -+beta0 = 38.07575 -+lbeta0 = -2.59284e-006 -+wbeta0 = 1.0015544e-006 -+pbeta0 = -3.4314451e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33233495 -+lkt1 = 5.5962764e-010 -+wkt1 = 1.386661e-008 -+pkt1 = -6.438151e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.9998e-009 -+lua1 = -2.459016e-016 -+wua1 = -2.62395e-016 -+pua1 = 1.2909834e-022 -+ub1 = -2.8913745e-018 -+lub1 = -2.7023324e-026 -+wub1 = 2.6243509e-025 -+pub1 = -1.7956405e-031 -+uc1 = 5.1850909e-012 -+luc1 = -3.3340425e-017 -+wuc1 = -9.3985118e-017 -+puc1 = 3.3330844e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.5 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70966351 -+lvth0 = -3.6235097e-010 -+wvth0 = 2.163945e-009 -+pvth0 = 5.8422811e-015 -+k1 = 0.976722 -+lk1 = -2.4045024e-008 -+k2 = -0.026858767 -+lk2 = 1.2965043e-010 -+wk2 = 1.6041428e-009 -+pk2 = -6.4942458e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12347027 -+lvoff = 1.259976e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097676914 -+lu0 = 1.5273198e-009 -+wu0 = 3.1542e-011 -+pu0 = -3.0481466e-016 -+ua = 2.7535709e-010 -+lua = 5.6122091e-016 -+wua = 1.033809e-017 -+pua = -2.3800175e-023 -+ub = 1.2745688e-018 -+lub = -6.9209035e-026 -+wub = -2.9344612e-025 -+pub = -5.0610011e-032 -+uc = 8.8382089e-012 -+luc = 5.0666426e-017 -+wuc = -1.8753207e-017 -+puc = -3.9350342e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.3400455 -+la0 = -4.3229728e-007 -+wa0 = -6.1998457e-008 -+pa0 = 7.3902161e-014 -+ags = 0.19317874 -+lags = 7.4263098e-008 -+wags = 1.276002e-008 -+pags = -1.5209944e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0020187086 -+lketa = -2.8851515e-008 -+wketa = -3.980403e-009 -+pketa = 4.7446404e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.5521986 -+lpclm = -5.9115571e-008 -+wpclm = -6.8471025e-008 -+ppclm = 7.1739209e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024955823 -+lpdiblc2= 2.3979675e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00012240188 -+lalpha0 = -5.7254595e-011 -+walpha0 = -2.0047588e-011 -+palpha0 = 9.7320549e-018 -+alpha1 = 0 -+beta0 = 43.297479 -+lbeta0 = -5.1619305e-006 -+wbeta0 = -2.1374325e-007 -+pbeta0 = 2.5478195e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28407944 -+lkt1 = -2.3182084e-008 -+wkt1 = 1.2984037e-008 -+pkt1 = -6.0039252e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.139702e-018 -+lub1 = 9.5153784e-026 -+wub1 = 4.3643775e-025 -+pub1 = -2.6517336e-031 -+uc1 = -9.90552e-011 -+luc1 = 1.7945798e-017 -+wuc1 = 1.844262e-017 -+puc1 = -2.1983603e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.6 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70882353 -+lvth0 = -1.3636128e-009 -+wvth0 = 7.0547555e-009 -+pvth0 = 1.2434931e-017 -+k1 = 1.0137201 -+lk1 = -6.8146803e-008 -+k2 = -0.027400332 -+lk2 = 7.7519526e-010 -+wk2 = -6.5845334e-009 -+pk2 = 3.2666562e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.095823899 -+lvoff = -2.0354712e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097356481 -+lu0 = 1.5655154e-009 -+wu0 = -2.950082e-010 -+pu0 = 8.4433178e-017 -+ua = 4.0386316e-010 -+lua = 4.0804167e-016 -+wua = -5.594653e-017 -+pua = 5.5211091e-023 -+ub = 1.1683671e-018 -+lub = 5.7383397e-026 -+wub = -2.7693216e-025 -+pub = -7.0294662e-032 -+uc = 1.3741189e-012 -+luc = 5.9563621e-017 -+wuc = -2.1977422e-017 -+puc = -9.1769787e-026 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1183126 -+la0 = -1.6799168e-007 -+wa0 = 7.2189361e-008 -+pa0 = -8.6049719e-014 -+ags = 0.16571096 -+lags = 1.0700469e-007 -+wags = -3.630332e-009 -+pags = 4.3273558e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068379523 -+lketa = -2.3106977e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.32898832 -+lpclm = 2.0695108e-007 -+wpclm = 1.1225287e-009 -+ppclm = -1.1216307e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8732382e-005 -+lpdiblc2= 4.4342116e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021722983 -+lalpha0 = -2.5007312e-009 -+walpha0 = -3.7927615e-011 -+palpha0 = 3.1045047e-017 -+alpha1 = 0 -+beta0 = 44.346784 -+lbeta0 = -6.4127021e-006 -+wbeta0 = 4.590075e-007 -+pbeta0 = -5.4713694e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9458182 -+lnoff = 5.4138473e-007 -+voffcv = 0.021672727 -+lvoffcv = -2.1655389e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33792326 -+lkt1 = 4.0999742e-008 -+wkt1 = 2.600496e-008 -+pkt1 = -2.1524865e-014 -+kt1l = 0 -+kt2 = -0.016960609 -+lkt2 = 4.642374e-009 -+wkt2 = 2.0446698e-009 -+pkt2 = -2.4372464e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5970921e-018 -+lub1 = -5.516372e-025 -+wub1 = -2.898414e-026 -+pub1 = 2.8960953e-031 -+uc1 = -4.2510491e-011 -+luc1 = -4.9455495e-017 -+wuc1 = -8.7628704e-018 -+puc1 = 1.0445342e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.7 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70896 -+wvth0 = 7.056e-009 -+k1 = 1.0069 -+k2 = -0.02732275 -+wk2 = -6.2576062e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.009892325 -+wu0 = -2.8655813e-010 -+ua = 4.447e-010 -+wua = -5.0421e-017 -+ub = 1.17411e-018 -+wub = -2.8396725e-025 -+uc = 7.33525e-012 -+wuc = -2.1986606e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1015 -+wa0 = 6.35775e-008 -+ags = 0.17642 -+wags = -3.19725e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.001922025 -+walpha0 = -3.4820625e-011 -+alpha1 = 0 -+beta0 = 43.705 -+wbeta0 = 4.0425e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33382 -+wkt1 = 2.385075e-008 -+kt1l = 0 -+kt2 = -0.016496 -+wkt2 = 1.80075e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.6523e-018 -+uc1 = -4.746e-011 -+wuc1 = -7.7175e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.8 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69776909 -+lvth0 = -8.3892994e-009 -+wvth0 = 4.6843987e-009 -+pvth0 = 7.26646e-015 -+k1 = 0.99667836 -+lk1 = -3.3863555e-008 -+k2 = -0.0016908531 -+lk2 = -1.6910112e-008 -+wk2 = 4.4324937e-009 -+pk2 = -2.1807869e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011456358 -+lu0 = 1.7102254e-009 -+wu0 = -2.8204333e-009 -+pu0 = -1.4346165e-016 -+ua = 3.841223e-010 -+lua = 6.0284809e-016 -+wua = -4.7506228e-016 -+pua = 9.847072e-023 -+ub = 9.2943227e-019 -+lub = 3.159209e-026 -+wub = 4.1922273e-025 -+pub = -3.167107e-031 -+uc = 1.3336117e-010 -+luc = -7.1184051e-018 -+wuc = -7.0026382e-017 -+puc = 1.7027795e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.87958485 -+la0 = -1.4075717e-007 -+wa0 = -7.0611818e-008 -+pa0 = -1.4770608e-015 -+ags = 0.33412256 -+lags = -2.3726975e-009 -+wags = -1.8394245e-007 -+pags = 9.0499685e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.087907195 -+lketa = 1.6887989e-008 -+wketa = -6.7255452e-009 -+pketa = 1.8293483e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32767553 -+lpclm = 4.8328885e-008 -+wpclm = 8.3378086e-008 -+ppclm = 7.3004154e-016 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.5916748e-006 -+lalpha0 = -2.1820478e-012 -+walpha0 = 2.4265399e-012 -+palpha0 = -7.9837683e-019 -+alpha1 = 0 -+beta0 = 37.669715 -+lbeta0 = -2.2686901e-006 -+wbeta0 = 1.4989467e-006 -+pbeta0 = -7.4022817e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29643476 -+lkt1 = -8.0291808e-009 -+wkt1 = -3.0111128e-008 -+pkt1 = 4.0831393e-015 -+kt1l = 0 -+kt2 = -0.020721765 -+lkt2 = 2.0823681e-009 -+wkt2 = 9.3783124e-009 -+pkt2 = -2.550901e-015 -+ute = -1 -+ua1 = 1.8027834e-009 -+lua1 = -1.4896941e-016 -+wua1 = -2.10496e-017 -+pua1 = 1.0356403e-023 -+ub1 = -2.5917035e-018 -+lub1 = -1.2288098e-025 -+wub1 = -1.0466188e-025 -+pub1 = -6.213842e-032 -+uc1 = -8.5734843e-011 -+luc1 = 8.5354274e-019 -+wuc1 = 1.7391801e-017 -+puc1 = -8.556766e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.9 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.7006151 -+lvth0 = -6.989061e-009 -+wvth0 = -8.9203589e-009 -+pvth0 = 1.3960001e-014 -+k1 = 0.976722 -+lk1 = -2.4045024e-008 -+k2 = -0.03059107 -+lk2 = -2.6912057e-009 -+wk2 = 6.1762134e-009 -+pk2 = -3.038697e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094296073 -+lvoff = -1.7539439e-009 -+wvoff = -3.5738389e-008 -+pvoff = 1.7583287e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010159235 -+lu0 = 2.3484099e-009 -+wu0 = -4.4809927e-010 -+pu0 = -1.31065e-015 -+ua = 3.3440437e-010 -+lua = 6.2730931e-016 -+wua = -6.1994828e-017 -+pua = -1.0475847e-022 -+ub = 9.623728e-019 -+lub = 1.538535e-026 -+wub = 8.8993941e-026 -+pub = -1.5423813e-031 -+uc = -2.6791447e-011 -+luc = 7.1676685e-017 -+wuc = 2.4893122e-017 -+puc = -2.9672601e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1742768 -+la0 = -2.8574559e-007 -+wa0 = 1.4106826e-007 -+pa0 = -1.0562366e-013 -+ags = 0.20869703 -+lags = 5.9336662e-008 -+wags = -6.2498812e-009 -+pags = 3.0749415e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0069935214 -+lketa = -2.2921538e-008 -+wketa = 2.1137428e-009 -+pketa = -2.5195814e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37804632 -+lpclm = 2.3546458e-008 -+wpclm = 1.4486552e-007 -+ppclm = -2.9521777e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024955823 -+lpdiblc2= 2.3979675e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011037751 -+lalpha0 = -5.1768678e-011 -+walpha0 = -5.3177339e-012 -+palpha0 = 3.0118059e-018 -+alpha1 = 0 -+beta0 = 43.119792 -+lbeta0 = -4.9501275e-006 -+wbeta0 = 3.9234204e-009 -+pbeta0 = -4.6767172e-015 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3027753 -+lkt1 = -4.9096367e-009 -+wkt1 = 3.5886458e-008 -+pkt1 = -2.8387673e-014 -+kt1l = 0 -+kt2 = -0.010659902 -+lkt2 = -2.8680684e-009 -+wkt2 = -2.9474696e-009 -+pkt2 = 3.5133838e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.4641385e-018 -+lub1 = -1.8564299e-025 -+wub1 = -3.9112754e-025 -+pub1 = 7.8802685e-032 -+uc1 = -3.5114455e-011 -+luc1 = -2.4051688e-017 -+wuc1 = -5.9884793e-017 -+puc1 = 2.9463318e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.10 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70275593 -+lvth0 = -4.4372015e-009 -+wvth0 = -3.7806056e-010 -+pvth0 = 3.7775811e-015 -+k1 = 1.0137201 -+lk1 = -6.8146803e-008 -+k2 = -0.03602212 -+lk2 = 3.7826066e-009 -+wk2 = 3.9771578e-009 -+pk2 = -4.1742272e-016 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.098144574 -+lvoff = 2.8334685e-009 -+wvoff = 2.8428264e-009 -+pvoff = -2.8405521e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011033204 -+lu0 = 1.306639e-009 -+wu0 = -1.8845144e-009 -+pu0 = 4.0155688e-016 -+ua = 6.6792168e-010 -+lua = 2.2975668e-016 -+wua = -3.7941821e-016 -+pua = 2.736102e-022 -+ub = 9.7527997e-019 -+wub = -4.0400465e-026 -+uc = -1.6566634e-011 -+luc = 5.9488707e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1830432 -+la0 = -2.9619524e-007 -+wa0 = -7.1056483e-009 -+pa0 = 7.0999638e-014 -+ags = 0.16693357 -+lags = 1.0911871e-007 -+wags = -5.1280236e-009 -+pags = 1.7376873e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068379523 -+lketa = -2.3106977e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34318463 -+lpclm = 6.510159e-008 -+wpclm = -1.6267946e-008 -+ppclm = 1.6254932e-013 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8732382e-005 -+lpdiblc2= 4.4342116e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021410284 -+lalpha0 = -2.4723045e-009 -+walpha0 = 3.7806056e-013 -+palpha0 = -3.7775811e-018 -+alpha1 = 0 -+beta0 = 44.157511 -+lbeta0 = -6.1870892e-006 -+wbeta0 = 6.9086635e-007 -+pbeta0 = -8.2351269e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9458182 -+lnoff = 5.4138473e-007 -+voffcv = 0.021672727 -+lvoffcv = -2.1655389e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29670745 -+lkt1 = -1.2142514e-008 -+wkt1 = -2.4484407e-008 -+pkt1 = 4.3574398e-014 -+kt1l = 0 -+kt2 = -0.012756202 -+lkt2 = -3.6927975e-010 -+wkt2 = -3.1057295e-009 -+pkt2 = 3.7020295e-015 -+ute = -1 -+ua1 = 1.3960014e-009 -+lua1 = 1.2396634e-016 -+wua1 = 1.2739829e-016 -+pua1 = -1.5185876e-022 -+ub1 = -2.6566915e-018 -+lub1 = 4.3880232e-026 -+wub1 = 4.4025153e-026 -+pub1 = -4.3989932e-031 -+uc1 = -6.6591108e-011 -+luc1 = 1.3468483e-017 -+wuc1 = 2.0735886e-017 -+puc1 = -6.6636531e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.11 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.7032 -+k1 = 1.0069 -+k2 = -0.035643557 -+wk2 = 3.9353821e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011163973 -+wu0 = -1.8443266e-009 -+ua = 6.9091574e-010 -+wua = -3.5203528e-016 -+ub = 9.7527997e-019 -+wub = -4.0400465e-026 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1534 -+ags = 0.17785418 -+wags = -4.9541158e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.538307 -+wbeta0 = 6.0844915e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29792267 -+wkt1 = -2.0123479e-008 -+kt1l = 0 -+kt2 = -0.012793159 -+wkt2 = -2.7352301e-009 -+ute = -1 -+ua1 = 1.4084079e-009 -+wua1 = 1.1220026e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.5243182e-011 -+wuc1 = 1.4066898e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.12 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.69730182 -+lvth0 = -7.6644654e-009 -+k1 = 0.99667836 -+lk1 = -3.3863555e-008 -+k2 = -0.0012487091 -+lk2 = -1.7127647e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011175018 -+lu0 = 1.695915e-009 -+ua = 3.3673455e-010 -+lua = 6.126706e-016 -+ub = 9.7125e-019 -+uc = 1.26376e-010 -+luc = -5.419872e-018 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.87254127 -+la0 = -1.4090451e-007 -+ags = 0.31577418 -+lags = 6.6547025e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.088578073 -+lketa = 1.7070468e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.33599254 -+lpclm = 4.8401708e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.8337236e-006 -+lalpha0 = -2.2616864e-012 -+alpha1 = 0 -+beta0 = 37.819236 -+lbeta0 = -2.3425283e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29943836 -+lkt1 = -7.6218851e-009 -+kt1l = 0 -+kt2 = -0.019786273 -+lkt2 = 1.8279142e-009 -+ute = -1 -+ua1 = 1.8006836e-009 -+lua1 = -1.4793635e-016 -+ub1 = -2.6021436e-018 -+lub1 = -1.2907933e-025 -+uc1 = -8.4e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.13 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70150491 -+lvth0 = -5.5965422e-009 -+k1 = 0.976722 -+lk1 = -2.4045024e-008 -+k2 = -0.029974989 -+lk2 = -2.9943176e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010114537 -+lu0 = 2.2176717e-009 -+ua = 3.2822034e-010 -+lua = 6.1685959e-016 -+ub = 9.7125e-019 -+uc = -2.4308343e-011 -+luc = 6.8716825e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1883484 -+la0 = -2.9628161e-007 -+ags = 0.2080736 -+lags = 5.9643389e-008 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0067826743 -+lketa = -2.3172868e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.39249674 -+lpclm = 2.0601642e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024955823 -+lpdiblc2= 2.3979675e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00010984706 -+lalpha0 = -5.1468248e-011 -+alpha1 = 0 -+beta0 = 43.120183 -+lbeta0 = -4.950594e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.2991956 -+lkt1 = -7.7413248e-009 -+kt1l = 0 -+kt2 = -0.010953914 -+lkt2 = -2.5176062e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5031537e-018 -+lub1 = -1.7778237e-025 -+uc1 = -4.1088e-011 -+luc1 = -2.1112704e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.14 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.70279364 -+lvth0 = -4.0603855e-009 -+k1 = 1.0137201 -+lk1 = -6.8146803e-008 -+k2 = -0.035625396 -+lk2 = 3.7409685e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010845223 -+lu0 = 1.3466945e-009 -+ua = 6.3007447e-010 -+lua = 2.5704947e-016 -+ub = 9.7125e-019 -+uc = -1.6566634e-011 -+luc = 5.9488707e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1823344 -+la0 = -2.8911298e-007 -+ags = 0.16642204 -+lags = 1.0929204e-007 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068379523 -+lketa = -2.3106977e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34156189 -+lpclm = 8.1315986e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8732382e-005 -+lpdiblc2= 4.4342116e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021410661 -+lalpha0 = -2.4726813e-009 -+alpha1 = 0 -+beta0 = 44.226425 -+lbeta0 = -6.2692351e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9458182 -+lnoff = 5.4138473e-007 -+voffcv = 0.021672727 -+lvoffcv = -2.1655389e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29914978 -+lkt1 = -7.7959401e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4087095e-009 -+lua1 = 1.0881833e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.4522691e-011 -+luc1 = 6.8214476e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.15 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = 7.7e-009 -+toxp = 7.7e-009 -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = -8e-009 -+xw = 5e-009 -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = -0.7032 -+k1 = 1.0069 -+k2 = -0.035251 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = 1e-007 -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01098 -+ua = 6.558e-010 -+ub = 9.7125e-019 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1534 -+ags = 0.17736 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = 466 -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.599 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.178e-010 -+cgdo = 1.178e-010 -+cgbo = 1e-013 -+cgdl = 3.8e-011 -+cgsl = 3.8e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29993 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4196e-009 -+ub1 = -2.6523e-018 -+uc1 = -6.384e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - - -* model for unsalicided p+ diffusion resistor -.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 -*------------------- -* body resistor parameters -*.param rsh_pplus_u_m=150 -+ r_rsh0=rsh_pplus_u_m -+ r_dw=2.75E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for substrate capacitor -.model pn_junction d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends pplus_u_m1 - - - -.endl pmos_3p3_sf -* -* -*************************************************************************************************** -* 6V NMOS Models -*************************************************************************************************** -* -.lib nmos_6p0_t - - -.subckt nmos_6p0_sab d g s b w=10u l=0.6u par=1 s_sab=0.28u d_sab=3.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.01155 -+ par_k=0.0000 -+ par_l=4e-7 -+ par_w=-5e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b nplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b nplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b nmos_6p0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 -+delvto='mis_vth*sw_stat_mismatch' -.ends - - - - - -.model nmos_6p0.0 nmos level = 54 -+lmin = 7e-007 lmax = 5.0001e-005 wmin = 3e-007 wmax = 0.000100001 -+version = 4.5 binunit = 1 paramchk= 1 mobmod = 0 -+capmod = 2 igcmod = 0 igbmod = 0 geomod = 0 -+diomod = 1 rdsmod = 0 rbodymod= 0 rgeomod = 0 -+rgatemod= 0 permod = 1 acnqsmod= 0 trnqsmod= 0 -+tempmod = 0 wpemod = 0 -+tnom = 25 toxe = '1.52e-008+nmos_6p0_tox' toxp = '1.6e-008+nmos_6p0_tox' toxm = '1.52e-008+nmos_6p0_tox' -+epsrox = 3.9 toxref = 1.52e-008 wint = 1.55e-008 lint = -3e-008 -+ll = 1.93e-014 wl = 0 lln = 1 wln = 1 -+lw = 0 ww = -2.7e-015 lwn = 1 wwn = 1 -+lwl = 0 wwl = 0 llc = 0 wlc = 0 -+lwc = 0 wwc = 0 lwlc = 0 wwlc = 0 -+xl = '0+nmos_6p0_xl' xw = '0+nmos_6p0_xw' dlc = 5.4E-8 dwc = 0 -+dlcig = 0 xpart = 0 -+vth0 = '0.67314+nmos_6p0_vth0' k1 = 0.9 k2 = -0.001 k3 = -1.1369995 -+wk3 = -0.047531062 k3b = 0.86 w0 = 1e-009 dvt0 = 5.72 -+dvt1 = 0.299 dvt2 = -0.0793 dvt0w = 10 dvt1w = 976700 -+dvt2w = 0.15 dsub = 0.4 minv = 0 voffl = 0 -+dvtp0 = 0 dvtp1 = 0 lambda = 0 vtl = 200000 -+xn = 3 lpe0 = 1.63e-007 lpeb = 0 vbm = -3 -+xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 nsd = 1e+020 -+phin = 0 cdsc = 0.00024 ud1 = 0 up = 0 -+lp = 1e-008 cdscb = 0 cdscd = 0 cit = 0 -+voff = -0.08 nfactor = 0.864 eta0 = 0 etab = -0.43 -+u0 = 0.052500014 lu0 = 0.019999998 wu0 = -8.0300635e-009 pu0 = 0.0018000065 -+ua = 6.8000001e-012 lua = -3.3696895e-019 wua = -8.4912706e-019 pua = 1.4622721e-010 -+ub = 2.8799997e-018 lub = 1.7400001e-018 wub = -1.1655759e-026 pub = -2.3803999e-019 -+uc = 7.9399996e-011 luc = 9.8000018e-011 wuc = 8.0000028e-012 puc = -5.6168065e-012 -+eu = 1.67 vsat = '103999.98*nmos_6p0_vsat' lvsat = '-2649.9871*nmos_6p0_vsat' wvsat = '0.012447116*nmos_6p0_vsat' -+pvsat = '6308.7992*nmos_6p0_vsat' a0 = 0.72499969 la0 = 0.40144032 ags = 0.13699995 -+lags = -0.068999933 wags = -4.2211594e-008 pags = 0.0070910278 a1 = 0 -+a2 = 0.96 b0 = 0 b1 = 0 keta = -0.021200021 -+lketa = 0.04140001 dwg = -6e-010 dwb = 6e-009 pclm = 0.0099999763 -+lpclm = 0.89088024 pdiblc1 = 1.6 pdiblc2 = 0.0022 pdiblcb = 0 -+drout = 0.4 pvag = 1.75 delta = 0.01 pscbe1 = 4.325e+009 -+pscbe2 = 8.8e-006 fprout = 0 pdits = 0 pditsd = 0 -+pditsl = 0 rsh = 7 rdsw = 2175 rsw = 100 -+rdw = 100 rdswmin = 0 rdwmin = 0 rswmin = 0 -+prwg = 1 prwb = 0 wr = 1 alpha0 = -1.88e-007 -+alpha1 = 19 beta0 = 36.6 agidl = 0 bgidl = 2.3e+009 -+cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 bigbacc = 0.054 -+cigbacc = 0.075 nigbacc = 1 aigbinv = 0.35 bigbinv = 0.03 -+cigbinv = 0.006 eigbinv = 1.1 nigbinv = 3 aigc = 0.43 -+bigc = 0.054 cigc = 0.075 aigsd = 0.43 bigsd = 0.054 -+cigsd = 0.075 nigc = 1 poxedge = 1 pigcd = 1 -+ntox = 1 vfbsdoff= 0 -+cgso = '1e-010*nmos_6p0_cgso' cgdo = '1e-010*nmos_6p0_cgdo' cgbo = 1e-013 cgdl = '1.5e-010*nmos_6p0_cgdo' -+cgsl = '1.5e-010*nmos_6p0_cgso' clc = 1e-010 cle = 0.6 ckappas = 0.6 -+ckappad = 0.6 vfbcv = -1 acde = 0.3 moin = 15 -+noff = 1.5 voffcv = 0 -+tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 kt1l = 3.5e-008 -+kt2 = -0.05 ute = -1.5000005 lute = 0.030000222 wute = 0.06000001 -+pute = -0.019999981 ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.5999995e-011 -+luc1 = -1.8816003e-011 prt = 0 at = 109000.03 lat = -75600.021 -+wat = 6479.9797 pat = -6699.9857 -+fnoimod = 1 tnoimod = 0 em = 4.1e+007 ef = 1 -+noia = 'nmos_6p0_noia' noib = 'nmos_6p0_noib' noic = 'nmos_6p0_noic' ntnoi = 1 -+lintnoi = 0 -+jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 njs = 1.0541 -+ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 11 xjbvs = 1 -+xjbvd = 1 jtss = 0 jtsd = 0 jtssws = 0 -+jtsswd = 0 jtsswgs = 0 jtsswgd = 0 njts = 20 -+njtssw = 20 njtsswg = 20 xtss = 0.02 xtsd = 0.02 -+xtssws = 0.02 xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 -+tnjts = 0 tnjtssw = 0 tnjtsswg= 0 vtss = 10 -+vtsd = 10 vtssws = 10 vtsswd = 10 vtsswgs = 10 -+vtsswgd = 10 pbs = 0.606 cjs = 0.00095 mjs = 0.296 -+pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 pbswgs = 0.861 -+cjswgs = 3.573e-010 mjswgs = 0.40313 tpb = 0.00146 tcj = 0.000825 -+tpbsw = 0.00313 tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 -+xtis = 3 -+dmcg = 0 dmdg = 0 dmcgt = 0 xgw = 0 -+xgl = 0 -+rshg = 0.1 gbmin = 1e-012 rbpb = 50 rbpd = 50 -+rbps = 50 rbdb = 50 rbsb = 50 ngcon = 1 -+xrcrg1 = 12 xrcrg2 = 1 rbps0 = 50 rbpsl = 0 -+rbpsw = 0 rbpsnf = 0 rbpd0 = 50 rbpdl = 0 -+rbpdw = 0 rbpdnf = 0 rbpbx0 = 100 rbpbxl = 0 -+rbpbxw = 0 rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 -+rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 rbsby0 = 100 -+rbdbx0 = 100 rbdby0 = 100 rbsdbxl = 0 rbsdbxw = 0 -+rbsdbxnf= 0 rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 -+web = 0 wec = 0 scref = 1e-006 kvth0we = 0 -+k2we = 0 ku0we = 0 saref = 1e-006 sbref = 1e-006 -+wlod = 0 kvth0 = 0 lkvth0 = 0 wkvth0 = 0 -+pkvth0 = 0 llodvth = 0 wlodvth = 0 stk2 = 0 -+lodk2 = 1 lodeta0 = 1 ku0 = 0 lku0 = 0 -+wku0 = 0 pku0 = 0 llodku0 = 0 wlodku0 = 0 -+kvsat = 0 steta0 = 0 tku0 = 0 - -.model nmos_6p0.1 nmos level = 54 -+lmin = 6e-007 lmax = 7e-007 wmin = 3e-007 wmax = 0.000100001 -+version = 4.5 binunit = 1 paramchk= 1 mobmod = 0 -+capmod = 2 igcmod = 0 igbmod = 0 geomod = 0 -+diomod = 1 rdsmod = 0 rbodymod= 0 rgeomod = 0 -+rgatemod= 0 permod = 1 acnqsmod= 0 trnqsmod= 0 -+tempmod = 0 wpemod = 0 -+tnom = 25 toxe = '1.52e-008+nmos_6p0_tox' toxp = '1.6e-008+nmos_6p0_tox' toxm = '1.52e-008+nmos_6p0_tox' -+epsrox = 3.9 toxref = 1.52e-008 wint = 1.55e-008 lint = -3e-008 -+ll = 1.93e-014 wl = 0 lln = 1 wln = 1 -+lw = 0 ww = -2.7e-015 lwn = 1 wwn = 1 -+lwl = 0 wwl = 0 llc = 0 wlc = 0 -+lwc = 0 wwc = 0 lwlc = 0 wwlc = 0 -+xl = '0+nmos_6p0_xl' xw = '0+nmos_6p0_xw' dlc = 5.4E-8 dwc = 0 -+dlcig = 0 xpart = 0 -+vth0 = '0.67314+nmos_6p0_vth0' k1 = 0.9 k2 = -0.001 k3 = -1.1369995 -+wk3 = -0.047531062 k3b = 0.86 w0 = 1e-009 dvt0 = 5.72 -+dvt1 = 0.299 dvt2 = -0.0793 dvt0w = 10 dvt1w = 976700 -+dvt2w = 0.15 dsub = 0.4 minv = 0 voffl = 0 -+dvtp0 = 0 dvtp1 = 0 lambda = 0 vtl = 200000 -+xn = 3 lpe0 = 1.63e-007 lpeb = 0 vbm = -3 -+xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 nsd = 1e+020 -+phin = 0 cdsc = 0.00024 ud1 = 0 up = 0 -+lp = 1e-008 cdscb = 0 cdscd = 0 cit = 0 -+voff = -0.08 nfactor = 0.864 eta0 = 0 etab = -0.43 -+u0 = 0.052500361 lu0 = 0.019999754 wu0 = -2.8167565e-008 pu0 = 0.0018000207 -+ua = 6.7999991e-012 lua = 3.7339196e-019 wua = 1.3244868e-016 pua = 1.4622711e-010 -+ub = 2.8800011e-018 lub = 1.7399991e-018 wub = 1.8765824e-025 pub = -2.3804013e-019 -+uc = 7.9400388e-011 luc = 9.7999741e-011 wuc = 7.9999428e-012 puc = -5.6167642e-012 -+eu = 1.67 vsat = '64848.09*nmos_6p0_vsat' lvsat = '24946.5*nmos_6p0_vsat' wvsat = '0.14568305*nmos_6p0_vsat' -+pvsat = '6308.7053*nmos_6p0_vsat' a0 = 0.72500081 la0 = 0.40143954 ags = 0.13700019 -+lags = -0.069000099 wags = 5.7853969e-008 pags = 0.0070909573 a1 = 0 -+a2 = 0.96 b0 = 0 b1 = 0 keta = -0.021200265 -+lketa = 0.041400183 dwg = -6e-010 dwb = 6e-009 pclm = 0.0099996572 -+lpclm = 0.89088046 pdiblc1 = 1.6 pdiblc2 = 0.0022 pdiblcb = 0 -+drout = 0.4 pvag = 1.75 delta = 0.01 pscbe1 = 4.325e+009 -+pscbe2 = 8.8e-006 fprout = 0 pdits = 0 pditsd = 0 -+pditsl = 0 rsh = 7 rdsw = 2175 rsw = 100 -+rdw = 100 rdswmin = 0 rdwmin = 0 rswmin = 0 -+prwg = 1 prwb = 0 wr = 1 alpha0 = -1.88e-007 -+alpha1 = 19 beta0 = 36.6 agidl = 0 bgidl = 2.3e+009 -+cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 bigbacc = 0.054 -+cigbacc = 0.075 nigbacc = 1 aigbinv = 0.35 bigbinv = 0.03 -+cigbinv = 0.006 eigbinv = 1.1 nigbinv = 3 aigc = 0.43 -+bigc = 0.054 cigc = 0.075 aigsd = 0.43 bigsd = 0.054 -+cigsd = 0.075 nigc = 1 poxedge = 1 pigcd = 1 -+ntox = 1 vfbsdoff= 0 -+cgso = '1e-010*nmos_6p0_cgso' cgdo = '1e-010*nmos_6p0_cgdo' cgbo = 1e-013 cgdl = '1.5e-010*nmos_6p0_cgdo' -+cgsl = '1.5e-010*nmos_6p0_cgso' clc = 1e-010 cle = 0.6 ckappas = 0.6 -+ckappad = 0.6 vfbcv = -1 acde = 0.3 moin = 15 -+noff = 1.5 voffcv = 0 -+tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 kt1l = 3.5e-008 -+kt2 = -0.05 ute = -1.5000008 lute = 0.030000412 wute = 0.060000189 -+pute = -0.020000108 ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.5999975e-011 -+luc1 = -1.8816017e-011 prt = 0 at = -119957.68 lat = 85782.454 -+wat = -33999.727 pat = 21832.424 -+fnoimod = 1 tnoimod = 0 em = 4.1e+007 ef = 1 -+noia = 'nmos_6p0_noia' noib = 'nmos_6p0_noib' noic = 'nmos_6p0_noic' ntnoi = 1 -+lintnoi = 0 -+jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 njs = 1.0541 -+ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 11 xjbvs = 1 -+xjbvd = 1 jtss = 0 jtsd = 0 jtssws = 0 -+jtsswd = 0 jtsswgs = 0 jtsswgd = 0 njts = 20 -+njtssw = 20 njtsswg = 20 xtss = 0.02 xtsd = 0.02 -+xtssws = 0.02 xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 -+tnjts = 0 tnjtssw = 0 tnjtsswg= 0 vtss = 10 -+vtsd = 10 vtssws = 10 vtsswd = 10 vtsswgs = 10 -+vtsswgd = 10 pbs = 0.606 cjs = 0.00095 mjs = 0.296 -+pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 pbswgs = 0.861 -+cjswgs = 3.573e-010 mjswgs = 0.40313 tpb = 0.00146 tcj = 0.000825 -+tpbsw = 0.00313 tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 -+xtis = 3 -+dmcg = 0 dmdg = 0 dmcgt = 0 xgw = 0 -+xgl = 0 -+rshg = 0.1 gbmin = 1e-012 rbpb = 50 rbpd = 50 -+rbps = 50 rbdb = 50 rbsb = 50 ngcon = 1 -+xrcrg1 = 12 xrcrg2 = 1 rbps0 = 50 rbpsl = 0 -+rbpsw = 0 rbpsnf = 0 rbpd0 = 50 rbpdl = 0 -+rbpdw = 0 rbpdnf = 0 rbpbx0 = 100 rbpbxl = 0 -+rbpbxw = 0 rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 -+rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 rbsby0 = 100 -+rbdbx0 = 100 rbdby0 = 100 rbsdbxl = 0 rbsdbxw = 0 -+rbsdbxnf= 0 rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 -+web = 0 wec = 0 scref = 1e-006 kvth0we = 0 -+k2we = 0 ku0we = 0 saref = 1e-006 sbref = 1e-006 -+wlod = 0 kvth0 = 0 lkvth0 = 0 wkvth0 = 0 -+pkvth0 = 0 llodvth = 0 wlodvth = 0 stk2 = 0 -+lodk2 = 1 lodeta0 = 1 ku0 = 0 lku0 = 0 -+wku0 = 0 pku0 = 0 llodku0 = 0 wlodku0 = 0 -+kvsat = 0 steta0 = 0 tku0 = 0 - - -*resistor -.subckt nplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 -*------------------- -* body resistor parameters -*.param rsh_nplus_u_m=60 -+ r_rsh0=rsh_nplus_u_m -+ r_dw=-5E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.36E-3 -+ r_tc2=6.5E-7 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* model for substrate capacitor -.model np_junction d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 - -d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' - -*------------------- -.ends nplus_u_m2 - -.endl nmos_6p0_t -* -*************************************************************************************************** -* 6V native NMOS Models -*************************************************************************************************** -* -.lib nmos_6p0_nat_t -.subckt nmos_6p0_nat d g s b w=1e-5 l=1.8e-6 as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 sa=0 sb=0 nf=1 sd=0 m=1 - -m0 d g s b nmos_6p0_nat w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs sa=sa sb=sb nf=nf sd=sd - -.ends nmos_6p0_nat - -.model nmos_6p0_nat.0 nmos -+level = 54 -************************************************************** -* MODEL FLAG PARAMETERS -************************************************************** -+lmin = 1.8e-6 lmax = 50.01e-6 wmin = 0.8e-6 -+wmax = 100.01e-6 version = 4.6 binunit = 1 -+paramchk= 1 mobmod = 0 capmod = 2 -+igcmod = 0 igbmod = 0 geomod = 0 -+diomod = 1 rdsmod = 0 rbodymod= 0 -+rgeomod = 0 rgatemod= 0 permod = 1 -+acnqsmod= 0 trnqsmod= 0 tempmod = 0 -+wpemod = 0 -************************************************************** -* GENERAL MODEL PARAMETERS -************************************************************** -+tnom = 25 toxe = nmos_6p0_nat_tox toxp = 1.6e-008 -+toxm = 1.52e-008 epsrox = 3.9 toxref = 1.52e-008 -+wint = 1e-009 lint = 1e-007 ll = 0 -+wl = 0 lln = 1 wln = 1 -+lw = 0 ww = 0 lwn = 1 -+wwn = 1 lwl = 0 wwl = 0 -+llc = 0 wlc = 0 lwc = 0 -+wwc = 0 lwlc = 0 wwlc = 0 -+xl = nmos_6p0_nat_xl xw = nmos_6p0_nat_xw dlc = 0 -+dwc = 0 dlcig = 0 xpart = 0 -************************************************************** -* DC PARAMETERS -************************************************************** -+vth0 = nmos_6p0_nat_vth0 lvth0 = -0.088 k1 = 0.165 -+k2 = -0.001 k3 = -0.6 k3b = -0.6 -+w0 = 1e-010 dvt0 = 2.2 dvt1 = 0.53 -+dvt2 = -0.032 dvt0w = 0 dvt1w = 5300000 -+dvt2w = -0.032 dsub = 0.4 minv = -0.5 -+voffl = 0 dvtp0 = 1e-008 dvtp1 = 0 -+lambda = 0 vtl = 200000 xn = 3 -+lpe0 = 1e-007 lpeb = 0 vbm = -3 -+xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 -+nsd = 1e+020 phin = 0.5 cdsc = 0.00024 -+cdscb = 0 cdscd = 0 cit = 0 -+voff = -0.06 ud1 = 0 up = 0 -+lp = 1e-008 nfactor = 0.40241 lnfactor= 0.45 -+eta0 = 0.06 etab = -0.43 u0 = nmos_6p0_nat_u0 -+lu0 = 0.042 ua = 2.278e-009 ub = 3.97e-019 -+lub = 3.65e-018 uc = 2.625e-012 eu = 1.67 -+vsat = 106700 pvsat = 23500 a0 = 0.88 -+ags = 0.72 a1 = 0 a2 = 0.47 -+b0 = 3.5e-007 b1 = 0 keta = -0.04 -+dwg = 0 dwb = 0 pclm = 3 -+pdiblc1 = 1.41 pdiblc2 = 1e-005 pdiblcb = 0 -+drout = 0.16 pvag = 1 delta = 0.005 -+pscbe1 = 5e+009 pscbe2 = 5e-006 fprout = 65 -+pdits = 0 pditsd = 0 pditsl = 0 -+rsh = 7 rdsw = 3480 rsw = 100 -+rdw = 100 rdswmin = 0 rdwmin = 0 -+rswmin = 0 prwg = 1 prwb = 0 -+wr = 1 alpha0 = 1.36e-008 alpha1 = 1e-005 -+beta0 = 15 agidl = 2e-010 bgidl = 2.3e+009 -+cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 -+bigbacc = 0.054 cigbacc = 0.075 nigbacc = 1 -+aigbinv = 0.35 bigbinv = 0.03 cigbinv = 0.006 -+eigbinv = 1.1 nigbinv = 3 aigc = 0.43 -+bigc = 0.054 cigc = 0.075 aigsd = 0.43 -+bigsd = 0.054 cigsd = 0.075 nigc = 1 -+poxedge = 1 pigcd = 1 ntox = 1 -+vfbsdoff= 0 -************************************************************** -* CAPACITANCE PARAMETERS -************************************************************** -+cgso = nmos_6p0_nat_cgso cgdo = nmos_6p0_nat_cgdo cgbo = 1e-013 -+cgdl = 1.5e-010 cgsl = 1.5e-010 clc = 1e-010 -+cle = 0.6 ckappas = 0.6 ckappad = 0.6 -+vfbcv = -1 acde = 0.3 moin = 15 -+noff = 1.5 voffcv = 0 -************************************************************** -* TEMPERATURE PARAMETERS -************************************************************** -+tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 -+kt1l = 3.5e-008 kt2 = -0.05 ute = -1.5 -+lute = -0.26 ua1 = 1e-009 ub1 = -1e-018 -+uc1 = -5.6e-011 prt = 0 at = 80000 -+lat = -30000 pat = -10000 -************************************************************** -* NOISE PARAMETERS -************************************************************** -+fnoimod = 1 tnoimod = 0 em = 4.1e+007 -+ef = 1 noia = 'nmos_6p0_nat_noia' noib = 'nmos_6p0_nat_noib' -+noic = 'nmos_6p0_nat_noic' ntnoi = 1 lintnoi = 0 -************************************************************** -* DIODE PARAMETERS -************************************************************** -+jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 -+njs = 1.0541 ijthsfwd= 0.1 ijthsrev= 0.1 -+bvs = 11 xjbvs = 1 xjbvd = 1 -+jtss = 0 jtsd = 0 jtssws = 0 -+jtsswd = 0 jtsswgs = 0 jtsswgd = 0 -+njts = 20 njtssw = 20 njtsswg = 20 -+xtss = 0.02 xtsd = 0.02 xtssws = 0.02 -+xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 -+tnjts = 0 tnjtssw = 0 tnjtsswg= 0 -+vtss = 10 vtsd = 10 vtssws = 10 -+vtsswd = 10 vtsswgs = 10 vtsswgd = 10 -+pbs = 0.606 cjs = 0.00095 mjs = 0.296 -+pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 -+pbswgs = 0.861 cjswgs = 3.573e-010 mjswgs = 0.40313 -+tpb = 0.00146 tcj = 0.000825 tpbsw = 0.00313 -+tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 -+xtis = 3 -************************************************************** -* LAYOUT RELATED PARAMETERS -************************************************************** -+dmcg = 0 dmdg = 0 dmcgt = 0 -+xgw = 0 xgl = 0 -************************************************************** -* RF PARAMETERS -************************************************************** -+rshg = 0.1 gbmin = 1e-012 rbpb = 50 -+rbpd = 50 rbps = 50 rbdb = 50 -+rbsb = 50 ngcon = 1 xrcrg1 = 12 -+xrcrg2 = 1 rbps0 = 50 rbpsl = 0 -+rbpsw = 0 rbpsnf = 0 rbpd0 = 50 -+rbpdl = 0 rbpdw = 0 rbpdnf = 0 -+rbpbx0 = 100 rbpbxl = 0 rbpbxw = 0 -+rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 -+rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 -+rbsby0 = 100 rbdbx0 = 100 rbdby0 = 100 -+rbsdbxl = 0 rbsdbxw = 0 rbsdbxnf= 0 -+rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 -************************************************************** -* STRESS PARAMETERS -************************************************************** -+web = 0 wec = 0 scref = 1e-006 -+kvth0we = 0 k2we = 0 ku0we = 0 -+saref = 1e-006 sbref = 1e-006 wlod = 0 -+kvth0 = 0 lkvth0 = 0 wkvth0 = 0 -+pkvth0 = 0 llodvth = 0 wlodvth = 0 -+stk2 = 0 lodk2 = 1 lodeta0 = 1 -+ku0 = 0 lku0 = 0 wku0 = 0 -+pku0 = 0 llodku0 = 0 wlodku0 = 0 -+kvsat = 0 steta0 = 0 tku0 = 0 - -.endl nmos_6p0_nat_t -* -*************************************************************************************************** -* 6V PMOS Models -*************************************************************************************************** -* -.lib pmos_6p0_t - - -.subckt pmos_6p0_sab d g s b w=10u l=0.5u par=1 s_sab=0.28u d_sab=2.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.01051 -+ par_k=0.00517 -+ par_l=3e-7 -+ par_w=-4e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b pplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b pplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b pmos_6p0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model pmos_6p0.0 pmos -***** Flag Parameter *** -+level = 54 version = 4.6 binunit = 1 -+paramchk = 1 mobmod = 0 capmod = 2 -+rdsmod = 0 igcmod = 0 igbmod = 0 -+rbodymod = 0 trnqsmod = 0 acnqsmod = 0 -+fnoimod = 1 tnoimod = 0 diomod = 1 -+tempmod = 0 permod = 1 geomod = 1 -***** Geometry Range Parameter *** -+lmin = 0.5e-6 lmax = 50.01e-6 wmin = 0.3e-6 -+wmax = 100.01e-6 -***** Process Parameter *** -+epsrox = 3.9 toxe = '1.56E-8+pmos_6p0_dtox' xj = 1.5E-7 -+ndep = 1.7E17 ngate = 3.6E19 nsd = 6E16 -+rsh = 7 rshg = 0.1 phin = 0 -+lphin = 0.1408 -***** dW and dL Parameter *** -+wint = 4.9E-8 wl = 0 wln = 1 -+ww = -1.37E-14 wwn = 1 wwl = 3.04E-22 -+lint = 6.7E-8 ll = -5.4E-15 lln = 1 -+lw = 0 lwn = 1 lwl = -4.76E-21 -+dwg = -6.6E-9 dwb = -3E-9 xl = '0+pmos_6p0_dxl' -+xw = '0+pmos_6p0_dxw' -***** Vth Related Parameter *** -+vth0 = '-0.8978+pmos_6p0_dvth0' pvth0 = '7.6E-3+8.47e-3*pmos_6p0_dvth0' -+k1 = 0.9588 k2 = 8.936E-3 vfb = -1 -+k3 = -0.75 k3b = 1.2104 w0 = 3.1E-7 -+lpe0 = -4.4E-8 lpeb = -5.96E-8 dvtp0 = 0 -+dvtp1 = 0.3 dvt0 = 1 dvt1 = 1 -+dvt2 = 0 dvt0w = 0 dvt1w = 5.3E6 -+dvt2w = -0.032 -***** Mobility Related Parameter *** -+u0 = 0.0151 ua = 1.78E-9 ub = 4.88E-19 -+uc = -2.7435E-11 luc = 8.691408E-11 puc = -1.501336E-11 -+vsat = 8.55E4 a0 = 0.84 ags = 0.059 -+b0 = 2.625E-8 b1 = 0 keta = -8.6016E-5 -+wketa = 2.772E-3 a1 = 0 a2 = 1 -+rdsw = 1.426E3 wrdsw = 213.9 prdsw = -120 -+rdswmin = 100 prwb = 0.569552 pprwb = -0.052 -+prwg = 0.0432 wr = 1 -***** Subthreshold Related Parameter *** -+voff = -0.1284 voffl = 2.19E-8 minv = 0 -+nfactor = 1 eta0 = 0.08 etab = -0.09408 -+petab = -0.012128 dsub = 0.4824 cit = 0 -+cdsc = 2.4E-4 cdscb = 0 cdscd = 0 -***** Output Resistance Related Parameter *** -+pclm = 0.42 ppclm = 0.071 pdiblc1 = 0.14 -+pdiblc2 = 1E-5 pdiblcb = 0 drout = 0.56 -+pscbe1 = 5.088E8 pscbe2 = 1E-8 pvag = 1.5 -+delta = 0.01 fprout = 0 pdits = 0.01 -+pditsl = 0 pditsd = 0 lambda = 0 -+vtl = 2E5 lc = 0 xn = 3 -+alpha0 = 9.6E-7 alpha1 = 51.5 beta0 = 50.8 -+wbeta0 = 0.22 pbeta0 = 0.14 -***** GIDL Effect Parameters *** -+agidl = 1.1E-15 pagidl = 6.27545E-16 bgidl = 1.578E5 -+egidl = 1.19653E-2 -***** Noise Parameters *** -+ef = 1.1 noia = 'pmos_6p0_noia' -+noib = 'pmos_6p0_noib' noic = 'pmos_6p0_noic' -***** Capacitance Parameter *** -+xpart = 1 cgso = '7.71E-11*pmos_6p0_dcgso' cgdo = '7.71E-11*pmos_6p0_dcgdo' -+cgbo = 1E-13 ckappas = 0.6 ckappad = 0.6 -+dlc = 7.4E-9 noff = 1 voffcv = 0 -+acde = 0.7 moin = 15 cgsl = '5.25E-11*pmos_6p0_dcgso' -+cgdl = '5.25E-11*pmos_6p0_dcgdo' -***** Souce/Drain Junction Diode Model Parameter *** -+ijthsrev = 0.1 ijthdrev = 0.1 ijthsfwd = 0.1 -+ijthdfwd = 0.1 xjbvs = 1 xjbvd = 1 -+bvs = 10.5 bvd = 10.5 jss = 2.0867e-007 -+jsd = 2.0867e-007 jsws = 1.6088e-013 jswd = 1.6088e-013 -+jswgs = 0 jswgd = 0 cjs = 0.000912 -+cjd = 0.000912 mjs = 0.32713 mjd = 0.32713 -+mjsws = 0.056777 mjswd = 0.056777 cjsws = 1.4649e-010 -+cjswd = 1.4649e-010 cjswgs = 3.3229e-010 cjswgd = 3.3229e-010 -+mjswgs = 0.50996 mjswgd =0.50996 pbs = 0.76836 -+pbd = 0.76836 pbsws = 0.5 pbswd = 0.5 -+pbswgs = 1.2295 pbswgd = 1.2295 -***** Temperature coefficient *** -+tnom = 25 ute = -1.2 lute = -0.152467 -+wute = -0.07 kt1 = -0.3828 pkt1 = 2.2E-3 -+kt1l = -3.158E-8 kt2 = -0.09064 ua1 = 1.41E-9 -+lua1 = -6.554813E-10 wua1 = -1.2E-10 pua1 = -3.823641E-10 -+ub1 = -4.31E-18 lub1 = 1.939773E-19 pub1 = 7.291324E-19 -+uc1 = 1.147552E-10 luc1 = -1.067674E-10 puc1 = 1.8536E-11 -+at = -2.18E4 pat = -6.1E3 prt = 454 -+njs = 1 njd = 1 xtis = 3 -+xtid = 3 tpb = 0.0019314 tpbsw = 0.0017642 -+tpbswg = 0.0016588 tcj = 0.001 tcjsw = 0.00071888 -+tcjswg = 0.0009411 - - -* model for unsalicided p+ diffusion resistor -.subckt pplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 par=1 -*------------------- -* body resistor parameters -*.param rsh_pplus_u_m=185 -+ r_rsh0=rsh_pplus_u_m -+ r_dw=2.75E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for substrate capacitor -.model pn_junction d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends pplus_u_m2 - -.endl pmos_6p0_t -* -* -* -.LIB dio - -.model np_3p3 d level = 3 -+tref = 25 -+is = '2.2959e-007 * jsa' -+jsw = '2.1207e-013 * jsa' -+ik = 300000 -+bv = 11.0 -+ibv = 0.001 -+n = 1.01 -+rs = '2e-010 * rsa' -+jtun = 1.1223e-005 -+jtunsw = 6.4125e-012 -+ntun = 10 -+cj = '0.00096797* cja' -+cjp = '1.5663e-010* cjswa' -+pb = 0.70172 -+php = 0.8062 -+mj = 0.32071 -+mjsw = 0.1 -+tlev = 1 -+tlevc = 1 -+trs = 4.5778e-005 -+xti = 3 -+xtitun = -25 -+cta = 0.0009438 -+ctp = 0.00060474 -+eg = 1.17 -+tpb = 0.0018129 -+tphp = 5e-005 -* -.model pn_3p3 d level = 3 -+tref = 25 -+is = '1.653e-007 * jsa' -+jsw = '2.1207e-013 * jsa' -+ik = 500000 -+bv = 10.5 -+ibv = 0.001 -+n = 1 -+rs = '2e-010 * rsa' -+jtun = 5.4028e-005 -+jtunsw = 9.8419e-011 -+ntun = 60 -+cj = '0.00094344* cja' -+cjp = '1.5078e-010* cjswa' -+pb = 0.69939 -+php = 0.8022 -+mj = 0.32084 -+mjsw = 0.05 -+tlev = 1 -+tlevc = 1 -+trs = 3.8628e-005 -+xti = 3 -+xtitun = -40 -+cta = 0.00099187 -+ctp = 0.00063483 -+eg = 1.17 -+tpb = 0.0016906 -+tphp = 0.0052 -* -.model np_6p0 d level = 3 -+tref = 25 -+is = '6.88e-007 * jsa' jsw = '4.88e-013 * jsa' ik = 229000 -+bv = 11 ibv = 0.001 ikr = 1e-030 -+n = 1.0541 rs = '2e-010 * rsa' -+cj = '0.00095 * cja' cjp = '1.33e-010 * cjswa' pb = 0.606 -+php = 0.48 mj = 0.296 mjsw = 0.01 -+tlev = 1 tlevc = 1 trs = 0.0001 -+xti = 5 cta = 0.000825 ctp = 0.0018 -+tpb = 0.00146 tphp = 0.00313 eg = 1.11 - -.model pn_6p0 d level = 3 -+tref = 25 -+is = '2.0867e-007 * jsa' jsw = '1.6088e-013 * jsa' ik = 253800 -+ikr = 0 n = 1.0058 rs = '2.0e-010 * rsa' -+cj = '0.000912 * cja' cjsw = '1.4649e-010 * cjswa' pb = 0.76836 php = 0.5 -+mj = 0.32713 mjsw = 0.056777 -+tlev = 1 tlevc = 1 trs = 0.00168 xti = 3 -+cta = 0.001 ctp = 0.00071888 tpb = 0.0019314 tphp = 0.0017642 -+eg = 1.17 bv=10.5 -* -.model nwp_3p3 d level = 3 -+area = 1.6e-009 -+pj = 0.00016 -+tref = 25 -+is = '1.5654e-006 * jsa' -+jsw = '1.6912e-012 * jsa' -+ik = 300000 -+bv = 0 -+ibv = 0.001 -+n = 1.01 -+rs = '2e-010 * rsa' -+jtun = 0.00037353 -+jtunsw = 3.0737e-011 -+ntun = 22 -+cj = '0.00014917* cja' -+cjp = '5.8113e-010*cjswa' -+pb = 0.5755 -+php = 0.55456 -+mj = 0.33979 -+mjsw = 0.2257 -+tlev = 1 -+tlevc = 1 -+trs = 3.8628e-005 -+xti = 3 -+xtitun = -46 -+cta = 0.0023998 -+ctp = 0.0010977 -+eg = 1.18 -+tpb = 0.0027641 -+tphp = 0.0019629 -* -.model nwp_6p0 d level = 3 -+tref = 25 -+is = '1.6119e-006 * jsa' jsw = '2e-012 * jsa' ik = 100000 -+ikr = 0 n = 1 rs = '2e-010 * rsa' -+cj = '0.00014914 * cja' cjsw = '5.8719e-010 * cjswa' pb = 0.43905 php = 0.48991 -+mj = 0.30525 mjsw = 0.21757 -+tlev = 1 tlevc = 1 trs = 0 xti = 3 -+cta = 0.0028626 ctp = 0.00091707 tpb = 0.0024779 tphp = 0.00125 -+eg = 1.1763 bv=14 -* -.model dnwpw d level = 3 -+tref = 25 -+is = '5.2139e-007* jsa' jsw = '0* jsa' ik = 711930 vb = 14.732 -+ibv = 0.001 ikr = 0 n = 0.98 rs = '2e-010* rsa' -+cj = '0.00032124* cja' cjp = '5.4659e-010* cjswa' pb = 0.63391 php = 0.77752 -+mj = 0.31113 mjsw = 0.39816 -+tlev = 1 tlevc = 1 trs = 0.0002207 xti = 3 -+cta = 0.0012922 ctp = 0.0010772 tpb = 0.0019819 tphp = 0.0016567 -+eg = 1.17 -* -.model dnwps d level = 3 -+tref = 25 -+is = '2e-006* jsa' jsw = '1e-12* jsa' ik = 229050 vb = 30.48 -+ibv = 0.001 ikr = 0 n = 0.99335 rs = '2e-010* rsa' -+cj = '0.00022998* cja' cjp = '7.2369e-010* cjswa' pb = 0.35175 php = 0.37806 -+mj = 0.14716 mjsw = 0.19821 -+tlev = 1 tlevc = 1 trs = 0.0026028 xti = 3 -+cta = 0.0012309 ctp = 0.0012111 tpb = 0.0019414 tphp = 0.0017152 -+eg = 1.17 -* -.model sc_diode d level = 3 -+tref = 25 -+js = '8.16*10**jsa_sc' jsw = 0 ik = 4e+010 vb = '17 + vba_sc' -+ibv = 9.92e-005 ikr = 4e+008 n = 1.0553 rs = '2.768e-009*rs_sc' -+jtun = '1048.7*10**jtuna_sc' jtunsw = 0 ntun = 72.211 -+cj = '0.00176*cja_sc' cjp = 0 pb = 0.14256 php = 0.93627 -+mj = 0.02604 mjsw = 0.1545 -+tlev = 1 tlevc = 1 tcv = -5e-005 trs = 0.0022143 -+xti = 3 xtitun = -12.347 cta = 6.2962e-005 ctp = 0 -+tpb = 0.0002696 tphp = 0 eg = 0.61 -* -.endl diode -* -******************************************************************************************************* -* Resistor Models -* ---------------------- -* -* Temperature : -40, 0, 25, 50, 75, 100 and 125C. -* -* The resistor models were generated from the resistor characterization reports R-EZ-ER-557 Rev.1B or -* refer to resistor_VCR document attached in the lotus notes document. The user is advised to follow -* the instructions on the usage and understand the limitations of the models documented in this report. -* In particular the user should take note of the following : -* -* a. The parameters for each model were extracted from test structures with various dimensions. -* The user should therefore take note of this limitation when extending design & simulation -* beyond the test conditions. -* -* b. The voltage coefficients (r_vc1 and r_vc2) are set to zero by default in each model. -* If the need arise, the user should consult the resistor characterization report for the -* appropriate values to use. -* -* c. The Poly-STI-substrate capacitance parameters are obtained from YI-141-IA001 Rev. 1B. -* -* The models included in this release are as follows : -* -* Model Name Description -* ---------- ----------- -* -* nplus_u Model for 3-terminal unsalicidedn+ diffusion resistor -* pplus_u Model for 3-terminal unsalicidedP+ diffusion resistor -* nplus_s Model for 3-terminal salicided N+ diffusion resistor -* pplus_s Model for 3-terminal salicided P+ diffusion resistor -* nwell Model for 3-terminal nwell resistor under STI -* npolyf_u Model for 3-terminal unsalicidedn+ poly resistor -* ppolyf_u Model for 3-terminal unsalicided p+ poly resistor -* npolyf_s Model for 3-terminal salicided n+ poly resistor -* ppolyf_s Model for 3-terminal salicided p+ poly resistor -* ppolyf_u_1k Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide -* ppolyf_u_2k Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide -* ppolyf_u_1k_6p0 Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (6.0V area) -* ppolyf_u_2k_6p0 Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (6.0V area) -* ppolyf_u_3k Model for 3-terminal 3k high-Rs p+ poly resistor on field oxide (3.3V & 6V area) -* rm1 Model for 2-terminal metal 1 resistor -* rm2 Model for 2-terminal metal 2 resistor -* rm3 Model for 2-terminal metal 3 resistor -* tm6k Model for 2-terminal top metal 6k resistor -* tm9k Model for 2-terminal top metal 9k resistor -* tm30k Model for 2-terminal top metal 30k resistor -******************************************************************************************************* -.LIB res -* model for unsalicided n+ diffusion resistor -.subckt nplus_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0='rsh_nplus_u*(1+(mc_rsh_nplus_u/(rsh_nplus_u))*res_mc_skew*sw_stat_global)' -+ r_dw='-5E-8*(1+ mc_dw_nplus_u*res_mc_skew*sw_stat_global)' -+ r_dl=2E-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.36E-3 -+ r_tc2=6.5E-7 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* + par_r=0.012608 -* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' -* + var_r='0.7071*par_r*1e-06/par_sqrtarea' -* + mis_r=agauss(0, 0.1, 1) -**** + mis_r=agauss(0, var_r, 1) -+ mis_r = 0 - -* model for terminal resistor -.model nplus_u_t r -+ rsh='18.5+ mc_rt_nplus_u*res_mc_skew*sw_stat_global' -+ tc1=8.5E-4 -+ tc2=1.75E-6 -+ tnom=25 -* model for substrate capacitor -.model np_3p3 d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -rt1 1 11 nplus_u_t l='s*1u' w=r_w dtemp=dtemp -d1 3 1 np_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp -* body -rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' -* terminal 2 -rt2 21 2 nplus_u_t l='s*1u' w=r_w dtemp=dtemp -d2 3 2 np_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp -*------------------- -.ends nplus_u -******************************************************************************************************* -* model for unsalicided p+ diffusion resistor -.subckt pplus_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0='rsh_pplus_u*(1+mc_rsh_pplus_u/(rsh_pplus_u)*res_mc_skew*sw_stat_global)' -+ r_dw='2.75E-8*(1+ mc_dw_pplus_u*res_mc_skew*sw_stat_global)' -+ r_dl=5.0E-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* + par_r=0.0126 -* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' -* + var_r='0.7071*par_r*1e-06/par_sqrtarea' -* + mis_r=agauss(0, 0.1, 1) -** + mis_r=agauss(0, var_r, 1) -+ mis_r=0 - -* model for terminal resistor -.model pplus_u_t r -+ rsh='50+mc_rt_pplus_u*res_mc_skew*sw_stat_global' -+ tc1=-1.528E-3 -+ tc2=0.7E-6 -+ tnom=25 -* model for substrate capacitor -.model pn_3p3 d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -rt1 1 11 pplus_u_t l='s*1u' w=r_w dtemp=dtemp -d1 1 3 pn_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp -* body -rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' -* terminal 2 -rt2 21 2 pplus_u_t l='s*1u' w=r_w dtemp=dtemp -d2 2 3 pn_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp -*------------------- -.ends pplus_u -******************************************************************************************************* -* model for salicided n+ diffusion resistor -.subckt nplus_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0='rsh_nplus_s*(1 + mc_rsh_nplus_s/(rsh_nplus_s)*res_mc_skew*sw_stat_global)' -+ r_dw='-1.25E-8*(1+mc_dw_nplus_s*res_mc_skew*sw_stat_global)' -+ r_dl=3.5E-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.3E-3 -+ r_tc2=3E-7 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for terminal resistor -.model nplus_s_t r -+ rsh=6 -+ tc1=1.43E-3 -+ tc2=-0.27E-6 -+ tnom=25 -* model for substrate capacitor -.model np_3p3 d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -rt1 1 11 nplus_s_t l='s*1u' w=r_w dtemp=dtemp -d1 3 1 np_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp -* body -rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 nplus_s_t l='s*1u' w=r_w dtemp=dtemp -d2 3 2 np_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp -*------------------- -.ends nplus_s -******************************************************************************************************* -* model for salicided p+ diffusion resistor -.subckt pplus_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0='rsh_pplus_s*(1+ mc_rsh_pplus_s/(rsh_pplus_s)*res_mc_skew*sw_stat_global)' -+ r_dw='-5E-8*(1+mc_dw_pplus_s*res_mc_skew*sw_stat_global)' -+ r_dl=3.5E-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.375E-3 -+ r_tc2=0.45E-6 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for terminal resistor -.model pplus_s_t r -+ rsh=6.5 -+ tc1=1.565E-3 -+ tc2=-0.028E-6 -+ tnom=25 -* model for substrate capacitor -.model pn_3p3 d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -rt1 1 11 pplus_s_t l='s*1u' w=r_w dtemp=dtemp -d1 1 3 pn_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp -* body -rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 pplus_s_t l='s*1u' w=r_w dtemp=dtemp -d2 2 3 pn_3p3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp -*------------------- -.ends pplus_s -******************************************************************************************************* -* model for Nwell resistor under STI -.subckt nwell 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0 = rsh_nwell -+ r_dw = 2.22E-7 -+ r_dl = 1.02E-8 -+ r_vc1 = 0 -+ r_vc2 = 0 -+ r_tc1 = 2.285E-3 -+ r_tc2 = 9.78E-6 -+ r_tnom = 25 -+ r_l = 's*(r_length-2*r_dl)' -+ r_w = 'r_width-2*r_dw' -+ r_n = 'r_l/r_w' -+ r_temp = '1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for terminal resistor -.model nwell_t r -+ rsh = 250 -+ tc1 = 1.72E-3 -+ tc2 = 9.34E-6 -+ tnom = 25 -* model for substrate capacitor -.model nwp d -+ level = 3 -+ cj = 0.00014917 -+ mj = 0.33979 -+ pb = 0.5755 -+ cjsw = 5.8113e-010 -+ mjsw = 0.2257 -+ php = 0.55456 -+ cta = 0.0023998 -+ ctp = 0.0010977 -+ tpb = 0.0027641 -+ tphp = 0.0019629 -+ tlevc = 1 -+ tref = 25 -*------------------- -* terminal 1 -rt1 1 11 nwell_t l='s*1u' w=r_w dtemp=dtemp -d1 3 1 nwp area='r_w*r_l/2' PJ= 'r_w+2*r_l/2' dtemp=dtemp -* body -rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 nwell_t l='s*1u' w=r_w dtemp=dtemp -d2 3 2 nwp area='r_w*r_l/2' PJ= 'r_w+2*r_l/2' dtemp=dtemp -*------------------- -.ends nwell -******************************************************************************************************* -******************************************************************************************************* -* model for n+ poly on field oxide resistor -.subckt npolyf_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -* model for body resistor -+ r_rsh0='rsh_npolyf_u*(1+mc_rsh_npolyf_u/(rsh_npolyf_u)*res_mc_skew*sw_stat_global)' -+ r_dw='0.0265e-6*(1+ mc_dw_npolyf_u*res_mc_skew*sw_stat_global)' -+ r_dl=8.48e-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=-1.4e-3 -+ r_tc2=2.2E-6 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* + par_r=0.05808 -* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' -* + var_r='0.7071*par_r*1e-06/par_sqrtarea' -* + mis_r=agauss(0, 0.1, 1) -** + mis_r=agauss(0, var_r, 1) -+ mis_r=0 - -.model npolyf_u_body r -+ af=1.684 -+ kf=3.6e-23 -+ noise=1 -* model for terminal resistor -.model npolyf_u_t r -+ rsh='40+ mc_rt_npolyf_u*res_mc_skew*sw_stat_global' -+ tc1=-0.735E-3 -+ tc2=-1.7E-6 -+ tnom=25 -+ af=1.684 -+ kf=3.6e-23 -+ noise=1 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85E-05 -*------------------- -* terminal 1 -rt1 1 11 npolyf_u_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 npolyf_u_body l=r_l w=r_w -+r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' -* terminal 2 -rt2 21 2 npolyf_u_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends npolyf_u -******************************************************************************************************* -* model for P+ poly on field oxide resistor -.subckt ppolyf_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -* model for body resistor -+ r_rsh0='rsh_ppolyf_u*(1+mc_rsh_ppolyf_u/(rsh_ppolyf_u)*res_mc_skew*sw_stat_global)' -+ r_dw='2.55E-8*(1+ mc_dw_ppolyf_u*res_mc_skew*sw_stat_global)' -+ r_dl=2E-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=-0.9e-4 -+ r_tc2=7E-7 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* + par_r=0.021 -* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' -* + var_r='0.7071*par_r*1e-06/par_sqrtarea' -* + mis_r=agauss(0, 0.1, 1) -** + mis_r=agauss(0, var_r, 1) -+ mis_r = 0 - -.model ppolyf_u_body r -+ af=1.79 -+ kf=2.4E-23 -+ noise=1 -* model for terminal resistor -.model ppolyf_u_t r -+ rsh='60+ mc_rt_ppolyf_u*res_mc_skew*sw_stat_global' -+ tc1=-1.47E-3 -+ tc2=0.82E-6 -+ tnom=25 -+ af=1.79 -+ kf=2.4E-23 -+ noise=1 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85e-05 -*------------------- -* terminal 1 -rt1 1 11 ppolyf_u_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 ppolyf_u_body l=r_l w=r_w -+r='(r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n))*(1+mis_r*sw_stat_mismatch)' -* terminal 2 -rt2 21 2 ppolyf_u_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends ppolyf_u -******************************************************************************************************* -******************************************************************************************************* -* model for salicided n+ poly over field oxide resistor -.subckt npolyf_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -* model for body resistor -+ r_rsh0='rsh_npolyf_s*(1+mc_rsh_npolyf_s/(rsh_npolyf_s)*res_mc_skew*sw_stat_global)' -+ r_dw='6.5e-9*(1+mc_dw_npolyf_s*res_mc_skew*sw_stat_global)' -+ r_dl=1.5e-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.26e-3 -+ r_tc2=0.25E-6 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -.model npolyf_s_body r -+ af=1.684 -+ kf=3.6e-23 -+ noise=1 -* model for terminal resistor -.model npolyf_s_t r -+ rsh=5.5 -+ tc1=1.28E-3 -+ tc2=-0.5E-6 -+ tnom=25 -+ af=1.684 -+ kf=3.6e-23 -+ noise=1 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85E-05 -*------------------- -* terminal 1 -rt1 1 11 npolyf_s_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 npolyf_s_body l=r_l w=r_w -+r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 npolyf_s_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends npolyf_s -******************************************************************************************************* -******************************************************************************************************* -* model for salicided p+ poly over field oxide resistor -.subckt ppolyf_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -* model for body resistor -+ r_rsh0='rsh_ppolyf_s*(1+mc_rsh_ppolyf_s/(rsh_ppolyf_s)*res_mc_skew*sw_stat_global)' -+ r_dw='7.5E-9*(1+mc_dw_ppolyf_s*res_mc_skew*sw_stat_global)' -+ r_dl=1.5E-10 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.245e-3 -+ r_tc2=3.6E-7 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -.model ppolyf_s_body r -+ af=1.79 -+ kf=2.4E-23 -+ noise=1 -* model for terminal resistor -.model ppolyf_s_t r -+ rsh=5 -+ tc1=1.254E-3 -+ tc2=-0.27E-6 -+ tnom=25 -+ af=1.79 -+ kf=2.4E-23 -+ noise=1 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85e-05 -*------------------- -* terminal 1 -rt1 1 11 ppolyf_s_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 ppolyf_s_body l=r_l w=r_w -+r='(r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n))' -* terminal 2 -rt2 21 2 ppolyf_s_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends ppolyf_s -******************************************************************************************************* -* model for 1k high-Rs P+ poly on field oxide resistor (LV area) -******************************************************************************************************* -.subckt ppolyf_u_1k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* model for body resistor -.param -+ r_rsh0='rsh_ppolyf_u_1k*(1+ mc_rsh_ppolyf_u_1k/(rsh_ppolyf_u_1k)*res_mc_skew*sw_stat_global)' -+ r_dw='0.0148E-6*(1+ mc_dw_ppolyf_u_1k*res_mc_skew*sw_stat_global)' -+ r_dl=3.85E-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=-9.39e-4 -+ r_tc2=2.51E-6 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -.model ppolyf_u_1k_body r -+ af=1 -+ kf=2.62e-26 -+ noise=1 -* model for terminal resistor -.model ppolyf_u_1k_t r -+ rsh='85.45+mc_rt_ppolyf_u_1k*res_mc_skew*sw_stat_global' -+ tc1=-7.92E-3 -+ tc2=4.25E-5 -+ tnom=25 -+ af=1 -+ kf=2.62e-26 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85E-05 -*------------------- -* terminal 1 -rt1 1 11 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 ppolyf_u_1k_body l=r_l w=r_w -+r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends ppolyf_u_1k -******************************************************************************************************* -*** model for 2k high-rs P+ poly on field oxide resistor (LV area) -******************************************************************************************************* -* model for 2k high-Rs P+ poly on field oxide resistor -.subckt ppolyf_u_2k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* model for body resistor -.param -+ r_rsh0='rsh_ppolyf_u_2k*(1+ mc_rsh_ppolyf_u_2k/(rsh_ppolyf_u_2k)*res_mc_skew*sw_stat_global)' -+ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_2k*res_mc_skew*sw_stat_global)' -+ r_dl=-0.0932E-6 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=-0.001669823 -+ r_tc2=3.74326E-06 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -.model ppolyf_u_2k_body r -+ af=1 -+ kf=2.62e-26 -+ noise=1 -* model for terminal resistor -.model ppolyf_u_2k_t r -+ rsh='33.16+mc_rt_ppolyf_u_2k*res_mc_skew*sw_stat_global' -+ tc1=-0.003763316 -+ tc2=9.81166E-06 -+ tnom=25 -+ af=1 -+ kf=2.62e-26 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85E-05 -*------------------- -* terminal 1 -rt1 1 11 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 ppolyf_u_2k_body l=r_l w=r_w -+r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends ppolyf_u_2k -******************************************************************************************************* -* model for 1k high-Rs P+ poly on field oxide resistor (MV area) -******************************************************************************************************* -.subckt ppolyf_u_1k_6p0 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* model for body resistor -.param -+ r_rsh0='rsh_ppolyf_u_1k_6p0*(1 + mc_rsh_ppolyf_u_1k_6p0/(rsh_ppolyf_u_1k_6p0)*res_mc_skew*sw_stat_global)' -+ r_dw='0.0148E-6*(1+ mc_dw_ppolyf_u_1k_6p0*res_mc_skew*sw_stat_global)' -+ r_dl=3.85E-11 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=-9.39e-4 -+ r_tc2=2.51E-6 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -.model ppolyf_u_1k_body r -+ af=1 -+ kf=2.62e-26 -+ noise=1 -* model for terminal resistor -.model ppolyf_u_1k_t r -+ rsh='85.45+mc_rt_ppolyf_u_1k_6p0*res_mc_skew*sw_stat_global' -+ tc1=-7.92E-3 -+ tc2=4.25E-5 -+ tnom=25 -+ af=1 -+ kf=2.62e-26 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85E-05 -*------------------- -* terminal 1 -rt1 1 11 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 ppolyf_u_1k_body l=r_l w=r_w -+r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends ppolyf_u_1k_6p0 -******************************************************************************************************* -*** model for 2k high-rs P+ poly on field oxide resistor (MV area) -******************************************************************************************************* -* model for 2k high-Rs P+ poly on field oxide resistor -.subckt ppolyf_u_2k_6p0 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* model for body resistor -.param -+ r_rsh0='rsh_ppolyf_u_2k_6p0+mc_rsh_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global' -+ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global)' -+ r_dl=-0.0932E-6 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=-0.001669823 -+ r_tc2=3.74326E-06 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -.model ppolyf_u_2k_body r -+ af=1 -+ kf=2.62e-26 -+ noise=1 -* model for terminal resistor -.model ppolyf_u_2k_t r -+ rsh='33.16+mc_rt_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global' -+ tc1=-0.003763316 -+ tc2=9.81166E-06 -+ tnom=25 -+ af=1 -+ kf=2.62e-26 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85E-05 -*------------------- -* terminal 1 -rt1 1 11 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 ppolyf_u_2k_body l=r_l w=r_w -+r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends ppolyf_u_2k_6p0 -******************************************************************************************************* -*** model for 3k high-rs P+ poly on field oxide resistor (LV & MVarea) -******************************************************************************************************* -* model for 3k high-Rs P+ poly on field oxide resistor -.subckt ppolyf_u_3k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* model for body resistor -.param -+ r_rsh0='rsh_ppolyf_u_3k*(1 + mc_rsh_ppolyf_u_3k/(rsh_ppolyf_u_3k)*res_mc_skew*sw_stat_global)' -+ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_3k*res_mc_skew*sw_stat_global)' -+ r_dl=-0.0932E-6 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=-0.001669823 -+ r_tc2=3.74326E-06 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -.model ppolyf_u_3k_body r -+ af=1 -+ kf=2.62e-26 -+ noise=1 -* model for terminal resistor -.model ppolyf_u_3k_t r -+ rsh='33.16+mc_rt_ppolyf_u_3k*res_mc_skew*sw_stat_global' -+ tc1=-0.003763316 -+ tc2=9.81166E-06 -+ tnom=25 -+ af=1 -+ kf=2.62e-26 -* model for substrate capacitor (pF/um2) -.model fox_sub c -+ cox=8.85E-05 -*------------------- -* terminal 1 -rt1 1 11 ppolyf_u_3k_t l='s*1u' w=r_w dtemp=dtemp -c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -* body -rb 11 21 ppolyf_u_3k_body l=r_l w=r_w -+r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' -* terminal 2 -rt2 21 2 ppolyf_u_3k_t l='s*1u' w=r_w dtemp=dtemp -c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp -*------------------- -.ends ppolyf_u_3k -******************************************************************************************************* -* model for metal 1 resistor -.subckt rm1 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0=rsh_rm1 -+ r_dw=0 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.33E-3 -+ r_tc2=0 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -*------------------- -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends rm1 -******************************************************************************************************* -* model for metal 2 resistor -.subckt rm2 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0=rsh_rm2 -+ r_dw=0 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.33E-3 -+ r_tc2=0 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -*------------------- -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends rm2 -******************************************************************************************************* -* model for metal 3 resistor -.subckt rm3 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0=rsh_rm3 -+ r_dw=0 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.33E-3 -+ r_tc2=0 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -*------------------- -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends rm3 -*************************************************************************************** -* model for top metal 6k resistor -.subckt tm6k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0=rsh_tm6k -+ r_dw=0 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.5e-3 -+ r_tc2=0 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -*------------------- -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends tm6k -*************************************************************************************** -* model for top metal 9k resistor -.subckt tm9k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0=rsh_tm9k -+ r_dw=0 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.7e-3 -+ r_tc2=0 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -*------------------- -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends tm9k -*************************************************************************************** -* model for top metal 11k resistor -.subckt tm11k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 -*------------------- -* body resistor parameters -+ r_rsh0=rsh_tm11k -+ r_dw=0 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.7e-3 -+ r_tc2=0 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -*------------------- -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends tm11k -*************************************************************************************** -* model for top metal 30k resistor -.subckt tm30k 1 2 r_length=l r_width=w par=1 s=1 dtemp=0 -*------------------- -* body resistor parameters -+ r_rsh0='rsh_tm30k' -+ r_dw=0 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=3.86e-3 -+ r_tc2=1.51e-6 -+ r_tnom=25 -+ r_l='s*(r_length-2*r_dl)' -+ r_w='r_width-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -*------------------- -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*rb 1 2 r='r_temp*r_n*r_rsh0' vc1 = 'r_vc1/r_n/r_rsh0' vc2 = 'r_vc2/r_n/r_n/r_rsh0' -*------------------- -.ends tm30k -*************************************************************************************** -* -.ENDL res -* -* ---------------------------------------------------------------------------------------------------- -* MIM Capacitor Scalable DC Model -* -*The models are obtained from YI-141-SM003 Rev. 1E. -* -* ---------------------------------------------------------------------------------------------------- -.LIB mim_cap -*/ ------------------------------------------------------------------------------------- -*/ MIM Capacitor (1.5fF/um2) subcircuit model for GF's 0.18 Analog CMOS process -*/-------------------------------------------------------------------------------------- -.subckt mim_1p5fF 1 2 c_length=l c_width=w dtemp=0 par=1 -.param -+ c_cox='1.47e-3*mim_corner_1p5fF' -+ c_capsw='3.79e-10*mim_corner_1p5fF' -+ c_tnom=25 -+ c_tc1=4.0604E-05 -+ c_tc2=-6.90E-08 -+ c_vcr1=-4.5152E-05 -+ c_vcr2=9.748E-06 -+ c_area='c_length*c_width' -+ c_peri='2*(c_length+c_width)' -+ c_c0='(c_cox*c_area+c_capsw*c_peri)*(1+c_tc1*(temper+dtemp-c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' -*/ -*/ model for capacitance -c_cap 1 2 c='c_c0*(1+ c_vcr1*v(1, 2)+c_vcr2*v(1,2)*v(1,2) )*(1+mc_c_cox_1p5fF)' -** -.ends mim_1p5fF -*/ ------------------------------------------------------------------------------------- -*/ MIM Capacitor (1fF/um2) subcircuit model for GF's 0.18 Analog CMOS process -*/-------------------------------------------------------------------------------------- -.subckt mim_1p0fF 1 2 c_length=l c_width=w dtemp=0 par=1 -.param -+ c_cox='0.987e-3*mim_corner_1p0fF' -+ c_capsw='3.3e-10*mim_corner_1p0fF' -+ c_tnom=25 -+ c_tc1=1.302e-5 -+ c_tc2=-4.93e-9 -+ c_vcr1=6.079e-6 -+ c_vcr2=1.268e-6 -+ c_area='c_length*c_width' -+ c_peri='2*(c_length+c_width)' -+ c_c0='(c_cox*c_area+c_capsw*c_peri)*(1+c_tc1*(temper+dtemp-c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' -*/ -*/ model for capacitance -c_cap 1 2 c='c_c0*(1+ c_vcr1*v(1, 2)+c_vcr2*v(1,2)*v(1,2) )*(1+mc_c_cox_1p0fF)' -** -.ends mim_1p0fF -*/ ------------------------------------------------------------------------------------- -*/ MIM Capacitor (2fF/um2) subcircuit model for GLOBALFOUNDRIES 0.18 Analog CMOS process M2-M3 -*/-------------------------------------------------------------------------------------- -.subckt mim_2p0fF 1 2 c_length=l c_width=w dtemp=0 par=1 -.param gleak='9.51e-10/5*10000' -.param c_cox='1.99e-3*mim_corner_2p0fF' -.param c_capsw='2.383e-10*mim_corner_2p0fF' -.param c_vcr1='0+(c_width>5u||c_length>5u)*8.742e-6+(c_width<=5u||c_length<=5u)*(-81e-6)' -.param c_vcr2='0+(c_width>5u||c_length>5u)*9.188e-6+(c_width<=5u||c_length<=5u)*(16.7e-6)' - -.param c_tnom=25 -.param c_tc1=1.46e-5 -.param c_tc2=-5.55e-8 -.param c_AREA='c_length*c_width' -.param c_PERI='2*(c_length+c_width)' - -.param c_c0='(c_cox*c_AREA+c_capsw*c_PERI)*(1+c_tc1*(temper +dtemp -c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' -* -c_cap 1 2 c='c_c0*(1+c_vcr1*v(1,2)+c_vcr2*v(1,2)*v(1,2))*(1+mc_c_cox_2p0fF)' -r_leak 1 2 r='1/(gleak*c_AREA)' tc1=c_tc1 tc2=c_tc2 dtemp=dtemp -.ends mim_2p0fF -.ENDL mim_cap -* ---------------------------------------------------------------------------------------------------- -* -* -.LIB moscap - -.subckt nmoscap_3p3 1 2 c_length=l c_width=w dtemp=0 -.param cvar1=0.002003 -.param cvar2=0.00198 -.param cvar3=6.25 -.param cvar4=-3.9375 -c_moscap 1 2 c='nmoscap_3p3_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp -.ends nmoscap_3p3 -* -.subckt pmoscap_3p3 1 2 c_length=l c_width=w dtemp=0 -.param cvar1=0.001998 -.param cvar2=0.00196 -.param cvar3=-6.25 -.param cvar4=-4.9375 -c_moscap 1 2 c='pmoscap_3p3_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp -.ends pmoscap_3p3 -* -.subckt nmoscap_6p0 1 2 c_length=l c_width=w dtemp=0 -.param cvar1=0.001107 -.param cvar2=0.00107 -.param cvar3=6.25 -.param cvar4=-4.1875 -c_moscap 1 2 c='nmoscap_6p0_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp -.ends nmoscap_6p0 -* -.subckt pmoscap_6p0 1 2 c_length=l c_width=w dtemp=0 -.param cvar1=0.001107 -.param cvar2=0.00107 -.param cvar3=-6.25 -.param cvar4=-5.75 -c_moscap 1 2 c='pmoscap_6p0_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp -.ends pmoscap_6p0 -* -.subckt nmoscap_3p3_b 1 2 c_length=l c_width=w dtemp=0 -.param cvar1=0.002458 -.param cvar2=0.001533 -.param cvar3=1.515152 -.param cvar4=0.560606 -c_moscap 1 2 c='nmoscap_3p3_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp -.ends nmoscap_3p3_b -* -.subckt pmoscap_3p3_b 1 2 c_length=l c_width=w dtemp=0 -.param cvar1=0.002435 -.param cvar2=0.00154 -.param cvar3=-1.66667 -.param cvar4=0.65 -c_moscap 1 2 c='pmoscap_3p3_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp -.ends pmoscap_3p3_b -* -.subckt nmoscap_6p0_b 1 2 c_length=l c_width=w dtemp=0 -.param cvar1=0.001293 -.param cvar2=0.000863 -.param cvar3=1.052632 -.param cvar4=0.736842 -c_moscap 1 2 c='nmoscap_6p0_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp -.ends nmoscap_6p0_b -* -.subckt pmoscap_6p0_b 1 2 c_length=l c_width=w dtemp=0 -.param cvar1=0.001325 -.param cvar2=0.000865 -.param cvar3=-1.42857 -.param cvar4=0.642857 -c_moscap 1 2 c='pmoscap_6p0_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp -.ends pmoscap_6p0_b -* -.ENDL moscap -* -*************************************************************************************************** -* 3.3V NMOS statistical Models -*************************************************************************************************** -* -.lib nmos_3p3_stat - - -.subckt nmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.007148 -+ par_k=0.007008 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) -xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b nmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model nmos_3p3.0 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_0 -+lvth0 = -3.8715455e-008 -+wvth0 = -1.430587e-008 -+pvth0 = 4.3636364e-016 -+k1 = 0.95938091 -+lk1 = -9.9985454e-008 -+k2 = 0.054714558 -+lk2 = -4.1647636e-008 -+wk2 = -1.9242857e-008 -+pk2 = 5.388e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.1262652 -+lvoff = 3.9354545e-009 -+wvoff = 5.3064935e-009 -+pvoff = -1.4858182e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.023671338 -+lu0 = 4.6525455e-009 -+wu0 = 4.6066597e-009 -+pu0 = -6.5127273e-016 -+ua = -1.1554452e-009 -+lua = 7.0220545e-016 -+wua = 2.7073777e-016 -+pua = -1.4149745e-022 -+ub = 3.3771156e-018 -+lub = -7.9058636e-025 -+wub = -4.093733e-025 -+pub = 9.2644364e-032 -+uc = 2.2660166e-010 -+luc = -6.1360545e-017 -+wuc = -3.2577351e-017 -+puc = 5.4467782e-024 -+eu = 1.67 -+vsat = 92454.546 -+lvsat = -0.0027272727 -+wvsat = -0.00021818182 -+pvsat = 1.3090909e-009 -+a0 = 0.11197377 -+la0 = -3.1454545e-009 -+wa0 = -6.2322078e-009 -+pa0 = 1.7450182e-015 -+ags = 0.32403844 -+lags = -1.5116364e-008 -+wags = 4.7930493e-008 -+pags = -1.2213818e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.14896036 -+lketa = 3.8830182e-008 -+wketa = 8.1643636e-009 -+pketa = -2.4261818e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.3741 -+lpclm = -4.729e-008 -+wpclm = 2.1028364e-008 -+ppclm = 8.5658182e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0036363636 -+ldelta = 3.1818182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.652013e-006 -+lalpha0 = -3.0506364e-013 -+walpha0 = 4.8779221e-014 -+palpha0 = -1.3658182e-020 -+alpha1 = 0 -+beta0 = 19.905584 -+lbeta0 = 1.2863636e-007 -+wbeta0 = 1.3848312e-007 -+pbeta0 = 8.7272727e-016 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.45934558 -+lkt1 = 4.2126364e-008 -+wkt1 = 3.2086753e-008 -+pkt1 = -8.6530909e-015 -+kt1l = 0 -+kt2 = -0.024730519 -+lkt2 = 1.2545455e-009 -+wkt2 = 1.0597403e-009 -+pkt2 = -2.9672727e-016 -+ute = -1.5675325 -+lute = 9.0909091e-008 -+wute = 1.0441558e-007 -+pute = -4.3636364e-014 -+ua1 = 1.675e-009 -+ub1 = -4.1945234e-018 -+lub1 = 2.8745455e-025 -+wub1 = 3.3492467e-025 -+pub1 = -5.7490909e-032 -+uc1 = -4.2363636e-011 -+luc1 = -3.8181818e-018 -+wuc1 = -6.5454545e-018 -+puc1 = 1.8327273e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.1 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_1 -+lvth0 = -2.3433061e-008 -+wvth0 = -1.2304653e-008 -+pvth0 = -5.642449e-016 -+k1 = 0.74639857 -+lk1 = 6.5057143e-009 -+k2 = 0.0237458 -+lk2 = -2.6163257e-008 -+wk2 = -3.01296e-009 -+pk2 = -2.7269486e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.11273959 -+lvoff = -2.8273469e-009 -+wvoff = 1.6942041e-009 -+pvoff = 3.2032653e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.029675694 -+lu0 = 1.6503673e-009 -+wu0 = 8.572898e-010 -+pu0 = 1.2234122e-015 -+ua = -1.2961984e-009 -+lua = 7.7258204e-016 -+wua = 4.7264816e-017 -+pua = -2.976098e-023 -+ub = 3.0836898e-018 -+lub = -6.4387347e-025 -+wub = -2.7080816e-026 -+pub = -9.8501878e-032 -+uc = 8.4613959e-011 -+luc = 9.6333061e-018 -+wuc = 2.2398367e-018 -+puc = -1.1961815e-023 -+eu = 1.67 -+vsat = 83571.429 -+lvsat = 0.0017142857 -+wvsat = -0.0017142857 -+pvsat = 2.0571429e-009 -+a0 = 1.0861147 -+la0 = -4.9021592e-007 -+wa0 = -5.1997224e-008 -+pa0 = 2.4627526e-014 -+ags = 0.47870122 -+lags = -9.2447755e-008 -+wags = 4.3304327e-008 -+pags = -9.9007347e-015 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.028417143 -+lketa = -2.1441429e-008 -+wketa = -7.4262857e-009 -+pketa = 5.3691429e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.082893878 -+lpclm = 9.8313061e-008 -+wpclm = 4.3902367e-008 -+ppclm = -2.8711837e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.001359 -+lpdiblc2= 9.06e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0014285714 -+ldelta = 4.2857143e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.5720816e-006 -+lalpha0 = -2.265098e-012 -+walpha0 = -1.5330612e-014 -+palpha0 = 1.8396735e-020 -+alpha1 = 0 -+beta0 = 22.625306 -+lbeta0 = -1.2312245e-006 -+wbeta0 = -3.5054694e-007 -+pbeta0 = 2.4538775e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33916633 -+lkt1 = -1.7963265e-008 -+wkt1 = -2.4641633e-009 -+pkt1 = 8.6223674e-015 -+kt1l = 0 -+kt2 = -0.020311225 -+lkt2 = -9.5510204e-010 -+wkt2 = -3.9183673e-011 -+pkt2 = 2.5273469e-016 -+ute = -1.3857143 -+wute = 1.7142857e-008 -+ua1 = 1.675e-009 -+ub1 = -2.804398e-018 -+lub1 = -4.0760816e-025 -+wub1 = 5.6899592e-026 -+pub1 = 8.1521633e-032 -+uc1 = -6.0285714e-011 -+luc1 = 5.1428571e-018 -+wuc1 = 2.0571429e-018 -+puc1 = -2.4685714e-024 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.2 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_2 -+lvth0 = -3.224026e-009 -+wvth0 = -9.7008312e-009 -+pvth0 = -3.6888312e-015 -+k1 = 0.79593364 -+lk1 = -5.2936364e-008 -+k2 = 0.0056393844 -+lk2 = -4.4355584e-009 -+wk2 = -7.4596769e-009 -+pk2 = 2.6091117e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12631325 -+lvoff = 1.3461039e-008 -+wvoff = 2.0819221e-009 -+pvoff = -1.4493507e-016 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032447266 -+lu0 = -1.6755195e-009 -+wu0 = 6.7095584e-010 -+pu0 = 1.447013e-015 -+ua = -8.1547091e-010 -+lua = 1.9570909e-016 -+wua = 6.0458182e-018 -+pua = 1.9701818e-023 -+ub = 2.7427942e-018 -+lub = -2.347987e-025 -+wub = -1.6048831e-026 -+pub = -1.1174026e-031 -+uc = 9.84685e-011 -+luc = -6.9921429e-018 -+wuc = -8.8975636e-018 -+puc = 1.4030649e-024 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.224418 -+la0 = -6.5617987e-007 -+wa0 = 4.291948e-009 -+pa0 = -4.2919481e-014 -+ags = 0.25784649 -+lags = 1.7257792e-007 -+wags = -2.606026e-009 -+pags = 4.5191688e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.019651071 -+lketa = -3.1960714e-008 -+wketa = -6.5992208e-010 -+pketa = -2.7504935e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.18918506 -+lpclm = -2.9236364e-008 -+wpclm = 2.1551688e-009 -+ppclm = 4.7225454e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064013636 -+lpdiblc2= 1.7686364e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027272727 -+ldelta = 2.7272727e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 7.5243347e-005 -+lalpha0 = -8.4670617e-011 -+walpha0 = 7.5358442e-012 -+palpha0 = -9.043013e-018 -+alpha1 = 0 -+beta0 = 24.210162 -+lbeta0 = -3.133052e-006 -+wbeta0 = 1.1381299e-007 -+pbeta0 = -3.1184416e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.32898149 -+lkt1 = -3.0185065e-008 -+wkt1 = -7.3528831e-009 -+pkt1 = 1.4488831e-014 -+kt1l = 0 -+kt2 = -0.021107143 -+wkt2 = 1.7142857e-010 -+ute = -1.3857143 -+wute = 1.7142857e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5166039e-018 -+lub1 = -7.5296104e-025 -+wub1 = 2.224987e-026 -+pub1 = 1.231013e-031 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.3 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_3 -+wvth0 = -1.0069714e-008 -+k1 = 0.79064 -+k2 = 0.0051958286 -+wk2 = -7.1987657e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12496714 -+wvoff = 2.0674286e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.032279714 -+wu0 = 8.1565714e-010 -+ua = -7.959e-010 -+wua = 8.016e-018 -+ub = 2.7193143e-018 -+wub = -2.7222857e-026 -+uc = 9.7769286e-011 -+wuc = -8.7572571e-018 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.1588 -+ags = 0.27510429 -+wags = 1.9131429e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.022847143 -+wketa = -9.3497143e-010 -+dwg = 0 -+dwb = 0 -+pclm = 0.18626143 -+wpclm = 6.8777143e-009 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.6776286e-005 -+walpha0 = 6.6315429e-012 -+alpha1 = 0 -+beta0 = 23.896857 -+wbeta0 = 8.2628571e-008 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.332 -+wkt1 = -5.904e-009 -+kt1l = 0 -+kt2 = -0.021107143 -+wkt2 = 1.7142857e-010 -+ute = -1.3857143 -+wute = 1.7142857e-008 -+ua1 = 1.675e-009 -+ub1 = -2.5919e-018 -+wub1 = 3.456e-026 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.4 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_4 -+lvth0 = -4.1979273e-008 -+wvth0 = -2.1596758e-008 -+pvth0 = 2.0029964e-015 -+k1 = 0.95938091 -+lk1 = -9.9985454e-008 -+k2 = 0.041255727 -+lk2 = -3.7879164e-008 -+wk2 = -1.2782618e-008 -+pk2 = 3.5791331e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.079311948 -+lvoff = -9.2114546e-009 -+wvoff = -1.7231065e-008 -+pvoff = 4.8246982e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.033011551 -+lu0 = 4.0251818e-009 -+wu0 = 1.2335751e-010 -+pu0 = -3.5013818e-016 -+ua = -6.3005701e-010 -+lua = 3.9938436e-016 -+wua = 1.8551439e-017 -+pua = 3.8566691e-024 -+ub = 2.2836418e-018 -+lub = -9.0230909e-026 -+wub = 1.1549411e-025 -+pub = -2.4352626e-031 -+uc = 1.5877203e-010 -+luc = -3.4349127e-017 -+wuc = -1.9125195e-020 -+puc = -7.5187026e-024 -+eu = 1.67 -+vsat = 71618.182 -+lvsat = 0.0042909091 -+wvsat = 0.0097832727 -+pvsat = -2.0596364e-009 -+a0 = 0.10680558 -+la0 = -1.6983636e-009 -+wa0 = -3.7514805e-009 -+pa0 = 1.0504145e-015 -+ags = 0.35500309 -+lags = -1.1780546e-008 -+wags = 3.3067462e-008 -+pags = -1.3815011e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12490989 -+lketa = 3.0254945e-008 -+wketa = -3.3798633e-009 -+pketa = 1.6899316e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.45921829 -+lpclm = -8.0088e-008 -+wpclm = -1.9828414e-008 -+ppclm = 2.4308858e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0036363636 -+ldelta = 3.1818182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6500109e-006 -+lalpha0 = -2.8170545e-013 -+walpha0 = 4.9740218e-014 -+palpha0 = -2.4870109e-020 -+alpha1 = 0 -+beta0 = 20.982852 -+lbeta0 = -8.9454546e-008 -+wbeta0 = -3.786053e-007 -+pbeta0 = 1.0555636e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.37773746 -+lkt1 = 1.6718727e-008 -+wkt1 = -7.0851491e-009 -+pkt1 = 3.5425745e-015 -+kt1l = 0 -+kt2 = -0.014603854 -+lkt2 = -3.3230727e-009 -+wkt2 = -3.8010589e-009 -+pkt2 = 1.9005294e-015 -+ute = -1.4342857 -+wute = 4.0457143e-008 -+ua1 = 1.675e-009 -+ub1 = -3.65896e-018 -+lub1 = 2.4878e-025 -+wub1 = 7.7854254e-026 -+pub1 = -3.8927127e-032 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.5 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_5 -+lvth0 = -1.7716408e-008 -+wvth0 = -1.0974289e-008 -+pvth0 = -3.3082384e-015 -+k1 = 0.76833212 -+lk1 = -4.4610612e-009 -+wk1 = -1.0528104e-008 -+pk1 = 5.2640522e-015 -+k2 = 0.0082103273 -+lk2 = -2.1356464e-008 -+wk2 = 4.4440669e-009 -+pk2 = -5.0342094e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12049225 -+lvoff = 1.1378694e-008 -+wvoff = 5.4154776e-009 -+pvoff = -6.4985731e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.031181163 -+lu0 = 4.9403755e-009 -+wu0 = 1.3466449e-010 -+pu0 = -3.5579167e-016 -+ua = -1.1586455e-009 -+lua = 6.6367861e-016 -+wua = -1.8760555e-017 -+pua = 2.2512666e-023 -+ub = 2.8240225e-018 -+lub = -3.6042122e-025 -+wub = 9.755951e-026 -+pub = -2.3455895e-031 -+uc = 8.1997037e-011 -+luc = 4.0383673e-018 -+wuc = 3.4959595e-018 -+puc = -9.2762449e-024 -+eu = 1.67 -+vsat = 88428.571 -+lvsat = -0.0041142857 -+wvsat = -0.0040457143 -+pvsat = 4.8548571e-009 -+a0 = 0.97533082 -+la0 = -4.3596098e-007 -+wa0 = 1.1790367e-009 -+pa0 = -1.4148441e-015 -+ags = 0.441074 -+lags = -5.4816e-008 -+wags = 6.1365394e-008 -+pags = -2.7963977e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043888571 -+lketa = -1.0255714e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.21719837 -+lpclm = 4.0921959e-008 -+wpclm = -2.0563788e-008 -+ppclm = 2.4676545e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.001359 -+lpdiblc2= 9.06e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0014285714 -+ldelta = 4.2857143e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.8164074e-006 -+lalpha0 = -2.3649037e-012 -+walpha0 = -1.3260696e-013 -+palpha0 = 6.6303478e-020 -+alpha1 = 0 -+beta0 = 21.036008 -+lbeta0 = -1.1603265e-007 -+wbeta0 = 4.1231608e-007 -+pbeta0 = -2.8990433e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.4079911 -+lkt1 = 3.1845551e-008 -+wkt1 = 3.0571729e-008 -+pkt1 = -1.5285865e-014 -+kt1l = 0 -+kt2 = -0.031229592 -+lkt2 = 4.9897959e-009 -+wkt2 = 5.2016327e-009 -+pkt2 = -2.6008163e-015 -+ute = -1.4342857 -+wute = 4.0457143e-008 -+ua1 = 1.675e-009 -+ub1 = -2.8098294e-018 -+lub1 = -1.7578531e-025 -+wub1 = 5.9506678e-026 -+pub1 = -2.9753339e-032 -+uc1 = -1.1888774e-010 -+luc1 = 3.1443869e-017 -+wuc1 = 3.0186115e-017 -+puc1 = -1.5093057e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.6 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_6 -+lvth0 = 1.325026e-008 -+wvth0 = -4.067414e-009 -+pvth0 = -1.1596488e-014 -+k1 = 0.79418892 -+lk1 = -3.5489221e-008 -+wk1 = 8.3746286e-010 -+pk1 = -8.3746286e-015 -+k2 = -0.0057236965 -+lk2 = -4.6356351e-009 -+wk2 = -2.005398e-009 -+pk2 = 2.7051485e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12197591 -+lvoff = 1.3159091e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036490513 -+lu0 = -1.4308442e-009 -+wu0 = -1.2698026e-009 -+pu0 = 1.3295688e-015 -+ua = -7.881063e-010 -+lua = 2.1903156e-016 -+wua = -7.0891948e-018 -+pua = 8.5070338e-024 -+ub = 3.0594896e-018 -+lub = -6.4298182e-025 -+wub = -1.6806265e-025 -+pub = 8.4187636e-032 -+uc = 9.7557278e-011 -+luc = -1.4633922e-017 -+wuc = -8.460177e-018 -+puc = 5.071119e-024 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.2333595 -+la0 = -7.4559545e-007 -+ags = 0.28370796 -+lags = 1.3402325e-007 -+wags = -1.501953e-008 -+pags = 6.3697932e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021025909 -+lketa = -3.7690909e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.22708279 -+lpclm = 2.9060649e-008 -+wpclm = -1.603574e-008 -+ppclm = 1.9242888e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064013636 -+lpdiblc2= 1.7686364e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027272727 -+ldelta = 2.7272727e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0921047e-005 -+lalpha0 = -1.0329047e-010 -+walpha0 = 1.0548281e-014 -+palpha0 = -1.0548281e-019 -+alpha1 = 0 -+beta0 = 24.039866 -+lbeta0 = -3.7206623e-006 -+wbeta0 = 1.9555512e-007 -+pbeta0 = -2.9791169e-014 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33923366 -+lkt1 = -5.0663377e-008 -+wkt1 = -2.4318421e-009 -+pkt1 = 2.4318421e-014 -+kt1l = 0 -+kt2 = -0.021803571 -+lkt2 = -6.3214286e-009 -+wkt2 = 5.0571429e-010 -+pkt2 = 3.0342857e-015 -+ute = -1.7216234 -+lute = 3.448052e-007 -+wute = 1.7837922e-007 -+pute = -1.6550649e-013 -+ua1 = 1.675e-009 -+ub1 = -3.5465249e-018 -+lub1 = 7.0824935e-025 -+wub1 = 5.1661197e-025 -+pub1 = -5.7827969e-031 -+uc1 = -5.0997566e-011 -+luc1 = -5.0024338e-017 -+wuc1 = -2.4011682e-018 -+puc1 = 2.4011682e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.7 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_7 -+wvth0 = -5.2270629e-009 -+k1 = 0.79064 -+k2 = -0.00618726 -+wk2 = -1.7348832e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.036347429 -+wu0 = -1.1368457e-009 -+ua = -7.6620314e-010 -+wua = -6.2384914e-018 -+ub = 2.9951914e-018 -+wub = -1.5964389e-025 -+uc = 9.6093886e-011 -+wuc = -7.9530651e-018 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.1588 -+ags = 0.29711029 -+wags = -8.6497371e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.22998886 -+wpclm = -1.4111451e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 23.6678 -+wbeta0 = 1.92576e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.3443 -+kt1l = 0 -+kt2 = -0.022435714 -+wkt2 = 8.0914286e-010 -+ute = -1.6871429 -+wute = 1.6182857e-007 -+ua1 = 1.675e-009 -+ub1 = -3.4757e-018 -+wub1 = 4.58784e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.8 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_8 -+lvth0 = -5.5747725e-008 -+wvth0 = -5.7737207e-008 -+pvth0 = 1.824977e-014 -+k1 = 0.95060511 -+lk1 = -9.5597554e-008 -+wk1 = 1.0355446e-008 -+pk1 = -5.177723e-015 -+k2 = 0.013945175 -+lk2 = -3.0232209e-008 -+wk2 = 1.9443834e-008 -+pk2 = -5.4442735e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12424632 -+lvoff = 6.8691116e-010 -+wvoff = 3.5791497e-008 -+pvoff = -6.8553733e-015 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.046898182 -+lu0 = 1.7050207e-010 -+wu0 = -1.6262868e-008 -+pu0 = 4.1983839e-015 -+ua = -6.6207759e-010 -+lua = 2.5458994e-016 -+wua = 5.6335718e-017 -+pua = 1.7471409e-022 -+ub = 3.7962141e-018 -+lub = -3.3240512e-025 -+wub = -1.6693412e-024 -+pub = 4.2239319e-032 -+uc = 2.9436835e-010 -+luc = -6.8059408e-017 -+wuc = -1.6002278e-016 -+puc = 3.2259428e-023 -+eu = 1.67 -+vsat = 85682.645 -+lvsat = -0.00034132231 -+wvsat = -0.0068127934 -+pvsat = 3.4063967e-009 -+a0 = 0.10362636 -+la0 = -8.0818182e-010 -+ags = 0.2705431 -+lags = 3.2753448e-008 -+wags = 1.3273025e-007 -+pags = -6.6365124e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12424077 -+lketa = 2.9920384e-008 -+wketa = -4.1694295e-009 -+pketa = 2.0847148e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.20476889 -+lpclm = -9.798626e-009 -+wpclm = 2.8042187e-007 -+ppclm = -5.8632603e-014 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0036363636 -+ldelta = 3.1818182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.5953123e-006 -+lalpha0 = -2.5435614e-013 -+walpha0 = 1.1428461e-013 -+palpha0 = -5.7142305e-020 -+alpha1 = 0 -+beta0 = 21.140586 -+wbeta0 = -5.6473191e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.59809917 -+lnoff = 1.2990496e-006 -+wnoff = 3.065757e-006 -+pnoff = -1.5328785e-012 -+voffcv = 0.22872521 -+lvoffcv = -1.118626e-007 -+wvoffcv = -2.6399574e-007 -+pvoffcv = 1.3199787e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28115299 -+lkt1 = -1.0099496e-008 -+wkt1 = -1.2105482e-007 -+pkt1 = 3.5188078e-014 -+kt1l = 0 -+kt2 = -0.025449687 -+lkt2 = 9.6575269e-010 -+wkt2 = 8.9970236e-009 -+pkt2 = -3.1602845e-015 -+ute = -1.5701136 -+wute = 2.0073409e-007 -+ua1 = 1.675e-009 -+ub1 = -5.3788142e-018 -+lub1 = 4.827456e-025 -+wub1 = 2.1072821e-024 -+pub1 = -3.1500653e-031 -+uc1 = -2.2938539e-010 -+luc1 = 4.973267e-017 -+wuc1 = 2.0459475e-016 -+puc1 = -5.8684551e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.9 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_9 -+lvth0 = -9.953513e-009 -+wvth0 = 3.6992425e-009 -+pvth0 = -1.2468455e-014 -+k1 = 0.75941 -+k2 = 0.017155231 -+lk2 = -3.1837237e-008 -+wk2 = -6.1109193e-009 -+pk2 = 7.3331031e-015 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10253679 -+lvoff = -1.0167857e-008 -+wvoff = -1.5771964e-008 -+pvoff = 1.8926357e-014 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.038465008 -+lu0 = 4.387089e-009 -+wu0 = -8.4602728e-009 -+pu0 = 2.9708645e-016 -+ua = -9.289245e-010 -+lua = 3.880134e-016 -+wua = -2.8983135e-016 -+pua = 3.4779762e-022 -+ub = 3.4725304e-018 -+lub = -1.7056325e-025 -+wub = -6.6767982e-025 -+pub = -4.5859137e-031 -+uc = 1.5722431e-010 -+luc = 5.1261039e-019 -+wuc = -8.5272224e-017 -+puc = -5.1158517e-024 -+eu = 1.67 -+vsat = 85000 -+a0 = 0.57970277 -+la0 = -2.3884638e-007 -+wa0 = 4.6802014e-007 -+pa0 = -2.3401007e-013 -+ags = 0.63340774 -+lags = -1.4867887e-007 -+wags = -1.6558842e-007 -+pags = 8.279421e-014 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043888571 -+lketa = -1.0255714e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.047719 -+lpclm = 6.8726318e-008 -+wpclm = 1.7942187e-007 -+ppclm = -8.1325983e-015 -+pdiblc1 = 0.39 -+pdiblc2 = 0.001359 -+lpdiblc2= 9.06e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0014285714 -+ldelta = 4.2857143e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7040286e-006 -+lalpha0 = -2.3087143e-012 -+alpha1 = 0 -+beta0 = 21.043581 -+lbeta0 = 4.8502597e-008 -+wbeta0 = 4.0337993e-007 -+pbeta0 = -4.8405592e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30297354 -+lkt1 = 8.1077922e-010 -+wkt1 = -9.3348999e-008 -+pkt1 = 2.1335166e-014 -+kt1l = 0 -+kt2 = -0.021799026 -+lkt2 = -8.5957792e-010 -+wkt2 = -5.9264351e-009 -+pkt2 = 4.3014448e-015 -+ute = -1.5701136 -+wute = 2.0073409e-007 -+ua1 = 1.675e-009 -+ub1 = -3.0334126e-018 -+lub1 = -6.899552e-025 -+wub1 = 3.2333483e-025 -+pub1 = 5.7696713e-031 -+uc1 = -1.4511739e-010 -+luc1 = 7.5986727e-018 -+wuc1 = 6.1137104e-017 -+puc1 = 1.3044275e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.10 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_10 -+lvth0 = 7.3817355e-009 -+wvth0 = -2.7981116e-009 -+pvth0 = -4.6716298e-015 -+k1 = 0.79747612 -+lk1 = -4.5679339e-008 -+wk1 = -3.0414256e-009 -+pk1 = 3.6497107e-015 -+k2 = -0.0074231864 -+lk2 = -2.3431364e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12197591 -+lvoff = 1.3159091e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040494054 -+lu0 = 1.9522345e-009 -+wu0 = -5.9939808e-009 -+pu0 = -2.662464e-015 -+ua = -8.1072595e-010 -+lua = 2.4617513e-016 -+wua = 1.9601988e-017 -+pua = -2.3522386e-023 -+ub = 3.1895805e-018 -+lub = 1.6897655e-025 -+wub = -3.2156993e-025 -+pub = -8.7392324e-031 -+uc = 1.0432829e-010 -+luc = 6.3987831e-017 -+wuc = -1.6449976e-017 -+puc = -8.7702549e-023 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.175342 -+la0 = -9.536135e-007 -+wa0 = 6.8460666e-008 -+pa0 = 2.454613e-013 -+ags = 0.26729169 -+lags = 2.9066039e-007 -+wags = 4.3516718e-009 -+pags = -1.211339e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021025909 -+lketa = -3.7690909e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23344442 -+lpclm = -1.5414418e-007 -+wpclm = -2.3542459e-008 -+ppclm = 2.3542459e-013 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064013636 -+lpdiblc2= 1.7686364e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027272727 -+ldelta = 2.7272727e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0929986e-005 -+lalpha0 = -1.0337986e-010 -+alpha1 = 0 -+beta0 = 24.512311 -+lbeta0 = -4.1139731e-006 -+wbeta0 = -3.6192965e-007 -+pbeta0 = 4.3431558e-013 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.128874 -+lnoff = -1.5464876e-007 -+wnoff = -1.5207128e-007 -+pnoff = 1.8248554e-013 -+voffcv = -0.065880682 -+lvoffcv = 8.5056818e-008 -+wvoffcv = 8.3639205e-008 -+pvoffcv = -1.0036705e-013 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31506405 -+lkt1 = 1.5319401e-008 -+wkt1 = -3.095198e-008 -+pkt1 = -5.3541257e-014 -+kt1l = 0 -+kt2 = -0.016812862 -+lkt2 = -6.8429752e-009 -+wkt2 = -5.3833233e-009 -+pkt2 = 3.6497107e-015 -+ute = -1.5472572 -+lute = -2.7427686e-008 -+wute = -2.7372831e-008 -+pute = 2.7372831e-013 -+ua1 = 1.6533492e-009 -+lua1 = 2.5980992e-017 -+wua1 = 2.5547975e-017 -+pua1 = -3.065757e-023 -+ub1 = -2.1483391e-018 -+lub1 = -1.7520434e-024 -+wub1 = -1.1332474e-024 -+pub1 = 2.3248657e-030 -+uc1 = -4.4711114e-011 -+luc1 = -1.1288886e-016 -+wuc1 = -9.8191818e-018 -+puc1 = 9.8191818e-023 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.11 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_11 -+wvth0 = -3.2652745e-009 -+k1 = 0.79290818 -+wk1 = -2.6764545e-009 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040689277 -+wu0 = -6.2602272e-009 -+ua = -7.8610843e-010 -+wua = 1.7249749e-017 -+ub = 3.2064782e-018 -+wub = -4.0896225e-025 -+uc = 1.1072708e-010 -+wuc = -2.5220231e-017 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.0799807 -+wa0 = 9.3006796e-008 -+ags = 0.29635773 -+wags = -7.7617182e-009 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.100914 -+wbeta0 = -3.1849809e-007 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1134091 -+wnoff = -1.3382273e-007 -+voffcv = -0.057375 -+wvoffcv = 7.36025e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31353211 -+wkt1 = -3.6306106e-008 -+kt1l = 0 -+kt2 = -0.017497159 -+wkt2 = -5.0183523e-009 -+ute = -1.55 -+ua1 = 1.6559473e-009 -+wua1 = 2.2482218e-017 -+ub1 = -2.3235434e-018 -+wub1 = -9.0076078e-025 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.12 nmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_12 -+lvth0 = -5.3919091e-008 -+k1 = 0.95164273 -+lk1 = -9.6116364e-008 -+k2 = 0.015893454 -+lk2 = -3.0777727e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.045268636 -+lu0 = 5.9118182e-010 -+ua = -6.5643273e-010 -+lua = 2.7209636e-016 -+ub = 3.6289455e-018 -+lub = -3.2817273e-025 -+uc = 2.78334e-010 -+luc = -6.4827e-017 -+eu = 1.67 -+vsat = 85000 -+a0 = 0.13211844 -+la0 = -1.5054221e-008 -+wa0 = -2.8435094e-007 -+pa0 = 1.4217547e-013 -+ags = 0.46155061 -+lags = -6.2750307e-008 -+wags = -1.7735247e-006 -+pags = 8.8676235e-013 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.12105603 -+lketa = 2.8328017e-008 -+wketa = -3.5953066e-008 -+pketa = 1.7976533e-014 -+dwg = 0 -+dwb = 0 -+pclm = 0.23286727 -+lpclm = -1.5673636e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.003171 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0036363636 -+ldelta = 3.1818182e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 2.6067636e-006 -+lalpha0 = -2.6008182e-013 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.3e-010 -+cgdo = 2.3e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = -0.29090909 -+lnoff = 1.1454545e-006 -+voffcv = 0.20227273 -+lvoffcv = -9.8636364e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29328273 -+lkt1 = -6.5736364e-009 -+kt1l = 0 -+kt2 = -0.024548182 -+lkt2 = 6.4909091e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -5.1676636e-018 -+lub1 = 4.5118182e-025 -+uc1 = -2.0888491e-010 -+luc1 = 4.3852454e-017 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.13 nmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_13 -+lvth0 = -1.1202857e-008 -+k1 = 0.75941 -+k2 = 0.016542914 -+lk2 = -3.1102457e-008 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.10411714 -+lvoff = -8.2714286e-009 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.037617286 -+lu0 = 4.4168571e-009 -+ua = -9.5796571e-010 -+lua = 4.2286286e-016 -+ub = 3.4056286e-018 -+lub = -2.1651429e-025 -+uc = 1.4868e-010 -+eu = 1.67 -+vsat = 85000 -+a0 = 0.62659857 -+la0 = -2.6229429e-007 -+ags = 0.61681571 -+lags = -1.4038286e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.043888571 -+lketa = -1.0255714e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.065697143 -+lpclm = 6.7911429e-008 -+pdiblc1 = 0.39 -+pdiblc2 = 0.001359 -+lpdiblc2= 9.06e-010 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0014285714 -+ldelta = 4.2857143e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 6.7040286e-006 -+lalpha0 = -2.3087143e-012 -+alpha1 = 0 -+beta0 = 21.084 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2 -+voffcv = 0.005 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31232714 -+lkt1 = 2.9485714e-009 -+kt1l = 0 -+kt2 = -0.022392857 -+lkt2 = -4.2857143e-010 -+ute = -1.55 -+ua1 = 1.675e-009 -+ub1 = -3.0010143e-018 -+lub1 = -6.3214286e-025 -+uc1 = -1.3899143e-010 -+luc1 = 8.9057143e-018 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.14 nmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 0 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_14 -+lvth0 = 6.9136364e-009 -+k1 = 0.79717136 -+lk1 = -4.5313636e-008 -+k2 = -0.0074231864 -+lk2 = -2.3431364e-009 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12197591 -+lvoff = 1.3159091e-008 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.039893455 -+lu0 = 1.6854546e-009 -+ua = -8.0876182e-010 -+lua = 2.4381818e-016 -+ub = 3.1573591e-018 -+lub = 8.1409091e-026 -+uc = 1.0268e-010 -+luc = 5.52e-017 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.1822018 -+la0 = -9.2901818e-007 -+ags = 0.26772773 -+lags = 2.7852273e-007 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.021025909 -+lketa = -3.7690909e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.23108545 -+lpclm = -1.3055455e-007 -+pdiblc1 = 0.39 -+pdiblc2 = 0.00064013636 -+lpdiblc2= 1.7686364e-009 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.0027272727 -+ldelta = 2.7272727e-009 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 9.0929986e-005 -+lalpha0 = -1.0337986e-010 -+alpha1 = 0 -+beta0 = 24.476046 -+lbeta0 = -4.0704545e-006 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 1e-010 -+cgdo = 1e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1136364 -+lnoff = -1.3636364e-007 -+voffcv = -0.0575 -+lvoffcv = 7.5e-008 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31816545 -+lkt1 = 9.9545454e-009 -+kt1l = 0 -+kt2 = -0.017352273 -+lkt2 = -6.4772727e-009 -+ute = -1.55 -+ua1 = 1.6559091e-009 -+lua1 = 2.2909091e-017 -+ub1 = -2.2618909e-018 -+lub1 = -1.5190909e-024 -+uc1 = -4.5695e-011 -+luc1 = -1.0305e-016 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model nmos_3p3.15 nmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = nmos_3p3_tox -+toxp = nmos_3p3_tox -+toxm = 8e-009 -+epsrox = 3.9 -+wint = 1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = nmos_3p3_xl -+xw = nmos_3p3_xw -+dlc = 3e-008 -+dwc = 0 -+xpart = 0 -+toxref = 8e-009 -+dlcig = 1.5e-007 -+vth0 = nmos_3p3_vth0_15 -+k1 = 0.79264 -+k2 = -0.0076575 -+k3 = 0 -+k3b = 0 -+w0 = 5e-007 -+dvt0 = 0 -+dvt1 = 0.53 -+dvt2 = 0 -+dvt0w = 0 -+dvt1w = 0 -+dvt2w = 0 -+dsub = 0.5 -+minv = -0.25 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 1.1e-007 -+lpeb = 0 -+vbm = -3 -+xj = nmos_3p3_xj -+ngate = 6e+019 -+ndep = 3e+017 -+nsd = 1e+020 -+phin = 0.07 -+cdsc = 0 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12066 -+nfactor = 1 -+eta0 = 0.75 -+etab = -0.32 -+u0 = 0.040062 -+ua = -7.8438e-010 -+ub = 3.1655e-018 -+uc = 1.082e-010 -+eu = 1.67 -+vsat = 85000 -+a0 = 1.0893 -+ags = 0.29558 -+a1 = 0 -+a2 = 1 -+b0 = 0 -+b1 = 0 -+keta = -0.024795 -+dwg = 0 -+dwb = 0 -+pclm = 0.21803 -+pdiblc1 = 0.39 -+pdiblc2 = 0.000817 -+pdiblcb = 0.2 -+drout = 0.56 -+pvag = 0 -+delta = 0.003 -+pscbe1 = 6.6469e+008 -+pscbe2 = 1.638e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = nmos_3p3_rdsw -+rdswmin = 50 -+rdwmin = 0 -+rswmin = 0 -+prwg = 0 -+prwb = 0 -+wr = 1 -+alpha0 = 8.0592e-005 -+alpha1 = 0 -+beta0 = 24.069 -+agidl = 1.3268e-010 -+bgidl = 1.8961e+009 -+cgidl = 0.5 -+egidl = 0.8 -+cgso = 2.3e-010 -+cgdo = 2.3e-010 -+cgbo = 1e-013 -+cgdl = 1e-010 -+cgsl = 1e-010 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 0.6 -+moin = 15 -+noff = 2.1 -+voffcv = -0.05 -+tvoff = 0.001 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.31717 -+kt1l = 0 -+kt2 = -0.018 -+ute = -1.55 -+ua1 = 1.6582e-009 -+ub1 = -2.4138e-018 -+uc1 = -5.6e-011 -+prt = 0 -+at = 23000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 0.95 -+noia = nmos_3p3_noia -+noib = nmos_3p3_noib -+noic = nmos_3p3_noic -+ntnoi = 1 -+jss = 2.2959e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1.01 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+pbs = 0.70172 -+cjs = 0.00096797 -+mjs = 0.32071 -+pbsws = 0.8062 -+cjsws = 1.5663e-010 -+mjsws = 0.1 -+pbswgs = 0.74743 -+cjswgs = 5.9903e-010 -+mjswgs = 0.32059 -+tpb = 0.0018129 -+tcj = 0.0009438 -+tpbsw = 5e-005 -+tcjsw = 0.00060474 -+tpbswg = 0.0016872 -+tcjswg = 0.001 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - - -*resistor -.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 -*------------------- -* body resistor parameters -*.param rsh_nplus_u_m=60 -+ r_rsh0=rsh_nplus_u_m -+ r_dw=-5E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.36E-3 -+ r_tc2=6.5E-7 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' - -* model for substrate capacitor -.model np_junction d -+ Level=3 -+ Cj=0.00096797 -+ Mj=0.32071 -+ Pb=0.70172 -+ Cjsw=1.5663e-010 -+ Mjsw=0.1 -+ Php=0.8062 -+ Cta=0.0009438 -+ Ctp=0.00060474 -+ Tpb=0.0018129 -+ Tphp=5e-005 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 - -d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' - -*------------------- -.ends nplus_u_m1 - -.endl nmos_3p3_stat -* -* -*************************************************************************************************** -* 3.3V PMOS statistical Models -*************************************************************************************************** -* -.lib pmos_3p3_stat - - -.subckt pmos_3p3_sab d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.00666 -+ par_k=0.002833 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b pmos_3p3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model pmos_3p3.0 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_0 -+lvth0 = -7.6827273e-009 -+wvth0 = 4.2938493e-009 -+pvth0 = 2.3570182e-015 -+k1 = 0.86959286 -+lk1 = 4.91e-009 -+wk1 = 6.7137132e-008 -+pk1 = -2.0974909e-014 -+k2 = 0.029351195 -+lk2 = -2.4890454e-008 -+wk2 = -2.1522854e-008 -+pk2 = 3.4158327e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094658091 -+lvoff = -1.6014546e-009 -+wvoff = -1.6655127e-009 -+pvoff = 8.3275636e-016 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0077071688 -+lu0 = 2.4492727e-009 -+wu0 = 6.0892675e-010 -+pu0 = -5.2642909e-016 -+ua = -2.4381818e-012 -+lua = 1.0386891e-015 -+wua = 3.3100364e-018 -+pua = -1.9180342e-022 -+ub = 6.7035533e-019 -+lub = -1.4361909e-025 -+wub = -4.8420779e-027 -+pub = 1.3557818e-033 -+uc = 8.6801065e-011 -+luc = 8.4861818e-018 -+wuc = -1.3364176e-017 -+puc = -4.4743636e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.0272635 -+la0 = -2.0434818e-007 -+wa0 = 1.1112467e-008 -+pa0 = -2.7370909e-015 -+ags = 0.19081247 -+lags = 1.0492091e-007 -+wags = -2.3219283e-008 -+pags = -1.2080073e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.066404636 -+lketa = 8.2658182e-009 -+wketa = -7.3229236e-009 -+pketa = 3.6614618e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.35627558 -+lpclm = 7.0823636e-008 -+wpclm = 2.9266005e-008 -+ppclm = 6.5406545e-015 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.1485698e-005 -+lalpha0 = -3.0054064e-012 -+walpha0 = -1.0325417e-013 -+palpha0 = 6.39288e-020 -+alpha1 = 0 -+beta0 = 39.773597 -+lbeta0 = -3.6237273e-006 -+wbeta0 = 2.1005299e-007 -+pbeta0 = 1.1827636e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28373805 -+lkt1 = -1.5974545e-008 -+wkt1 = -1.1172031e-008 -+pkt1 = 1.9400727e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.1563636e-009 -+lua1 = 1.7181818e-016 -+wua1 = 1.7869091e-016 -+pua1 = -8.9345454e-023 -+ub1 = -2.100161e-018 -+lub1 = -6.7359091e-025 -+wub1 = -1.4002317e-025 -+pub1 = 1.4950473e-031 -+uc1 = -2.5418182e-010 -+luc1 = 5.8570909e-017 -+wuc1 = 4.0843636e-017 -+puc1 = -1.4057018e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.1 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_1 -+lvth0 = 8.0902041e-009 -+wvth0 = 5.9668408e-009 -+pvth0 = 1.5205225e-015 -+k1 = 1.011648 -+lk1 = -6.6117551e-008 -+wk1 = -1.7990939e-008 -+pk1 = 2.1589126e-014 -+k2 = -0.018784 -+lk2 = -8.2285714e-010 -+wk2 = -2.5231886e-009 -+pk2 = -6.084e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12364214 -+lvoff = 1.2890571e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010288147 -+lu0 = 1.1587837e-009 -+wu0 = -2.4611069e-010 -+pu0 = -9.8910367e-017 -+ua = 3.7095469e-010 -+lua = 8.5199265e-016 -+wua = -4.3130498e-017 -+pua = -1.6858315e-022 -+ub = 1.0877988e-018 -+lub = -3.5234082e-025 -+wub = -1.9235628e-025 -+pub = 9.5112882e-032 -+uc = -1.3265853e-011 -+luc = 5.8519641e-017 -+wuc = -7.386721e-018 -+puc = -7.4630909e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1510659 -+la0 = -2.6624939e-007 -+wa0 = 3.8929322e-008 -+pa0 = -1.6645518e-014 -+ags = 0.19022326 -+lags = 1.0521551e-007 -+wags = 1.3854074e-008 -+pags = -3.0616751e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0050909592 -+lketa = -2.239102e-008 -+wketa = -2.2043755e-009 -+pketa = 1.1021878e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.25657102 -+lpclm = 1.2067592e-007 -+wpclm = 8.5357469e-008 -+ppclm = -2.1505078e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024628714 -+lpdiblc2= 2.4533143e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 7.5504633e-005 -+lalpha0 = -3.5014873e-011 -+walpha0 = 4.8045453e-012 -+palpha0 = -2.3899709e-018 -+alpha1 = 0 -+beta0 = 42.422959 -+lbeta0 = -4.9484082e-006 -+wbeta0 = 2.7621551e-007 -+pbeta0 = 8.5195102e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30703735 -+lkt1 = -4.324898e-009 -+wkt1 = 2.5044049e-008 -+pkt1 = -1.6167967e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.2391388e-018 -+lub1 = -6.0410204e-025 -+wub1 = -3.3103837e-026 -+pub1 = 9.6045061e-032 -+uc1 = -7.5563755e-011 -+luc1 = -3.0738122e-017 -+wuc1 = 6.2211526e-018 -+puc1 = 3.2542237e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.2 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_2 -+lvth0 = -9.5551948e-009 -+wvth0 = 3.6783584e-009 -+pvth0 = 4.2667013e-015 -+k1 = 0.95493474 -+lk1 = 1.9383117e-009 -+wk1 = 3.0592208e-008 -+pk1 = -3.6710649e-014 -+k2 = -0.010993416 -+lk2 = -1.0171558e-008 -+wk2 = -1.5055864e-008 -+pk2 = 8.9552104e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097716396 -+lvoff = -1.8220325e-008 -+wvoff = 9.9120779e-010 -+pvoff = -1.1894494e-015 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0087516409 -+lu0 = 3.0025909e-009 -+wu0 = 2.1888218e-010 -+pu0 = -6.5690182e-016 -+ua = 3.9822779e-010 -+lua = 8.1926494e-016 -+wua = -5.2662561e-017 -+pua = -1.5714468e-022 -+ub = 8.5181617e-019 -+lub = -6.9161688e-026 -+wub = -1.0966152e-025 -+pub = -4.1208312e-033 -+uc = -4.4095525e-011 -+luc = 9.5515247e-017 -+wuc = 1.8553586e-018 -+puc = -1.8553586e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.2626103 -+la0 = -4.001026e-007 -+wa0 = -3.4170078e-009 -+pa0 = 3.4170078e-014 -+ags = 0.15731682 -+lags = 1.4470325e-007 -+wags = 7.2894545e-010 -+pags = -1.4866597e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.00016272403 -+lketa = -2.8304903e-008 -+wketa = -3.463048e-009 -+pketa = 2.6125948e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32143299 -+lpclm = 4.2841558e-008 -+wpclm = 4.9757922e-009 -+ppclm = 7.4952935e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8434545e-005 -+lpdiblc2= 4.4675455e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0020588939 -+lalpha0 = -2.415082e-009 -+walpha0 = 2.2256682e-011 -+palpha0 = -2.3332535e-017 -+alpha1 = 0 -+beta0 = 44.45026 -+lbeta0 = -7.3811688e-006 -+wbeta0 = 4.0343221e-007 -+pbeta0 = -6.7464935e-014 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9454546 -+lnoff = 5.4545454e-007 -+voffcv = 0.021818182 -+lvoffcv = -2.1818182e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.26850506 -+lkt1 = -5.0563636e-008 -+wkt1 = -1.0340166e-008 -+pkt1 = 2.6293091e-014 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.0202519e-018 -+lub1 = 3.3323377e-025 -+wub1 = 1.9133501e-025 -+pub1 = -1.7328156e-031 -+uc1 = -3.5566519e-011 -+luc1 = -7.8734805e-017 -+wuc1 = -1.2279955e-017 -+puc1 = 2.5455553e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.3 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 2.2e-007 -+wmax = 5e-007 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_3 -+wvth0 = 4.1050286e-009 -+k1 = 0.95512857 -+wk1 = 2.6921143e-008 -+k2 = -0.012010571 -+wk2 = -1.4160343e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.099538429 -+wvoff = 8.7226286e-010 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0090519 -+wu0 = 1.53192e-010 -+ua = 4.8015429e-010 -+wua = -6.8377029e-017 -+ub = 8.449e-019 -+wub = -1.100736e-025 -+uc = -3.4544e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.2226 -+ags = 0.17178714 -+wags = -7.5771429e-010 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0029932143 -+wketa = -3.2017886e-009 -+dwg = 0 -+dwb = 0 -+pclm = 0.32571714 -+wpclm = 1.2471086e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018173857 -+walpha0 = 1.9923429e-011 -+alpha1 = 0 -+beta0 = 43.712143 -+wbeta0 = 3.9668571e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.27356143 -+wkt1 = -7.7108571e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.9869286e-018 -+wub1 = 1.7400686e-025 -+uc1 = -4.344e-011 -+wuc1 = -9.7344e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.4 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_4 -+lvth0 = -2.1407273e-009 -+wvth0 = 1.4897689e-008 -+pvth0 = -5.2482182e-016 -+k1 = 0.99870273 -+lk1 = -3.5426364e-008 -+k2 = 0.014249873 -+lk2 = -2.0467636e-008 -+wk2 = -1.3670166e-008 -+pk2 = 1.1159673e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0091928468 -+lu0 = 1.8372909e-009 -+wu0 = -1.6362577e-010 -+pu0 = -2.0819854e-016 -+ua = -8.0522078e-011 -+lua = 7.4813818e-016 -+wua = 4.3913662e-017 -+pua = -4.0716945e-023 -+ub = 1.748897e-018 -+lub = -3.0903909e-025 -+wub = -5.6568377e-025 -+pub = 8.7374182e-032 -+uc = 8.6704408e-011 -+luc = 1.2453182e-017 -+wuc = -1.3313914e-017 -+puc = -6.5372036e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.66833429 -+la0 = -1.03128e-007 -+wa0 = 1.9775566e-007 -+pa0 = -5.5371585e-014 -+ags = 0.20459958 -+lags = 6.9689636e-008 -+wags = -3.0388584e-008 -+pags = 6.2401891e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.10490303 -+lketa = 2.2143527e-008 -+wketa = 1.2696239e-008 -+pketa = -3.5549469e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.3781492 -+lpclm = 2.7248545e-008 -+wpclm = 1.7891728e-008 -+ppclm = 2.9199702e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 1.2079775e-005 -+lalpha0 = -3.0255502e-012 -+walpha0 = -4.121738e-013 -+palpha0 = 7.4403585e-020 -+alpha1 = 0 -+beta0 = 38.238696 -+lbeta0 = -2.7152909e-006 -+wbeta0 = 1.0082017e-006 -+pbeta0 = -3.5411055e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33225761 -+lkt1 = 5.3309091e-010 -+wkt1 = 1.4058139e-008 -+pkt1 = -6.6438982e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 2.0124e-009 -+lua1 = -2.562e-016 -+wua1 = -2.66448e-016 -+pua1 = 1.33224e-022 -+ub1 = -2.8876353e-018 -+lub1 = -2.9730909e-026 -+wub1 = 2.6946346e-025 -+pub1 = -1.8530247e-031 -+uc1 = 6.432e-012 -+luc1 = -3.4608e-017 -+wuc1 = -9.4675549e-017 -+puc1 = 3.4396015e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.5 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_5 -+lvth0 = -3.242449e-010 -+wvth0 = 2.0559739e-009 -+pvth0 = 5.8960359e-015 -+k1 = 0.97705 -+lk1 = -2.46e-008 -+k2 = -0.026847376 -+lk2 = 8.0987755e-011 -+wk2 = 1.6697667e-009 -+pk2 = -6.5539994e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.12364214 -+lvoff = 1.2890571e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097471347 -+lu0 = 1.5601469e-009 -+wu0 = 3.5215673e-011 -+pu0 = -3.0761926e-016 -+ua = 2.6778433e-010 -+lua = 5.7398498e-016 -+wua = 1.0518093e-017 -+pua = -2.4019161e-023 -+ub = 1.2732368e-018 -+lub = -7.120898e-026 -+wub = -2.8878406e-025 -+pub = -5.1075673e-032 -+uc = 8.0016841e-012 -+luc = 5.1804544e-017 -+wuc = -1.844584e-017 -+puc = -3.9712404e-024 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.3454526 -+la0 = -4.4168718e-007 -+wa0 = -6.215178e-008 -+pa0 = 7.4582136e-014 -+ags = 0.19226653 -+lags = 7.5856163e-008 -+wags = 1.2791576e-008 -+pags = -1.5349891e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0016565918 -+lketa = -2.947969e-008 -+wketa = -3.9902465e-009 -+pketa = 4.7882958e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.55246506 -+lpclm = -5.9909388e-008 -+wpclm = -6.8507432e-008 -+ppclm = 7.2399282e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024628714 -+lpdiblc2= 2.4533143e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.000123026 -+lalpha0 = -5.8498663e-011 -+walpha0 = -1.9906566e-011 -+palpha0 = 9.8215995e-018 -+alpha1 = 0 -+beta0 = 43.366204 -+lbeta0 = -5.2790449e-006 -+wbeta0 = -2.1427184e-007 -+pbeta0 = 2.571262e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.28366163 -+lkt1 = -2.3764898e-008 -+wkt1 = 1.2888678e-008 -+pkt1 = -6.0591673e-015 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -3.1375788e-018 -+lub1 = 9.5240816e-026 -+wub1 = 4.3408496e-025 -+pub1 = -2.6761322e-031 -+uc1 = -9.9154286e-011 -+luc1 = 1.8185143e-017 -+wuc1 = 1.8488229e-017 -+puc1 = -2.2185874e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.6 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_6 -+lvth0 = -1.3737662e-009 -+wvth0 = 6.9590384e-009 -+pvth0 = 1.2358442e-017 -+k1 = 1.0137659 -+lk1 = -6.8659091e-008 -+k2 = -0.027452061 -+lk2 = 8.0661039e-010 -+wk2 = -6.4973683e-009 -+pk2 = 3.2465626e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.095810227 -+lvoff = -2.0507727e-008 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0097323026 -+lu0 = 1.5779454e-009 -+wu0 = -2.910619e-010 -+pu0 = 8.3913818e-017 -+ua = 4.0315384e-010 -+lua = 4.1154156e-016 -+wua = -5.5224108e-017 -+pua = 5.487148e-023 -+ub = 1.1661759e-018 -+lub = 5.7264156e-026 -+wub = -2.7312856e-025 -+pub = -6.986227e-032 -+uc = 1.1632475e-012 -+luc = 6.0010667e-017 -+wuc = -2.1679203e-017 -+puc = -9.1205299e-026 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1189871 -+la0 = -1.6992857e-007 -+wa0 = 7.1267013e-008 -+pa0 = -8.5520416e-014 -+ags = 0.16561084 -+lags = 1.0784299e-007 -+wags = -3.583948e-009 -+pags = 4.3007377e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068224318 -+lketa = -2.3280682e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.3288581 -+lpclm = 2.0841896e-007 -+wpclm = 1.1147314e-009 -+ppclm = -1.1147314e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8434545e-005 -+lpdiblc2= 4.4675455e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.002173683 -+lalpha0 = -2.519287e-009 -+walpha0 = -3.7433637e-011 -+palpha0 = 3.0854085e-017 -+alpha1 = 0 -+beta0 = 44.354662 -+lbeta0 = -6.4651948e-006 -+wbeta0 = 4.5314286e-007 -+pbeta0 = -5.4377143e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9454546 -+lnoff = 5.4545454e-007 -+voffcv = 0.021818182 -+lvoffcv = -2.1818182e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33774851 -+lkt1 = 4.1139351e-008 -+wkt1 = 2.5666423e-008 -+pkt1 = -2.1392462e-014 -+kt1l = 0 -+kt2 = -0.016947818 -+lkt2 = 4.6581818e-009 -+wkt2 = 2.0185455e-009 -+pkt2 = -2.4222546e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5969484e-018 -+lub1 = -5.5351558e-025 -+wub1 = -2.878281e-026 -+pub1 = 2.878281e-031 -+uc1 = -4.2545455e-011 -+luc1 = -4.9745455e-017 -+wuc1 = -8.6509091e-018 -+puc1 = 1.0381091e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.7 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 5e-007 -+wmax = 1.2e-006 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_7 -+wvth0 = 6.9602743e-009 -+k1 = 1.0069 -+k2 = -0.0273714 -+wk2 = -6.172712e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.0098900971 -+wu0 = -2.8267051e-010 -+ua = 4.44308e-010 -+wua = -4.973696e-017 -+ub = 1.1719023e-018 -+wub = -2.8011479e-025 -+uc = 7.1643143e-012 -+wuc = -2.1688323e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1019943 -+wa0 = 6.2714971e-008 -+ags = 0.17639514 -+wags = -3.1538743e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0019217543 -+walpha0 = -3.4348229e-011 -+alpha1 = 0 -+beta0 = 43.708143 -+wbeta0 = 3.9876571e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.33363457 -+wkt1 = 2.3527177e-008 -+kt1l = 0 -+kt2 = -0.016482 -+wkt2 = 1.77632e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.6523e-018 -+uc1 = -4.752e-011 -+wuc1 = -7.6128e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.8 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_8 -+lvth0 = -8.7733719e-009 -+wvth0 = 4.2305517e-009 -+pvth0 = 7.5670046e-015 -+k1 = 0.99870273 -+lk1 = -3.5426364e-008 -+k2 = -0.00067810868 -+lk2 = -1.7691446e-008 -+wk2 = 4.5419708e-009 -+pk2 = -2.2709854e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011352976 -+lu0 = 1.7890915e-009 -+wu0 = -2.7989835e-009 -+pu0 = -1.493953e-016 -+ua = 3.4788822e-010 -+lua = 6.3071157e-016 -+wua = -4.7874691e-016 -+pua = 1.0254352e-022 -+ub = 9.2772209e-019 -+lub = 3.2915171e-026 -+wub = 4.3614967e-025 -+pub = -3.2981002e-031 -+uc = 1.3375779e-010 -+luc = -7.439668e-018 -+wuc = -7.0719038e-017 -+puc = 1.7732073e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.8879706 -+la0 = -1.4725376e-007 -+wa0 = -7.0200638e-008 -+pa0 = -1.5381528e-015 -+ags = 0.3341873 -+lags = -2.4436508e-009 -+wags = -1.884856e-007 -+pags = 9.42428e-014 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.088919542 -+lketa = 1.7668152e-008 -+wketa = -6.803611e-009 -+pketa = 1.9050111e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.32482036 -+lpclm = 5.0559583e-008 -+wpclm = 8.2952909e-008 -+ppclm = 7.6023645e-016 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.723125e-006 -+lalpha0 = -2.2830898e-012 -+walpha0 = 2.4629388e-012 -+palpha0 = -8.3139811e-019 -+alpha1 = 0 -+beta0 = 37.805966 -+lbeta0 = -2.3737058e-006 -+wbeta0 = 1.5361323e-006 -+pbeta0 = -7.708444e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29596713 -+lkt1 = -8.3979897e-009 -+wkt1 = -3.0216242e-008 -+pkt1 = 4.2520201e-015 -+kt1l = 0 -+kt2 = -0.020842369 -+lkt2 = 2.1773833e-009 -+wkt2 = 9.4871699e-009 -+pkt2 = -2.6564076e-015 -+ute = -1 -+ua1 = 1.8116799e-009 -+lua1 = -1.5583996e-016 -+wua1 = -2.1569499e-017 -+pua1 = 1.078475e-023 -+ub1 = -2.5843988e-018 -+lub1 = -1.2857843e-025 -+wub1 = -1.0048507e-025 -+pub1 = -6.4708497e-032 -+uc1 = -8.5778578e-011 -+luc1 = 8.8928926e-019 -+wuc1 = 1.7821357e-017 -+puc1 = -8.9106783e-024 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.9 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_9 -+lvth0 = -7.1445584e-009 -+wvth0 = -9.069076e-009 -+pvth0 = 1.4216818e-014 -+k1 = 0.97705 -+lk1 = -2.46e-008 -+k2 = -0.030551827 -+lk2 = -2.7545864e-009 -+wk2 = 6.1891978e-009 -+pk2 = -3.0945989e-015 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.094286796 -+lvoff = -1.787102e-009 -+wvoff = -3.5813523e-008 -+pvoff = 1.7906761e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010127025 -+lu0 = 2.4020669e-009 -+wu0 = -4.282509e-010 -+pu0 = -1.3347616e-015 -+ua = 3.2582253e-010 -+lua = 6.4174442e-016 -+wua = -6.0288518e-017 -+pua = -1.0668567e-022 -+ub = 9.6220002e-019 -+lub = 1.5676208e-026 -+wub = 9.0680837e-026 -+pub = -1.570756e-031 -+uc = -2.7758895e-011 -+luc = 7.3318673e-017 -+wuc = 2.5182066e-017 -+puc = -3.0218479e-023 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1782327 -+la0 = -2.9238479e-007 -+wa0 = 1.4185662e-007 -+pa0 = -1.0756678e-013 -+ags = 0.20788505 -+lags = 6.0707474e-008 -+wags = -6.2630205e-009 -+pags = 3.1315103e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0066799724 -+lketa = -2.3451633e-008 -+wketa = 2.1382778e-009 -+pketa = -2.5659333e-015 -+dwg = 0 -+dwb = 0 -+pclm = 0.37778426 -+lpclm = 2.407763e-008 -+wpclm = 1.4460314e-007 -+ppclm = -3.006488e-014 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024628714 -+lpdiblc2= 2.4533143e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011108151 -+lalpha0 = -5.296228e-011 -+walpha0 = -5.3342836e-012 -+palpha0 = 3.0672131e-018 -+alpha1 = 0 -+beta0 = 43.187318 -+lbeta0 = -5.0643818e-006 -+wbeta0 = 3.968961e-009 -+pbeta0 = -4.7627532e-015 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.30269355 -+lkt1 = -5.0347792e-009 -+wkt1 = 3.6107623e-008 -+pkt1 = -2.8909912e-014 -+kt1l = 0 -+kt2 = -0.010621998 -+lkt2 = -2.9328019e-009 -+wkt2 = -2.981682e-009 -+pkt2 = 3.5780184e-015 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.4617658e-018 -+lub1 = -1.8989494e-025 -+wub1 = -3.9040685e-025 -+pub1 = 8.0252392e-032 -+uc1 = -3.4810909e-011 -+luc1 = -2.4594545e-017 -+wuc1 = -6.0010691e-017 -+puc1 = 3.0005345e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.10 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_10 -+lvth0 = -4.4690083e-009 -+wvth0 = -3.7885537e-010 -+pvth0 = 3.7885537e-015 -+k1 = 1.0137659 -+lk1 = -6.8659091e-008 -+k2 = -0.036023042 -+lk2 = 3.8108709e-009 -+wk2 = 3.9592281e-009 -+pk2 = -4.1863519e-016 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.098145312 -+lvoff = 2.8431167e-009 -+wvoff = 2.848803e-009 -+pvoff = -2.848803e-014 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011031559 -+lu0 = 1.3166262e-009 -+wu0 = -1.8761549e-009 -+pu0 = 4.0272326e-016 -+ua = 6.676128e-010 -+lua = 2.315961e-016 -+wua = -3.7786403e-016 -+pua = 2.7440495e-022 -+ub = 9.7526352e-019 -+wub = -4.0215498e-026 -+uc = -1.6606591e-011 -+luc = 5.9935909e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1832393 -+la0 = -2.9839274e-007 -+wa0 = -7.1205867e-009 -+pa0 = 7.1205867e-014 -+ags = 0.16685819 -+lags = 1.0993971e-007 -+wags = -5.1057076e-009 -+pags = 1.7427347e-015 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068224318 -+lketa = -2.3280682e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34313423 -+lpclm = 6.5657665e-008 -+wpclm = -1.6302147e-008 -+ppclm = 1.6302147e-013 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8434545e-005 -+lpdiblc2= 4.4675455e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0021426891 -+lalpha0 = -2.4908914e-009 -+walpha0 = 3.7885537e-013 -+palpha0 = -3.7885537e-018 -+alpha1 = 0 -+beta0 = 44.161948 -+lbeta0 = -6.233938e-006 -+wbeta0 = 6.8825393e-007 -+pbeta0 = -8.2590471e-013 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9454546 -+lnoff = 5.4545454e-007 -+voffcv = 0.021818182 -+lvoffcv = -2.1818182e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29670927 -+lkt1 = -1.2215919e-008 -+wkt1 = -2.4401443e-008 -+pkt1 = 4.3700967e-014 -+kt1l = 0 -+kt2 = -0.012757219 -+lkt2 = -3.7053719e-010 -+wkt2 = -3.0939855e-009 -+pkt2 = 3.7127826e-015 -+ute = -1 -+ua1 = 1.39597e-009 -+lua1 = 1.2483595e-016 -+wua1 = 1.2691655e-016 -+pua1 = -1.5229986e-022 -+ub1 = -2.656703e-018 -+lub1 = 4.4029649e-026 -+wub1 = 4.4117708e-026 -+pub1 = -4.4117708e-031 -+uc1 = -6.6591694e-011 -+luc1 = 1.3542397e-017 -+wuc1 = 2.0685503e-017 -+puc1 = -6.6830088e-023 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.11 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1.2e-006 -+wmax = 1e-005 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_11 -+k1 = 1.0069 -+k2 = -0.035641955 -+wk2 = 3.9173646e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011163222 -+wu0 = -1.8358826e-009 -+ua = 6.9077241e-010 -+wua = -3.5042354e-016 -+ub = 9.7526352e-019 -+wub = -4.0215498e-026 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1534 -+ags = 0.17785216 -+wags = -4.9314341e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.538555 -+wbeta0 = 6.0566345e-007 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29793086 -+wkt1 = -2.0031346e-008 -+kt1l = 0 -+kt2 = -0.012794273 -+wkt2 = -2.7227073e-009 -+ute = -1 -+ua1 = 1.4084536e-009 -+wua1 = 1.1168656e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.5237455e-011 -+wuc1 = 1.4002494e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.12 pmos -+level = 54 -+lmin = 2.8e-007 -+lmax = 5e-007 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_12 -+lvth0 = -8.0181818e-009 -+k1 = 0.99870273 -+lk1 = -3.5426364e-008 -+k2 = -0.00022481818 -+lk2 = -1.7918091e-008 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.011073636 -+lu0 = 1.7741818e-009 -+ua = 3.0010909e-010 -+lua = 6.4094546e-016 -+ub = 9.7125e-019 -+uc = 1.267e-010 -+luc = -5.67e-018 -+eu = 1.67 -+vsat = 94000 -+a0 = 0.88096455 -+la0 = -1.4740727e-007 -+ags = 0.31537636 -+lags = 6.9618182e-009 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.089598545 -+lketa = 1.7858273e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.33309909 -+lpclm = 5.0635454e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00073695 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 9.9689273e-006 -+lalpha0 = -2.3660636e-012 -+alpha1 = 0 -+beta0 = 37.959273 -+lbeta0 = -2.4506364e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29898273 -+lkt1 = -7.9736364e-009 -+kt1l = 0 -+kt2 = -0.019895546 -+lkt2 = 1.9122727e-009 -+ute = -1 -+ua1 = 1.8095273e-009 -+lua1 = -1.5476364e-016 -+ub1 = -2.5944273e-018 -+lub1 = -1.3503636e-025 -+uc1 = -8.4e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.13 pmos -+level = 54 -+lmin = 5e-007 -+lmax = 1.2e-006 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_13 -+lvth0 = -5.7257143e-009 -+k1 = 0.97705 -+lk1 = -2.46e-008 -+k2 = -0.029934143 -+lk2 = -3.0634286e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010084286 -+lu0 = 2.2688571e-009 -+ua = 3.1980571e-010 -+lua = 6.3109714e-016 -+ub = 9.7125e-019 -+uc = -2.5245714e-011 -+luc = 7.0302857e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.19239 -+la0 = -3.0312e-007 -+ags = 0.20726 -+lags = 6.102e-008 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0064665714 -+lketa = -2.3707714e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.39221571 -+lpclm = 2.1077143e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00024628714 -+lpdiblc2= 2.4533143e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.00011054914 -+lalpha0 = -5.2656171e-011 -+alpha1 = 0 -+beta0 = 43.187714 -+lbeta0 = -5.0648571e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2.4 -+voffcv = -0.16 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29909 -+lkt1 = -7.92e-009 -+kt1l = 0 -+kt2 = -0.010919571 -+lkt2 = -2.5757143e-009 -+ute = -1 -+ua1 = 1.5e-009 -+ub1 = -2.5007286e-018 -+lub1 = -1.8188571e-025 -+uc1 = -4.08e-011 -+luc1 = -2.16e-017 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.14 pmos -+level = 54 -+lmin = 1.2e-006 -+lmax = 1e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_14 -+lvth0 = -4.0909091e-009 -+k1 = 1.0137659 -+lk1 = -6.8659091e-008 -+k2 = -0.035627909 -+lk2 = 3.7690909e-009 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.010844318 -+lu0 = 1.3568182e-009 -+ua = 6.2990182e-010 -+lua = 2.5898182e-016 -+ub = 9.7125e-019 -+uc = -1.6606591e-011 -+luc = 5.9935909e-017 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1825286 -+la0 = -2.9128636e-007 -+ags = 0.16634864 -+lags = 1.1011364e-007 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0068224318 -+lketa = -2.3280682e-008 -+dwg = 0 -+dwb = 0 -+pclm = 0.34150727 -+lpclm = 8.1927273e-008 -+pdiblc1 = 0.1484 -+pdiblc2 = 7.8434545e-005 -+lpdiblc2= 4.4675455e-010 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.002142727 -+lalpha0 = -2.4912696e-009 -+alpha1 = 0 -+beta0 = 44.230636 -+lbeta0 = -6.3163636e-006 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 1.9454546 -+lnoff = 5.4545454e-007 -+voffcv = 0.021818182 -+lvoffcv = -2.1818182e-007 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29914454 -+lkt1 = -7.8545455e-009 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4086364e-009 -+lua1 = 1.0963636e-016 -+ub1 = -2.6523e-018 -+uc1 = -6.4527273e-011 -+luc1 = 6.8727273e-018 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 -.model pmos_3p3.15 pmos -+level = 54 -+lmin = 1e-005 -+lmax = 5.0001e-005 -+wmin = 1e-005 -+wmax = 0.000100001 -+version = 4.5 -+binunit = 2 -+paramchk= 1 -+mobmod = 0 -+capmod = 2 -+igcmod = 0 -+igbmod = 0 -+geomod = 0 -+diomod = 1 -+rdsmod = 0 -+rbodymod= 0 -+rgatemod= 0 -+permod = 1 -+acnqsmod= 0 -+trnqsmod= 0 -+tnom = 25 -+toxe = pmos_3p3_tox -+toxp = pmos_3p3_tox -+toxm = 7.9e-009 -+epsrox = 3.9 -+wint = -1e-008 -+lint = 0 -+ll = 0 -+wl = 0 -+lln = 1 -+wln = 1 -+lw = 0 -+ww = 0 -+lwn = 1 -+wwn = 1 -+lwl = 0 -+wwl = 0 -+xl = pmos_3p3_xl -+xw = pmos_3p3_xw -+dlc = -8e-009 -+dwc = 0 -+xpart = 0 -+toxref = 7.9e-009 -+dlcig = 1.5e-007 -+vth0 = pmos_3p3_vth0_15 -+k1 = 1.0069 -+k2 = -0.035251 -+k3 = 0 -+k3b = 0 -+w0 = 2.5e-006 -+dvt0 = 2.8985 -+dvt1 = 0.23999 -+dvt2 = -0.016 -+dvt0w = 0 -+dvt1w = 5300000 -+dvt2w = -0.032 -+dsub = 0.3659 -+minv = -0.1 -+voffl = 0 -+dvtp0 = 0 -+dvtp1 = 0 -+lpe0 = 3.2493e-008 -+lpeb = 0 -+vbm = -3 -+xj = pmos_3p3_xj -+ngate = 6e+019 -+ndep = 5.6e+017 -+nsd = 1e+020 -+phin = 0 -+cdsc = 0.00024 -+cdscb = 0 -+cdscd = 0 -+cit = 0 -+voff = -0.097861 -+nfactor = 0.8 -+eta0 = 0.2541 -+etab = -0.15284 -+vfb = 0 -+u0 = 0.01098 -+ua = 6.558e-010 -+ub = 9.7125e-019 -+uc = -1.0613e-011 -+eu = 1.67 -+vsat = 94000 -+a0 = 1.1534 -+ags = 0.17736 -+a1 = 0 -+a2 = 0.99 -+b0 = 0 -+b1 = 0 -+keta = -0.0091505 -+dwg = 0 -+dwb = 0 -+pclm = 0.3497 -+pdiblc1 = 0.1484 -+pdiblc2 = 0.00012311 -+pdiblcb = 0 -+drout = 0.56 -+pvag = 0 -+delta = 0.01 -+pscbe1 = 6.7448e+008 -+pscbe2 = 1e-005 -+fprout = 0 -+pdits = 0 -+pditsd = 0 -+pditsl = 0 -+rsh = 7 -+rdsw = pmos_3p3_rdsw -+rdswmin = 20 -+prwg = 0 -+prwb = 0 -+alpha0 = 0.0018936 -+alpha1 = 0 -+beta0 = 43.599 -+agidl = 1.5908e-011 -+bgidl = 1.3902e+009 -+cgidl = 7.5 -+egidl = 0.1009 -+cgso = 1.24e-010 -+cgdo = 1.24e-010 -+cgbo = 1e-013 -+cgdl = 4e-011 -+cgsl = 4e-011 -+clc = 1e-007 -+cle = 0.6 -+cf = 0 -+ckappas = 0.6 -+ckappad = 0.6 -+vfbcv = -1 -+acde = 1 -+moin = 15 -+noff = 2 -+voffcv = 0 -+tvoff = 0.0032 -+ltvoff = 0 -+wtvoff = 0 -+ptvoff = 0 -+kt1 = -0.29993 -+kt1l = 0 -+kt2 = -0.013066 -+ute = -1 -+ua1 = 1.4196e-009 -+ub1 = -2.6523e-018 -+uc1 = -6.384e-011 -+prt = 0 -+at = 12000 -+fnoimod = 1 -+tnoimod = 0 -+em = 4.1e+007 -+ef = 1.12 -+noia = pmos_3p3_noia -+noib = pmos_3p3_noib -+noic = pmos_3p3_noic -+ntnoi = 1 -+jss = 1.653e-007 -+jsws = 2.1207e-013 -+jswgs = 0 -+njs = 1 -+ijthsfwd= 0.1 -+ijthsrev= 0.1 -+jtss = 1e-011 -+jtssws = 1e-011 -+jtsswgs = 1e-011 -+njts = 20 -+njtssw = 20 -+njtsswg = 20 -+vtss = 10 -+vtssws = 10 -+vtsswgs = 10 -+pbs = 0.69939 -+cjs = 0.00094344 -+mjs = 0.32084 -+pbsws = 0.8022 -+cjsws = 1.5078e-010 -+mjsws = 0.05 -+pbswgs = 0.65 -+cjswgs = 4.794e-010 -+mjswgs = 0.21964 -+tpb = 0.0016906 -+tcj = 0.00099187 -+tpbsw = 0.0052 -+tcjsw = 0.00063483 -+tpbswg = 0.000744 -+tcjswg = 0.000932 -+xtis = 3 -+dmcg = 1.5e-007 -+saref = 4.4e-007 -+sbref = 4.4e-007 -+kvth0 = 0 -+ku0 = 0 -+kvsat = 0 - - -* model for unsalicided p+ diffusion resistor -.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 -*------------------- -* body resistor parameters -*.param rsh_pplus_u_m=185 -+ r_rsh0=rsh_pplus_u_m -+ r_dw=2.75E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for substrate capacitor -.model pn_junction d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends pplus_u_m1 - - -.endl pmos_3p3_stat -* -*************************************************************************************************** -* 6V native NMOS statistical Models -*************************************************************************************************** -* -.lib nmos_6p0_nat_stat - -*.lib nmos_6p0_nat_t -.subckt nmos_6p0_nat d g s b w=1e-5 l=1.8e-6 as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 sa=0 sb=0 nf=1 sd=0 m=1 - -m0 d g s b nmos_6p0_nat w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs sa=sa sb=sb nf=nf sd=sd - -.ends nmos_6p0_nat - -.model nmos_6p0_nat.0 nmos -+level = 54 -************************************************************** -* MODEL FLAG PARAMETERS -************************************************************** -+lmin = 1.8e-6 lmax = 50.01e-6 wmin = 0.8e-6 -+wmax = 100.01e-6 version = 4.6 binunit = 1 -+paramchk= 1 mobmod = 0 capmod = 2 -+igcmod = 0 igbmod = 0 geomod = 0 -+diomod = 1 rdsmod = 0 rbodymod= 0 -+rgeomod = 0 rgatemod= 0 permod = 1 -+acnqsmod= 0 trnqsmod= 0 tempmod = 0 -+wpemod = 0 -************************************************************** -* GENERAL MODEL PARAMETERS -************************************************************** -+tnom = 25 toxe = nmos_6p0_nat_tox toxp = '8e-10+nmos_6p0_nat_tox' -+toxm = 1.52e-008 epsrox = 3.9 toxref = 1.52e-008 -+wint = 1e-009 lint = 1e-007 ll = 0 -+wl = 0 lln = 1 wln = 1 -+lw = 0 ww = 0 lwn = 1 -+wwn = 1 lwl = 0 wwl = 0 -+llc = 0 wlc = 0 lwc = 0 -+wwc = 0 lwlc = 0 wwlc = 0 -+xl = nmos_6p0_nat_xl xw = nmos_6p0_nat_xw dlc = 0 -+dwc = 0 dlcig = 0 xpart = 0 -************************************************************** -* DC PARAMETERS -************************************************************** -+vth0 = nmos_6p0_nat_vth0 lvth0 = -0.088 k1 = 0.165 -+k2 = -0.001 k3 = -0.6 k3b = -0.6 -+w0 = 1e-010 dvt0 = 2.2 dvt1 = 0.53 -+dvt2 = -0.032 dvt0w = 0 dvt1w = 5300000 -+dvt2w = -0.032 dsub = 0.4 minv = -0.5 -+voffl = 0 dvtp0 = 1e-008 dvtp1 = 0 -+lambda = 0 vtl = 200000 xn = 3 -+lpe0 = 1e-007 lpeb = 0 vbm = -3 -+xj = nmos_6p0_nat_xj ngate = 1e+020 ndep = 1.7e+017 -+nsd = 1e+020 phin = 0.5 cdsc = 0.00024 -+cdscb = 0 cdscd = 0 cit = 0 -+voff = -0.06 ud1 = 0 up = 0 -+lp = 1e-008 nfactor = 0.40241 lnfactor= 0.45 -+eta0 = 0.06 etab = -0.43 u0 = 0.070102 -+lu0 = 0.042 ua = 2.278e-009 ub = 3.97e-019 -+lub = 3.65e-018 uc = 2.625e-012 eu = 1.67 -+vsat = 106700 pvsat = 23500 a0 = 0.88 -+ags = 0.72 a1 = 0 a2 = 0.47 -+b0 = 3.5e-007 b1 = 0 keta = -0.04 -+dwg = 0 dwb = 0 pclm = 3 -+pdiblc1 = 1.41 pdiblc2 = 1e-005 pdiblcb = 0 -+drout = 0.16 pvag = 1 delta = 0.005 -+pscbe1 = 5e+009 pscbe2 = 5e-006 fprout = 65 -+pdits = 0 pditsd = 0 pditsl = 0 -+rsh = 7 rdsw = nmos_6p0_nat_rdsw rsw = 100 -+rdw = 100 rdswmin = 0 rdwmin = 0 -+rswmin = 0 prwg = 1 prwb = 0 -+wr = 1 alpha0 = 1.36e-008 alpha1 = 1e-005 -+beta0 = 15 agidl = 2e-010 bgidl = 2.3e+009 -+cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 -+bigbacc = 0.054 cigbacc = 0.075 nigbacc = 1 -+aigbinv = 0.35 bigbinv = 0.03 cigbinv = 0.006 -+eigbinv = 1.1 nigbinv = 3 aigc = 0.43 -+bigc = 0.054 cigc = 0.075 aigsd = 0.43 -+bigsd = 0.054 cigsd = 0.075 nigc = 1 -+poxedge = 1 pigcd = 1 ntox = 1 -+vfbsdoff= 0 -************************************************************** -* CAPACITANCE PARAMETERS -************************************************************** -+cgso = 1e-010 cgdo = 1e-010 cgbo = 1e-013 -+cgdl = 1.5e-010 cgsl = 1.5e-010 clc = 1e-010 -+cle = 0.6 ckappas = 0.6 ckappad = 0.6 -+vfbcv = -1 acde = 0.3 moin = 15 -+noff = 1.5 voffcv = 0 -************************************************************** -* TEMPERATURE PARAMETERS -************************************************************** -+tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 -+kt1l = 3.5e-008 kt2 = -0.05 ute = -1.5 -+lute = -0.26 ua1 = 1e-009 ub1 = -1e-018 -+uc1 = -5.6e-011 prt = 0 at = 80000 -+lat = -30000 pat = -10000 -************************************************************** -* NOISE PARAMETERS -************************************************************** -+fnoimod = 1 tnoimod = 0 em = 4.1e+007 -+ef = 1 noia = nmos_6p0_nat_noia noib = nmos_6p0_nat_noib -+noic = nmos_6p0_nat_noic ntnoi = 1 lintnoi = 0 -************************************************************** -* DIODE PARAMETERS -************************************************************** -+jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 -+njs = 1.0541 ijthsfwd= 0.1 ijthsrev= 0.1 -+bvs = 11 xjbvs = 1 xjbvd = 1 -+jtss = 0 jtsd = 0 jtssws = 0 -+jtsswd = 0 jtsswgs = 0 jtsswgd = 0 -+njts = 20 njtssw = 20 njtsswg = 20 -+xtss = 0.02 xtsd = 0.02 xtssws = 0.02 -+xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 -+tnjts = 0 tnjtssw = 0 tnjtsswg= 0 -+vtss = 10 vtsd = 10 vtssws = 10 -+vtsswd = 10 vtsswgs = 10 vtsswgd = 10 -+pbs = 0.606 cjs = 0.00095 mjs = 0.296 -+pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 -+pbswgs = 0.861 cjswgs = 3.573e-010 mjswgs = 0.40313 -+tpb = 0.00146 tcj = 0.000825 tpbsw = 0.00313 -+tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 -+xtis = 3 -************************************************************** -* LAYOUT RELATED PARAMETERS -************************************************************** -+dmcg = 0 dmdg = 0 dmcgt = 0 -+xgw = 0 xgl = 0 -************************************************************** -* RF PARAMETERS -************************************************************** -+rshg = 0.1 gbmin = 1e-012 rbpb = 50 -+rbpd = 50 rbps = 50 rbdb = 50 -+rbsb = 50 ngcon = 1 xrcrg1 = 12 -+xrcrg2 = 1 rbps0 = 50 rbpsl = 0 -+rbpsw = 0 rbpsnf = 0 rbpd0 = 50 -+rbpdl = 0 rbpdw = 0 rbpdnf = 0 -+rbpbx0 = 100 rbpbxl = 0 rbpbxw = 0 -+rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 -+rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 -+rbsby0 = 100 rbdbx0 = 100 rbdby0 = 100 -+rbsdbxl = 0 rbsdbxw = 0 rbsdbxnf= 0 -+rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 -************************************************************** -* STRESS PARAMETERS -************************************************************** -+web = 0 wec = 0 scref = 1e-006 -+kvth0we = 0 k2we = 0 ku0we = 0 -+saref = 1e-006 sbref = 1e-006 wlod = 0 -+kvth0 = 0 lkvth0 = 0 wkvth0 = 0 -+pkvth0 = 0 llodvth = 0 wlodvth = 0 -+stk2 = 0 lodk2 = 1 lodeta0 = 1 -+ku0 = 0 lku0 = 0 wku0 = 0 -+pku0 = 0 llodku0 = 0 wlodku0 = 0 -+kvsat = 0 steta0 = 0 tku0 = 0 - -.endl nmos_6p0_nat_stat -* -*************************************************************************************************** -* 6V PMOS statistical Models -*************************************************************************************************** -* -.lib pmos_6p0_stat - - -.subckt pmos_6p0_sab d g s b w=10u l=0.5u par=1 s_sab=0.28u d_sab=2.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 - -.param -+ par_vth=0.01051 -+ par_k=0.00517 -+ par_l=3e-7 -+ par_w=-4e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -xr1 d d1 b pplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' -xr2 s s1 b pplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' -m0 d1 g s1 b pmos_6p0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 -+delvto='mis_vth*sw_stat_mismatch' -.ends - - -.model pmos_6p0.0 pmos -***** Flag Parameter *** -+level = 54 version = 4.6 binunit = 1 -+paramchk = 1 mobmod = 0 capmod = 2 -+rdsmod = 0 igcmod = 0 igbmod = 0 -+rbodymod = 0 trnqsmod = 0 acnqsmod = 0 -+fnoimod = 1 tnoimod = 0 diomod = 1 -+tempmod = 0 permod = 1 geomod = 1 -***** Geometry Range Parameter *** -+lmin = 0.5e-6 lmax = 50.01e-6 wmin = 0.3e-6 -+wmax = 100.01e-6 -***** Process Parameter *** -+epsrox = 3.9 toxe = pmos_6p0_tox xj = pmos_6p0_xj -+ndep = 1.7E17 ngate = 3.6E19 nsd = 6E16 -+rsh = 7 rshg = 0.1 phin = 0 -+lphin = 0.1408 -***** dW and dL Parameter *** -+wint = 4.9E-8 wl = 0 wln = 1 -+ww = -1.37E-14 wwn = 1 wwl = 3.04E-22 -+lint = 6.7E-8 ll = -5.4E-15 lln = 1 -+lw = 0 lwn = 1 lwl = -4.76E-21 -+dwg = -6.6E-9 dwb = -3E-9 xl = pmos_6p0_xl -+xw = pmos_6p0_xw -***** Vth Related Parameter *** -+vth0 = pmos_6p0_vth0 pvth0 = 7.6E-3 -+k1 = 0.9588 k2 = 8.936E-3 vfb = -1 -+k3 = -0.75 k3b = 1.2104 w0 = 3.1E-7 -+lpe0 = -4.4E-8 lpeb = -5.96E-8 dvtp0 = 0 -+dvtp1 = 0.3 dvt0 = 1 dvt1 = 1 -+dvt2 = 0 dvt0w = 0 dvt1w = 5.3E6 -+dvt2w = -0.032 -***** Mobility Related Parameter *** -+u0 = 0.0151 ua = 1.78E-9 ub = 4.88E-19 -+uc = -2.7435E-11 luc = 8.691408E-11 puc = -1.501336E-11 -+vsat = 8.55E4 a0 = 0.84 ags = 0.059 -+b0 = 2.625E-8 b1 = 0 keta = -8.6016E-5 -+wketa = 2.772E-3 a1 = 0 a2 = 1 -+rdsw = pmos_6p0_rdsw wrdsw = 213.9 prdsw = -120 -+rdswmin = 100 prwb = 0.569552 pprwb = -0.052 -+prwg = 0.0432 wr = 1 -***** Subthreshold Related Parameter *** -+voff = -0.1284 voffl = 2.19E-8 minv = 0 -+nfactor = 1 eta0 = 0.08 etab = -0.09408 -+petab = -0.012128 dsub = 0.4824 cit = 0 -+cdsc = 2.4E-4 cdscb = 0 cdscd = 0 -***** Output Resistance Related Parameter *** -+pclm = 0.42 ppclm = 0.071 pdiblc1 = 0.14 -+pdiblc2 = 1E-5 pdiblcb = 0 drout = 0.56 -+pscbe1 = 5.088E8 pscbe2 = 1E-8 pvag = 1.5 -+delta = 0.01 fprout = 0 pdits = 0.01 -+pditsl = 0 pditsd = 0 lambda = 0 -+vtl = 2E5 lc = 0 xn = 3 -+alpha0 = 9.6E-7 alpha1 = 51.5 beta0 = 50.8 -+wbeta0 = 0.22 pbeta0 = 0.14 -***** Noise Parameters *** -+ef = 1.1 noia = pmos_6p0_noia -+noib = pmos_6p0_noib noic = pmos_6p0_noic -***** Capacitance Parameter *** -+xpart = 1 cgso = 7.71E-11 cgdo = 7.71E-11 -+cgbo = 1E-13 ckappas = 0.6 ckappad = 0.6 -+dlc = 7.4E-9 noff = 1 voffcv = 0 -+acde = 0.7 moin = 15 cgsl = 5.25E-11 -+cgdl = 5.25E-11 -***** Souce/Drain Junction Diode Model Parameter *** -+ijthsrev = 0.1 ijthdrev = 0.1 ijthsfwd = 0.1 -+ijthdfwd = 0.1 xjbvs = 1 xjbvd = 1 -+bvs = 10.5 bvd = 10.5 jss = 2.0867e-007 -+jsd = 2.0867e-007 jsws = 1.6088e-013 jswd = 1.6088e-013 -+jswgs = 0 jswgd = 0 cjs = 0.000912 -+cjd = 0.000912 mjs = 0.32713 mjd = 0.32713 -+mjsws = 0.056777 mjswd = 0.056777 cjsws = 1.4649e-010 -+cjswd = 1.4649e-010 cjswgs = 3.3229e-010 cjswgd = 3.3229e-010 -+mjswgs = 0.50996 mjswgd =0.50996 pbs = 0.76836 -+pbd = 0.76836 pbsws = 0.5 pbswd = 0.5 -+pbswgs = 1.2295 pbswgd = 1.2295 -***** Temperature coefficient *** -+tnom = 25 ute = -1.2 lute = -0.152467 -+wute = -0.07 kt1 = -0.3828 pkt1 = 2.2E-3 -+kt1l = -3.158E-8 kt2 = -0.09064 ua1 = 1.41E-9 -+lua1 = -6.554813E-10 wua1 = -1.2E-10 pua1 = -3.823641E-10 -+ub1 = -4.31E-18 lub1 = 1.939773E-19 pub1 = 7.291324E-19 -+uc1 = 1.147552E-10 luc1 = -1.067674E-10 puc1 = 1.8536E-11 -+at = -2.18E4 pat = -6.1E3 prt = 454 -+njs = 1 njd = 1 xtis = 3 -+xtid = 3 tpb = 0.0019314 tpbsw = 0.0017642 -+tpbswg = 0.0016588 tcj = 0.001 tcjsw = 0.00071888 -+tcjswg = 0.0009411 - - - - -* model for unsalicided p+ diffusion resistor -.subckt pplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 par=1 -*------------------- -* body resistor parameters -*.param rsh_pplus_u_m=185 -+ r_rsh0=rsh_pplus_u_m -+ r_dw=2.75E-8 -+ r_dl=0 -+ r_vc1=0 -+ r_vc2=0 -+ r_tc1=1.375E-3 -+ r_tc2=1E-6 -+ r_tnom=25 -+ r_l='lr-2*r_dl' -+ r_w='wr-2*r_dw' -+ r_n='r_l/r_w' -+ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' -* model for substrate capacitor -.model pn_junction d -+ Level=3 -+ Cj=0.00094344 -+ Mj=0.32084 -+ Pb=0.69939 -+ Cjsw=1.5078e-010 -+ Mjsw=0.05 -+ Php=0.8022 -+ Cta=0.00099187 -+ Ctp=0.00063483 -+ Tpb=0.0016906 -+ Tphp=0.0058423 -+ Tlevc=1 -+ Tref=25 -*------------------- -* terminal 1 -d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' -* body -rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' -*------------------- -.ends pplus_u_m2 - - -.endl pmos_6p0_stat -* - -.LIB efuse -****************************************************************************** -* -* A single resistor is used to simulate the resistance. -* -* Rfuse -* in o--/\/\/\/--o out -* -******************************************************************************* -* -* SYNTAX: -* -* Specify fuse as intact (default, pblow=0) or programmed (pblow=1). -* -* xxx in out efuse (pblow=0) -* -* NOTES: -* -* 1. Model values based on PCELL layout as provided in the design kit. No -* other geometries or layouts are supported. -* -* 2. Resistance toggles between maximum spec value for intact fuse (<200 ohm) -* and minimum end of life value for programmed fuse (> 900 ohm). -* -******************************************************************************* -* -.subckt efuse in out pblow=0 -* -rfuse in out r='200*(1-pblow) + 900*pblow' -* -.ends efuse - -.ENDL efuse - -.lib fets_mm -.subckt nmos_3p3 d g s b w=1e-5 l=2.8e-7 -+ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 -+ sa=0 sb=0 nf=1 sd=0 m=1 - -.param -+ par_vth=0.007148 -+ par_k=0.007008 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -m0 d g s b nmos_3p3 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs -+delvto='mis_vth*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd -.ends nmos_3p3 -*------------------------------------------------------------------------ -.subckt pmos_3p3 d g s b w=1e-5 l=2.8e-7 -+ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 -+ sa=0 sb=0 nf=1 sd=0 m=1 - -.param -+ par_vth=0.00666 -+ par_k=0.002833 -+ par_l=1.5e-7 -+ par_w=-1e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -m0 d g s b pmos_3p3 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs -+delvto='mis_vth*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd -.ends pmos_3p3 -*------------------------------------------------------------------------ -.subckt nmos_6p0 d g s b w=1e-5 l=7e-7 -+ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 -+ sa=0 sb=0 nf=1 sd=0 m=1 - -.param -+ par_vth=0.01155 -+ par_k=0.0000 -+ par_l=4e-7 -+ par_w=-5e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -m0 d g s b nmos_6p0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs -+delvto='mis_vth*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd -.ends nmos_6p0 -*------------------------------------------------------------------------ -.subckt pmos_6p0 d g s b w=1e-5 l=5e-7 -+ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 -+ sa=0 sb=0 nf=1 sd=0 m=1 - -.param -+ par_vth=0.01051 -+ par_k=0.00517 -+ par_l=3e-7 -+ par_w=-4e-7 -+ par_leff='l-par_l' -+ par_weff='par*(w-par_w)' -+ p_sqrtarea='sqrt((par_leff)*(par_weff))' - -.param -+ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' -+ mis_k=agauss(0,var_k,1) - -.param -+ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' -+ mis_vth=agauss(0,var_vth,1) - -m0 d g s b pmos_6p0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs -+delvto='mis_vth*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd -.ends pmos_6p0 -*------------------------------------------------------------------------ - -.endl fets_mm - -.LIB res_statistical_par -.param -+mc_rsh_nplus_u=0 -+mc_rsh_pplus_u=0 -+mc_rsh_nplus_s=0 -+mc_rsh_pplus_s=0 -+mc_rsh_npolyf_u=0 -+mc_rsh_ppolyf_u=0 -+mc_rsh_npolyf_s=0 -+mc_rsh_ppolyf_s=0 -+mc_rsh_ppolyf_u_1k=0 -+mc_rsh_ppolyf_u_2k=0 -+mc_rsh_ppolyf_u_1k_6p0=0 -+mc_rsh_ppolyf_u_2k_6p0=0 -+mc_rsh_ppolyf_u_3k=0 - -+mc_dw_nplus_u=0 -+mc_dw_pplus_u=0 -+mc_dw_nplus_s=0 -+mc_dw_pplus_s=0 -+mc_dw_npolyf_u=0 -+mc_dw_ppolyf_u=0 -+mc_dw_npolyf_s=0 -+mc_dw_ppolyf_s=0 -+mc_dw_ppolyf_u_1k=0 -+mc_dw_ppolyf_u_2k=0 -+mc_dw_ppolyf_u_1k_6p0=0 -+mc_dw_ppolyf_u_2k_6p0=0 -+mc_dw_ppolyf_u_3k=0 - -+mc_rt_nplus_u=0 -+mc_rt_pplus_u=0 -+mc_rt_npolyf_u=0 -+mc_rt_ppolyf_u=0 -+mc_rt_ppolyf_u_1k=0 -+mc_rt_ppolyf_u_2k=0 -+mc_rt_ppolyf_u_1k_6p0=0 -+mc_rt_ppolyf_u_2k_6p0=0 -+mc_rt_ppolyf_u_3k=0 - -.ENDL res_statistical_par - -.lib res_statistical -.param -+rsh_nplus_u=60 -+rsh_pplus_u=185 -+rsh_nplus_s=6.3 -+rsh_pplus_s=7 -+rsh_nwell=1000 -+rsh_npolyf_u=310 -+rsh_ppolyf_u=350 -+rsh_npolyf_s=6.8 -+rsh_ppolyf_s=7.3 -* -+rsh_ppolyf_u_1k=1000 -+rsh_ppolyf_u_2k=2000 -+rsh_ppolyf_u_1k_6p0=1000 -+rsh_ppolyf_u_2k_6p0=2000 -+rsh_ppolyf_u_3k=3000 -+rsh_rm1=0.09 -+rsh_rm2=0.09 -+rsh_rm3=0.09 -+rsh_tm6k=60e-3 -+rsh_tm9k=40e-3 -+rsh_tm11k=40e-3 -+rsh_tm30k=9.5e-3 - -.param -+ mc_rsh_nplus_u_temp=agauss(0, 3.8, 3) -+ mc_rsh_pplus_u_temp=agauss(0, 10.055, 3) -+ mc_rsh_nplus_s_temp=agauss(0, 1.33, 3) -+ mc_rsh_pplus_s_temp=agauss(0, 1.4917, 3) -+ mc_rsh_npolyf_u_temp=agauss(0, 15.135, 3) -+ mc_rsh_ppolyf_u_temp=agauss(0, 18.116, 3) -+ mc_rsh_npolyf_s_temp=agauss(0, 1.392, 3) -+ mc_rsh_ppolyf_s_temp=agauss(0, 1.5852, 3) -+ mc_rsh_ppolyf_u_1k_temp=agauss(0, 49.754, 3) -+ mc_rsh_ppolyf_u_2k_temp=agauss(0, 101.518, 3) -+ mc_rsh_ppolyf_u_1k_6p0_temp=agauss(0, 51.894, 3) -+ mc_rsh_ppolyf_u_2k_6p0_temp=agauss(0, 99.242, 3) -+ mc_rsh_ppolyf_u_3k_temp=agauss(0, 184.603, 3) - -+ mc_dw_nplus_u_temp=agauss(0, 0.0144, 3) -+ mc_dw_pplus_u_temp=agauss(0, 0.0144, 3) -+ mc_dw_nplus_s_temp=agauss(0, 0.012, 3) -+ mc_dw_pplus_s_temp=agauss(0, 0.018, 3) -+ mc_dw_npolyf_u_temp=agauss(0, 0.0167, 3) -+ mc_dw_ppolyf_u_temp=agauss(0, 0.0167, 3) -+ mc_dw_npolyf_s_temp=agauss(0, 0.006, 3) -+ mc_dw_ppolyf_s_temp=agauss(0, 0.003, 3) -+ mc_dw_ppolyf_u_1k_temp=agauss(0, 0.0167, 3) -+ mc_dw_ppolyf_u_2k_temp=agauss(0, 0.0167, 3) -+ mc_dw_ppolyf_u_1k_6p0_temp=agauss(0, 0.0167, 3) -+ mc_dw_ppolyf_u_2k_6p0_temp=agauss(0, 0.0167, 3) -+ mc_dw_ppolyf_u_3k_temp=agauss(0, 0.0167, 3) - -+ mc_rt_nplus_u_temp=agauss(0, 0.64, 3) -+ mc_rt_pplus_u_temp=agauss(0, 7.2, 3) -+ mc_rt_npolyf_u_temp=agauss(0, 4.8, 3) -+ mc_rt_ppolyf_u_temp=agauss(0, 4.7, 3) -+ mc_rt_ppolyf_u_1k_temp=agauss(0, 6.838, 3) -+ mc_rt_ppolyf_u_2k_temp=agauss(0, 6.838, 3) -+ mc_rt_ppolyf_u_1k_6p0_temp=agauss(0, 6.838, 3) -+ mc_rt_ppolyf_u_2k_6p0_temp=agauss(0, 6.838, 3) -+ mc_rt_ppolyf_u_3k_temp=agauss(0, 6.93, 3) -************************************************************** -+ mc_rsh_nplus_u=mc_rsh_nplus_u_temp -+ mc_rsh_pplus_u=mc_rsh_pplus_u_temp -+ mc_rsh_nplus_s=mc_rsh_nplus_s_temp -+ mc_rsh_pplus_s=mc_rsh_pplus_s_temp -+ mc_rsh_npolyf_u=mc_rsh_npolyf_u_temp -+ mc_rsh_ppolyf_u=mc_rsh_ppolyf_u_temp -+ mc_rsh_npolyf_s=mc_rsh_npolyf_s_temp -+ mc_rsh_ppolyf_s=mc_rsh_ppolyf_s_temp -+ mc_rsh_ppolyf_u_1k=mc_rsh_ppolyf_u_1k_temp -+ mc_rsh_ppolyf_u_2k=mc_rsh_ppolyf_u_2k_temp -+ mc_rsh_ppolyf_u_1k_6p0=mc_rsh_ppolyf_u_1k_6p0_temp -+ mc_rsh_ppolyf_u_2k_6p0=mc_rsh_ppolyf_u_2k_6p0_temp -+ mc_rsh_ppolyf_u_3k=mc_rsh_ppolyf_u_3k_temp - -+ mc_dw_nplus_u=mc_dw_nplus_u_temp -+ mc_dw_pplus_u=mc_dw_pplus_u_temp -+ mc_dw_nplus_s=mc_dw_nplus_s_temp -+ mc_dw_pplus_s=mc_dw_pplus_s_temp -+ mc_dw_npolyf_u=mc_dw_npolyf_u_temp -+ mc_dw_ppolyf_u=mc_dw_ppolyf_u_temp -+ mc_dw_npolyf_s=mc_dw_npolyf_s_temp -+ mc_dw_ppolyf_s=mc_dw_ppolyf_s_temp -+ mc_dw_ppolyf_u_1k=mc_dw_ppolyf_u_1k_temp -+ mc_dw_ppolyf_u_2k=mc_dw_ppolyf_u_2k_temp -+ mc_dw_ppolyf_u_1k_6p0=mc_dw_ppolyf_u_1k_6p0_temp -+ mc_dw_ppolyf_u_2k_6p0=mc_dw_ppolyf_u_2k_6p0_temp -+ mc_dw_ppolyf_u_3k=mc_dw_ppolyf_u_3k_temp - -+ mc_rt_nplus_u=mc_rt_nplus_u_temp -+ mc_rt_pplus_u=mc_rt_pplus_u_temp -+ mc_rt_npolyf_u=mc_rt_npolyf_u_temp -+ mc_rt_ppolyf_u=mc_rt_ppolyf_u_temp -+ mc_rt_ppolyf_u_1k=mc_rt_ppolyf_u_1k_temp -+ mc_rt_ppolyf_u_2k=mc_rt_ppolyf_u_2k_temp -+ mc_rt_ppolyf_u_1k_6p0=mc_rt_ppolyf_u_1k_6p0_temp -+ mc_rt_ppolyf_u_2k_6p0=mc_rt_ppolyf_u_2k_6p0_temp -+ mc_rt_ppolyf_u_3k=mc_rt_ppolyf_u_3k_temp - -* -.lib 'transistors.ngspice' res -.lib 'transistors.ngspice' efuse -.endl res_statistical - -*------------------------------------------------------------------------ -.lib bjt_statistical -.param -+isa=1 bfa=1 rba=1 rea=1 -+rca=1 rbma=1 cjea=1 cjca=1 -+is_cor_npn =1 -+bf_cor_npn=1 -+rb_cor_npn=1 -+re_cor_npn=1 -+rc_cor_npn=1 -+rbm_cor_npn=1 -+cjc_cor_npn=1 -+cje_cor_npn=1 - -+ mc_xis_vnpn_temp=agauss(0, 0.7, 3) -+ mc_xbf_vnpn_temp=agauss(0, 0.48, 3) -+ mc_xrb_vnpn_temp=agauss(0, 0.2, 3) -+ mc_xre_vnpn_temp=agauss(0, 0.2, 3) -+ mc_xrc_vnpn_temp=agauss(0, 0.2, 3) -+ mc_xcje_vnpn_temp=agauss(0, 0.15, 3) -+ mc_xcjc_vnpn_temp=agauss(0, 0.15, 3) - -+ mc_xis_vpnp_temp=agauss(0, 0.3, 3) -+ mc_xbf_vpnp_temp=agauss(0, 0.2, 3) -+ mc_xrb_vpnp_temp=agauss(0, 0.2, 3) -+ mc_xre_vpnp_temp=agauss(0, 0.2, 3) -+ mc_xrc_vpnp_temp=agauss(0, 0.2, 3) -+ mc_xcje_vpnp_temp=agauss(0, 0.15, 3) -+ mc_xcjc_vpnp_temp=agauss(0, 0.15, 3) -** -+ mc_xis_vnpn=mc_xis_vnpn_temp -+ mc_xbf_vnpn=mc_xbf_vnpn_temp -+ mc_xrb_vnpn=mc_xrb_vnpn_temp -+ mc_xre_vnpn=mc_xre_vnpn_temp -+ mc_xrc_vnpn=mc_xrc_vnpn_temp -+ mc_xcje_vnpn=mc_xcje_vnpn_temp -+ mc_xcjc_vnpn=mc_xcjc_vnpn_temp -+ mc_xis_vpnp=mc_xis_vpnp_temp -+ mc_xbf_vpnp=mc_xbf_vpnp_temp -+ mc_xrb_vpnp=mc_xrb_vpnp_temp -+ mc_xre_vpnp=mc_xre_vpnp_temp -+ mc_xrc_vpnp=mc_xrc_vpnp_temp -+ mc_xcje_vpnp=mc_xcje_vpnp_temp -+ mc_xcjc_vpnp=mc_xcjc_vpnp_temp -.lib 'transistors.ngspice' bjt_mc -.endl bjt_statistical - -.lib bjt_mc - -.subckt vpnp_0p42x10 c b e par=1 dtemp=0 - -.param -+mis_is_vpnp_0p42x10=agauss(0,0.0015,1) -+mis_bf_vpnp_0p42x10=agauss(0,0.01088,1) - -+isa_mis_vpnp_0p42x10= 'mis_is_vpnp_0p42x10*sw_stat_mismatch / sqrt(par)' -+bf_mis_vpnp_0p42x10= 'mis_bf_vpnp_0p42x10*sw_stat_mismatch / sqrt(par)' - -q0 c b e vpnp_0p42x10 dtemp=dtemp -.model vpnp_0p42x10 pnp -+tref = 25 level = 1 -+cjc = '2.04e-014*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' cje = '6.88e-015*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' cjs = 0 fc = 0.5 -+mjc = 0.22711 mje = 0.14469 mjs = 0.5 vjc = 0.43905 -+vje = 0.43905 vjs = 0.75 xcjc = 1 cbcp = 0 -+cbep = 0 ccsp = 0 itf = 0.1 ptf = 0 -+tf = 1e-010 tr = 0 vtf = 10 xtf = 1 -+af = 1 kf = 0 -+is = '9e-019*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + isa_mis_vpnp_0p42x10)' rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' irb = 0.1 -+rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' rbm = '10*rbma' bf = '1.69*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + bf_mis_vpnp_0p42x10)' nf = 1 -+vaf = 80 ikf = 0.00063375 ise = 2.7e-016 ne = 1.64 -+br = 0.0036 nr = 1 var = 23 ikr = 0.1 -+nkf = 0.4 isc = 1e-018 nc = 2 -+xtb = 0.0001 xti = 3 eg = 1.17 ctc = 0.0028626 -+cte = 0.001 tlevc = 1 tvjc = 0.0024779 tvje = 0.0019314 -+tbf1 = 0.0061 tikf1 = -0.0043 tbf2 = -4.235165e-022 - -.ends vpnp_0p42x10 - -.subckt vpnp_0p42x5 c b e par=1 dtemp=0 - -.param -+mis_is_vpnp_0p42x5=agauss(0,0.0017,1) -+mis_bf_vpnp_0p42x5=agauss(0,0.0119,1) -q0 c b e vpnp_0p42x5 dtemp=dtemp -.model vpnp_0p42x5 pnp -+level = 1 tlevc = 1 tref = 25 -+is = '4.388E-19*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_vpnp_0p42x5*sw_stat_mismatch / sqrt(par))' bf = '1.681*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + mis_bf_vpnp_0p42x5*sw_stat_mismatch / sqrt(par))' nf = 1 -+vaf = 180 ikf = 2.4777E-4 nkf = 0.4 -+ise = 1.2124E-16 ne = 1.64 br = 1.9872E-3 -+nr = 1 var = 23 ikr = 0.1 -+isc = 1E-16 nc = 2 -+rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' -+re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' -+cje = '3.5E-15*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.15395 -+cjc = '1.17E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.22711 -+cjs = 0 vjs = 0.75 mjs = 0.5 -+tf = 1E-10 xtf = 1 vtf = 10 -+itf = 0.1 xcjc = 1 fc = 0.5 -+tr = 0 ptf = 0 cbcp = 0 -+cbep = 0 ccsp = 0 -+xtb = 1E-4 xti = 3 eg = 1.17 -+tbf1 = 6.1E-3 tbf2 = -4.235165E-22 tikf1 = -4.3E-3 -+cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 -+tvjc = 2.4779E-3 -+kf = 0 af = 1 -.ends vpnp_0p42x5 - - -.subckt vpnp_10x10 c b e par=1 dtemp=0 - -.param -+mis_is_vpnp_10x10=agauss(0,0.00077,1) -+mis_bf_vpnp_10x10=agauss(0,0.0013,1) -q0 c b e vpnp_10x10 dtemp=dtemp -.model vpnp_10x10 pnp -+level = 1 tlevc = 1 tref = 25 -+is = '1.249175E-17*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_vpnp_10x10*sw_stat_mismatch / sqrt(par))' bf = '1.7*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + mis_bf_vpnp_10x10*sw_stat_mismatch / sqrt(par))' nf = 1 -+vaf = 206.4 ikf = 2.610625E-3 nkf = 0.4 -+ise = 2.7E-16 ne = 1.64 br = 0.017038 -+nr = 1 var = 23 ikr = 0.1 -+isc = 1E-18 nc = 2 -+rb = '27.88*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' -+re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' -+cje = '9.71E-14*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.24192 -+cjc = '4.69E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.24528 -+cjs = 0 vjs = 0.75 mjs = 0.5 -+tf = 1E-10 xtf = 1 vtf = 10 -+itf = 0.1 xcjc = 1 fc = 0.5 -+tr = 0 ptf = 0 cbcp = 0 -+cbep = 0 ccsp = 0 -+xtb = 1E-4 xti = 3 eg = 1.17 -+tbf1 = 4.26E-3 tbf2 = -1.4E-6 tikf1 = -4.3E-3 -+cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 -+tvjc = 2.4779E-3 -+kf = 0 af = 1 -.ends vpnp_10x10 - -.subckt vpnp_5x5 c b e par=1 dtemp=0 - -.param -+mis_is_vpnp_5x5=agauss(0,0.00052,1) -+mis_bf_vpnp_5x5=agauss(0,0.0031,1) - -q0 c b e vpnp_5x5 dtemp=dtemp -.model vpnp_5x5 pnp -+level = 1 tlevc = 1 tref = 25 -+is = '3.403E-18*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_vpnp_5x5*sw_stat_mismatch / sqrt(par))' bf = '1.65*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 +mis_bf_vpnp_5x5*sw_stat_mismatch / sqrt(par))' nf = 1 -+vaf = 208.8 ikf = 1.025275E-3 nkf = 0.4 -+ise = 2.7E-16 ne = 1.64 br = 8.372E-3 -+nr = 1 var = 27.37 ikr = 0.1 -+isc = 1E-18 nc = 2 -+rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' -+re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' -+cje = '2.57E-14*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.23266 -+cjc = '2.15E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.22711 -+cjs = 0 vjs = 0.75 mjs = 0.5 -+tf = 1E-10 xtf = 1 vtf = 10 -+itf = 0.1 xcjc = 1 fc = 0.5 -+tr = 0 ptf = 0 cbcp = 0 -+cbep = 0 ccsp = 0 -+xtb = 1E-4 xti = 3 eg = 1.17 -+tbf1 = 4.54E-3 tbf2 = -9E-7 tikf1 = -4.3E-3 -+cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 -+tvjc = 2.4779E-3 -+kf = 0 af = 1 -.ends vpnp_5x5 - -.subckt vnpn_10x10 c b e s par=1 dtemp=0 - -q0 c b e s vnpn_10x10 dtemp=dtemp -.model vnpn_10x10 npn level = 1 -************************************************************** -* GENERAL PARAMETERS -************************************************************** -+tref = 25 -************************************************************** -* CAPACITANCE PARAMETERS -************************************************************** -+cjc = '7.053E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.031E-13*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 1.135E-13 -+mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 -+vjs = 0.35175 xcjc = 1 -************************************************************** -* Noise PARAMETERS -************************************************************** -+af = 1 kf = 0 -************************************************************** -* DC PARAMETERS -************************************************************** -+is = '1.8108e-017*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '65.442*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '6.9007*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' -+irb = 0.00045303 rc = '10.146*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '10.83*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' -+nf = 1.002 vaf = 95.696 ikf = 0.021028 ise = 2.9626e-016 -+ne = 1.345 br = 0.258 nr = 1.002 var = 29.681 -+ikr = 0.0038951 nkf = 0.584 isc = 1.5816e-016 nc = 1.284 -+iss=6.32E-16 -************************************************************** -* TEMPERATURE PARAMETERS -************************************************************** -+xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 -+tnr1 = 0.0001584 tne1 = 0.00047174 tbf1 = 0.00484 tbr1 = 0.0003808 -+tikf1 = -0.0053169 tre1 = 0.002 tbf2 = 3.705e-006 - -.ends vnpn_10x10 - -.subckt vnpn_5x5 c b e s par=1 dtemp=0 - -q0 c b e s vnpn_5x5 dtemp=dtemp -.model vnpn_5x5 npn level = 1 -************************************************************** -* GENERAL PARAMETERS -************************************************************** -+tref = 25 -************************************************************** -* CAPACITANCE PARAMETERS -************************************************************** -+cjc = '2.972E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '2.733E-14*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 6.618E-14 -+mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 -+vjs = 0.35175 xcjc = 1 -************************************************************** -* Noise PARAMETERS -************************************************************** -+af = 1 kf = 0 -************************************************************** -* DC PARAMETERS -************************************************************** -+is = '5.1456e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '71.419*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '12.809*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' -+irb = 0.00045303 rc = '12.655*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '10.05*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' -+nf = 1.002 vaf = 77.796 ikf = 0.01158 ise = 2.5923e-016 -+ne = 1.4224 br = 0.16573 nr = 1 var = 28.001 -+ikr = 0.0038951 nkf = 0.584 isc = 1.2536e-016 nc = 1.284 -+iss=3.26E-16 -************************************************************** -* TEMPERATURE PARAMETERS -************************************************************** -+xtb = 0 xti = 3 eg = 1.17 tnf1 = 6e-005 -+tnr1 = 0.0001071 tne1 = 0.0005 tbf1 = 0.0050844 tbr1 = 0.00045 -+tikf1 = -0.005 tre1 = 0.001 tbf2 = 4.3586e-006 -.ends vnpn_5x5 - -.subckt vnpn_0p54x16 c b e s par=1 dtemp=0 - - -q0 c b e s vnpn_0p54x16 dtemp=dtemp -.model vnpn_0p54x16 npn level = 1 -************************************************************** -* GENERAL PARAMETERS -************************************************************** -+tref = 25 -************************************************************** -* CAPACITANCE PARAMETERS -************************************************************** -+cjc = '3.540E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.354E-14*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 8.211E-14 -+mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 -+vjs = 0.35175 xcjc = 1 -************************************************************** -* Noise PARAMETERS -************************************************************** -+af = 1 kf = 0 -************************************************************** -* DC PARAMETERS -************************************************************** -+is = '2.8872e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '137.43*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '14.121*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' -+irb = 0.00045303 rc = '12.9*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.4987*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' -+nf = 1.002 vaf = 37.389 ikf = 0.013121 ise = 5.2003e-016 -+ne = 1.4678 br = 0.079582 nr = 1 var = 23.969 -+ikr = 0.0038951 nkf = 0.584 isc = 1.0425e-016 nc = 1.284 -+iss=3.95E-16 -************************************************************** -* TEMPERATURE PARAMETERS -************************************************************** -+xtb = 0 xti = 3 eg = 1.17 tnf1 = 5.2528e-005 -+tnr1 = 0.0001 tne1 = 0.00034324 tbf1 = 0.0057737 tbr1 = 0.0007104 -+tikf1 = -0.003 tre1 = 0.0035596 tbf2 = 2.2189e-006 -.ends vnpn_0p54x16 - -.subckt vnpn_0p54x8 c b e s par=1 dtemp=0 - -q0 c b e s vnpn_0p54x8 dtemp=dtemp - -.model vnpn_0p54x8 npn level = 1 -************************************************************** -* GENERAL PARAMETERS -************************************************************** -+tref = 25 -************************************************************** -* CAPACITANCE PARAMETERS -************************************************************** -+cjc = '2.064E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '6.857E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 5.703E-14 -+mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 -+vjs = 0.35175 xcjc = 1 -************************************************************** -* Noise PARAMETERS -************************************************************** -+af = 1 kf = 0 -************************************************************** -* DC PARAMETERS -************************************************************** -+is = '1.4309e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '141.94*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '14.573*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' -+irb = 0.00045303 rc = '13.434*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.4*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' -+nf = 1.001 vaf = 37.389 ikf = 0.0077487 ise = 2.6232e-016 -+ne = 1.4685 br = 0.069974 nr = 0.996 var = 25.201 -+ikr = 0.0038951 nkf = 0.584 isc = 7.3712e-017 nc = 1.284 -+iss=2.62E-16 -************************************************************** -* TEMPERATURE PARAMETERS -************************************************************** -+xtb = 0 xti = 3 eg = 1.17 tnf1 = 4.56e-005 -+tnr1 = 0.0001 tne1 = 0.00065 tbf1 = 0.0050727 tbr1 = 0.00085272 -+tikf1 = -0.003 tre1 = 0.00336 tbf2 = 7.3496e-006 -.ends vnpn_0p54x8 - -.subckt vnpn_0p54x4 c b e s par=1 dtemp=0 - - -q0 c b e s vnpn_0p54x4 dtemp=dtemp -.model vnpn_0p54x4 npn level = 1 -************************************************************** -* GENERAL PARAMETERS -************************************************************** -+tref = 25 -************************************************************** -* CAPACITANCE PARAMETERS -************************************************************** -+cjc = '1.326E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '3.513E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 4.449E-14 -+mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 -+vjs = 0.35175 xcjc = 1 -************************************************************** -* Noise PARAMETERS -************************************************************** -+af = 1 kf = 0 -************************************************************** -* DC PARAMETERS -************************************************************** -+is = '7.6696e-019*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '167*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '17.058*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' -+irb = 0.00045303 rc = '14.542*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.39*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' -+nf = 1.001 vaf = 37.389 ikf = 0.0048817 ise = 1.3419e-016 -+ne = 1.4801 br = 0.057751 nr = 0.998 var = 25.201 -+ikr = 0.0038951 nkf = 0.584 isc = 6.2655e-017 nc = 1.284 -+iss=1.95E-16 -************************************************************** -* TEMPERATURE PARAMETERS -************************************************************** -+xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 -+tnr1 = 0.0001 tne1 = 0.0004 tbf1 = 0.0058206 tbr1 = 0.0009 -+tbf2 = -6.2e-007 -.ends vnpn_0p54x4 -.subckt vnpn_0p54x2 c b e s par=1 dtemp=0 - - -q0 c b e s vnpn_0p54x2 dtemp=dtemp -.model vnpn_0p54x2 npn level = 1 -************************************************************** -* GENERAL PARAMETERS -************************************************************** -+tref = 25 -************************************************************** -* CAPACITANCE PARAMETERS -************************************************************** -+cjc = '9.569E-15*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.841E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 3.822E-14 -+mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 -+vjs = 0.35175 xcjc = 1 -************************************************************** -* Noise PARAMETERS -************************************************************** -+af = 1 kf = 0 -************************************************************** -* DC PARAMETERS -************************************************************** -+is = '4.5765e-019*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '231.74*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '25.055*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' -+irb = 0.00045303 rc = '17.312*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.25*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' -+nf = 1.004 vaf = 37.389 ikf = 0.0039054 ise = 8e-017 -+ne = 1.49 br = 0.043698 nr = 1.0005 var = 25.201 -+ikr = 0.0038951 nkf = 0.584 isc = 6.2655e-017 nc = 1.284 -+iss=1.61E-16 -************************************************************** -* TEMPERATURE PARAMETERS -************************************************************** -+xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 -+tnr1 = 0.0001 tne1 = 0.0005 tbf1 = 0.005536 tbr1 = 0.001 -+tbf2 = -1.5876e-006 -.ends vnpn_0p54x2 -.endl bjt_mc - -.lib mimcap_statistical -.param mim_corner_1p5fF=1 -.param mim_corner_1p0fF=1 -.param mim_corner_2p0fF=1 - -.param -+ mc_c_cox_1p0fF2=agauss(0, 0.025, 3) -+ mc_c_cox_1p5fF2=agauss(0, 0.03875, 3) -+ mc_c_cox_2p0fF2=agauss(0, 0.025, 3) -+ mc_c_cox_1p0fF='mc_c_cox_1p0fF2*sw_stat_global*cap_mc_skew' -+ mc_c_cox_1p5fF='mc_c_cox_1p5fF2*sw_stat_global*cap_mc_skew' -+ mc_c_cox_2p0fF='mc_c_cox_2p0fF2*sw_stat_global*cap_mc_skew' - -.lib 'transistors.ngspice' mim_cap -.endl mimcap_statistical - - -* -************************end of file************************* -* - +* Copyright 2022 GlobalFoundries PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. + +******************************************************************************* +* Document No. : YI-141-SM064 +* Revision : 9 +* Process Name : 0.18um 3.3V/6V high voltage MCU process +* Process ID : TH18300G0A-PID007347 +* TH18300G1A-PID007352 +* TH183G0G6A-PID009507 +* TH18300G7A-PID009628 +* TH18300G4A-PID009792 +* TH18300G9A-PID010186 +* Wafer ID : GT3512K wf#02 (3.3V NMOS, 6.0V NMOS, 6.0V native NMOS and NMOSCAP) +* GT3512K wf#06 (3.3V PMOS, 6.0V PMOS, PMOSCAP and BJT) +* GT9755L wf#18 (PWELL/DNWELL and DNWELL/Psub diode, Vertical NPN) +* JT1042L01 (6V NMOS L=0.6um) +* TCXN39W20 W#4 schottky diode +************************************************************************************************ +* Models included in this release : +* +* ModelName Description +* --------- ----------- +* nfet_03v3 Subcircuit model for 3.3V NMOS +* pfet_03v3 Subcircuit model for 3.3V PMOS +* nfet_06v0 Subcircuit model for 6.0V NMOS +* pfet_06v0 Subcircuit model for 6.0V PMOS +* nfet_03v3_dss Subcircuit model for 3.3V NMOS with Drain side SAB +* pfet_03v3_dss Subcircuit model for 3.3V PMOS with Drain side SAB +* nfet_06v0_dss Subcircuit model for 6.0V NMOS with Drain side SAB +* pfet_06v0_dss Subcircuit model for 6.0V PMOS with Drain side SAB +* nfet_06v0_nvt Subcircuit model for 6.0V native NMOS +* +* diode_nd2ps_03v3 Model for 3.3V N+/Psub diode +* diode_pd2nw_03v3 Model for 3.3V P+/Nwell diode +* diode_nd2ps_06v0 Model for 6.0V N+/Psub diode +* diode_pd2nw_06v0 Model for 6.0V P+/Nwell diode +* diode_nw2ps_03v3 Model for 3.3V Nwell/Psub diode +* diode_nw2ps_06v0 Model for 6.0V Nwell/Psub diode +* diode_pw2dw Model for PWELL/DNWELL diode +* diode_dw2ps Model for DNWELL/Psub diode +* sc_diode Model for Schottky Diode +* +* pnp_10p00x00p42 Subcircuit GP model for VPNP with emitter size of 10umx0.42um +* pnp_05p00x00p42 Subcircuit GP model for VPNP with emitter size of 5umx0.42um +* pnp_10p00x10p00 Subcircuit GP model for VPNP with emitter size of 10umx10um +* pnp_05p00x05p00 Subcircuit GP model for VPNP with emitter size of 5umx5um +* npn_10p00x10p00 Subcircuit GP model for VNPN with emitter size of 10umx10um(four terminal) +* npn_05p00x05p00 Subcircuit GP model for VNPN with emitter size of 5umx5um(four terminal) +* npn_00p54x16p00 Subcircuit GP model for VNPN with emitter size of 0.54umx16um(four terminal) +* npn_00p54x08p00 Subcircuit GP model for VNPN with emitter size of 0.54umx8um(four terminal) +* npn_00p54x04p00 Subcircuit GP model for VNPN with emitter size of 0.54umx4um(four terminal) +* npn_00p54x02p00 Subcircuit GP model for VNPN with emitter size of 0.54umx2um(four terminal) +* +* nplus_u Subcircuit Model for 3-terminal unsalicided n+ diffusion resistor +* pplus_u Subcircuit Model for 3-terminal unsalicided P+ diffusion resistor +* nplus_s Subcircuit Model for 3-terminal salicided N+ diffusion resistor +* pplus_s Subcircuit Model for 3-terminal salicided P+ diffusion resistor +* nwell Subcircuit Model for 3-terminal nwell resistor under STI +* npolyf_u Subcircuit Model for 3-terminal unsalicided n+ poly resistor +* ppolyf_u Subcircuit Model for 3-terminal unsalicided p+ poly resistor +* npolyf_s Subcircuit Model for 3-terminal salicided n+ poly resistor +* ppolyf_s Subcircuit Model for 3-terminal salicided p+ poly resistor +* ppolyf_u_1k Subcircuit Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (3.3V area) +* ppolyf_u_2k Subcircuit Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (3.3V area) +* ppolyf_u_1k_6p0 Subcircuit Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (6.0V area) +* ppolyf_u_2k_6p0 Subcircuit Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (6.0V area) +* ppolyf_u_3k Subcircuit Model for 3-terminal 3k high-Rs p+ poly resistor on field oxide (both 3.3V & 6.0V area) +* rm1 Subcircuit Model for 2-terminal metal 1 resistor +* rm2 Subcircuit Model for 2-terminal metal 2 resistor +* rm3 Subcircuit Model for 2-terminal metal 3 resistor +* rm4 Subcircuit Model for 2-terminal metal 4 resistor +* tm6k Subcircuit Model for 2-terminal top metal 6k resistor +* tm9k Subcircuit Model for 2-terminal top metal 9k resistor +* tm11k Subcircuit Model for 2-terminal top metal 11k resistor +* tm30k Subcircuit Model for 2-terminal top metal 30k resistor +* +* cap_mim_1f5fF Subcircuit Model for 1.5fF/um2 MIM (*)-usable for Volt <=6V across capacitor +* cap_mim_1f0fF Subcircuit Model for 1.0fF/um2 MIM (*)-usable for Volt <=20V across capacitor +* cap_mim_2f0fF Subcircuit Model for 2fF/um2 MIM -usable for Volt <=6V across capacitor +* +* cap_nmos_03v3 Subcircuit Model for 3.3v inversion-mode NMOS capacitor +* cap_pmos_03v3 Subcircuit Model for 3.3v inversion-mode PMOS capacitor +* cap_nmos_06v0 Subcircuit Model for 6.0V inversion-mode NMOS capacitor +* cap_pmos_06v0 Subcircuit Model for 6.0V inversion-mode PMOS capacitor +* cap_nmos_03v3_b Subcircuit Model for 3.3v NMOS in Nwell capacitor +* cap_pmos_03v3_b Subcircuit Model for 3.3v PMOS in Pwell capacitor +* cap_nmos_06v0_b Subcircuit Model for 6.0V NMOS in Nwell capacitor +* cap_pmos_06v0_b Subcircuit Model for 6.0V PMOS in Pwell capacitor +* +* efuse Subcircuit model for 6V/(5V) efuse +************************************************************************************************ +* +.LIB typical + .lib 'sm141064.ngspice' nfet_03v3_t + .lib 'sm141064.ngspice' pfet_03v3_t +* + .param rsh_nplus_u_m=60 + .param rsh_pplus_u_m=185 + .param nfet_06v0_vsat = 1 + .param nfet_06v0_vth0 = 0 + .param nfet_06v0_xl = 0 + .param nfet_06v0_xw = 0 + .param nfet_06v0_tox = 0 + .param nfet_06v0_cgso = 1 + .param nfet_06v0_cgdo = 1 + .param nfet_06v0_nvt_u0 = '0.070102' + .param nfet_06v0_nvt_vth0 = '-0.039' + .param nfet_06v0_nvt_xl = '0' + .param nfet_06v0_nvt_xw = '0' + .param nfet_06v0_nvt_tox = '1.52e-008' + .param nfet_06v0_nvt_cgso = '1e-010' + .param nfet_06v0_nvt_cgdo = '1e-010' + .param pfet_06v0_dvth0 = 0 + .param pfet_06v0_dxl = 0 + .param pfet_06v0_dxw = 0 + .param pfet_06v0_dtox = 0 + .param pfet_06v0_dcgdo = 1 + .param pfet_06v0_dcgso = 1 + + .lib 'sm141064.ngspice' nfet_06v0_t + .lib 'sm141064.ngspice' pfet_06v0_t + .lib 'sm141064.ngspice' nfet_06v0_nvt_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +* +* +.LIB ff + .lib 'sm141064.ngspice' nfet_03v3_f + .lib 'sm141064.ngspice' pfet_03v3_f +* + .param rsh_nplus_u_m=45 + .param rsh_pplus_u_m=145 + .param nfet_06v0_vsat = 1.0846 + .param nfet_06v0_vth0 = -0.1298 + .param nfet_06v0_xl = -4.2E-8 + .param nfet_06v0_xw = 5E-8 + .param nfet_06v0_tox = -1E-9 + .param nfet_06v0_cgso = 0.9 + .param nfet_06v0_cgdo = 0.9 + .param nfet_06v0_nvt_u0 = '0.118' + .param nfet_06v0_nvt_vth0 = '-0.216' + .param nfet_06v0_nvt_xl = '-2e-7' + .param nfet_06v0_nvt_xw = '1e-7' + .param nfet_06v0_nvt_tox = '1.42e-008' + .param nfet_06v0_nvt_cgso = '9e-011' + .param nfet_06v0_nvt_cgdo = '9e-011' + .param pfet_06v0_dvth0 = 0.1245 + .param pfet_06v0_dxl = -4.65E-8 + .param pfet_06v0_dxw = 5E-8 + .param pfet_06v0_dtox = -1E-9 + .param pfet_06v0_dcgdo = 0.9 + .param pfet_06v0_dcgso = 0.9 + + .lib 'sm141064.ngspice' nfet_06v0_t + .lib 'sm141064.ngspice' pfet_06v0_t + .lib 'sm141064.ngspice' nfet_06v0_nvt_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +* +* +.LIB ss + .lib 'sm141064.ngspice' nfet_03v3_s + .lib 'sm141064.ngspice' pfet_03v3_s +* + .param rsh_nplus_u_m=75 + .param rsh_pplus_u_m=225 + .param nfet_06v0_vsat = 0.899 + .param nfet_06v0_vth0 = 0.1193 + .param nfet_06v0_xl = 7E-8 + .param nfet_06v0_xw = -5E-8 + .param nfet_06v0_tox = 1E-9 + .param nfet_06v0_cgso = 1.1 + .param nfet_06v0_cgdo = 1.1 + .param nfet_06v0_nvt_u0 = '0.046' + .param nfet_06v0_nvt_vth0 = '0.1417' + .param nfet_06v0_nvt_xl = '2e-7' + .param nfet_06v0_nvt_xw = '-1e-7' + .param nfet_06v0_nvt_tox = '1.62e-008' + .param nfet_06v0_nvt_cgso = '1.1e-010' + .param nfet_06v0_nvt_cgdo = '1.1e-010' + .param pfet_06v0_dvth0 = -0.1225 + .param pfet_06v0_dxl = 6.9E-8 + .param pfet_06v0_dxw = -5E-8 + .param pfet_06v0_dtox = 1E-9 + .param pfet_06v0_dcgdo = 1.1 + .param pfet_06v0_dcgso = 1.1 + + .lib 'sm141064.ngspice' nfet_06v0_t + .lib 'sm141064.ngspice' pfet_06v0_t + .lib 'sm141064.ngspice' nfet_06v0_nvt_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +* +* +.LIB fs + .lib 'sm141064.ngspice' nfet_03v3_fs + .lib 'sm141064.ngspice' pfet_03v3_fs +* + .param rsh_nplus_u_m=48 + .param rsh_pplus_u_m=219 + .param nfet_06v0_vsat = '0.0846*0.67+1' + .param nfet_06v0_vth0 = '-0.1298*0.75' + .param nfet_06v0_xl = '-4.2E-8*0.67' + .param nfet_06v0_xw = '5E-8*0.67' + .param nfet_06v0_tox = '-1E-9*0.75' + .param nfet_06v0_cgso = 0.93 + .param nfet_06v0_cgdo = 0.93 + .param nfet_06v0_nvt_u0 = '0.102034' + .param nfet_06v0_nvt_vth0 = '-0.157' + .param nfet_06v0_nvt_xl = '-1.33e-7' + .param nfet_06v0_nvt_xw = '6.7e-8' + .param nfet_06v0_nvt_tox = '1.453e-008' + .param nfet_06v0_nvt_cgso = '9.33e-011' + .param nfet_06v0_nvt_cgdo = '9.33e-011' + .param pfet_06v0_dvth0 = -0.0829 + .param pfet_06v0_dxl = 4.1E-8 + .param pfet_06v0_dxw = -3.35E-8 + .param pfet_06v0_dtox = 6.7E-10 + .param pfet_06v0_dcgdo = 1.07 + .param pfet_06v0_dcgso = 1.07 + + .lib 'sm141064.ngspice' nfet_06v0_t + .lib 'sm141064.ngspice' pfet_06v0_t + .lib 'sm141064.ngspice' nfet_06v0_nvt_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +* +* +.LIB sf + .lib 'sm141064.ngspice' nfet_03v3_sf + .lib 'sm141064.ngspice' pfet_03v3_sf +* + .param rsh_nplus_u_m=72 + .param rsh_pplus_u_m=150 + .param nfet_06v0_vsat = '1-(1-0.899)*0.67' + .param nfet_06v0_vth0 = '0.1193*0.75' + .param nfet_06v0_xl = '7E-8*0.67' + .param nfet_06v0_xw = '-5E-8*0.67' + .param nfet_06v0_tox = '1E-9*0.75' + .param nfet_06v0_cgso = 1.07 + .param nfet_06v0_cgdo = 1.07 + .param nfet_06v0_nvt_u0 = '0.054034' + .param nfet_06v0_nvt_vth0 = '0.08147' + .param nfet_06v0_nvt_xl = '1.33e-7' + .param nfet_06v0_nvt_xw = '-6.7e-8' + .param nfet_06v0_nvt_tox = '1.587e-008' + .param nfet_06v0_nvt_cgso = '1.067e-010' + .param nfet_06v0_nvt_cgdo = '1.067e-010' + .param pfet_06v0_dvth0 = 0.0827 + .param pfet_06v0_dxl = -3.22E-8 + .param pfet_06v0_dxw = 3.35E-8 + .param pfet_06v0_dtox = -6.7E-10 + .param pfet_06v0_dcgdo = 0.93 + .param pfet_06v0_dcgso = 0.93 + + .lib 'sm141064.ngspice' nfet_06v0_t + .lib 'sm141064.ngspice' pfet_06v0_t + .lib 'sm141064.ngspice' nfet_06v0_nvt_t + .lib 'sm141064.ngspice' noise_corner + .lib 'sm141064.ngspice' fets_mm +.ENDL +**************************************************** +* +.LIB bjt_typical +.param ++isa=1 bfa=1 rba=1 rea=1 ++rca=1 rbma=1 cjea=1 cjca=1 ++is_cor_npn =1 ++bf_cor_npn=1 ++rb_cor_npn=1 ++re_cor_npn=1 ++rc_cor_npn=1 ++rbm_cor_npn=1 ++cjc_cor_npn=1 ++cje_cor_npn=1 + ++ mc_xis_vnpn=0 ++ mc_xbf_vnpn=0 ++ mc_xrb_vnpn=0 ++ mc_xre_vnpn=0 ++ mc_xrc_vnpn=0 ++ mc_xcje_vnpn=0 ++ mc_xcjc_vnpn=0 ++ mc_xis_vpnp=0 ++ mc_xbf_vpnp=0 ++ mc_xrb_vpnp=0 ++ mc_xre_vpnp=0 ++ mc_xrc_vpnp=0 ++ mc_xcje_vpnp=0 ++ mc_xcjc_vpnp=0 +.lib 'sm141064.ngspice' bjt_mc +.ENDL +* +.LIB bjt_ss +.param ++isa=0.65 bfa=0.80 rba=1.2 rea=1.2 ++rca=1.2 rbma=1.2 cjea=1.15 cjca=1.15 ++is_cor_npn =0.4 ++bf_cor_npn=0.57 ++rb_cor_npn=1.2 ++re_cor_npn=1.2 ++rc_cor_npn=1.2 ++rbm_cor_npn=1.2 ++cjc_cor_npn=1.15 ++cje_cor_npn=1.15 + ++ mc_xis_vnpn=0 ++ mc_xbf_vnpn=0 ++ mc_xrb_vnpn=0 ++ mc_xre_vnpn=0 ++ mc_xrc_vnpn=0 ++ mc_xcje_vnpn=0 ++ mc_xcjc_vnpn=0 ++ mc_xis_vpnp=0 ++ mc_xbf_vpnp=0 ++ mc_xrb_vpnp=0 ++ mc_xre_vpnp=0 ++ mc_xrc_vpnp=0 ++ mc_xcje_vpnp=0 ++ mc_xcjc_vpnp=0 +.lib 'sm141064.ngspice' bjt_mc +.ENDL +* +.LIB bjt_ff +.param ++isa=1.35 bfa=1.2 rba=0.8 rea=0.8 ++rca=0.8 rbma=0.8 cjea=0.85 cjca=0.85 ++is_cor_npn =2.25 ++bf_cor_npn=1.31 ++rb_cor_npn=0.8 ++re_cor_npn=0.8 ++rc_cor_npn=0.8 ++rbm_cor_npn=0.8 ++cjc_cor_npn=0.85 ++cje_cor_npn=0.85 + ++ mc_xis_vnpn=0 ++ mc_xbf_vnpn=0 ++ mc_xrb_vnpn=0 ++ mc_xre_vnpn=0 ++ mc_xrc_vnpn=0 ++ mc_xcje_vnpn=0 ++ mc_xcjc_vnpn=0 ++ mc_xis_vpnp=0 ++ mc_xbf_vpnp=0 ++ mc_xrb_vpnp=0 ++ mc_xre_vpnp=0 ++ mc_xrc_vpnp=0 ++ mc_xcje_vpnp=0 ++ mc_xcjc_vpnp=0 +.lib 'sm141064.ngspice' bjt_mc +.ENDL +**************************************************** +* +.LIB diode_typical + .param + +jsa=1 rsa=1 cja=1 cjswa=1 + +jsa_sc = 0 vba_sc=0 rs_sc=1 jtuna_sc=0 cja_sc=1 + .lib 'sm141064.ngspice' dio +.ENDL +* +.LIB diode_ss + .param + +jsa=0.85 rsa=1.1 cja=1.1 cjswa=1.1 + +jsa_sc = -1.6 vba_sc=-7 rs_sc=1.1 jtuna_sc=-0.77 cja_sc=1.1 + .lib 'sm141064.ngspice' dio +.ENDL +* +.LIB diode_ff + .param + +jsa=1.15 rsa=0.9 cja=0.9 cjswa=0.9 + +jsa_sc = 1.6 vba_sc=7 rs_sc=0.9 jtuna_sc=0.77 cja_sc=0.9 + .lib 'sm141064.ngspice' dio +.ENDL +**************************************************** +* +.LIB res_typical +.param ++rsh_nplus_u=60 ++rsh_pplus_u=185 ++rsh_nplus_s=6.3 ++rsh_pplus_s=7 ++rsh_nwell=1000 ++rsh_npolyf_u=310 ++rsh_ppolyf_u=350 ++rsh_npolyf_s=6.8 ++rsh_ppolyf_s=7.3 +* ++rsh_ppolyf_u_1k=1000 ++rsh_ppolyf_u_2k=2000 ++rsh_ppolyf_u_1k_6p0=1000 ++rsh_ppolyf_u_2k_6p0=2000 ++rsh_ppolyf_u_3k=3000 ++rsh_rm1=0.09 ++rsh_rm2=0.09 ++rsh_rm3=0.09 ++rsh_rm4=0.09 ++rsh_tm6k=60e-3 ++rsh_tm9k=40e-3 ++rsh_tm11k=40e-3 ++rsh_tm30k=9.5e-3 +* +.lib 'sm141064.ngspice' res +.lib 'sm141064.ngspice' efuse +.lib 'sm141064.ngspice' res_statistical_par +.ENDL +* +.LIB res_ss +.param ++rsh_nplus_u=75 ++rsh_pplus_u=225 ++rsh_nplus_s=15 ++rsh_pplus_s=15 ++rsh_nwell=1200 ++rsh_npolyf_u=370 ++rsh_ppolyf_u=420 ++rsh_npolyf_s=15 ++rsh_ppolyf_s=15 +* ++rsh_ppolyf_u_1k='1000+200' ++rsh_ppolyf_u_2k='2000+400' ++rsh_ppolyf_u_1k_6p0='1000+200' ++rsh_ppolyf_u_2k_6p0='2000+400' ++rsh_ppolyf_u_3k='3000+750' ++rsh_rm1='0.09+0.012' ++rsh_rm2='0.09+0.012' ++rsh_rm3='0.09+0.012' ++rsh_rm4='0.09+0.012' ++rsh_tm6k='60e-3 + 10e-3' ++rsh_tm9k='40e-3 + 9e-3' ++rsh_tm11k='40e-3 + 9e-3' ++rsh_tm30k='9.5e-3 + 4.5e-3' +* +.lib 'sm141064.ngspice' res +.lib 'sm141064.ngspice' efuse +.lib 'sm141064.ngspice' res_statistical_par +.ENDL +* +.LIB res_ff +.param ++rsh_nplus_u=45 ++rsh_pplus_u=145 ++rsh_nplus_s=1 ++rsh_pplus_s=1 ++rsh_nwell=800 ++rsh_npolyf_u=250 ++rsh_ppolyf_u=280 ++rsh_npolyf_s=1 ++rsh_ppolyf_s=1 +* ++rsh_ppolyf_u_1k='1000-200' ++rsh_ppolyf_u_2k='2000-400' ++rsh_ppolyf_u_1k_6p0='1000-200' ++rsh_ppolyf_u_2k_6p0='2000-400' ++rsh_ppolyf_u_3k='3000-750' ++rsh_rm1='0.09-0.012' ++rsh_rm2='0.09-0.012' ++rsh_rm3='0.09-0.012' ++rsh_rm4='0.09-0.012' ++rsh_tm6k='60e-3 - 10e-3' ++rsh_tm9k='40e-3 - 9e-3' ++rsh_tm11k='40e-3 - 9e-3' ++rsh_tm30k='9.5e-3 - 3.5e-3' +* +.lib 'sm141064.ngspice' res +.lib 'sm141064.ngspice' efuse +.lib 'sm141064.ngspice' res_statistical_par +.ENDL +**************************************************** +* +.LIB mimcap_typical +.param mim_corner_1p5fF=1 +.param mim_corner_1p0fF=1 +.param mim_corner_2p0fF=1 + +.param mc_c_cox_1p0fF=0 +.param mc_c_cox_1p5fF=0 +.param mc_c_cox_2p0fF=0 + +.lib 'sm141064_mim.ngspice' cap_mim_new +.ENDL +* +.LIB mimcap_ss +.param mim_corner_1p5fF=1.155 +.param mim_corner_1p0fF=1.1 +.param mim_corner_2p0fF=1.1 +.param mc_c_cox_1p0fF=0 +.param mc_c_cox_1p5fF=0 +.param mc_c_cox_2p0fF=0 + +.lib 'sm141064_mim.ngspice' cap_mim_new +.ENDL +* +.LIB mimcap_ff +.param mim_corner_1p5fF=0.845 +.param mim_corner_1p0fF=0.9 +.param mim_corner_2p0fF=0.9 + +.param mc_c_cox_1p0fF=0 +.param mc_c_cox_1p5fF=0 +.param mc_c_cox_2p0fF=0 + +.lib 'sm141064_mim.ngspice' cap_mim_new +.ENDL +**************************************************** +* +.lib moscap_typical +.param + + cap_nmos_03v3_corner=1 + + cap_pmos_03v3_corner=1 + + cap_nmos_06v0_corner=1 + + cap_pmos_06v0_corner=1 + + cap_nmos_03v3_b_corner=1 + + cap_pmos_03v3_b_corner=1 + + cap_nmos_06v0_b_corner=1 + + cap_pmos_06v0_b_corner=1 + +.lib 'sm141064.ngspice' moscap +.ENDL +* +.lib moscap_ff +.param + + cap_nmos_03v3_corner=0.9 + + cap_pmos_03v3_corner=0.9 + + cap_nmos_06v0_corner=0.9 + + cap_pmos_06v0_corner=0.9 + + cap_nmos_03v3_b_corner=0.9 + + cap_pmos_03v3_b_corner=0.9 + + cap_nmos_06v0_b_corner=0.9 + + cap_pmos_06v0_b_corner=0.9 + +.lib 'sm141064.ngspice' moscap +.ENDL +* +.lib moscap_ss +.param + + cap_nmos_03v3_corner=1.1 + + cap_pmos_03v3_corner=1.1 + + cap_nmos_06v0_corner=1.1 + + cap_pmos_06v0_corner=1.1 + + cap_nmos_03v3_b_corner=1.1 + + cap_pmos_03v3_b_corner=1.1 + + cap_nmos_06v0_b_corner=1.1 + + cap_pmos_06v0_b_corner=1.1 + +.lib 'sm141064.ngspice' moscap +.ENDL +**************************************************** +* +.LIB statistical + .param + +* MOSFET statistical parameters + + mc_sig_vth2 = agauss(0, 1, 3) + + mc_toxe2 = agauss(0, 1, 3) + + mc_xl2 = agauss(0, 1, 3) + + mc_xw2 = agauss(0, 1, 3) + + mc_xj2 = agauss(0, 1, 3) + + + mc_sig_vthN2 = agauss(0, 1, 3) + + mc_toxeN2 = agauss(0, 1, 3) + + mc_xlN2 = agauss(0, 1, 3) + + mc_xwN2 = agauss(0, 1, 3) + + mc_xjN2 = agauss(0, 1, 3) + + mc_rdswN2 = agauss(0, 1, 3) + + + mc_sig_vthP2 = agauss(0, 1, 3) + + mc_toxeP2 = agauss(0, 1, 3) + + mc_xlP2 = agauss(0, 1, 3) + + mc_xwP2 = agauss(0, 1, 3) + + mc_xjP2 = agauss(0, 1, 3) + + mc_rdswP2 = agauss(0, 1, 3) + + mc_rsh_nplus_u_temp=agauss(0, 3.85, 3) + + mc_rsh_pplus_u_temp=agauss(0, 10, 3) + + + + mc_sig_vth = mc_sig_vth2 + + mc_toxe = mc_toxe2 + + mc_xl = mc_xl2 + + mc_xw = mc_xw2 + + mc_xj = mc_xj2 + + + mc_sig_vthN = mc_sig_vthN2 + + mc_toxeN = mc_toxeN2 + + mc_xlN = mc_xlN2 + + mc_xwN = mc_xwN2 + + mc_xjN = mc_xjN2 + + mc_rdswN = mc_rdswN2 + + + mc_sig_vthP = mc_sig_vthP2 + + mc_toxeP = mc_toxeP2 + + mc_xlP = mc_xlP2 + + mc_xwP = mc_xwP2 + + mc_xjP = mc_xjP2 + + mc_rdswP = mc_rdswP2 + + mc_rsh_nplus_u=mc_rsh_nplus_u_temp + + mc_rsh_pplus_u=mc_rsh_pplus_u_temp + + + ****** 3.3V devices monte carlo parameters ****** + .param + + nfet_03v3_sig_vth1 = '(5e-3*mc_sig_vth+30e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nfet_03v3_sig_vth2 = '(5e-3*mc_sig_vth+25e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nfet_03v3_sig_vth3 = '(5e-3*mc_sig_vth+15e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nfet_03v3_tox = '8e-009 + (1.6e-10*mc_toxe+0.3e-10*mc_toxeN)*sw_stat_global*mc_skew' + + nfet_03v3_xl = '(7e-9*mc_xl+6e-9*mc_xlN)*sw_stat_global*mc_skew' + + nfet_03v3_xw = '(7e-9*mc_xw+3e-9*mc_xwN)*sw_stat_global*mc_skew' + + nfet_03v3_xj = '1e-7 + (0.3e-9*mc_xj+0.7e-9*mc_xjN)*sw_stat_global*mc_skew' + + nfet_03v3_rdsw = '530 * (1+0.15* mc_rdswN*sw_stat_global*mc_skew)' + + nfet_03v3_vth0_0 = '0.70837662 + nfet_03v3_sig_vth1' + + nfet_03v3_vth0_1 = '0.67781184 + nfet_03v3_sig_vth1' + + nfet_03v3_vth0_2 = '0.66097097 + nfet_03v3_sig_vth2' + + nfet_03v3_vth0_3 = '0.66064857 + nfet_03v3_sig_vth2' + + nfet_03v3_vth0_4 = '0.72356597 + nfet_03v3_sig_vth1' + + nfet_03v3_vth0_5 = '0.67504024 + nfet_03v3_sig_vth2' + + nfet_03v3_vth0_6 = '0.64923469 + nfet_03v3_sig_vth2' + + nfet_03v3_vth0_7 = '0.65055971 + nfet_03v3_sig_vth3' + + nfet_03v3_vth0_8 = '0.75419347 + nfet_03v3_sig_vth2' + + nfet_03v3_vth0_9 = '0.66260505 + nfet_03v3_sig_vth2' + + nfet_03v3_vth0_10 = '0.64815901 + nfet_03v3_sig_vth3' + + nfet_03v3_vth0_11 = '0.64889718 + nfet_03v3_sig_vth3' + + nfet_03v3_vth0_12 = '0.74840818 + nfet_03v3_sig_vth2' + + nfet_03v3_vth0_13 = '0.66297571 + nfet_03v3_sig_vth3' + + nfet_03v3_vth0_14 = '0.64787864 + nfet_03v3_sig_vth3' + + nfet_03v3_vth0_15 = '0.64857 + nfet_03v3_sig_vth3' + + .param + + pfet_03v3_sig_vth1 = '(-5e-3*mc_sig_vth-38e-3*mc_sig_vthP)*sw_stat_global*mc_skew' + + pfet_03v3_sig_vth2 = '(-5e-3*mc_sig_vth-30e-3*mc_sig_vthP)*sw_stat_global*mc_skew' + + pfet_03v3_sig_vth3 = '(-5e-3*mc_sig_vth-18e-3*mc_sig_vthP)*sw_stat_global*mc_skew' + + pfet_03v3_tox = '7.9e-009 + (1.6e-10*mc_toxe+0.3e-10*mc_toxeP)*sw_stat_global*mc_skew' + + pfet_03v3_xl = '(7e-9*mc_xl+4e-9*mc_xlP)*sw_stat_global*mc_skew' + + pfet_03v3_xw = '(7e-9*mc_xw+3e-9*mc_xwP)*sw_stat_global*mc_skew' + + pfet_03v3_xj = '1.0e-7 + (0.3e-9*mc_xj+0.7e-9*mc_xjP)*sw_stat_global*mc_skew' + + pfet_03v3_rdsw = '466 * (1+0.15*mc_rdswP*sw_stat_global*mc_skew)' + + pfet_03v3_vth0_0 = '-0.7506174 + pfet_03v3_sig_vth1' + + pfet_03v3_vth0_1 = '-0.78216327 + pfet_03v3_sig_vth1' + + pfet_03v3_vth0_2 = '-0.76745877 + pfet_03v3_sig_vth2' + + pfet_03v3_vth0_3 = '-0.76841429 + pfet_03v3_sig_vth2' + + pfet_03v3_vth0_4 = '-0.7710094 + pfet_03v3_sig_vth1' + + pfet_03v3_vth0_5 = '-0.77464237 + pfet_03v3_sig_vth2' + + pfet_03v3_vth0_6 = '-0.77376777 + pfet_03v3_sig_vth2' + + pfet_03v3_vth0_7 = '-0.77390514 + pfet_03v3_sig_vth3' + + pfet_03v3_vth0_8 = '-0.76226585 + pfet_03v3_sig_vth2' + + pfet_03v3_vth0_9 = '-0.76552347 + pfet_03v3_sig_vth2' + + pfet_03v3_vth0_10 = '-0.7677531 + pfet_03v3_sig_vth3' + + pfet_03v3_vth0_11 = '-0.7682 + pfet_03v3_sig_vth3' + + pfet_03v3_vth0_12 = '-0.76184364 + pfet_03v3_sig_vth2' + + pfet_03v3_vth0_13 = '-0.76642857 + pfet_03v3_sig_vth3' + + pfet_03v3_vth0_14 = '-0.76779091 + pfet_03v3_sig_vth3' + + pfet_03v3_vth0_15 = '-0.7682 + pfet_03v3_sig_vth3' + + ****** 6.0V devices monte carlo parameters ****** + .param + + nfet_06v0_vsat = '(1-0.063* mc_rdswN*sw_stat_global*mc_skew)' + + nfet_06v0_vth0 = '(8e-3*mc_sig_vth+28e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nfet_06v0_xl = '(2e-8*mc_xl+0*mc_xlN)*sw_stat_global*mc_skew' + + nfet_06v0_xw = '(1.5e-8*mc_xw+9e-9*mc_xwN)*sw_stat_global*mc_skew' + + nfet_06v0_tox = '(4e-10*mc_toxe+1.3e-10*mc_toxeN)*sw_stat_global*mc_skew' + + nfet_06v0_cgso = 1 + + nfet_06v0_cgdo = 1 + + .param + + pfet_06v0_vth0 = '-0.8978 + (8e-3*mc_sig_vth+30e-3*mc_sig_vthP)*sw_stat_global*mc_skew' + + pfet_06v0_tox = '156e-010 + (4e-10*mc_toxe+1e-10*mc_toxeP)*sw_stat_global*mc_skew' + + pfet_06v0_xl = '0 + (2e-8*mc_xl+2e-9*mc_xlP)*sw_stat_global*mc_skew' + + pfet_06v0_xw = '0 + (1.5e-8*mc_xw+9e-9*mc_xwP)*sw_stat_global*mc_skew' + + pfet_06v0_xj = '1.5e-7 + (0.3e-9*mc_xj+1e-8*mc_xjP)*sw_stat_global*mc_skew' + + pfet_06v0_rdsw = '1426 * (1+0.2* mc_rdswP*sw_stat_global*mc_skew)' + + .param + + nfet_06v0_nvt_vth0 = '-0.039 + (8e-3*mc_sig_vth+60e-3*mc_sig_vthN)*sw_stat_global*mc_skew' + + nfet_06v0_nvt_tox = '152e-010 + (4e-10*mc_toxe+6e-10*mc_toxeN)*sw_stat_global*mc_skew' + + nfet_06v0_nvt_xl = '0 + (2e-8*mc_xl+8e-8*mc_xlN)*sw_stat_global*mc_skew' + + nfet_06v0_nvt_xw = '0 + (1.5e-8*mc_xw+8e-8*mc_xwN)*sw_stat_global*mc_skew' + + nfet_06v0_nvt_xj = '1.5e-7 + (0.3e-9*mc_xj+2e-8*mc_xjN)*sw_stat_global*mc_skew' + + nfet_06v0_nvt_rdsw = '3480 * (1+0.2* mc_rdswN*sw_stat_global*mc_skew)' + +.param ++ rsh_nplus2_u=60 ++ rsh_pplus2_u=185 + ++ rsh_nplus_u_m = 'rsh_nplus2_u*(1+(mc_rsh_nplus_u/(rsh_nplus2_u))*res_mc_skew*sw_stat_global)' ++ rsh_pplus_u_m = 'rsh_pplus2_u*(1+(mc_rsh_pplus_u/(rsh_pplus2_u))*res_mc_skew*sw_stat_global)' + + .lib 'sm141064.ngspice' fets_mm + .lib 'sm141064.ngspice' nfet_03v3_stat + .lib 'sm141064.ngspice' pfet_03v3_stat + .lib 'sm141064.ngspice' nfet_06v0_t + .lib 'sm141064.ngspice' pfet_06v0_stat + .lib 'sm141064.ngspice' nfet_06v0_nvt_stat + .lib 'sm141064.ngspice' noise_corner + +.ENDL +* +* +.LIB noise_corner + .param + +nfet_03v3_noia='(fnoicor==0)*3.2e+041 + (fnoicor==1)*3.5e+042' + +nfet_03v3_noib='(fnoicor==0)*1.2e+020 + (fnoicor==1)*1.2e+020' + +nfet_03v3_noic='(fnoicor==0)*6.0e+008 + (fnoicor==1)*6.0e+008' + + +pfet_03v3_noia='(fnoicor==0)*3.2e+041 + (fnoicor==1)*4.0e+042' + +pfet_03v3_noib='(fnoicor==0)*1.8e+020 + (fnoicor==1)*1.8e+020' + +pfet_03v3_noic='(fnoicor==0)*3.0e+009 + (fnoicor==1)*6.0e+009' + + +nfet_06v0_noia='(fnoicor==0)*1.998e+041 + (fnoicor==1)*8e+041' + +nfet_06v0_noib='(fnoicor==0)*1e+025 + (fnoicor==1)*4e+025' + +nfet_06v0_noic='(fnoicor==0)*5e+008 + (fnoicor==1)*2e+009' + + +pfet_06v0_noia='(fnoicor==0)*6e+040 + (fnoicor==1)*2e+043' + +pfet_06v0_noib='(fnoicor==0)*1.5945e+025 + (fnoicor==1)*1.5945e+025' + +pfet_06v0_noic='(fnoicor==0)*1.0499e+009 + (fnoicor==1)*1.0499e+009' + + +nfet_06v0_nvt_noia='(fnoicor==0)*5.5e+040 + (fnoicor==1)*1e+041' + +nfet_06v0_nvt_noib='(fnoicor==0)*2.5e+025 + (fnoicor==1)*9.5e+025' + +nfet_06v0_nvt_noic='(fnoicor==0)*1e+007 + (fnoicor==1)*2e+007' + +.ENDL +* +* +*************************************************************************************************** +* 3.3V NMOS Models +*************************************************************************************************** +* +.lib nfet_03v3_t + + +.subckt nfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' + + +m0 d1 g s1 b nfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model nfet_03v3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70837662 ++lvth0 = -3.8715455e-008 ++wvth0 = -1.430587e-008 ++pvth0 = 4.3636364e-016 ++k1 = 0.95938091 ++lk1 = -9.9985454e-008 ++k2 = 0.054714558 ++lk2 = -4.1647636e-008 ++wk2 = -1.9242857e-008 ++pk2 = 5.388e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.1262652 ++lvoff = 3.9354545e-009 ++wvoff = 5.3064935e-009 ++pvoff = -1.4858182e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023671338 ++lu0 = 4.6525455e-009 ++wu0 = 4.6066597e-009 ++pu0 = -6.5127273e-016 ++ua = -1.1554452e-009 ++lua = 7.0220545e-016 ++wua = 2.7073777e-016 ++pua = -1.4149745e-022 ++ub = 3.3771156e-018 ++lub = -7.9058636e-025 ++wub = -4.093733e-025 ++pub = 9.2644364e-032 ++uc = 2.2660166e-010 ++luc = -6.1360545e-017 ++wuc = -3.2577351e-017 ++puc = 5.4467782e-024 ++eu = 1.67 ++vsat = 92454.546 ++lvsat = -0.0027272727 ++wvsat = -0.00021818182 ++pvsat = 1.3090909e-009 ++a0 = 0.11197377 ++la0 = -3.1454545e-009 ++wa0 = -6.2322078e-009 ++pa0 = 1.7450182e-015 ++ags = 0.32403844 ++lags = -1.5116364e-008 ++wags = 4.7930493e-008 ++pags = -1.2213818e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.14896036 ++lketa = 3.8830182e-008 ++wketa = 8.1643636e-009 ++pketa = -2.4261818e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3741 ++lpclm = -4.729e-008 ++wpclm = 2.1028364e-008 ++ppclm = 8.5658182e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.652013e-006 ++lalpha0 = -3.0506364e-013 ++walpha0 = 4.8779221e-014 ++palpha0 = -1.3658182e-020 ++alpha1 = 0 ++beta0 = 19.905584 ++lbeta0 = 1.2863636e-007 ++wbeta0 = 1.3848312e-007 ++pbeta0 = 8.7272727e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.45934558 ++lkt1 = 4.2126364e-008 ++wkt1 = 3.2086753e-008 ++pkt1 = -8.6530909e-015 ++kt1l = 0 ++kt2 = -0.024730519 ++lkt2 = 1.2545455e-009 ++wkt2 = 1.0597403e-009 ++pkt2 = -2.9672727e-016 ++ute = -1.5675325 ++lute = 9.0909091e-008 ++wute = 1.0441558e-007 ++pute = -4.3636364e-014 ++ua1 = 1.675e-009 ++ub1 = -4.1945234e-018 ++lub1 = 2.8745455e-025 ++wub1 = 3.3492467e-025 ++pub1 = -5.7490909e-032 ++uc1 = -4.2363636e-011 ++luc1 = -3.8181818e-018 ++wuc1 = -6.5454545e-018 ++puc1 = 1.8327273e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.67781184 ++lvth0 = -2.3433061e-008 ++wvth0 = -1.2304653e-008 ++pvth0 = -5.642449e-016 ++k1 = 0.74639857 ++lk1 = 6.5057143e-009 ++k2 = 0.0237458 ++lk2 = -2.6163257e-008 ++wk2 = -3.01296e-009 ++pk2 = -2.7269486e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11273959 ++lvoff = -2.8273469e-009 ++wvoff = 1.6942041e-009 ++pvoff = 3.2032653e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029675694 ++lu0 = 1.6503673e-009 ++wu0 = 8.572898e-010 ++pu0 = 1.2234122e-015 ++ua = -1.2961984e-009 ++lua = 7.7258204e-016 ++wua = 4.7264816e-017 ++pua = -2.976098e-023 ++ub = 3.0836898e-018 ++lub = -6.4387347e-025 ++wub = -2.7080816e-026 ++pub = -9.8501878e-032 ++uc = 8.4613959e-011 ++luc = 9.6333061e-018 ++wuc = 2.2398367e-018 ++puc = -1.1961815e-023 ++eu = 1.67 ++vsat = 83571.429 ++lvsat = 0.0017142857 ++wvsat = -0.0017142857 ++pvsat = 2.0571429e-009 ++a0 = 1.0861147 ++la0 = -4.9021592e-007 ++wa0 = -5.1997224e-008 ++pa0 = 2.4627526e-014 ++ags = 0.47870122 ++lags = -9.2447755e-008 ++wags = 4.3304327e-008 ++pags = -9.9007347e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028417143 ++lketa = -2.1441429e-008 ++wketa = -7.4262857e-009 ++pketa = 5.3691429e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.082893878 ++lpclm = 9.8313061e-008 ++wpclm = 4.3902367e-008 ++ppclm = -2.8711837e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.5720816e-006 ++lalpha0 = -2.265098e-012 ++walpha0 = -1.5330612e-014 ++palpha0 = 1.8396735e-020 ++alpha1 = 0 ++beta0 = 22.625306 ++lbeta0 = -1.2312245e-006 ++wbeta0 = -3.5054694e-007 ++pbeta0 = 2.4538775e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33916633 ++lkt1 = -1.7963265e-008 ++wkt1 = -2.4641633e-009 ++pkt1 = 8.6223674e-015 ++kt1l = 0 ++kt2 = -0.020311225 ++lkt2 = -9.5510204e-010 ++wkt2 = -3.9183673e-011 ++pkt2 = 2.5273469e-016 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.804398e-018 ++lub1 = -4.0760816e-025 ++wub1 = 5.6899592e-026 ++pub1 = 8.1521633e-032 ++uc1 = -6.0285714e-011 ++luc1 = 5.1428571e-018 ++wuc1 = 2.0571429e-018 ++puc1 = -2.4685714e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66097097 ++lvth0 = -3.224026e-009 ++wvth0 = -9.7008312e-009 ++pvth0 = -3.6888312e-015 ++k1 = 0.79593364 ++lk1 = -5.2936364e-008 ++k2 = 0.0056393844 ++lk2 = -4.4355584e-009 ++wk2 = -7.4596769e-009 ++pk2 = 2.6091117e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12631325 ++lvoff = 1.3461039e-008 ++wvoff = 2.0819221e-009 ++pvoff = -1.4493507e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032447266 ++lu0 = -1.6755195e-009 ++wu0 = 6.7095584e-010 ++pu0 = 1.447013e-015 ++ua = -8.1547091e-010 ++lua = 1.9570909e-016 ++wua = 6.0458182e-018 ++pua = 1.9701818e-023 ++ub = 2.7427942e-018 ++lub = -2.347987e-025 ++wub = -1.6048831e-026 ++pub = -1.1174026e-031 ++uc = 9.84685e-011 ++luc = -6.9921429e-018 ++wuc = -8.8975636e-018 ++puc = 1.4030649e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.224418 ++la0 = -6.5617987e-007 ++wa0 = 4.291948e-009 ++pa0 = -4.2919481e-014 ++ags = 0.25784649 ++lags = 1.7257792e-007 ++wags = -2.606026e-009 ++pags = 4.5191688e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019651071 ++lketa = -3.1960714e-008 ++wketa = -6.5992208e-010 ++pketa = -2.7504935e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18918506 ++lpclm = -2.9236364e-008 ++wpclm = 2.1551688e-009 ++ppclm = 4.7225454e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.5243347e-005 ++lalpha0 = -8.4670617e-011 ++walpha0 = 7.5358442e-012 ++palpha0 = -9.043013e-018 ++alpha1 = 0 ++beta0 = 24.210162 ++lbeta0 = -3.133052e-006 ++wbeta0 = 1.1381299e-007 ++pbeta0 = -3.1184416e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32898149 ++lkt1 = -3.0185065e-008 ++wkt1 = -7.3528831e-009 ++pkt1 = 1.4488831e-014 ++kt1l = 0 ++kt2 = -0.021107143 ++wkt2 = 1.7142857e-010 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5166039e-018 ++lub1 = -7.5296104e-025 ++wub1 = 2.224987e-026 ++pub1 = 1.231013e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66064857 ++wvth0 = -1.0069714e-008 ++k1 = 0.79064 ++k2 = 0.0051958286 ++wk2 = -7.1987657e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12496714 ++wvoff = 2.0674286e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032279714 ++wu0 = 8.1565714e-010 ++ua = -7.959e-010 ++wua = 8.016e-018 ++ub = 2.7193143e-018 ++wub = -2.7222857e-026 ++uc = 9.7769286e-011 ++wuc = -8.7572571e-018 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1588 ++ags = 0.27510429 ++wags = 1.9131429e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022847143 ++wketa = -9.3497143e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18626143 ++wpclm = 6.8777143e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6776286e-005 ++walpha0 = 6.6315429e-012 ++alpha1 = 0 ++beta0 = 23.896857 ++wbeta0 = 8.2628571e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.332 ++wkt1 = -5.904e-009 ++kt1l = 0 ++kt2 = -0.021107143 ++wkt2 = 1.7142857e-010 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5919e-018 ++wub1 = 3.456e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72356597 ++lvth0 = -4.1979273e-008 ++wvth0 = -2.1596758e-008 ++pvth0 = 2.0029964e-015 ++k1 = 0.95938091 ++lk1 = -9.9985454e-008 ++k2 = 0.041255727 ++lk2 = -3.7879164e-008 ++wk2 = -1.2782618e-008 ++pk2 = 3.5791331e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.079311948 ++lvoff = -9.2114546e-009 ++wvoff = -1.7231065e-008 ++pvoff = 4.8246982e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.033011551 ++lu0 = 4.0251818e-009 ++wu0 = 1.2335751e-010 ++pu0 = -3.5013818e-016 ++ua = -6.3005701e-010 ++lua = 3.9938436e-016 ++wua = 1.8551439e-017 ++pua = 3.8566691e-024 ++ub = 2.2836418e-018 ++lub = -9.0230909e-026 ++wub = 1.1549411e-025 ++pub = -2.4352626e-031 ++uc = 1.5877203e-010 ++luc = -3.4349127e-017 ++wuc = -1.9125195e-020 ++puc = -7.5187026e-024 ++eu = 1.67 ++vsat = 71618.182 ++lvsat = 0.0042909091 ++wvsat = 0.0097832727 ++pvsat = -2.0596364e-009 ++a0 = 0.10680558 ++la0 = -1.6983636e-009 ++wa0 = -3.7514805e-009 ++pa0 = 1.0504145e-015 ++ags = 0.35500309 ++lags = -1.1780546e-008 ++wags = 3.3067462e-008 ++pags = -1.3815011e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12490989 ++lketa = 3.0254945e-008 ++wketa = -3.3798633e-009 ++pketa = 1.6899316e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.45921829 ++lpclm = -8.0088e-008 ++wpclm = -1.9828414e-008 ++ppclm = 2.4308858e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6500109e-006 ++lalpha0 = -2.8170545e-013 ++walpha0 = 4.9740218e-014 ++palpha0 = -2.4870109e-020 ++alpha1 = 0 ++beta0 = 20.982852 ++lbeta0 = -8.9454546e-008 ++wbeta0 = -3.786053e-007 ++pbeta0 = 1.0555636e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37773746 ++lkt1 = 1.6718727e-008 ++wkt1 = -7.0851491e-009 ++pkt1 = 3.5425745e-015 ++kt1l = 0 ++kt2 = -0.014603854 ++lkt2 = -3.3230727e-009 ++wkt2 = -3.8010589e-009 ++pkt2 = 1.9005294e-015 ++ute = -1.4342857 ++wute = 4.0457143e-008 ++ua1 = 1.675e-009 ++ub1 = -3.65896e-018 ++lub1 = 2.4878e-025 ++wub1 = 7.7854254e-026 ++pub1 = -3.8927127e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.67504024 ++lvth0 = -1.7716408e-008 ++wvth0 = -1.0974289e-008 ++pvth0 = -3.3082384e-015 ++k1 = 0.76833212 ++lk1 = -4.4610612e-009 ++wk1 = -1.0528104e-008 ++pk1 = 5.2640522e-015 ++k2 = 0.0082103273 ++lk2 = -2.1356464e-008 ++wk2 = 4.4440669e-009 ++pk2 = -5.0342094e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12049225 ++lvoff = 1.1378694e-008 ++wvoff = 5.4154776e-009 ++pvoff = -6.4985731e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031181163 ++lu0 = 4.9403755e-009 ++wu0 = 1.3466449e-010 ++pu0 = -3.5579167e-016 ++ua = -1.1586455e-009 ++lua = 6.6367861e-016 ++wua = -1.8760555e-017 ++pua = 2.2512666e-023 ++ub = 2.8240225e-018 ++lub = -3.6042122e-025 ++wub = 9.755951e-026 ++pub = -2.3455895e-031 ++uc = 8.1997037e-011 ++luc = 4.0383673e-018 ++wuc = 3.4959595e-018 ++puc = -9.2762449e-024 ++eu = 1.67 ++vsat = 88428.571 ++lvsat = -0.0041142857 ++wvsat = -0.0040457143 ++pvsat = 4.8548571e-009 ++a0 = 0.97533082 ++la0 = -4.3596098e-007 ++wa0 = 1.1790367e-009 ++pa0 = -1.4148441e-015 ++ags = 0.441074 ++lags = -5.4816e-008 ++wags = 6.1365394e-008 ++pags = -2.7963977e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.21719837 ++lpclm = 4.0921959e-008 ++wpclm = -2.0563788e-008 ++ppclm = 2.4676545e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.8164074e-006 ++lalpha0 = -2.3649037e-012 ++walpha0 = -1.3260696e-013 ++palpha0 = 6.6303478e-020 ++alpha1 = 0 ++beta0 = 21.036008 ++lbeta0 = -1.1603265e-007 ++wbeta0 = 4.1231608e-007 ++pbeta0 = -2.8990433e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.4079911 ++lkt1 = 3.1845551e-008 ++wkt1 = 3.0571729e-008 ++pkt1 = -1.5285865e-014 ++kt1l = 0 ++kt2 = -0.031229592 ++lkt2 = 4.9897959e-009 ++wkt2 = 5.2016327e-009 ++pkt2 = -2.6008163e-015 ++ute = -1.4342857 ++wute = 4.0457143e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8098294e-018 ++lub1 = -1.7578531e-025 ++wub1 = 5.9506678e-026 ++pub1 = -2.9753339e-032 ++uc1 = -1.1888774e-010 ++luc1 = 3.1443869e-017 ++wuc1 = 3.0186115e-017 ++puc1 = -1.5093057e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64923469 ++lvth0 = 1.325026e-008 ++wvth0 = -4.067414e-009 ++pvth0 = -1.1596488e-014 ++k1 = 0.79418892 ++lk1 = -3.5489221e-008 ++wk1 = 8.3746286e-010 ++pk1 = -8.3746286e-015 ++k2 = -0.0057236965 ++lk2 = -4.6356351e-009 ++wk2 = -2.005398e-009 ++pk2 = 2.7051485e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036490513 ++lu0 = -1.4308442e-009 ++wu0 = -1.2698026e-009 ++pu0 = 1.3295688e-015 ++ua = -7.881063e-010 ++lua = 2.1903156e-016 ++wua = -7.0891948e-018 ++pua = 8.5070338e-024 ++ub = 3.0594896e-018 ++lub = -6.4298182e-025 ++wub = -1.6806265e-025 ++pub = 8.4187636e-032 ++uc = 9.7557278e-011 ++luc = -1.4633922e-017 ++wuc = -8.460177e-018 ++puc = 5.071119e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.2333595 ++la0 = -7.4559545e-007 ++ags = 0.28370796 ++lags = 1.3402325e-007 ++wags = -1.501953e-008 ++pags = 6.3697932e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22708279 ++lpclm = 2.9060649e-008 ++wpclm = -1.603574e-008 ++ppclm = 1.9242888e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0921047e-005 ++lalpha0 = -1.0329047e-010 ++walpha0 = 1.0548281e-014 ++palpha0 = -1.0548281e-019 ++alpha1 = 0 ++beta0 = 24.039866 ++lbeta0 = -3.7206623e-006 ++wbeta0 = 1.9555512e-007 ++pbeta0 = -2.9791169e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33923366 ++lkt1 = -5.0663377e-008 ++wkt1 = -2.4318421e-009 ++pkt1 = 2.4318421e-014 ++kt1l = 0 ++kt2 = -0.021803571 ++lkt2 = -6.3214286e-009 ++wkt2 = 5.0571429e-010 ++pkt2 = 3.0342857e-015 ++ute = -1.7216234 ++lute = 3.448052e-007 ++wute = 1.7837922e-007 ++pute = -1.6550649e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5465249e-018 ++lub1 = 7.0824935e-025 ++wub1 = 5.1661197e-025 ++pub1 = -5.7827969e-031 ++uc1 = -5.0997566e-011 ++luc1 = -5.0024338e-017 ++wuc1 = -2.4011682e-018 ++puc1 = 2.4011682e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.65055971 ++wvth0 = -5.2270629e-009 ++k1 = 0.79064 ++k2 = -0.00618726 ++wk2 = -1.7348832e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036347429 ++wu0 = -1.1368457e-009 ++ua = -7.6620314e-010 ++wua = -6.2384914e-018 ++ub = 2.9951914e-018 ++wub = -1.5964389e-025 ++uc = 9.6093886e-011 ++wuc = -7.9530651e-018 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1588 ++ags = 0.29711029 ++wags = -8.6497371e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.22998886 ++wpclm = -1.4111451e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6678 ++wbeta0 = 1.92576e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022435714 ++wkt2 = 8.0914286e-010 ++ute = -1.6871429 ++wute = 1.6182857e-007 ++ua1 = 1.675e-009 ++ub1 = -3.4757e-018 ++wub1 = 4.58784e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.75419347 ++lvth0 = -5.5747725e-008 ++wvth0 = -5.7737207e-008 ++pvth0 = 1.824977e-014 ++k1 = 0.95060511 ++lk1 = -9.5597554e-008 ++wk1 = 1.0355446e-008 ++pk1 = -5.177723e-015 ++k2 = 0.013945175 ++lk2 = -3.0232209e-008 ++wk2 = 1.9443834e-008 ++pk2 = -5.4442735e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12424632 ++lvoff = 6.8691116e-010 ++wvoff = 3.5791497e-008 ++pvoff = -6.8553733e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046898182 ++lu0 = 1.7050207e-010 ++wu0 = -1.6262868e-008 ++pu0 = 4.1983839e-015 ++ua = -6.6207759e-010 ++lua = 2.5458994e-016 ++wua = 5.6335718e-017 ++pua = 1.7471409e-022 ++ub = 3.7962141e-018 ++lub = -3.3240512e-025 ++wub = -1.6693412e-024 ++pub = 4.2239319e-032 ++uc = 2.9436835e-010 ++luc = -6.8059408e-017 ++wuc = -1.6002278e-016 ++puc = 3.2259428e-023 ++eu = 1.67 ++vsat = 85682.645 ++lvsat = -0.00034132231 ++wvsat = -0.0068127934 ++pvsat = 3.4063967e-009 ++a0 = 0.10362636 ++la0 = -8.0818182e-010 ++ags = 0.2705431 ++lags = 3.2753448e-008 ++wags = 1.3273025e-007 ++pags = -6.6365124e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12424077 ++lketa = 2.9920384e-008 ++wketa = -4.1694295e-009 ++pketa = 2.0847148e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.20476889 ++lpclm = -9.798626e-009 ++wpclm = 2.8042187e-007 ++ppclm = -5.8632603e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5953123e-006 ++lalpha0 = -2.5435614e-013 ++walpha0 = 1.1428461e-013 ++palpha0 = -5.7142305e-020 ++alpha1 = 0 ++beta0 = 21.140586 ++wbeta0 = -5.6473191e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.59809917 ++lnoff = 1.2990496e-006 ++wnoff = 3.065757e-006 ++pnoff = -1.5328785e-012 ++voffcv = 0.22872521 ++lvoffcv = -1.118626e-007 ++wvoffcv = -2.6399574e-007 ++pvoffcv = 1.3199787e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28115299 ++lkt1 = -1.0099496e-008 ++wkt1 = -1.2105482e-007 ++pkt1 = 3.5188078e-014 ++kt1l = 0 ++kt2 = -0.025449687 ++lkt2 = 9.6575269e-010 ++wkt2 = 8.9970236e-009 ++pkt2 = -3.1602845e-015 ++ute = -1.5701136 ++wute = 2.0073409e-007 ++ua1 = 1.675e-009 ++ub1 = -5.3788142e-018 ++lub1 = 4.827456e-025 ++wub1 = 2.1072821e-024 ++pub1 = -3.1500653e-031 ++uc1 = -2.2938539e-010 ++luc1 = 4.973267e-017 ++wuc1 = 2.0459475e-016 ++puc1 = -5.8684551e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66260505 ++lvth0 = -9.953513e-009 ++wvth0 = 3.6992425e-009 ++pvth0 = -1.2468455e-014 ++k1 = 0.75941 ++k2 = 0.017155231 ++lk2 = -3.1837237e-008 ++wk2 = -6.1109193e-009 ++pk2 = 7.3331031e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10253679 ++lvoff = -1.0167857e-008 ++wvoff = -1.5771964e-008 ++pvoff = 1.8926357e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038465008 ++lu0 = 4.387089e-009 ++wu0 = -8.4602728e-009 ++pu0 = 2.9708645e-016 ++ua = -9.289245e-010 ++lua = 3.880134e-016 ++wua = -2.8983135e-016 ++pua = 3.4779762e-022 ++ub = 3.4725304e-018 ++lub = -1.7056325e-025 ++wub = -6.6767982e-025 ++pub = -4.5859137e-031 ++uc = 1.5722431e-010 ++luc = 5.1261039e-019 ++wuc = -8.5272224e-017 ++puc = -5.1158517e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.57970277 ++la0 = -2.3884638e-007 ++wa0 = 4.6802014e-007 ++pa0 = -2.3401007e-013 ++ags = 0.63340774 ++lags = -1.4867887e-007 ++wags = -1.6558842e-007 ++pags = 8.279421e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.047719 ++lpclm = 6.8726318e-008 ++wpclm = 1.7942187e-007 ++ppclm = -8.1325983e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7040286e-006 ++lalpha0 = -2.3087143e-012 ++alpha1 = 0 ++beta0 = 21.043581 ++lbeta0 = 4.8502597e-008 ++wbeta0 = 4.0337993e-007 ++pbeta0 = -4.8405592e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30297354 ++lkt1 = 8.1077922e-010 ++wkt1 = -9.3348999e-008 ++pkt1 = 2.1335166e-014 ++kt1l = 0 ++kt2 = -0.021799026 ++lkt2 = -8.5957792e-010 ++wkt2 = -5.9264351e-009 ++pkt2 = 4.3014448e-015 ++ute = -1.5701136 ++wute = 2.0073409e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0334126e-018 ++lub1 = -6.899552e-025 ++wub1 = 3.2333483e-025 ++pub1 = 5.7696713e-031 ++uc1 = -1.4511739e-010 ++luc1 = 7.5986727e-018 ++wuc1 = 6.1137104e-017 ++puc1 = 1.3044275e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64815901 ++lvth0 = 7.3817355e-009 ++wvth0 = -2.7981116e-009 ++pvth0 = -4.6716298e-015 ++k1 = 0.79747612 ++lk1 = -4.5679339e-008 ++wk1 = -3.0414256e-009 ++pk1 = 3.6497107e-015 ++k2 = -0.0074231864 ++lk2 = -2.3431364e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040494054 ++lu0 = 1.9522345e-009 ++wu0 = -5.9939808e-009 ++pu0 = -2.662464e-015 ++ua = -8.1072595e-010 ++lua = 2.4617513e-016 ++wua = 1.9601988e-017 ++pua = -2.3522386e-023 ++ub = 3.1895805e-018 ++lub = 1.6897655e-025 ++wub = -3.2156993e-025 ++pub = -8.7392324e-031 ++uc = 1.0432829e-010 ++luc = 6.3987831e-017 ++wuc = -1.6449976e-017 ++puc = -8.7702549e-023 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.175342 ++la0 = -9.536135e-007 ++wa0 = 6.8460666e-008 ++pa0 = 2.454613e-013 ++ags = 0.26729169 ++lags = 2.9066039e-007 ++wags = 4.3516718e-009 ++pags = -1.211339e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23344442 ++lpclm = -1.5414418e-007 ++wpclm = -2.3542459e-008 ++ppclm = 2.3542459e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0929986e-005 ++lalpha0 = -1.0337986e-010 ++alpha1 = 0 ++beta0 = 24.512311 ++lbeta0 = -4.1139731e-006 ++wbeta0 = -3.6192965e-007 ++pbeta0 = 4.3431558e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.128874 ++lnoff = -1.5464876e-007 ++wnoff = -1.5207128e-007 ++pnoff = 1.8248554e-013 ++voffcv = -0.065880682 ++lvoffcv = 8.5056818e-008 ++wvoffcv = 8.3639205e-008 ++pvoffcv = -1.0036705e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31506405 ++lkt1 = 1.5319401e-008 ++wkt1 = -3.095198e-008 ++pkt1 = -5.3541257e-014 ++kt1l = 0 ++kt2 = -0.016812862 ++lkt2 = -6.8429752e-009 ++wkt2 = -5.3833233e-009 ++pkt2 = 3.6497107e-015 ++ute = -1.5472572 ++lute = -2.7427686e-008 ++wute = -2.7372831e-008 ++pute = 2.7372831e-013 ++ua1 = 1.6533492e-009 ++lua1 = 2.5980992e-017 ++wua1 = 2.5547975e-017 ++pua1 = -3.065757e-023 ++ub1 = -2.1483391e-018 ++lub1 = -1.7520434e-024 ++wub1 = -1.1332474e-024 ++pub1 = 2.3248657e-030 ++uc1 = -4.4711114e-011 ++luc1 = -1.1288886e-016 ++wuc1 = -9.8191818e-018 ++puc1 = 9.8191818e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64889718 ++wvth0 = -3.2652745e-009 ++k1 = 0.79290818 ++wk1 = -2.6764545e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040689277 ++wu0 = -6.2602272e-009 ++ua = -7.8610843e-010 ++wua = 1.7249749e-017 ++ub = 3.2064782e-018 ++wub = -4.0896225e-025 ++uc = 1.1072708e-010 ++wuc = -2.5220231e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.0799807 ++wa0 = 9.3006796e-008 ++ags = 0.29635773 ++wags = -7.7617182e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.100914 ++wbeta0 = -3.1849809e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1134091 ++wnoff = -1.3382273e-007 ++voffcv = -0.057375 ++wvoffcv = 7.36025e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31353211 ++wkt1 = -3.6306106e-008 ++kt1l = 0 ++kt2 = -0.017497159 ++wkt2 = -5.0183523e-009 ++ute = -1.55 ++ua1 = 1.6559473e-009 ++wua1 = 2.2482218e-017 ++ub1 = -2.3235434e-018 ++wub1 = -9.0076078e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.74840818 ++lvth0 = -5.3919091e-008 ++k1 = 0.95164273 ++lk1 = -9.6116364e-008 ++k2 = 0.015893454 ++lk2 = -3.0777727e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045268636 ++lu0 = 5.9118182e-010 ++ua = -6.5643273e-010 ++lua = 2.7209636e-016 ++ub = 3.6289455e-018 ++lub = -3.2817273e-025 ++uc = 2.78334e-010 ++luc = -6.4827e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.13211844 ++la0 = -1.5054221e-008 ++wa0 = -2.8435094e-007 ++pa0 = 1.4217547e-013 ++ags = 0.46155061 ++lags = -6.2750307e-008 ++wags = -1.7735247e-006 ++pags = 8.8676235e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12105603 ++lketa = 2.8328017e-008 ++wketa = -3.5953066e-008 ++pketa = 1.7976533e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23286727 ++lpclm = -1.5673636e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6067636e-006 ++lalpha0 = -2.6008182e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.3e-010 ++cgdo = 2.3e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.29090909 ++lnoff = 1.1454545e-006 ++voffcv = 0.20227273 ++lvoffcv = -9.8636364e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29328273 ++lkt1 = -6.5736364e-009 ++kt1l = 0 ++kt2 = -0.024548182 ++lkt2 = 6.4909091e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1676636e-018 ++lub1 = 4.5118182e-025 ++uc1 = -2.0888491e-010 ++luc1 = 4.3852454e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66297571 ++lvth0 = -1.1202857e-008 ++k1 = 0.75941 ++k2 = 0.016542914 ++lk2 = -3.1102457e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10411714 ++lvoff = -8.2714286e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037617286 ++lu0 = 4.4168571e-009 ++ua = -9.5796571e-010 ++lua = 4.2286286e-016 ++ub = 3.4056286e-018 ++lub = -2.1651429e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.62659857 ++la0 = -2.6229429e-007 ++ags = 0.61681571 ++lags = -1.4038286e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.065697143 ++lpclm = 6.7911429e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7040286e-006 ++lalpha0 = -2.3087143e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31232714 ++lkt1 = 2.9485714e-009 ++kt1l = 0 ++kt2 = -0.022392857 ++lkt2 = -4.2857143e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -3.0010143e-018 ++lub1 = -6.3214286e-025 ++uc1 = -1.3899143e-010 ++luc1 = 8.9057143e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64787864 ++lvth0 = 6.9136364e-009 ++k1 = 0.79717136 ++lk1 = -4.5313636e-008 ++k2 = -0.0074231864 ++lk2 = -2.3431364e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039893455 ++lu0 = 1.6854546e-009 ++ua = -8.0876182e-010 ++lua = 2.4381818e-016 ++ub = 3.1573591e-018 ++lub = 8.1409091e-026 ++uc = 1.0268e-010 ++luc = 5.52e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1822018 ++la0 = -9.2901818e-007 ++ags = 0.26772773 ++lags = 2.7852273e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23108545 ++lpclm = -1.3055455e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0929986e-005 ++lalpha0 = -1.0337986e-010 ++alpha1 = 0 ++beta0 = 24.476046 ++lbeta0 = -4.0704545e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1136364 ++lnoff = -1.3636364e-007 ++voffcv = -0.0575 ++lvoffcv = 7.5e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31816545 ++lkt1 = 9.9545454e-009 ++kt1l = 0 ++kt2 = -0.017352273 ++lkt2 = -6.4772727e-009 ++ute = -1.55 ++ua1 = 1.6559091e-009 ++lua1 = 2.2909091e-017 ++ub1 = -2.2618909e-018 ++lub1 = -1.5190909e-024 ++uc1 = -4.5695e-011 ++luc1 = -1.0305e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8e-009 ++toxp = 8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.3e-010 ++cgdo = 2.3e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +*resistor + +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + +.endl nfet_03v3_t +* +* +.lib nfet_03v3_f + + +.subckt nfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model nfet_03v3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.63571386 ++lvth0 = -3.5583899e-008 ++wvth0 = -1.5283991e-008 ++pvth0 = 4.2939034e-016 ++k1 = 0.94866818 ++lk1 = -9.1790218e-008 ++k2 = 0.052196642 ++lk2 = -3.8749265e-008 ++wk2 = -2.0007159e-008 ++pk2 = 5.3018972e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12637972 ++lvoff = 3.7549747e-009 ++wvoff = 5.5172608e-009 ++pvoff = -1.4620741e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023697233 ++lu0 = 4.3334833e-009 ++wu0 = 4.8629688e-009 ++pu0 = -6.4086508e-016 ++ua = -1.1068315e-009 ++lua = 6.5818091e-016 ++wua = 2.7394695e-016 ++pua = -1.3923626e-022 ++ub = 3.334019e-018 ++lub = -7.3464598e-025 ++wub = -4.2815738e-025 ++pub = 9.1163863e-032 ++uc = 2.2336e-010 ++luc = -5.6852041e-017 ++wuc = -3.4293319e-017 ++puc = 5.3597361e-024 ++eu = 1.67 ++vsat = 99670.454 ++lvsat = -0.0026289205 ++wvsat = -8.3522727e-005 ++pvsat = 1.288171e-009 ++a0 = 0.11226647 ++la0 = -3.0545135e-009 ++wa0 = -6.4797433e-009 ++pa0 = 1.717132e-015 ++ags = 0.31756239 ++lags = -1.270937e-008 ++wags = 4.9972817e-008 ++pags = -1.2018636e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.14562336 ++lketa = 3.5879506e-008 ++wketa = 8.4725454e-009 ++pketa = -2.3874103e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.36674716 ++lpclm = -4.4233047e-008 ++wpclm = 2.3523508e-008 ++ppclm = 8.4289324e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0039772727 ++ldelta = 2.9210227e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6143989e-006 ++lalpha0 = -2.787532e-013 ++walpha0 = 5.071667e-014 ++palpha0 = -1.3439918e-020 ++alpha1 = 0 ++beta0 = 19.904932 ++lbeta0 = 1.1800932e-007 ++wbeta0 = 1.4853682e-007 ++pbeta0 = 8.5878068e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.45807784 ++lkt1 = 3.950099e-008 ++wkt1 = 3.3399235e-008 ++pkt1 = -8.5148105e-015 ++kt1l = 0 ++kt2 = -0.024703182 ++lkt2 = 1.1800932e-009 ++wkt2 = 1.1018318e-009 ++pkt2 = -2.9198543e-016 ++ute = -1.5681818 ++lute = 8.7630682e-008 ++wute = 1.0690909e-007 ++pute = -4.2939034e-014 ++ua1 = 1.675e-009 ++ub1 = -4.197971e-018 ++lub1 = 2.6939132e-025 ++wub1 = 3.5239491e-025 ++pub1 = -5.6572177e-032 ++uc1 = -4.2111364e-011 ++luc1 = -3.6804886e-018 ++wuc1 = -6.8054318e-018 ++puc1 = 1.8034394e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.60850921 ++lvth0 = -2.2389644e-008 ++wvth0 = -1.320417e-008 ++pvth0 = -5.793228e-016 ++k1 = 0.74656121 ++lk1 = 6.2316611e-009 ++k2 = 0.02341267 ++lk2 = -2.4789039e-008 ++wk2 = -3.3025902e-009 ++pk2 = -2.7998188e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11298759 ++lvoff = -2.7402067e-009 ++wvoff = 1.8245588e-009 ++pvoff = 3.2888638e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029624466 ++lu0 = 1.4587752e-009 ++wu0 = 9.5169113e-010 ++pu0 = 1.2561046e-015 ++ua = -1.2817297e-009 ++lua = 7.4300653e-016 ++wua = 4.9864474e-017 ++pua = -3.055626e-023 ++ub = 3.0706704e-018 ++lub = -6.0692192e-025 ++wub = -3.1666793e-026 ++pub = -1.0113407e-031 ++uc = 8.4652626e-011 ++luc = 1.0421037e-017 ++wuc = 2.0802857e-018 ++puc = -1.2281462e-023 ++eu = 1.67 ++vsat = 91287.5 ++lvsat = 0.0014368125 ++wvsat = -0.001782375 ++pvsat = 2.1121144e-009 ++a0 = 1.0792115 ++la0 = -4.7202287e-007 ++wa0 = -5.5074584e-008 ++pa0 = 2.528563e-014 ++ags = 0.47190495 ++lags = -8.7565511e-008 ++wags = 4.6151516e-008 ++pags = -1.0165305e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028193589 ++lketa = -2.1073934e-008 ++wketa = -7.8161737e-009 ++pketa = 5.5126185e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.080786018 ++lpclm = 9.4458106e-008 ++wpclm = 4.6980911e-008 ++ppclm = -2.9479082e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00138165 ++lpdiblc2= 8.6783475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015357143 ++ldelta = 4.1051786e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.5170032e-006 ++lalpha0 = -2.1715163e-012 ++walpha0 = -1.5939525e-014 ++palpha0 = 1.8888337e-020 ++alpha1 = 0 ++beta0 = 22.630402 ++lbeta0 = -1.2038436e-006 ++wbeta0 = -3.6916688e-007 ++pbeta0 = 2.5194507e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33938118 ++lkt1 = -1.8066891e-008 ++wkt1 = -2.4102225e-009 ++pkt1 = 8.8527765e-015 ++kt1l = 0 ++kt2 = -0.020331679 ++lkt2 = -9.4008589e-010 ++wkt2 = -3.52275e-011 ++pkt2 = 2.5948834e-016 ++ute = -1.3875 ++wute = 1.8375e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8207275e-018 ++lub1 = -3.9857179e-025 ++wub1 = 6.3173775e-026 ++pub1 = 8.3700075e-032 ++uc1 = -6.0365e-011 ++luc1 = 5.172525e-018 ++wuc1 = 2.13885e-018 ++puc1 = -2.5345373e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.59197793 ++lvth0 = -2.8000692e-009 ++wvth0 = -1.0403021e-008 ++pvth0 = -3.8986845e-015 ++k1 = 0.79586747 ++lk1 = -5.2196247e-008 ++k2 = 0.0064105499 ++lk2 = -4.6415264e-009 ++wk2 = -7.9923454e-009 ++pk2 = 2.757541e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12651327 ++lvoff = 1.3287723e-008 ++wvoff = 2.231366e-009 ++pvoff = -1.5318025e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032375092 ++lu0 = -1.8007167e-009 ++wu0 = 7.2111957e-010 ++pu0 = 1.5293319e-015 ++ua = -8.1585861e-010 ++lua = 1.9094925e-016 ++wua = 6.5067587e-018 ++pua = 2.0822632e-023 ++ub = 2.744187e-018 ++lub = -2.2003905e-025 ++wub = -1.7352055e-026 ++pub = -1.1809703e-031 ++uc = 9.9386407e-011 ++luc = -7.0384932e-018 ++wuc = -9.5351961e-018 ++puc = 1.4828837e-024 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.2231563 ++la0 = -6.4259738e-007 ++wa0 = 4.5429264e-009 ++pa0 = -4.536112e-014 ++ags = 0.25832779 ++lags = 1.6552342e-007 ++wags = -2.7327843e-009 ++pags = 4.7762591e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019621922 ++lketa = -3.123136e-008 ++wketa = -7.1103921e-010 ++pketa = -2.9069659e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18891787 ++lpclm = -3.3678143e-008 ++wpclm = 2.3733463e-009 ++ppclm = 4.9912056e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064234716 ++lpdiblc2= 1.7439086e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027306818 ++ldelta = 2.689142e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.4353703e-005 ++lalpha0 = -8.2558005e-011 ++walpha0 = 8.0653667e-012 ++palpha0 = -9.5574596e-018 ++alpha1 = 0 ++beta0 = 24.194431 ++lbeta0 = -3.0572184e-006 ++wbeta0 = 1.2157547e-007 ++pbeta0 = -3.2958461e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32825519 ++lkt1 = -3.1251192e-008 ++wkt1 = -7.8619588e-009 ++pkt1 = 1.5313084e-014 ++kt1l = 0 ++kt2 = -0.021125 ++wkt2 = 1.8375e-010 ++ute = -1.3875 ++wute = 1.8375e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5198788e-018 ++lub1 = -7.5507747e-025 ++wub1 = 2.4014016e-026 ++pub1 = 1.3010439e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.5916975 ++wvth0 = -1.0793475e-008 ++k1 = 0.79064 ++k2 = 0.0059457 ++wk2 = -7.716177e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.1251825 ++wvoff = 2.216025e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.03219475 ++wu0 = 8.742825e-010 ++ua = -7.96735e-010 ++wua = 8.59215e-018 ++ub = 2.72215e-018 ++wub = -2.91795e-026 ++uc = 9.86815e-011 ++wuc = -9.386685e-018 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.1588 ++ags = 0.274905 ++wags = 2.05065e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.02274975 ++wketa = -1.0021725e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.185545 ++wpclm = 7.37205e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.60855e-005 ++walpha0 = 7.108185e-012 ++alpha1 = 0 ++beta0 = 23.88825 ++wbeta0 = 8.85675e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.331385 ++wkt1 = -6.32835e-009 ++kt1l = 0 ++kt2 = -0.021125 ++wkt2 = 1.8375e-010 ++ute = -1.3875 ++wute = 1.8375e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5955e-018 ++wub1 = 3.7044e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.6494457 ++lvth0 = -3.8570937e-008 ++wvth0 = -2.2012593e-008 ++pvth0 = 1.8930389e-015 ++k1 = 0.94866818 ++lk1 = -9.1790218e-008 ++k2 = 0.037416157 ++lk2 = -3.4832437e-008 ++wk2 = -1.2764722e-008 ++pk2 = 3.3826512e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.080003796 ++lvoff = -8.5346442e-009 ++wvoff = -1.720694e-008 ++pvoff = 4.5598392e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.033441305 ++lu0 = 3.7009358e-009 ++wu0 = 8.8373727e-011 ++pu0 = -3.3091682e-016 ++ua = -5.8760066e-010 ++lua = 3.665866e-016 ++wua = 1.9523816e-017 ++pua = 3.6449515e-024 ++ub = 2.2723958e-018 ++lub = -7.8888061e-026 ++wub = 9.2037981e-026 ++pub = -2.3015752e-031 ++uc = 1.5510632e-010 ++luc = -3.141186e-017 ++wuc = -8.4901632e-019 ++puc = -7.1059521e-024 ++eu = 1.67 ++vsat = 79409.091 ++lvsat = 0.0039725909 ++wvsat = 0.0098445454 ++pvsat = -1.9465696e-009 ++a0 = 0.10668786 ++la0 = -1.5761839e-009 ++wa0 = -3.7462282e-009 ++pa0 = 9.9275047e-016 ++ags = 0.3531832 ++lags = -1.0591044e-008 ++wags = 3.2518616e-008 ++pags = -1.3056615e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12161181 ++lketa = 2.774773e-008 ++wketa = -3.293114e-009 ++pketa = 1.5971603e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.45094152 ++lpclm = -7.3917648e-008 ++wpclm = -1.773173e-008 ++ppclm = 2.2974387e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0039772727 ++ldelta = 2.9210227e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.618997e-006 ++lalpha0 = -2.5821257e-013 ++walpha0 = 4.8463561e-014 ++palpha0 = -2.3504827e-020 ++alpha1 = 0 ++beta0 = 20.979752 ++lbeta0 = -8.3833352e-008 ++wbeta0 = -3.781252e-007 ++pbeta0 = 9.9761689e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37582777 ++lkt1 = 1.529097e-008 ++wkt1 = -6.9032982e-009 ++pkt1 = 3.3480996e-015 ++kt1l = 0 ++kt2 = -0.014896384 ++lkt2 = -3.0815037e-009 ++wkt2 = -3.7034991e-009 ++pkt2 = 1.7961971e-015 ++ute = -1.435 ++wute = 4.165e-008 ++ua1 = 1.675e-009 ++ub1 = -3.6336059e-018 ++lub1 = 2.2901987e-025 ++wub1 = 7.5856009e-026 ++pub1 = -3.6790164e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.60479255 ++lvth0 = -1.6914157e-008 ++wvth0 = -1.1383005e-008 ++pvth0 = -3.2623115e-015 ++k1 = 0.76840415 ++lk1 = -4.3621628e-009 ++wk1 = -1.0703038e-008 ++pk1 = 5.1909737e-015 ++k2 = 0.0076001761 ++lk2 = -2.0371686e-008 ++wk2 = 4.4455318e-009 ++pk2 = -4.9643217e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12030052 ++lvoff = 1.1009268e-008 ++wvoff = 5.4078955e-009 ++pvoff = -6.4083562e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031302452 ++lu0 = 4.7382792e-009 ++wu0 = 1.2947795e-010 ++pu0 = -3.5085237e-016 ++ua = -1.1417323e-009 ++lua = 6.3534043e-016 ++wua = -1.8734289e-017 ++pua = 2.2200133e-023 ++ub = 2.813393e-018 ++lub = -3.412717e-025 ++wub = 9.439913e-026 ++pub = -2.3130267e-031 ++uc = 8.2040368e-011 ++luc = 4.0251276e-018 ++wuc = 3.3602922e-018 ++puc = -9.1474668e-024 ++eu = 1.67 ++vsat = 95895 ++lvsat = -0.004023075 ++wvsat = -0.00404005 ++pvsat = 4.7874593e-009 ++a0 = 0.9644116 ++la0 = -4.175722e-007 ++wa0 = 1.177386e-009 ++pa0 = -1.3952024e-015 ++ags = 0.43863251 ++lags = -5.2033959e-008 ++wags = 6.2455008e-008 ++pags = -2.7575765e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.044144964 ++lketa = -9.8236923e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.21857359 ++lpclm = 3.8780801e-008 ++wpclm = -2.0534997e-008 ++ppclm = 2.4333971e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00138165 ++lpdiblc2= 8.6783475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015357143 ++ldelta = 4.1051786e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7595967e-006 ++lalpha0 = -2.2664034e-012 ++walpha0 = -1.3481034e-013 ++palpha0 = 6.5383015e-020 ++alpha1 = 0 ++beta0 = 21.025956 ++lbeta0 = -1.0624202e-007 ++wbeta0 = 4.170117e-007 ++pbeta0 = -2.8587971e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.40772797 ++lkt1 = 3.0762566e-008 ++wkt1 = 3.1079706e-008 ++pkt1 = -1.5073657e-014 ++kt1l = 0 ++kt2 = -0.031195536 ++lkt2 = 4.8235848e-009 ++wkt2 = 5.2880625e-009 ++pkt2 = -2.5647103e-015 ++ute = -1.435 ++wute = 4.165e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8152615e-018 ++lub1 = -1.6787717e-025 ++wub1 = 6.0495435e-026 ++pub1 = -2.9340286e-032 ++uc1 = -1.1862793e-010 ++luc1 = 3.0374545e-017 ++wuc1 = 3.0687684e-017 ++puc1 = -1.4883527e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57932332 ++lvth0 = 1.3266882e-008 ++wvth0 = -4.2022626e-009 ++pvth0 = -1.1771491e-014 ++k1 = 0.79412996 ++lk1 = -3.4847247e-008 ++wk1 = 8.5137806e-010 ++pk1 = -8.5010099e-015 ++k2 = -0.0056941447 ++lk2 = -4.6179157e-009 ++wk2 = -2.061045e-009 ++pk2 = 2.7459718e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12195946 ++lvoff = 1.297511e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036511114 ++lu0 = -1.433985e-009 ++wu0 = -1.3055311e-009 ++pu0 = 1.3496333e-015 ++ua = -7.8770753e-010 ++lua = 2.1582113e-016 ++wua = -7.2872686e-018 ++pua = 8.6354133e-024 ++ub = 3.0616512e-018 ++lub = -6.3545771e-025 ++wub = -1.7290955e-025 ++pub = 8.5458111e-032 ++uc = 9.7688241e-011 ++luc = -1.4517602e-017 ++wuc = -8.703095e-018 ++puc = 5.1476471e-024 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.2324275 ++la0 = -7.351711e-007 ++ags = 0.28413926 ++lags = 1.3104055e-007 ++wags = -1.5380403e-008 ++pags = 6.4659197e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021073023 ++lketa = -3.7163943e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22740181 ++lpclm = 2.8319355e-008 ++wpclm = -1.6483783e-008 ++ppclm = 1.9533282e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064234716 ++lpdiblc2= 1.7439086e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027306818 ++ldelta = 2.689142e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.079175e-005 ++lalpha0 = -1.018445e-010 ++walpha0 = 1.072355e-014 ++palpha0 = -1.0707464e-019 ++alpha1 = 0 ++beta0 = 24.031764 ++lbeta0 = -3.6681242e-006 ++wbeta0 = 2.0128262e-007 ++pbeta0 = -3.0240747e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33925459 ++lkt1 = -5.0378387e-008 ++wkt1 = -2.4722493e-009 ++pkt1 = 2.468541e-014 ++kt1l = 0 ++kt2 = -0.021820469 ++lkt2 = -6.2858695e-009 ++wkt2 = 5.2452969e-010 ++pkt2 = 3.0800761e-015 ++ute = -1.7243381 ++lute = 3.4286561e-007 ++wute = 1.8342565e-007 ++pute = -1.6800415e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5547478e-018 ++lub1 = 7.0841414e-025 ++wub1 = 5.3109983e-025 ++pub1 = -5.870065e-031 ++uc1 = -5.1018233e-011 ++luc1 = -4.9742943e-017 ++wuc1 = -2.4410658e-018 ++puc1 = 2.4374042e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.580652 ++wvth0 = -5.38118e-009 ++k1 = 0.79064 ++k2 = -0.00615663 ++wk2 = -1.7860353e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.0363675 ++wu0 = -1.170365e-009 ++ua = -7.66093e-010 ++wua = -6.42243e-018 ++ub = 2.99801e-018 ++wub = -1.643509e-025 ++uc = 9.62343e-011 ++wuc = -8.187557e-018 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.1588 ++ags = 0.297263 ++wags = -8.90477e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.230238 ++wpclm = -1.452752e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6644 ++wbeta0 = 1.98254e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.02245 ++wkt2 = 8.33e-010 ++ute = -1.69 ++wute = 1.666e-007 ++ua1 = 1.675e-009 ++ub1 = -3.4838e-018 ++wub1 = 4.72311e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.67826787 ++lvth0 = -5.1192629e-008 ++wvth0 = -5.631097e-008 ++pvth0 = 1.6912853e-014 ++k1 = 0.94035419 ++lk1 = -8.7757932e-008 ++wk1 = 9.8936502e-009 ++pk1 = -4.7984203e-015 ++k2 = 0.010689994 ++lk2 = -2.7750003e-008 ++wk2 = 1.9039412e-008 ++pk2 = -5.0454443e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12420249 ++lvoff = 6.3595312e-010 ++wvoff = 3.538951e-008 ++pvoff = -6.3531717e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046929878 ++lu0 = 1.5325411e-010 ++wu0 = -1.5963029e-008 ++pu0 = 3.8908244e-015 ++ua = -6.3486383e-010 ++lua = 2.3358646e-016 ++wua = 7.5766988e-017 ++pua = 1.6191512e-022 ++ub = 3.762013e-018 ++lub = -3.051927e-025 ++wub = -1.6806064e-024 ++pub = 3.9145008e-032 ++uc = 2.8720922e-010 ++luc = -6.2506115e-017 ++wuc = -1.5805146e-016 ++puc = 2.9896211e-023 ++eu = 1.67 ++vsat = 93151.55 ++lvsat = -0.00031600155 ++wvsat = -0.0065089804 ++pvsat = 3.1568555e-009 ++a0 = 0.10353977 ++la0 = -7.4193977e-010 ++ags = 0.27394573 ++lags = 3.0120571e-008 ++wags = 1.2681121e-007 ++pags = -6.1503437e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12103166 ++lketa = 2.7466355e-008 ++wketa = -3.983496e-009 ++pketa = 1.9319955e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.20348625 ++lpclm = -8.9497813e-009 ++wpclm = 2.7674005e-007 ++ppclm = -5.4337375e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0039772727 ++ldelta = 2.9210227e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.567968e-006 ++lalpha0 = -2.3346347e-013 ++walpha0 = 1.0918815e-013 ++palpha0 = -5.2956251e-020 ++alpha1 = 0 ++beta0 = 21.141066 ++wbeta0 = -5.7008843e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.46137913 ++lnoff = 1.1937689e-006 ++wnoff = 2.9290412e-006 ++pnoff = -1.420585e-012 ++voffcv = 0.21695209 ++lvoffcv = -1.0279677e-007 ++wvoffcv = -2.5222299e-007 ++pvoffcv = 1.2232815e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28213548 ++lkt1 = -9.299129e-009 ++wkt1 = -1.1839713e-007 ++pkt1 = 3.2610317e-014 ++kt1l = 0 ++kt2 = -0.025353566 ++lkt2 = 8.8905907e-010 ++wkt2 = 8.7405474e-009 ++pkt2 = -2.9287727e-015 ++ute = -1.5702841 ++wute = 2.0263807e-007 ++ua1 = 1.675e-009 ++ub1 = -5.3288522e-018 ++lub1 = 4.4342327e-025 ++wub1 = 2.0931991e-024 ++pub1 = -2.9193021e-031 ++uc1 = -2.2422528e-010 ++luc1 = 4.5702115e-017 ++wuc1 = 2.0018808e-016 ++puc1 = -5.4385517e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.59235333 ++lvth0 = -9.5240796e-009 ++wvth0 = 3.4196621e-009 ++pvth0 = -1.2056503e-014 ++k1 = 0.75941 ++k2 = 0.016364333 ++lk2 = -3.0502058e-008 ++wk2 = -5.9838153e-009 ++pk2 = 7.0908211e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10277799 ++lvoff = -9.7549306e-009 ++wvoff = -1.5443916e-008 ++pvoff = 1.830104e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038581863 ++lu0 = 4.2020412e-009 ++wu0 = -8.5330215e-009 ++pu0 = 2.8727087e-016 ++ua = -9.1898543e-010 ++lua = 3.7138544e-016 ++wua = -2.8380301e-016 ++pua = 3.3630657e-022 ++ub = 3.468843e-018 ++lub = -1.6300526e-025 ++wub = -6.8558634e-025 ++pub = -4.4343974e-031 ++uc = 1.5730964e-010 ++luc = 4.9517784e-019 ++wuc = -8.6210147e-017 ++puc = -4.9468266e-024 ++eu = 1.67 ++vsat = 92500 ++a0 = 0.57333915 ++la0 = -2.2859464e-007 ++wa0 = 4.665536e-007 ++pa0 = -2.262785e-013 ++ags = 0.62982962 ++lags = -1.4248312e-007 ++wags = -1.6506955e-007 ++pags = 8.0058731e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.044144964 ++lketa = -9.8236923e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.049284973 ++lpclm = 6.5837837e-008 ++wpclm = 1.8091845e-007 ++ppclm = -7.8639014e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00138165 ++lpdiblc2= 8.6783475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015357143 ++ldelta = 4.1051786e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6463107e-006 ++lalpha0 = -2.2114597e-012 ++alpha1 = 0 ++beta0 = 21.044461 ++lbeta0 = 4.6853149e-008 ++wbeta0 = 3.9498984e-007 ++pbeta0 = -4.6806296e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30287445 ++lkt1 = 7.5927144e-010 ++wkt1 = -9.3695983e-008 ++pkt1 = 2.0630263e-014 ++kt1l = 0 ++kt2 = -0.021815574 ++lkt2 = -8.2686693e-010 ++wkt2 = -5.8740916e-009 ++pkt2 = 4.1593273e-015 ++ute = -1.5702841 ++wute = 2.0263807e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0509483e-018 ++lub1 = -6.6136013e-025 ++wub1 = 3.4096267e-025 ++pub1 = 5.5790443e-031 ++uc1 = -1.4497962e-010 ++luc1 = 7.2679686e-018 ++wuc1 = 6.2046194e-017 ++puc1 = 1.2613299e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57817062 ++lvth0 = 7.2824411e-009 ++wvth0 = -2.8305468e-009 ++pvth0 = -4.6500058e-015 ++k1 = 0.79742159 ++lk1 = -4.504374e-008 ++wk1 = -3.0656684e-009 ++pk1 = 3.632817e-015 ++k2 = -0.0074261153 ++lk2 = -2.3103764e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12195946 ++lvoff = 1.297511e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040501587 ++lu0 = 1.9271691e-009 ++wu0 = -6.0541938e-009 ++pu0 = -2.65014e-015 ++ua = -8.1043485e-010 ++lua = 2.4275299e-016 ++wua = 1.9758233e-017 ++pua = -2.3413506e-023 ++ub = 3.1900657e-018 ++lub = 1.6734577e-025 ++wub = -3.2572281e-025 ++pub = -8.6987803e-031 ++uc = 1.0442234e-010 ++luc = 6.3166633e-017 ++wuc = -1.6716674e-017 ++puc = -8.7296592e-023 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.1740916 ++la0 = -9.4048631e-007 ++wa0 = 6.9419757e-008 ++pa0 = 2.4432511e-013 ++ags = 0.26765145 ++lags = 2.8669802e-007 ++wags = 4.2400941e-009 ++pags = -1.205732e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021073023 ++lketa = -3.7163943e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23327148 ++lpclm = -1.5218617e-007 ++wpclm = -2.3468689e-008 ++ppclm = 2.3433486e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064234716 ++lpdiblc2= 1.7439086e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027306818 ++ldelta = 2.689142e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0800762e-005 ++lalpha0 = -1.0193448e-010 ++alpha1 = 0 ++beta0 = 24.507475 ++lbeta0 = -4.0568183e-006 ++wbeta0 = -3.6481453e-007 ++pbeta0 = 4.3230522e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1288096 ++lnoff = -1.5263937e-007 ++wnoff = -1.5328342e-007 ++pnoff = 1.8164085e-013 ++voffcv = -0.065845277 ++lvoffcv = 8.3951653e-008 ++wvoffcv = 8.430588e-008 ++pvoffcv = -9.9902467e-014 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31501857 ++lkt1 = 1.5150046e-008 ++wkt1 = -3.1313123e-008 ++pkt1 = -5.3293425e-014 ++kt1l = 0 ++kt2 = -0.016816848 ++lkt2 = -6.7503577e-009 ++wkt2 = -5.4297792e-009 ++pkt2 = 3.632817e-015 ++ute = -1.5472686 ++lute = -2.7273401e-008 ++wute = -2.7287058e-008 ++pute = 2.7246128e-013 ++ua1 = 1.65336e-009 ++lua1 = 2.5643414e-017 ++wua1 = 2.5751614e-017 ++pua1 = -3.0515663e-023 ++ub1 = -2.1495693e-018 ++lub1 = -1.7294942e-024 ++wub1 = -1.1410626e-024 ++pub1 = 2.3141044e-030 ++uc1 = -4.4843991e-011 ++luc1 = -1.1139275e-016 ++wuc1 = -9.7884135e-018 ++puc1 = 9.7737308e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57889996 ++wvth0 = -3.2962459e-009 ++k1 = 0.79291046 ++wk1 = -2.7018409e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040694593 ++wu0 = -6.3196059e-009 ++ua = -7.8612308e-010 ++wua = 1.7413365e-017 ++ub = 3.2068255e-018 ++wub = -4.1284129e-025 ++uc = 1.1074849e-010 ++wuc = -2.5459447e-017 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.0799017 ++wa0 = 9.3888972e-008 ++ags = 0.29636432 ++wags = -7.8353386e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.101184 ++wbeta0 = -3.2151907e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1135227 ++wnoff = -1.3509204e-007 ++voffcv = -0.0574375 ++wvoffcv = 7.4300625e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31350128 ++wkt1 = -3.6650472e-008 ++kt1l = 0 ++kt2 = -0.017492898 ++wkt2 = -5.0659517e-009 ++ute = -1.55 ++ua1 = 1.6559282e-009 ++wua1 = 2.2695464e-017 ++ub1 = -2.3227785e-018 ++wub1 = -9.0930456e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.67263114 ++lvth0 = -4.9499651e-008 ++k1 = 0.94134454 ++lk1 = -8.8238255e-008 ++k2 = 0.012595841 ++lk2 = -2.8255053e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045331977 ++lu0 = 5.4272602e-010 ++ua = -6.2727955e-010 ++lua = 2.4979418e-016 ++ub = 3.5937841e-018 ++lub = -3.0127428e-025 ++uc = 2.7138825e-010 ++luc = -5.9513501e-017 ++eu = 1.67 ++vsat = 92500 ++a0 = 0.13050819 ++la0 = -1.3821621e-008 ++wa0 = -2.6941446e-007 ++pa0 = 1.3066601e-013 ++ags = 0.45484419 ++lags = -5.7615181e-008 ++wags = -1.6803644e-006 ++pags = 8.1497672e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.11802055 ++lketa = 2.6005966e-008 ++wketa = -3.4064511e-008 ++pketa = 1.6521288e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23118796 ++lpclm = -1.4388958e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0039772727 ++ldelta = 2.9210227e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5788977e-006 ++lalpha0 = -2.387644e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.07e-010 ++cgdo = 2.07e-010 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.16818182 ++lnoff = 1.0515682e-006 ++voffcv = 0.19170454 ++lvoffcv = -9.0551705e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29398705 ++lkt1 = -6.034833e-009 ++kt1l = 0 ++kt2 = -0.024478636 ++lkt2 = 5.9588864e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1193227e-018 ++lub1 = 4.1420102e-025 ++uc1 = -2.0418643e-010 ++luc1 = 4.0258119e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.59269564 ++lvth0 = -1.0730937e-008 ++k1 = 0.75941 ++k2 = 0.015765353 ++lk2 = -2.9792266e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10432393 ++lvoff = -7.9229946e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037727707 ++lu0 = 4.230797e-009 ++ua = -9.4739414e-010 ++lua = 4.0504976e-016 ++ub = 3.4002157e-018 ++lub = -2.0739362e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 92500 ++a0 = 0.62004121 ++la0 = -2.5124514e-007 ++ags = 0.61330614 ++lags = -1.3446923e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.044144964 ++lketa = -9.8236923e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.067394929 ++lpclm = 6.505066e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00138165 ++lpdiblc2= 8.6783475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015357143 ++ldelta = 4.1051786e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6463107e-006 ++lalpha0 = -2.2114597e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31225343 ++lkt1 = 2.8243629e-009 ++kt1l = 0 ++kt2 = -0.022403571 ++lkt2 = -4.1051786e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -3.0168179e-018 ++lub1 = -6.0551384e-025 ++uc1 = -1.3876879e-010 ++luc1 = 8.5305611e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57788728 ++lvth0 = 6.8169751e-009 ++k1 = 0.79711472 ++lk1 = -4.4680095e-008 ++k2 = -0.0074261153 ++lk2 = -2.3103764e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12195946 ++lvoff = 1.297511e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039895561 ++lu0 = 1.6618898e-009 ++ua = -8.0845705e-010 ++lua = 2.404093e-016 ++ub = 3.1574609e-018 ++lub = 8.027089e-026 ++uc = 1.02749e-010 ++luc = 5.4428235e-017 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.1810406 ++la0 = -9.1602935e-007 ++ags = 0.26807588 ++lags = 2.7462863e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021073023 ++lketa = -3.7163943e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23092226 ++lpclm = -1.2872923e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064234716 ++lpdiblc2= 1.7439086e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027306818 ++ldelta = 2.689142e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0800762e-005 ++lalpha0 = -1.0193448e-010 ++alpha1 = 0 ++beta0 = 24.470957 ++lbeta0 = -4.0135445e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 7.7e-011 ++cgdo = 7.7e-011 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1134659 ++lnoff = -1.344571e-007 ++voffcv = -0.05740625 ++lvoffcv = 7.3951406e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31815301 ++lkt1 = 9.8153685e-009 ++kt1l = 0 ++kt2 = -0.017360369 ++lkt2 = -6.3867124e-009 ++ute = -1.55 ++ua1 = 1.6559377e-009 ++lua1 = 2.2588793e-017 ++ub1 = -2.2637898e-018 ++lub1 = -1.4978521e-024 ++uc1 = -4.5823812e-011 ++luc1 = -1.0160923e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.6e-009 ++toxp = 7.6e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.5e-008 ++xw = 1e-008 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.57857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 92500 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.07e-010 ++cgdo = 2.07e-010 ++cgbo = 1e-013 ++cgdl = 9e-011 ++cgsl = 9e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +*resistor +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + + +.endl nfet_03v3_f +* +* +.lib nfet_03v3_s + + +.subckt nfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + +.model nfet_03v3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.78102964 ++lvth0 = -4.1963855e-008 ++wvth0 = -1.335093e-008 ++pvth0 = 4.4048385e-016 ++k1 = 0.97009364 ++lk1 = -1.0850207e-007 ++k2 = 0.057112207 ++lk2 = -4.4586066e-008 ++wk2 = -1.8436862e-008 ++pk2 = 5.4388743e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12611751 ++lvoff = 4.1027149e-009 ++wvoff = 5.0842288e-009 ++pvoff = -1.4998475e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.02365998 ++lu0 = 4.9752231e-009 ++wu0 = 4.3500625e-009 ++pu0 = -6.5742214e-016 ++ua = -1.2009004e-009 ++lua = 7.4602352e-016 ++wua = 2.6594489e-016 ++pua = -1.428335e-022 ++ub = 3.4181442e-018 ++lub = -8.4745491e-025 ++wub = -3.9003587e-025 ++pub = 9.3519126e-032 ++uc = 2.2972174e-010 ++luc = -6.5971448e-017 ++wuc = -3.0846577e-017 ++puc = 5.4982075e-024 ++eu = 1.67 ++vsat = 82709.416 ++lvsat = -0.002811599 ++wvsat = -0.00033342533 ++pvsat = 1.3214515e-009 ++a0 = 0.11164211 ++la0 = -3.216124e-009 ++wa0 = -5.9711692e-009 ++pa0 = 1.7614949e-015 ++ags = 0.33078713 ++lags = -1.7784597e-008 ++wags = 4.5802637e-008 ++pags = -1.2329143e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.15224321 ++lketa = 4.1863427e-008 ++wketa = 7.8363649e-009 ++pketa = -2.4490902e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.38126164 ++lpclm = -5.0349819e-008 ++wpclm = 1.8707045e-008 ++ppclm = 8.6466979e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0032954546 ++ldelta = 3.4528409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.689932e-006 ++lalpha0 = -3.3259243e-013 ++walpha0 = 4.6736083e-014 ++palpha0 = -1.3787144e-020 ++alpha1 = 0 ++beta0 = 19.906217 ++lbeta0 = 1.3969208e-007 ++wbeta0 = 1.2873117e-007 ++pbeta0 = 8.809677e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.46042018 ++lkt1 = 4.4736487e-008 ++wkt1 = 3.0709777e-008 ++pkt1 = -8.7347947e-015 ++kt1l = 0 ++kt2 = -0.024751234 ++lkt2 = 1.327864e-009 ++wkt2 = 1.0153526e-009 ++pkt2 = -2.9952902e-016 ++ute = -1.5659091 ++lute = 9.3719968e-008 ++wute = 1.0147727e-007 ++pute = -4.4048385e-014 ++ua1 = 1.675e-009 ++ub1 = -4.1897925e-018 ++lub1 = 3.0544077e-025 ++wub1 = 3.1727957e-025 ++pub1 = -5.8033747e-032 ++uc1 = -4.2656818e-011 ++luc1 = -3.9362386e-018 ++wuc1 = -6.2712955e-018 ++puc1 = 1.8500322e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.7471174 ++lvth0 = -2.4499049e-008 ++wvth0 = -1.1432769e-008 ++pvth0 = -5.4736891e-016 ++k1 = 0.74623593 ++lk1 = 6.7846468e-009 ++k2 = 0.024093133 ++lk2 = -2.7581243e-008 ++wk2 = -2.7392647e-009 ++pk2 = -2.6453883e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11249326 ++lvoff = -2.9137715e-009 ++wvoff = 1.5685135e-009 ++pvoff = 3.1074589e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.02972055 ++lu0 = 1.8540296e-009 ++wu0 = 7.6900741e-010 ++pu0 = 1.1868212e-015 ++ua = -1.310512e-009 ++lua = 8.0247348e-016 ++wua = 4.4658224e-017 ++pua = -2.8870859e-023 ++ub = 3.0972222e-018 ++lub = -6.8218008e-025 ++wub = -2.2900119e-026 ++pub = -9.5555786e-032 ++uc = 8.4637593e-011 ++luc = 8.7468885e-018 ++wuc = 2.3616893e-018 ++puc = -1.160405e-023 ++eu = 1.67 ++vsat = 73344.643 ++lvsat = 0.0020112589 ++wvsat = -0.0016424821 ++pvsat = 1.9956158e-009 ++a0 = 1.0928896 ++la0 = -5.0855857e-007 ++wa0 = -4.894097e-008 ++pa0 = 2.3890942e-014 ++ags = 0.48554907 ++lags = -9.7486997e-008 ++wags = 4.0512289e-008 ++pags = -9.6046138e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028668661 ++lketa = -2.1777465e-008 ++wketa = -7.0328534e-009 ++pketa = 5.2085573e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.085016691 ++lpclm = 1.0221633e-007 ++wpclm = 4.0905118e-008 ++ppclm = -2.7853095e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00133635 ++lpdiblc2= 9.4484475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013214286 ++ldelta = 4.4694643e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6270642e-006 ++lalpha0 = -2.3602156e-012 ++walpha0 = -1.4688483e-014 ++palpha0 = 1.7846507e-020 ++alpha1 = 0 ++beta0 = 22.618932 ++lbeta0 = -1.2573561e-006 ++wbeta0 = -3.3178823e-007 ++pbeta0 = 2.3804846e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33899638 ++lkt1 = -1.7796768e-008 ++wkt1 = -2.4927001e-009 ++pkt1 = 8.3644811e-015 ++kt1l = 0 ++kt2 = -0.020292087 ++lkt2 = -9.6859676e-010 ++wkt2 = -4.2326378e-011 ++pkt2 = 2.4517566e-016 ++ute = -1.3839286 ++wute = 1.5946429e-008 ++ua1 = 1.675e-009 ++ub1 = -2.788493e-018 ++lub1 = -4.1622844e-025 ++wub1 = 5.1032672e-026 ++pub1 = 7.9083403e-032 ++uc1 = -6.0193571e-011 ++luc1 = 5.0951893e-018 ++wuc1 = 1.9709786e-018 ++puc1 = -2.394739e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72996498 ++lvth0 = -3.6588628e-009 ++wvth0 = -9.0195048e-009 ++pvth0 = -3.4794852e-015 ++k1 = 0.79599981 ++lk1 = -5.3678465e-008 ++k2 = 0.0048675395 ++lk2 = -4.2221469e-009 ++wk2 = -6.9420874e-009 ++pk2 = 2.4610412e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12611319 ++lvoff = 1.3634437e-008 ++wvoff = 1.9367898e-009 ++pvoff = -1.3670981e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032519064 ++lu0 = -1.5461646e-009 ++wu0 = 6.2244619e-010 ++pu0 = 1.3648931e-015 ++ua = -8.1508834e-010 ++lua = 2.0053373e-016 ++wua = 5.600962e-018 ++pua = 1.8583714e-023 ++ub = 2.7414305e-018 ++lub = -2.4989307e-025 ++wub = -1.4798829e-026 ++pub = -1.0539885e-031 ++uc = 9.7550228e-011 ++luc = -6.9419625e-018 ++wuc = -8.2782193e-018 ++puc = 1.3234392e-024 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.2256909 ++la0 = -6.6991215e-007 ++wa0 = 4.0423109e-009 ++pa0 = -4.0483744e-014 ++ags = 0.25735343 ++lags = 1.7977071e-007 ++wags = -2.4766942e-009 ++pags = 4.2627001e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019679504 ++lketa = -3.269929e-008 ++wketa = -6.1066685e-010 ++pketa = -2.5943994e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18943996 ++lpclm = -2.465794e-008 ++wpclm = 1.9498441e-009 ++ppclm = 4.4545348e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00063792557 ++lpdiblc2= 1.7934304e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027238636 ++ldelta = 2.7655057e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.6135347e-005 ++lalpha0 = -8.6812779e-011 ++walpha0 = 7.0204199e-012 ++palpha0 = -8.5298102e-018 ++alpha1 = 0 ++beta0 = 24.225975 ++lbeta0 = -3.2099126e-006 ++wbeta0 = 1.0623239e-007 ++pbeta0 = -2.9414659e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32971157 ++lkt1 = -2.9077811e-008 ++wkt1 = -6.8565602e-009 ++pkt1 = 1.3666571e-014 ++kt1l = 0 ++kt2 = -0.021089286 ++wkt2 = 1.5946429e-010 ++ute = -1.3839286 ++wute = 1.5946429e-008 ++ua1 = 1.675e-009 ++ub1 = -2.513361e-018 ++lub1 = -7.505138e-025 ++wub1 = 2.0553877e-026 ++pub1 = 1.1611514e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72959964 ++wvth0 = -9.3669321e-009 ++k1 = 0.79064 ++k2 = 0.0044459571 ++wk2 = -6.6963519e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12475179 ++wvoff = 1.9231393e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032364679 ++wu0 = 7.5873107e-010 ++ua = -7.95065e-010 ++wua = 7.45655e-018 ++ub = 2.7164786e-018 ++wub = -2.5322929e-026 ++uc = 9.6857071e-011 ++wuc = -8.1460736e-018 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.1588 ++ags = 0.27530357 ++wags = 1.7796214e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022944536 ++wketa = -8.6971821e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18697786 ++wpclm = 6.3977071e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7467071e-005 ++walpha0 = 6.1687164e-012 ++alpha1 = 0 ++beta0 = 23.905464 ++wbeta0 = 7.6861786e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.332615 ++wkt1 = -5.49195e-009 ++kt1l = 0 ++kt2 = -0.021089286 ++wkt2 = 1.5946429e-010 ++ute = -1.3839286 ++wute = 1.5946429e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5883e-018 ++wub1 = 3.2148e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.79767867 ++lvth0 = -4.5516631e-008 ++wvth0 = -2.1175971e-008 ++pvth0 = 2.1102886e-015 ++k1 = 0.97009364 ++lk1 = -1.0850207e-007 ++k2 = 0.045081757 ++lk2 = -4.1037083e-008 ++wk2 = -1.2782551e-008 ++pk2 = 3.7708524e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.078638354 ++lvoff = -9.9036356e-009 ++wvoff = -1.7230974e-008 ++pvoff = 5.0831372e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032583121 ++lu0 = 4.3613327e-009 ++wu0 = 1.5618588e-010 ++pu0 = -3.6889363e-016 ++ua = -6.7252796e-010 ++lua = 4.3347724e-016 ++wua = 1.7609833e-017 ++pua = 4.0632548e-024 ++ub = 2.2958092e-018 ++lub = -1.0258242e-025 ++wub = 1.3746161e-025 ++pub = -2.5657094e-031 ++uc = 1.6246617e-010 ++luc = -3.7418989e-017 ++wuc = 7.6353972e-019 ++puc = -7.9214482e-024 ++eu = 1.67 ++vsat = 61335.065 ++lvsat = 0.0046169416 ++wvsat = 0.0097125195 ++pvsat = -2.1699625e-009 ++a0 = 0.10691933 ++la0 = -1.8229027e-009 ++wa0 = -3.7514606e-009 ++pa0 = 1.1066809e-015 ++ags = 0.35687524 ++lags = -1.304868e-008 ++wags = 3.3541222e-008 ++pags = -1.4555024e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12821436 ++lketa = 3.2864396e-008 ++wketa = -3.4571927e-009 ++pketa = 1.7804543e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.46740308 ++lpclm = -8.6444043e-008 ++wpclm = -2.1779432e-008 ++ppclm = 2.5610983e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0032954546 ++ldelta = 3.4528409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6811189e-006 ++lalpha0 = -3.0617722e-013 ++walpha0 = 5.0878248e-014 ++palpha0 = -2.6202298e-020 ++alpha1 = 0 ++beta0 = 20.985552 ++lbeta0 = -9.5051778e-008 ++wbeta0 = -3.7855616e-007 ++pbeta0 = 1.1121058e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37966054 ++lkt1 = 1.8210678e-008 ++wkt1 = -7.2472535e-009 ++pkt1 = 3.7323356e-015 ++kt1l = 0 ++kt2 = -0.014318515 ++lkt2 = -3.5697146e-009 ++wkt2 = -3.8880251e-009 ++pkt2 = 2.0023329e-015 ++ute = -1.4335714 ++wute = 3.9278571e-008 ++ua1 = 1.675e-009 ++ub1 = -3.6841668e-018 ++lub1 = 2.6922491e-025 ++wub1 = 7.9635518e-026 ++pub1 = -4.1012292e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.74529086 ++lvth0 = -1.8536912e-008 ++wvth0 = -1.0574296e-008 ++pvth0 = -3.3495736e-015 ++k1 = 0.76825545 ++lk1 = -4.5554057e-009 ++wk1 = -1.0349174e-008 ++pk1 = 5.3298247e-015 ++k2 = 0.0088249226 ++lk2 = -2.2364814e-008 ++wk2 = 4.4367941e-009 ++pk2 = -5.0971101e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12067823 ++lvoff = 1.1746901e-008 ++wvoff = 5.4154489e-009 ++pvoff = -6.5797704e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031060188 ++lu0 = 5.1456432e-009 ++wu0 = 1.393772e-010 ++pu0 = -3.6023716e-016 ++ua = -1.1755786e-009 ++lua = 6.9254834e-016 ++wua = -1.8760456e-017 ++pua = 2.2793954e-023 ++ub = 2.834859e-018 ++lub = -3.8019306e-025 ++wub = 1.0041062e-025 ++pub = -2.3748968e-031 ++uc = 8.1961894e-011 ++luc = 4.040715e-018 ++wuc = 3.6192678e-018 ++puc = -9.3921482e-024 ++eu = 1.67 ++vsat = 78457.857 ++lvsat = -0.0042012964 ++wvsat = -0.0040456929 ++pvsat = 4.9155168e-009 ++a0 = 0.98625128 ++la0 = -4.5467886e-007 ++wa0 = 1.1790305e-009 ++pa0 = -1.432522e-015 ++ags = 0.44354017 ++lags = -5.7681118e-008 ++wags = 6.0256471e-008 ++pags = -2.8313377e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043632179 ++lketa = -1.0695428e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.21580136 ++lpclm = 4.3130841e-008 ++wpclm = -2.0563679e-008 ++ppclm = 2.498487e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00133635 ++lpdiblc2= 9.4484475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013214286 ++ldelta = 4.4694643e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.8731595e-006 ++lalpha0 = -2.4650781e-012 ++walpha0 = -1.3035323e-013 ++palpha0 = 6.7131915e-020 ++alpha1 = 0 ++beta0 = 21.046316 ++lbeta0 = -1.2634537e-007 ++wbeta0 = 4.0734123e-007 ++pbeta0 = -2.9352658e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.40824074 ++lkt1 = 3.292948e-008 ++wkt1 = 3.0052147e-008 ++pkt1 = -1.5476856e-014 ++kt1l = 0 ++kt2 = -0.031261352 ++lkt2 = 5.1558463e-009 ++wkt2 = 5.1132283e-009 ++pkt2 = -2.6333126e-015 ++ute = -1.4335714 ++wute = 3.9278571e-008 ++ua1 = 1.675e-009 ++ub1 = -2.804371e-018 ++lub1 = -1.8386993e-025 ++wub1 = 5.8495332e-026 ++pub1 = -3.0125096e-032 ++uc1 = -1.1913423e-010 ++luc1 = 3.2514127e-017 ++wuc1 = 2.9673087e-017 ++puc1 = -1.528164e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71914657 ++lvth0 = 1.3228401e-008 ++wvth0 = -3.9348514e-009 ++pvth0 = -1.1416499e-014 ++k1 = 0.79424825 ++lk1 = -3.6136665e-008 ++wk1 = 8.2322976e-010 ++pk1 = -8.244646e-015 ++k2 = -0.0057533677 ++lk2 = -4.6521909e-009 ++wk2 = -1.950261e-009 ++pk2 = 2.6631619e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12199236 ++lvoff = 1.3343565e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036469853 ++lu0 = -1.4270997e-009 ++wu0 = -1.2344251e-009 ++pu0 = 1.3089326e-015 ++ua = -7.8850544e-010 ++lua = 2.2225441e-016 ++wua = -6.893001e-018 ++pua = 8.3749962e-024 ++ub = 3.0573243e-018 ++lub = -6.5048842e-025 ++wub = -1.6326892e-025 ++pub = 8.2880961e-032 ++uc = 9.7426091e-011 ++luc = -1.4748284e-017 ++wuc = -8.2198748e-018 ++puc = 4.9924102e-024 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.2342915 ++la0 = -7.5604777e-007 ++ags = 0.28327385 ++lags = 1.3704246e-007 ++wags = -1.4659294e-008 ++pags = 6.2709277e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.020978796 ++lketa = -3.8219289e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22676292 ++lpclm = 2.9812546e-008 ++wpclm = -1.559195e-008 ++ppclm = 1.894422e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00063792557 ++lpdiblc2= 1.7934304e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027238636 ++ldelta = 2.7655057e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1050349e-005 ++lalpha0 = -1.0474036e-010 ++walpha0 = 1.0369007e-014 ++palpha0 = -1.0384561e-019 ++alpha1 = 0 ++beta0 = 24.04797 ++lbeta0 = -3.7733547e-006 ++wbeta0 = 1.8989449e-007 ++pbeta0 = -2.932878e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3392138 ++lkt1 = -5.0938244e-008 ++wkt1 = -2.3905117e-009 ++pkt1 = 2.3940975e-014 ++kt1l = 0 ++kt2 = -0.021786808 ++lkt2 = -6.3557247e-009 ++wkt2 = 4.8729978e-010 ++pkt2 = 2.9871906e-015 ++ute = -1.7189014 ++lute = 3.4667589e-007 ++wute = 1.7338365e-007 ++pute = -1.6293767e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5382765e-018 ++lub1 = 7.0782525e-025 ++wub1 = 5.0226415e-025 ++pub1 = -5.6930421e-031 ++uc1 = -5.0977959e-011 ++luc1 = -5.0295738e-017 ++wuc1 = -2.3603592e-018 ++puc1 = 2.3638997e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72046743 ++wvth0 = -5.0747914e-009 ++k1 = 0.79064 ++k2 = -0.00621789 ++wk2 = -1.6843437e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036327357 ++wu0 = -1.1037279e-009 ++ua = -7.6631329e-010 ++wua = -6.0567557e-018 ++ub = 2.9923729e-018 ++wub = -1.5499324e-025 ++uc = 9.5953471e-011 ++wuc = -7.7213816e-018 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.1588 ++ags = 0.29695757 ++wags = -8.3977586e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.22973971 ++wpclm = -1.3700366e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6712 ++wbeta0 = 1.86966e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022421429 ++wkt2 = 7.8557143e-010 ++ute = -1.6842857 ++wute = 1.5711429e-007 ++ua1 = 1.675e-009 ++ub1 = -3.4676e-018 ++wub1 = 4.45419e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.83011576 ++lvth0 = -6.047942e-008 ++wvth0 = -5.9127366e-008 ++pvth0 = 1.9616751e-014 ++k1 = 0.96085697 ++lk1 = -1.0374519e-007 ++wk1 = 1.0806903e-008 ++pk1 = -5.5655552e-015 ++k2 = 0.017201346 ++lk2 = -3.2812362e-008 ++wk2 = 1.983753e-008 ++pk2 = -5.8520714e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.1242889 ++lvoff = 7.3910414e-010 ++wvoff = 3.618017e-008 ++pvoff = -7.3688683e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046865722 ++lu0 = 1.8889394e-010 ++wu0 = -1.6554457e-008 ++pu0 = 4.5128597e-015 ++ua = -6.8932314e-010 ++lua = 2.7643655e-016 ++wua = 3.7260197e-017 ++pua = 1.8780087e-022 ++ub = 3.8304076e-018 ++lub = -3.6068e-025 ++wub = -1.6580185e-024 ++pub = 4.5403213e-032 ++uc = 3.0152161e-010 ++luc = -7.3826884e-017 ++wuc = -1.6193132e-016 ++puc = 3.4675789e-023 ++eu = 1.67 ++vsat = 75713.12 ++lvsat = -0.00036725671 ++wvsat = -0.0071098048 ++pvsat = 3.6615494e-009 ++a0 = 0.10371296 ++la0 = -8.7702159e-010 ++ags = 0.26715255 ++lags = 3.5482186e-008 ++wags = 1.3851677e-007 ++pags = -7.1336137e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12745025 ++lketa = 3.247088e-008 ++wketa = -4.3512005e-009 ++pketa = 2.2408683e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.2060622 ++lpclm = -1.0687288e-008 ++wpclm = 2.839894e-007 ++ppclm = -6.302442e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0032954546 ++ldelta = 3.4528409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.622667e-006 ++lalpha0 = -2.7607448e-013 ++walpha0 = 1.1926697e-013 ++palpha0 = -6.1422492e-020 ++alpha1 = 0 ++beta0 = 21.140107 ++wbeta0 = -5.5938498e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.73454029 ++lnoff = 1.4082882e-006 ++wnoff = 3.1994121e-006 ++pnoff = -1.6476972e-012 ++voffcv = 0.2404743 ++lvoffcv = -1.2126927e-007 ++wvoffcv = -2.7550493e-007 ++pvoffcv = 1.4188504e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28017689 ++lkt1 = -1.0927331e-008 ++wkt1 = -1.2364312e-007 ++pkt1 = 3.7823806e-014 ++kt1l = 0 ++kt2 = -0.025545233 ++lkt2 = 1.045102e-009 ++wkt2 = 9.247235e-009 ++pkt2 = -3.3970025e-015 ++ute = -1.5699432 ++wute = 1.9883352e-007 ++ua1 = 1.675e-009 ++ub1 = -5.4287188e-018 ++lub1 = 5.2357491e-025 ++wub1 = 2.1207614e-024 ++pub1 = -3.3860178e-031 ++uc1 = -2.3453481e-010 ++luc1 = 5.3914751e-017 ++wuc1 = 2.0888573e-016 ++puc1 = -6.3080258e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.73285729 ++lvth0 = -1.0391311e-008 ++wvth0 = 3.9729778e-009 ++pvth0 = -1.2879926e-014 ++k1 = 0.75941 ++k2 = 0.017945817 ++lk2 = -3.3195765e-008 ++wk2 = -6.2346523e-009 ++pk2 = 7.5751026e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10229638 ++lvoff = -1.0587044e-008 ++wvoff = -1.6091313e-008 ++pvoff = 1.9550945e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038348141 ++lu0 = 4.5754485e-009 ++wu0 = -8.387527e-009 ++pu0 = 3.068906e-016 ++ua = -9.3887833e-010 ++lua = 4.0495747e-016 ++wua = -2.9569982e-016 ++pua = 3.5927528e-022 ++ub = 3.4762372e-018 ++lub = -1.7828226e-025 ++wub = -6.5000193e-025 ++pub = -4.7372533e-031 ++uc = 1.5713919e-010 ++luc = 5.3005815e-019 ++wuc = -8.4338174e-017 ++puc = -5.2846797e-024 ++eu = 1.67 ++vsat = 75000 ++a0 = 0.58607632 ++la0 = -2.4929415e-007 ++wa0 = 4.6938374e-007 ++pa0 = -2.4173263e-013 ++ags = 0.63698234 ++lags = -1.5498016e-007 ++wags = -1.6607087e-007 ++pags = 8.5526499e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043632179 ++lketa = -1.0695428e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.046153372 ++lpclm = 7.1665757e-008 ++wpclm = 1.7792447e-007 ++ppclm = -8.4009819e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00133635 ++lpdiblc2= 9.4484475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013214286 ++ldelta = 4.4694643e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7617464e-006 ++lalpha0 = -2.4077004e-012 ++alpha1 = 0 ++beta0 = 21.042721 ++lbeta0 = 5.0153484e-008 ++wbeta0 = 4.1154752e-007 ++pbeta0 = -5.0003024e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30307353 ++lkt1 = 8.6443502e-010 ++wkt1 = -9.2993492e-008 ++pkt1 = 2.2039247e-014 ++kt1l = 0 ++kt2 = -0.02178266 ++lkt2 = -8.9262312e-010 ++wkt2 = -5.976841e-009 ++pkt2 = 4.4433966e-015 ++ute = -1.5699432 ++wute = 1.9883352e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0159014e-018 ++lub1 = -7.1902608e-025 ++wub1 = 3.0598585e-025 ++pub1 = 5.960076e-031 ++uc1 = -1.4525572e-010 ++luc1 = 7.9360174e-018 ++wuc1 = 6.0235233e-017 ++puc1 = 1.3474748e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71814741 ++lvth0 = 7.4811957e-009 ++wvth0 = -2.7658345e-009 ++pvth0 = -4.6922687e-015 ++k1 = 0.79753063 ++lk1 = -4.6316563e-008 ++wk1 = -3.0171481e-009 ++pk1 = 3.6658349e-015 ++k2 = -0.0074202574 ++lk2 = -2.3759842e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12199236 ++lvoff = 1.3343565e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040486527 ++lu0 = 1.9773099e-009 ++wu0 = -5.9339327e-009 ++pu0 = -2.6742266e-015 ++ua = -8.1101699e-010 ++lua = 2.4960595e-016 ++wua = 1.9445519e-017 ++pua = -2.3626306e-023 ++ub = 3.1890972e-018 ++lub = 1.7059289e-025 ++wub = -3.1744322e-025 ++pub = -8.7778417e-031 ++uc = 1.0423443e-010 ++luc = 6.4809343e-017 ++wuc = -1.6185636e-017 ++puc = -8.8090013e-023 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.1765919 ++la0 = -9.6677061e-007 ++wa0 = 6.7508553e-008 ++pa0 = 2.4654573e-013 ++ags = 0.26693219 ++lags = 2.9463078e-007 ++wags = 4.4604535e-009 ++pags = -1.2166906e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.020978796 ++lketa = -3.8219289e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23361686 ++lpclm = -1.5610238e-007 ++wpclm = -2.3611051e-008 ++ppclm = 2.3646468e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00063792557 ++lpdiblc2= 1.7934304e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027238636 ++ldelta = 2.7655057e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1059211e-005 ++lalpha0 = -1.0482912e-010 ++alpha1 = 0 ++beta0 = 24.517146 ++lbeta0 = -4.1712719e-006 ++wbeta0 = -3.5904062e-007 ++pbeta0 = 4.3623435e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.128938 ++lnoff = -1.5665961e-007 ++wnoff = -1.508574e-007 ++pnoff = 1.8329175e-013 ++voffcv = -0.065915874 ++lvoffcv = 8.6162786e-008 ++wvoffcv = 8.2971572e-008 ++pvoffcv = -1.0081046e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31510943 ++lkt1 = 1.5488057e-008 ++wkt1 = -3.0592631e-008 ++pkt1 = -5.3777798e-014 ++kt1l = 0 ++kt2 = -0.016808883 ++lkt2 = -6.9357625e-009 ++wkt2 = -5.3368725e-009 ++pkt2 = 3.6658349e-015 ++ute = -1.5472465 ++lute = -2.7576491e-008 ++wute = -2.7452583e-008 ++pute = 2.7493762e-013 ++ua1 = 1.6533384e-009 ++lua1 = 2.6318815e-017 ++wua1 = 2.5344044e-017 ++pua1 = -3.0793013e-023 ++ub1 = -2.1471138e-018 ++lub1 = -1.774603e-024 ++wub1 = -1.1253962e-024 ++pub1 = 2.3351368e-030 ++uc1 = -4.4578445e-011 ++luc1 = -1.1438687e-016 ++wuc1 = -9.8477906e-018 ++puc1 = 9.8625623e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71889441 ++wvth0 = -3.2343586e-009 ++k1 = 0.79290591 ++wk1 = -2.6511136e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040683961 ++wu0 = -6.2009548e-009 ++ua = -7.8609378e-010 ++wua = 1.7086427e-017 ++ub = 3.2061309e-018 ++wub = -4.0509016e-025 ++uc = 1.1070566e-010 ++wuc = -2.4981444e-017 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.0800597 ++wa0 = 9.2126199e-008 ++ags = 0.29635114 ++wags = -7.6882295e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.100643 ++wbeta0 = -3.1548252e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1132954 ++wnoff = -1.3255568e-007 ++voffcv = -0.0573125 ++wvoffcv = 7.2905625e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31356294 ++wkt1 = -3.5962356e-008 ++kt1l = 0 ++kt2 = -0.017501421 ++wkt2 = -4.9708381e-009 ++ute = -1.55 ++ua1 = 1.6559664e-009 ++wua1 = 2.2269354e-017 ++ub1 = -2.3243083e-018 ++wub1 = -8.9223229e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.82418523 ++lvth0 = -5.8511842e-008 ++k1 = 0.96194091 ++lk1 = -1.0430342e-007 ++k2 = 0.019191068 ++lk2 = -3.339933e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045205295 ++lu0 = 6.4153784e-010 ++ua = -6.8558591e-010 ++lua = 2.9527314e-016 ++ub = 3.6641068e-018 ++lub = -3.5612601e-025 ++uc = 2.8527975e-010 ++luc = -7.0348871e-017 ++eu = 1.67 ++vsat = 75000 ++a0 = 0.13372839 ++la0 = -1.6334972e-008 ++wa0 = -2.9925391e-007 ++pa0 = 1.5411576e-013 ++ags = 0.46825513 ++lags = -6.8085644e-008 ++wags = -1.866476e-006 ++pags = 9.6123512e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12409156 ++lketa = 3.0741152e-008 ++wketa = -3.7837384e-008 ++pketa = 1.9486253e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23454659 ++lpclm = -1.7008694e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0032954546 ++ldelta = 3.4528409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6346295e-006 ++lalpha0 = -2.8223522e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.53e-010 ++cgdo = 2.53e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.41363636 ++lnoff = 1.2430227e-006 ++voffcv = 0.21284091 ++lvoffcv = -1.0703807e-007 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29257841 ++lkt1 = -7.1335693e-009 ++kt1l = 0 ++kt2 = -0.024617727 ++lkt2 = 7.0437954e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.2160045e-018 ++lub1 = 4.8961284e-025 ++uc1 = -2.1358339e-010 ++luc1 = 4.7587744e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.73325579 ++lvth0 = -1.168318e-008 ++k1 = 0.75941 ++k2 = 0.017320476 ++lk2 = -3.2435975e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10391036 ++lvoff = -8.6260661e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037506864 ++lu0 = 4.6062299e-009 ++ua = -9.6853729e-010 ++lua = 4.409931e-016 ++ub = 3.4110414e-018 ++lub = -2.2579734e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 75000 ++a0 = 0.63315593 ++la0 = -2.7354015e-007 ++ags = 0.62032529 ++lags = -1.4640177e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043632179 ++lketa = -1.0695428e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.063999357 ++lpclm = 7.0823131e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00133635 ++lpdiblc2= 9.4484475e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013214286 ++ldelta = 4.4694643e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7617464e-006 ++lalpha0 = -2.4077004e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31240086 ++lkt1 = 3.0749914e-009 ++kt1l = 0 ++kt2 = -0.022382143 ++lkt2 = -4.4694643e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -2.9852107e-018 ++lub1 = -6.5924598e-025 ++uc1 = -1.3921407e-010 ++luc1 = 9.2875468e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71786999 ++lvth0 = 7.0105569e-009 ++k1 = 0.79722801 ++lk1 = -4.5948877e-008 ++k2 = -0.0074202574 ++lk2 = -2.3759842e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12199236 ++lvoff = 1.3343565e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039891348 ++lu0 = 1.7090825e-009 ++ua = -8.0906659e-010 ++lua = 2.4723621e-016 ++ub = 3.1572573e-018 ++lub = 8.2550345e-026 ++uc = 1.02611e-010 ++luc = 5.5973835e-017 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.1833631 ++la0 = -9.4204186e-007 ++ags = 0.26737957 ++lags = 2.8242727e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.020978796 ++lketa = -3.8219289e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23124865 ++lpclm = -1.3238476e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00063792557 ++lpdiblc2= 1.7934304e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027238636 ++ldelta = 2.7655057e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1059211e-005 ++lalpha0 = -1.0482912e-010 ++alpha1 = 0 ++beta0 = 24.481134 ++lbeta0 = -4.1275172e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.23e-010 ++cgdo = 1.23e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1138068 ++lnoff = -1.3827528e-007 ++voffcv = -0.05759375 ++lvoffcv = 7.6051406e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3181779 ++lkt1 = 1.0094096e-008 ++kt1l = 0 ++kt2 = -0.017344176 ++lkt2 = -6.568076e-009 ++ute = -1.55 ++ua1 = 1.6558805e-009 ++lua1 = 2.3230248e-017 ++ub1 = -2.2599921e-018 ++lub1 = -1.5403867e-024 ++uc1 = -4.5566188e-011 ++luc1 = -1.0449463e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.4e-009 ++toxp = 8.4e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.5e-008 ++xw = -1e-008 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 75000 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.53e-010 ++cgdo = 2.53e-010 ++cgbo = 1e-013 ++cgdl = 1.1e-010 ++cgsl = 1.1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +*resistor + +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + +.endl nfet_03v3_s +* +* +.lib nfet_03v3_fs + + + +.subckt nfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model nfet_03v3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.64635471 ++lvth0 = -3.6607582e-008 ++wvth0 = -1.4783981e-008 ++pvth0 = 4.2707557e-016 ++k1 = 0.95223909 ++lk1 = -9.4486255e-008 ++k2 = 0.052721915 ++lk2 = -3.9622207e-008 ++wk2 = -1.9530798e-008 ++pk2 = 5.2733156e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12625494 ++lvoff = 3.7921347e-009 ++wvoff = 5.3858974e-009 ++pvoff = -1.4541923e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023766155 ++lu0 = 4.4287103e-009 ++wu0 = 4.7228328e-009 ++pu0 = -6.3741029e-016 ++ua = -1.1188622e-009 ++lua = 6.7054848e-016 ++wua = 2.6992935e-016 ++pua = -1.3848566e-022 ++ub = 3.341622e-018 ++lub = -7.5166395e-025 ++wub = -4.17125e-025 ++pub = 9.0672414e-032 ++uc = 2.2389524e-010 ++luc = -5.8253799e-017 ++wuc = -3.333668e-017 ++puc = 5.3308427e-024 ++eu = 1.67 ++vsat = 97266.234 ++lvsat = -0.0026417045 ++wvsat = -0.00012912338 ++pvsat = 1.2812267e-009 ++a0 = 0.11206719 ++la0 = -3.0583422e-009 ++wa0 = -6.3254637e-009 ++pa0 = 1.7078752e-015 ++ags = 0.32050776 ++lags = -1.3683815e-008 ++wags = 4.8736973e-008 ++pags = -1.1953845e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.14660298 ++lketa = 3.6813935e-008 ++wketa = 8.2761628e-009 ++pketa = -2.3745402e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.36959505 ++lpclm = -4.5110649e-008 ++wpclm = 2.2412267e-008 ++ppclm = 8.3834934e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0038636364 ++ldelta = 3.0068182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.627733e-006 ++lalpha0 = -2.876129e-013 ++walpha0 = 4.9509131e-014 ++palpha0 = -1.3367465e-020 ++alpha1 = 0 ++beta0 = 19.907557 ++lbeta0 = 1.2151841e-007 ++wbeta0 = 1.4348835e-007 ++pbeta0 = 8.5415114e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.45797555 ++lkt1 = 4.0235308e-008 ++wkt1 = 3.2591386e-008 ++pkt1 = -8.4689085e-015 ++kt1l = 0 ++kt2 = -0.024695 ++lkt2 = 1.20015e-009 ++wkt2 = 1.0755977e-009 ++pkt2 = -2.9041139e-016 ++ute = -1.5663149 ++lute = 8.8056818e-008 ++wute = 1.0491274e-007 ++pute = -4.2707557e-014 ++ua1 = 1.675e-009 ++ub1 = -4.191221e-018 ++lub1 = 2.7447418e-025 ++wub1 = 3.4262081e-025 ++pub1 = -5.6267206e-032 ++uc1 = -4.2302273e-011 ++luc1 = -3.6983864e-018 ++wuc1 = -6.6433977e-018 ++puc1 = 1.7937174e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.61806264 ++lvth0 = -2.274447e-008 ++wvth0 = -1.2753387e-008 ++pvth0 = -5.679156e-016 ++k1 = 0.746507 ++lk1 = 6.32247e-009 ++k2 = 0.023469038 ++lk2 = -2.5288297e-008 ++wk2 = -3.1675243e-009 ++pk2 = -2.7446888e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11287523 ++lvoff = -2.7639238e-009 ++wvoff = 1.7601776e-009 ++pvoff = 3.2241042e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029657487 ++lu0 = 1.5419574e-009 ++wu0 = 9.0899306e-010 ++pu0 = 1.2313712e-015 ++ua = -1.2857579e-009 ++lua = 7.5232737e-016 ++wua = 4.8437374e-017 ++pua = -2.995459e-023 ++ub = 3.0744545e-018 ++lub = -6.207519e-025 ++wub = -2.974725e-026 ++pub = -9.9142683e-032 ++uc = 8.466824e-011 ++luc = 9.9674311e-018 ++wuc = 2.1132713e-018 ++puc = -1.2039633e-023 ++eu = 1.67 ++vsat = 88687.5 ++lvsat = 0.001561875 ++wvsat = -0.0017399375 ++pvsat = 2.0705256e-009 ++a0 = 1.0806312 ++la0 = -4.7765472e-007 ++wa0 = -5.3427231e-008 ++pa0 = 2.4787741e-014 ++ags = 0.47491359 ++lags = -8.9342671e-008 ++wags = 4.4678399e-008 ++pags = -9.965144e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028392375 ++lketa = -2.1109261e-008 ++wketa = -7.5985556e-009 ++pketa = 5.4040719e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.082248339 ++lpclm = 9.5689239e-008 ++wpclm = 4.5419115e-008 ++ppclm = -2.8898622e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013741 ++lpdiblc2= 8.80481e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015 ++ldelta = 4.165e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.5351125e-006 ++lalpha0 = -2.2022289e-012 ++walpha0 = -1.5560013e-014 ++palpha0 = 1.8516415e-020 ++alpha1 = 0 ++beta0 = 22.62283 ++lbeta0 = -1.2089656e-006 ++wbeta0 = -3.5881772e-007 ++pbeta0 = 2.4698413e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33934486 ++lkt1 = -1.7893733e-008 ++wkt1 = -2.4032443e-009 ++pkt1 = 8.6784603e-015 ++kt1l = 0 ++kt2 = -0.020325321 ++lkt2 = -9.409925e-010 ++wkt2 = -3.6219107e-011 ++pkt2 = 2.5437886e-016 ++ute = -1.3866071 ++wute = 1.7754464e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8142257e-018 ++lub1 = -4.0025352e-025 ++wub1 = 6.0336771e-026 ++pub1 = 8.2051973e-032 ++uc1 = -6.0305e-011 ++luc1 = 5.12295e-018 ++wuc1 = 2.087925e-018 ++puc1 = -2.4846307e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.6014737 ++lvth0 = -3.0036269e-009 ++wvth0 = -1.0050112e-008 ++pvth0 = -3.7848123e-015 ++k1 = 0.79588952 ++lk1 = -5.2442732e-008 ++k2 = 0.0060240997 ++lk2 = -4.5288209e-009 ++wk2 = -7.7235646e-009 ++pk2 = 2.6769992e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12641046 ++lvoff = 1.3342993e-008 ++wvoff = 2.1560739e-009 ++pvoff = -1.4870619e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032410861 ++lu0 = -1.7345577e-009 ++wu0 = 6.9614246e-010 ++pu0 = 1.4846634e-015 ++ua = -8.1562356e-010 ++lua = 1.9286754e-016 ++wua = 6.2785193e-018 ++pua = 2.0214448e-023 ++ub = 2.7434392e-018 ++lub = -2.2684367e-025 ++wub = -1.6717846e-026 ++pub = -1.1464767e-031 ++uc = 9.8926027e-011 ++luc = -6.999336e-018 ++wuc = -9.2137918e-018 ++puc = 1.4395718e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.2236495 ++la0 = -6.4784645e-007 ++wa0 = 4.40803e-009 ++pa0 = -4.4036219e-014 ++ags = 0.25812408 ++lags = 1.6863685e-007 ++wags = -2.659998e-009 ++pags = 4.6367549e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019643215 ++lketa = -3.1520762e-008 ++wketa = -6.8584002e-010 ++pketa = -2.8220597e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.1890464 ++lpclm = -3.1400457e-008 ++wpclm = 2.2728176e-009 ++ppclm = 4.8454232e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064161023 ++lpdiblc2= 1.7521438e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027295455 ++ldelta = 2.7018409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.4780689e-005 ++lalpha0 = -8.3414465e-011 ++walpha0 = 7.7968964e-012 ++palpha0 = -9.2783067e-018 ++alpha1 = 0 ++beta0 = 24.201637 ++lbeta0 = -3.0877458e-006 ++wbeta0 = 1.1760436e-007 ++pbeta0 = -3.1995815e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32862431 ++lkt1 = -3.0651179e-008 ++wkt1 = -7.6027077e-009 ++pkt1 = 1.4865822e-014 ++kt1l = 0 ++kt2 = -0.021116071 ++wkt2 = 1.7754464e-010 ++ute = -1.3866071 ++wute = 1.7754464e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5183956e-018 ++lub1 = -7.5229142e-025 ++wub1 = 2.3149925e-026 ++pub1 = 1.2630432e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.60117304 ++wvth0 = -1.0428972e-008 ++k1 = 0.79064 ++k2 = 0.0055707643 ++wk2 = -7.4555967e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12507482 ++wvoff = 2.1411884e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032237232 ++wu0 = 8.4475741e-010 ++ua = -7.963175e-010 ++wua = 8.3019875e-018 ++ub = 2.7207321e-018 ++wub = -2.8194089e-026 ++uc = 9.8225393e-011 ++wuc = -9.0696905e-018 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1588 ++ags = 0.27500464 ++wags = 1.9813982e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022798446 ++wketa = -9.6832848e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18590321 ++wpclm = 7.1230911e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6430893e-005 ++walpha0 = 6.868137e-012 ++alpha1 = 0 ++beta0 = 23.892554 ++wbeta0 = 8.5576518e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3316925 ++wkt1 = -6.1146375e-009 ++kt1l = 0 ++kt2 = -0.021116071 ++wkt2 = 1.7754464e-010 ++ute = -1.3866071 ++wute = 1.7754464e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5937e-018 ++wub1 = 3.5793e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.66075684 ++lvth0 = -3.9687122e-008 ++wvth0 = -2.1769016e-008 ++pvth0 = 1.9206526e-015 ++k1 = 0.95223909 ++lk1 = -9.4486255e-008 ++k2 = 0.038660657 ++lk2 = -3.5825667e-008 ++wk2 = -1.2711088e-008 ++pk2 = 3.4319939e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.079820841 ++lvoff = -8.7450729e-009 ++wvoff = -1.7134642e-008 ++pvoff = 4.6263534e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.033298195 ++lu0 = 3.8067177e-009 ++wu0 = 9.9793159e-011 ++pu0 = -3.357439e-016 ++ua = -6.0169576e-010 ++lua = 3.7738605e-016 ++wua = 1.9103635e-017 ++pua = 3.6981203e-024 ++ub = 2.2763308e-018 ++lub = -8.3236674e-026 ++wub = 9.954125e-026 ++pub = -2.3351482e-031 ++uc = 1.5632343e-010 ++luc = -3.2397203e-017 ++wuc = -5.6435009e-019 ++puc = -7.2096064e-024 ++eu = 1.67 ++vsat = 76839.61 ++lvsat = 0.0040720909 ++wvsat = 0.009777789 ++pvsat = -1.9749641e-009 ++a0 = 0.10671673 ++la0 = -1.6137164e-009 ++wa0 = -3.7304877e-009 ++pa0 = 1.0072317e-015 ++ags = 0.35387843 ++lags = -1.1017368e-008 ++wags = 3.25522e-008 ++pags = -1.3247072e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12272005 ++lketa = 2.8576826e-008 ++wketa = -3.3070572e-009 ++pketa = 1.620458e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.45365742 ++lpclm = -7.5885948e-008 ++wpclm = -1.8357985e-008 ++ppclm = 2.3309514e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0038636364 ++ldelta = 3.0068182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6294657e-006 ++lalpha0 = -2.6600418e-013 ++walpha0 = 4.8668758e-014 ++palpha0 = -2.3847691e-020 ++alpha1 = 0 ++beta0 = 20.979738 ++lbeta0 = -8.5415114e-008 ++wbeta0 = -3.7651952e-007 ++pbeta0 = 1.0121691e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37648295 ++lkt1 = 1.5769644e-008 ++wkt1 = -6.932527e-009 ++pkt1 = 3.3969382e-015 ++kt1l = 0 ++kt2 = -0.014808861 ++lkt2 = -3.1561582e-009 ++wkt2 = -3.7191798e-009 ++pkt2 = 1.8223981e-015 ++ute = -1.4346429 ++wute = 4.1051786e-008 ++ua1 = 1.675e-009 ++ub1 = -3.6418527e-018 ++lub1 = 2.3542184e-025 ++wub1 = 7.6177186e-026 ++pub1 = -3.7326821e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.61484234 ++lvth0 = -1.7189014e-008 ++wvth0 = -1.1191538e-008 ++pvth0 = -3.2623115e-015 ++k1 = 0.76834994 ++lk1 = -4.3805685e-009 ++wk1 = -1.0593824e-008 ++pk1 = 5.1909737e-015 ++k2 = 0.0078158961 ++lk2 = -2.0711735e-008 ++wk2 = 4.4242495e-009 ++pk2 = -4.9643217e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12034945 ++lvoff = 1.1113945e-008 ++wvoff = 5.3851733e-009 ++pvoff = -6.4083562e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031262366 ++lu0 = 4.804274e-009 ++wu0 = 1.3062678e-010 ++pu0 = -3.5085237e-016 ++ua = -1.1474219e-009 ++lua = 6.4479186e-016 ++wua = -1.8655574e-017 ++pua = 2.2200133e-023 ++ub = 2.8171887e-018 ++lub = -3.4825707e-025 ++wub = 9.5026674e-026 ++pub = -2.3130267e-031 ++uc = 8.2034846e-011 ++luc = 4.0042012e-018 ++wuc = 3.390467e-018 ++puc = -9.1474668e-024 ++eu = 1.67 ++vsat = 93395 ++lvsat = -0.00404005 ++wvsat = -0.004023075 ++pvsat = 4.7874593e-009 ++a0 = 0.9680546 ++la0 = -4.2366927e-007 ++wa0 = 1.172439e-009 ++pa0 = -1.3952024e-015 ++ags = 0.4396228 ++lags = -5.3032112e-008 ++wags = 6.1794432e-008 ++pags = -2.7575765e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0440595 ++lketa = -9.966845e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.2180583 ++lpclm = 3.9557623e-008 ++wpclm = -2.0448715e-008 ++ppclm = 2.4333971e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013741 ++lpdiblc2= 8.80481e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015 ++ldelta = 4.165e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7781531e-006 ++lalpha0 = -2.298861e-012 ++walpha0 = -1.3343472e-013 ++palpha0 = 6.5383015e-020 ++alpha1 = 0 ++beta0 = 21.030477 ++lbeta0 = -1.102773e-007 ++wbeta0 = 4.1347359e-007 ++pbeta0 = -2.8587971e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.40772797 ++lkt1 = 3.1079706e-008 ++wkt1 = 3.0762566e-008 ++pkt1 = -1.5073657e-014 ++kt1l = 0 ++kt2 = -0.031191964 ++lkt2 = 4.8715625e-009 ++wkt2 = 5.2341027e-009 ++pkt2 = -2.5647103e-015 ++ute = -1.4346429 ++wute = 4.1051786e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8132801e-018 ++lub1 = -1.7057876e-025 ++wub1 = 5.9878135e-026 ++pub1 = -2.9340286e-032 ++uc1 = -1.1862793e-010 ++luc1 = 3.0687684e-017 ++wuc1 = 3.0374545e-017 ++puc1 = -1.4883527e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.58928172 ++lvth0 = 1.3228117e-008 ++wvth0 = -4.137003e-009 ++pvth0 = -1.1657208e-014 ++k1 = 0.79415202 ++lk1 = -3.5085045e-008 ++wk1 = 8.4269053e-010 ++pk1 = -8.4184784e-015 ++k2 = -0.0057098764 ++lk2 = -4.6160653e-009 ++wk2 = -2.0325861e-009 ++pk2 = 2.7193127e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12196494 ++lvoff = 1.3036382e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.03650052 ++lu0 = -1.4291291e-009 ++wu0 = -1.287342e-009 ++pu0 = 1.3365305e-015 ++ua = -7.8786125e-010 ++lua = 2.1691469e-016 ++wua = -7.1861991e-018 ++pua = 8.5515769e-024 ++ub = 3.0604368e-018 ++lub = -6.3772226e-025 ++wub = -1.7046166e-025 ++pub = 8.4628446e-032 ++uc = 9.7619729e-011 ++luc = -1.4541809e-017 ++wuc = -8.5802375e-018 ++puc = 5.0976715e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.2327382 ++la0 = -7.3864278e-007 ++ags = 0.28395177 ++lags = 1.3221642e-007 ++wags = -1.5186427e-008 ++pags = 6.4031457e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021057318 ++lketa = -3.7339441e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22724843 ++lpclm = 2.8621373e-008 ++wpclm = -1.6255164e-008 ++ppclm = 1.9343645e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064161023 ++lpdiblc2= 1.7521438e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027295455 ++ldelta = 2.7018409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0834879e-005 ++lalpha0 = -1.0232637e-010 ++walpha0 = 1.0614126e-014 ++palpha0 = -1.0603512e-019 ++alpha1 = 0 ++beta0 = 24.03504 ++lbeta0 = -3.6857066e-006 ++wbeta0 = 1.9840421e-007 ++pbeta0 = -2.9947156e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33925459 ++lkt1 = -5.0403614e-008 ++wkt1 = -2.4470223e-009 ++pkt1 = 2.4445753e-014 ++kt1l = 0 ++kt2 = -0.021813326 ++lkt2 = -6.2890172e-009 ++wkt2 = 5.1571306e-010 ++pkt2 = 3.0501733e-015 ++ute = -1.7229095 ++lute = 3.430373e-007 ++wute = 1.8086111e-007 ++pute = -1.6637309e-013 ++ua1 = 1.675e-009 ++ub1 = -3.550491e-018 ++lub1 = 7.0670219e-025 ++wub1 = 5.237162e-025 ++pub1 = -5.8130758e-031 ++uc1 = -5.1018233e-011 ++luc1 = -4.9767852e-017 ++wuc1 = -2.416157e-018 ++puc1 = 2.4137408e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.59060586 ++wvth0 = -5.3038907e-009 ++k1 = 0.79064 ++k2 = -0.006171945 ++wk2 = -1.7603827e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036357464 ++wu0 = -1.1535552e-009 ++ua = -7.6614807e-010 ++wua = -6.3301854e-018 ++ub = 2.9966007e-018 ++wub = -1.6199035e-025 ++uc = 9.6164093e-011 ++wuc = -8.06996e-018 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1588 ++ags = 0.29718664 ++wags = -8.7768718e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.23011343 ++wpclm = -1.4318863e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6661 ++wbeta0 = 1.954065e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022442857 ++wkt2 = 8.2103571e-010 ++ute = -1.6885714 ++wute = 1.6420714e-007 ++ua1 = 1.675e-009 ++ub1 = -3.47975e-018 ++wub1 = 4.6552725e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.69023545 ++lvth0 = -5.2688923e-008 ++wvth0 = -5.6701171e-008 ++pvth0 = 1.7327786e-014 ++k1 = 0.94377247 ++lk1 = -9.0337611e-008 ++wk1 = 1.0032944e-008 ++pk1 = -4.9161428e-015 ++k2 = 0.011777641 ++lk2 = -2.8567253e-008 ++wk2 = 1.9145286e-008 ++pk2 = -5.1692271e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12421225 ++lvoff = 6.518816e-010 ++wvoff = 3.5469172e-008 ++pvoff = -6.5090378e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046917138 ++lu0 = 1.5943995e-010 ++wu0 = -1.6038654e-008 ++pu0 = 3.9862803e-015 ++ua = -6.4392181e-010 ++lua = 2.405174e-016 ++wua = 6.9141509e-017 ++pua = 1.6588748e-022 ++ub = 3.7731784e-018 ++lub = -3.1413979e-025 ++wub = -1.6742232e-024 ++pub = 4.0105376e-032 ++uc = 2.8957392e-010 ++luc = -6.4329084e-017 ++wuc = -1.5846619e-016 ++puc = 3.0629672e-023 ++eu = 1.67 ++vsat = 90661.054 ++lvsat = -0.00032391632 ++wvsat = -0.0066006214 ++pvsat = 3.2343045e-009 ++a0 = 0.10356864 ++la0 = -7.6373182e-010 ++ags = 0.27282829 ++lags = 3.0978636e-008 ++wags = 1.2859661e-007 ++pags = -6.3012337e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12210189 ++lketa = 2.8273926e-008 ++wketa = -4.0395803e-009 ++pketa = 1.9793943e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.2039517 ++lpclm = -9.2361767e-009 ++wpclm = 2.775433e-007 ++ppclm = -5.5670466e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0038636364 ++ldelta = 3.0068182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5770972e-006 ++lalpha0 = -2.4034362e-013 ++walpha0 = 1.1072542e-013 ++palpha0 = -5.4255458e-020 ++alpha1 = 0 ++beta0 = 21.140826 ++wbeta0 = -5.6740897e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.50656508 ++lnoff = 1.2282169e-006 ++wnoff = 2.9702796e-006 ++pnoff = -1.455437e-012 ++voffcv = 0.2208431 ++lvoffcv = -1.0576312e-007 ++wvoffcv = -2.5577408e-007 ++pvoffcv = 1.253293e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28182405 ++lkt1 = -9.558142e-009 ++wkt1 = -1.1910332e-007 ++pkt1 = 3.3410365e-014 ++kt1l = 0 ++kt2 = -0.025384429 ++lkt2 = 9.1390428e-010 ++wkt2 = 8.8128685e-009 ++pkt2 = -3.000626e-015 ++ute = -1.5701989 ++wute = 2.0168565e-007 ++ua1 = 1.675e-009 ++ub1 = -5.3452175e-018 ++lub1 = 4.5632098e-025 ++wub1 = 2.0946644e-024 ++pub1 = -2.9909231e-031 ++uc1 = -2.2591814e-010 ++luc1 = 4.7020919e-017 ++wuc1 = 2.0135299e-016 ++puc1 = -5.5719789e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.60243767 ++lvth0 = -9.668011e-009 ++wvth0 = 3.5079858e-009 ++pvth0 = -1.2174701e-014 ++k1 = 0.75941 ++k2 = 0.016627153 ++lk2 = -3.0943514e-008 ++wk2 = -6.0170898e-009 ++pk2 = 7.1603368e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10269969 ++lvoff = -9.8892719e-009 ++wvoff = -1.5529795e-008 ++pvoff = 1.8480456e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038541716 ++lu0 = 4.2633967e-009 ++wu0 = -8.4954031e-009 ++pu0 = 2.9008716e-016 ++ua = -9.2233701e-010 ++lua = 3.7694084e-016 ++wua = -2.8538117e-016 ++pua = 3.3960359e-022 ++ub = 3.4699744e-018 ++lub = -1.6556982e-025 ++wub = -6.7852432e-025 ++pub = -4.4778706e-031 ++uc = 1.572691e-010 ++luc = 5.0028276e-019 ++wuc = -8.5762118e-017 ++puc = -4.9953234e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.57552494 ++la0 = -2.3202232e-007 ++wa0 = 4.6632009e-007 ++pa0 = -2.2849684e-013 ++ags = 0.63099948 ++lags = -1.4452524e-007 ++wags = -1.6498693e-007 ++pags = 8.0843597e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0440595 ++lketa = -9.966845e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.048788318 ++lpclm = 6.6793883e-008 ++wpclm = 1.8013621e-007 ++ppclm = -7.9409961e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013741 ++lpdiblc2= 8.80481e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015 ++ldelta = 4.165e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.66555e-006 ++lalpha0 = -2.2436855e-012 ++alpha1 = 0 ++beta0 = 21.044222 ++lbeta0 = 4.7336172e-008 ++wbeta0 = 3.9718628e-007 ++pbeta0 = -4.7265167e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30292054 ++lkt1 = 7.7913898e-010 ++wkt1 = -9.343424e-008 ++pkt1 = 2.0832514e-014 ++kt1l = 0 ++kt2 = -0.021810866 ++lkt2 = -8.3714133e-010 ++wkt2 = -5.8824982e-009 ++pkt2 = 4.2001037e-015 ++ute = -1.5701989 ++wute = 2.0168565e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0450532e-018 ++lub1 = -6.7075952e-025 ++wub1 = 3.3452927e-025 ++pub1 = 5.6337391e-031 ++uc1 = -1.450168e-010 ++luc1 = 7.3792611e-018 ++wuc1 = 6.1645354e-017 ++puc1 = 1.2736955e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.58816635 ++lvth0 = 7.3148658e-009 ++wvth0 = -2.8152872e-009 ++pvth0 = -4.6500058e-015 ++k1 = 0.79743934 ++lk1 = -4.5254914e-008 ++wk1 = -3.0527874e-009 ++pk1 = 3.632817e-015 ++k2 = -0.007425139 ++lk2 = -2.3212866e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12196494 ++lvoff = 1.3036382e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040498226 ++lu0 = 1.9351498e-009 ++wu0 = -6.024624e-009 ++pu0 = -2.65014e-015 ++ua = -8.1052911e-010 ++lua = 2.4388945e-016 ++wua = 1.9675215e-017 ++pua = -2.3413506e-023 ++ub = 3.1898582e-018 ++lub = 1.6776843e-025 ++wub = -3.2382603e-025 ++pub = -8.6987803e-031 ++uc = 1.0438863e-010 ++luc = 6.3428033e-017 ++wuc = -1.6601388e-017 ++puc = -8.7296592e-023 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1745182 ++la0 = -9.448243e-007 ++wa0 = 6.8990718e-008 ++pa0 = 2.4432511e-013 ++ags = 0.2675321 ++lags = 2.8800094e-007 ++wags = 4.2708771e-009 ++pags = -1.205732e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021057318 ++lketa = -3.7339441e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23332588 ++lpclm = -1.5280581e-007 ++wpclm = -2.3456943e-008 ++ppclm = 2.3433486e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064161023 ++lpdiblc2= 1.7521438e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027295455 ++ldelta = 2.7018409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0843837e-005 ++lalpha0 = -1.0241585e-010 ++alpha1 = 0 ++beta0 = 24.509036 ++lbeta0 = -4.075793e-006 ++wbeta0 = -3.632817e-007 ++pbeta0 = 4.3230522e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1288096 ++lnoff = -1.5328342e-007 ++wnoff = -1.5263937e-007 ++pnoff = 1.8164085e-013 ++voffcv = -0.065845277 ++lvoffcv = 8.430588e-008 ++wvoffcv = 8.3951653e-008 ++pvoffcv = -9.9902467e-014 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31503813 ++lkt1 = 1.5199068e-008 ++wkt1 = -3.1143535e-008 ++pkt1 = -5.3293425e-014 ++kt1l = 0 ++kt2 = -0.01681628 ++lkt2 = -6.7806996e-009 ++wkt2 = -5.4057867e-009 ++pkt2 = 3.632817e-015 ++ute = -1.5472686 ++lute = -2.7287058e-008 ++wute = -2.7273401e-008 ++pute = 2.7246128e-013 ++ua1 = 1.65336e-009 ++lua1 = 2.5751614e-017 ++wua1 = 2.5643414e-017 ++pua1 = -3.0515663e-023 ++ub1 = -2.1493188e-018 ++lub1 = -1.7366835e-024 ++wub1 = -1.1366728e-024 ++pub1 = 2.3141044e-030 ++uc1 = -4.4801054e-011 ++luc1 = -1.1187747e-016 ++wuc1 = -9.7835144e-018 ++puc1 = 9.7737308e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.58889857 ++wvth0 = -3.2807533e-009 ++k1 = 0.79290932 ++wk1 = -2.689142e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040691935 ++wu0 = -6.2899032e-009 ++ua = -7.8611576e-010 ++wua = 1.733152e-017 ++ub = 3.2066518e-018 ++wub = -4.109009e-025 ++uc = 1.1073779e-010 ++wuc = -2.5339785e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.0799412 ++wa0 = 9.3447686e-008 ++ags = 0.29636102 ++wags = -7.7985119e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.101049 ++wbeta0 = -3.200079e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1134659 ++wnoff = -1.344571e-007 ++voffcv = -0.05740625 ++wvoffcv = 7.3951406e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3135167 ++wkt1 = -3.6478212e-008 ++kt1l = 0 ++kt2 = -0.017495028 ++wkt2 = -5.0421413e-009 ++ute = -1.55 ++ua1 = 1.6559377e-009 ++wua1 = 2.2588793e-017 ++ub1 = -2.323161e-018 ++wub1 = -9.0503075e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.68455682 ++lvth0 = -5.0953541e-008 ++k1 = 0.94477727 ++lk1 = -9.0829964e-008 ++k2 = 0.013695045 ++lk2 = -2.9084952e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045310864 ++lu0 = 5.5866682e-010 ++ua = -6.3699727e-010 ++lua = 2.5713106e-016 ++ub = 3.6055045e-018 ++lub = -3.1012323e-025 ++uc = 2.737035e-010 ++luc = -6.1261515e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.13104451 ++la0 = -1.4226912e-008 ++wa0 = -2.7434664e-007 ++pa0 = 1.3442985e-013 ++ags = 0.45707702 ++lags = -5.9303239e-008 ++wags = -1.7111269e-006 ++pags = 8.3845218e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.11903243 ++lketa = 2.6769891e-008 ++wketa = -3.4688132e-008 ++pketa = 1.6997185e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23174773 ++lpclm = -1.4811586e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0038636364 ++ldelta = 3.0068182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5881864e-006 ++lalpha0 = -2.4577732e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.185e-010 ++cgdo = 2.185e-010 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.20909091 ++lnoff = 1.0824545e-006 ++voffcv = 0.19522727 ++lvoffcv = -9.3211364e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29375227 ++lkt1 = -6.2120864e-009 ++kt1l = 0 ++kt2 = -0.024501818 ++lkt2 = 6.1339091e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1354364e-018 ++lub1 = 4.2636682e-025 ++uc1 = -2.0575259e-010 ++luc1 = 4.144057e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.602789 ++lvth0 = -1.088731e-008 ++k1 = 0.75941 ++k2 = 0.01602454 ++lk2 = -3.0226405e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.104255 ++lvoff = -8.03845e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.0376909 ++lu0 = 4.292449e-009 ++ua = -9.50918e-010 ++lua = 4.1095222e-016 ++ub = 3.40202e-018 ++lub = -2.104158e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.622227 ++la0 = -2.5490633e-007 ++ags = 0.614476 ++lags = -1.3642874e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0440595 ++lketa = -9.966845e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.066829 ++lpclm = 6.599859e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013741 ++lpdiblc2= 8.80481e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0015 ++ldelta = 4.165e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.66555e-006 ++lalpha0 = -2.2436855e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.312278 ++lkt1 = 2.86552e-009 ++kt1l = 0 ++kt2 = -0.0224 ++lkt2 = -4.165e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -3.01155e-018 ++lub1 = -6.143375e-025 ++uc1 = -1.38843e-010 ++luc1 = 8.65487e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.5878844 ++lvth0 = 6.8491667e-009 ++k1 = 0.7971336 ++lk1 = -4.4891087e-008 ++k2 = -0.007425139 ++lk2 = -2.3212866e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12196494 ++lvoff = 1.3036382e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039894859 ++lu0 = 1.6697377e-009 ++ua = -8.0855864e-010 ++lua = 2.4154458e-016 ++ub = 3.1574269e-018 ++lub = 8.0649951e-026 ++uc = 1.02726e-010 ++luc = 5.468526e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1814276 ++la0 = -9.2035509e-007 ++ags = 0.26795983 ++lags = 2.759255e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021057318 ++lketa = -3.7339441e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23097666 ++lpclm = -1.2933712e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064161023 ++lpdiblc2= 1.7521438e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027295455 ++ldelta = 2.7018409e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0843837e-005 ++lalpha0 = -1.0241585e-010 ++alpha1 = 0 ++beta0 = 24.472653 ++lbeta0 = -4.0324976e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 8.85e-011 ++cgdo = 8.85e-011 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1135227 ++lnoff = -1.3509204e-007 ++voffcv = -0.0574375 ++lvoffcv = 7.4300625e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31815716 ++lkt1 = 9.8617193e-009 ++kt1l = 0 ++kt2 = -0.017357671 ++lkt2 = -6.4168722e-009 ++ute = -1.55 ++ua1 = 1.6559282e-009 ++lua1 = 2.2695464e-017 ++ub1 = -2.2631568e-018 ++lub1 = -1.5049254e-024 ++uc1 = -4.5780875e-011 ++luc1 = -1.0208906e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.8e-009 ++toxp = 7.8e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1e-008 ++xw = 5e-009 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.58857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.185e-010 ++cgdo = 2.185e-010 ++cgbo = 1e-013 ++cgdl = 9.5e-011 ++cgsl = 9.5e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +*resistor + +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + + + +.endl nfet_03v3_fs +* +* +.lib nfet_03v3_sf + + + +.subckt nfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model nfet_03v3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.77039529 ++lvth0 = -4.0876103e-008 ++wvth0 = -1.3833002e-008 ++pvth0 = 4.4478044e-016 ++k1 = 0.96652273 ++lk1 = -1.0562749e-007 ++k2 = 0.056667113 ++lk2 = -4.3701293e-008 ++wk2 = -1.8937677e-008 ++pk2 = 5.4919265e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12626439 ++lvoff = 4.0757735e-009 ++wvoff = 5.2223358e-009 ++pvoff = -1.5144774e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023581366 ++lu0 = 4.8792474e-009 ++wu0 = 4.4895909e-009 ++pu0 = -6.638348e-016 ++ua = -1.1909754e-009 ++lua = 7.3404439e-016 ++wua = 2.7097128e-016 ++pua = -1.4422673e-022 ++ub = 3.4119198e-018 ++lub = -8.3010051e-025 ++wub = -4.0136608e-025 ++pub = 9.4431335e-032 ++uc = 2.2926756e-010 ++luc = -6.4523339e-017 ++wuc = -3.1807431e-017 ++puc = 5.5518384e-024 ++eu = 1.67 ++vsat = 86133.117 ++lvsat = -0.0028091396 ++wvsat = -0.00030073052 ++pvsat = 1.3343413e-009 ++a0 = 0.11186736 ++la0 = -3.2269331e-009 ++wa0 = -6.1333689e-009 ++pa0 = 1.778677e-015 ++ags = 0.32766 ++lags = -1.6641391e-008 ++wags = 4.7087182e-008 ++pags = -1.2449405e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.1512997 ++lketa = 4.0887819e-008 ++wketa = 8.0445414e-009 ++pketa = -2.4729792e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37854122 ++lpclm = -4.9487196e-008 ++wpclm = 1.9698751e-008 ++ppclm = 8.73104e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0034090909 ++ldelta = 3.3613636e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6763946e-006 ++lalpha0 = -3.2302945e-013 ++walpha0 = 4.8005613e-014 ++palpha0 = -1.3921628e-020 ++alpha1 = 0 ++beta0 = 19.903605 ++lbeta0 = 1.3594315e-007 ++wbeta0 = 1.3355442e-007 ++pbeta0 = 8.8956088e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.46065123 ++lkt1 = 4.4027381e-008 ++wkt1 = 3.1555052e-008 ++pkt1 = -8.8199961e-015 ++kt1l = 0 ++kt2 = -0.024763831 ++lkt2 = 1.309011e-009 ++wkt2 = 1.0429334e-009 ++pkt2 = -3.024507e-016 ++ute = -1.5684253 ++lute = 9.3637987e-008 ++wute = 1.0375203e-007 ++pute = -4.4478044e-014 ++ua1 = 1.675e-009 ++ub1 = -4.197398e-018 ++lub1 = 3.0051191e-025 ++wub1 = 3.271121e-025 ++pub1 = -5.8599823e-032 ++uc1 = -4.2438636e-011 ++luc1 = -3.9327954e-018 ++wuc1 = -6.4416477e-018 ++puc1 = 1.8680778e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.73756201 ++lvth0 = -2.4131129e-008 ++wvth0 = -1.1862994e-008 ++pvth0 = -5.599238e-016 ++k1 = 0.74629014 ++lk1 = 6.6911271e-009 ++k2 = 0.024027296 ++lk2 = -2.7054986e-008 ++wk2 = -2.8631843e-009 ++pk2 = -2.7060651e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11260451 ++lvoff = -2.8907672e-009 ++wvoff = 1.6294911e-009 ++pvoff = 3.1787341e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029691776 ++lu0 = 1.7629382e-009 ++wu0 = 8.0747734e-010 ++pu0 = 1.2140431e-015 ++ua = -1.3065872e-009 ++lua = 7.930064e-016 ++wua = 4.6081741e-017 ++pua = -2.9533064e-023 ++ub = 3.0930961e-018 ++lub = -6.6750038e-025 ++wub = -2.4544774e-026 ++pub = -9.774753e-032 ++uc = 8.4580446e-011 ++luc = 9.2670883e-018 ++wuc = 2.3534472e-018 ++puc = -1.187021e-023 ++eu = 1.67 ++vsat = 76951.786 ++lvsat = 0.0018733393 ++wvsat = -0.0016870982 ++pvsat = 2.0413888e-009 ++a0 = 1.0915554 ++la0 = -5.0286783e-007 ++wa0 = -5.0565226e-008 ++pa0 = 2.4438924e-014 ++ags = 0.48250605 ++lags = -9.5612876e-008 ++wags = 4.194112e-008 ++pags = -9.8249129e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028451232 ++lketa = -2.1764897e-008 ++wketa = -7.2515451e-009 ++pketa = 5.3280249e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.083544401 ++lpclm = 1.0096118e-007 ++wpclm = 4.2405096e-008 ++ppclm = -2.8491956e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013439 ++lpdiblc2= 9.31821e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013571429 ++ldelta = 4.4078571e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6090188e-006 ++lalpha0 = -2.3286678e-012 ++walpha0 = -1.5087478e-014 ++palpha0 = 1.8255849e-020 ++alpha1 = 0 ++beta0 = 22.627356 ++lbeta0 = -1.2531695e-006 ++wbeta0 = -3.4216904e-007 ++pbeta0 = 2.4350853e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33900277 ++lkt1 = -1.8013338e-008 ++wkt1 = -2.5161865e-009 ++pkt1 = 8.5563355e-015 ++kt1l = 0 ++kt2 = -0.020297566 ++lkt2 = -9.6878403e-010 ++wkt2 = -4.1870281e-011 ++pkt2 = 2.507992e-016 ++ute = -1.3848214 ++wute = 1.6540179e-008 ++ua1 = 1.675e-009 ++ub1 = -2.7947117e-018 ++lub1 = -4.1485807e-025 ++wub1 = 5.3588288e-026 ++pub1 = 8.0897323e-032 ++uc1 = -6.0262143e-011 ++luc1 = 5.1571929e-018 ++wuc1 = 2.0245179e-018 ++puc1 = -2.4496666e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72046857 ++lvth0 = -3.4480652e-009 ++wvth0 = -9.3568204e-009 ++pvth0 = -3.5923941e-015 ++k1 = 0.79597775 ++lk1 = -5.3430878e-008 ++k2 = 0.0052544426 ++lk2 = -4.3398333e-009 ++wk2 = -7.1995204e-009 ++pk2 = 2.5409017e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12621602 ++lvoff = 1.3579168e-008 ++wvoff = 2.008846e-009 ++pvoff = -1.4114603e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032483545 ++lu0 = -1.6151023e-009 ++wu0 = 6.462041e-010 ++pu0 = 1.4091837e-015 ++ua = -8.1531997e-010 ++lua = 1.9857306e-016 ++wua = 5.8174289e-018 ++pua = 1.9186754e-023 ++ub = 2.7421588e-018 ++lub = -2.4286628e-025 ++wub = -1.5394771e-026 ++pub = -1.0881903e-031 ++uc = 9.8010851e-011 ++luc = -6.9837022e-018 ++wuc = -8.5858868e-018 ++puc = 1.3663846e-024 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.2251902 ++la0 = -6.6456596e-007 ++wa0 = 4.1755681e-009 ++pa0 = -4.1797436e-014 ++ags = 0.25756499 ++lags = 1.7656581e-007 ++wags = -2.5507436e-009 ++pags = 4.4010242e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019658689 ++lketa = -3.2403874e-008 ++wketa = -6.345102e-010 ++pketa = -2.6785874e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18931963 ++lpclm = -2.7026844e-008 ++wpclm = 2.0414302e-009 ++ppclm = 4.5990839e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0006386625 ++lpdiblc2= 1.7851584e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.002725 ++ldelta = 2.75275e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.5706791e-005 ++lalpha0 = -8.5936972e-011 ++walpha0 = 7.2781831e-012 ++palpha0 = -8.8066015e-018 ++alpha1 = 0 ++beta0 = 24.218715 ++lbeta0 = -3.1787135e-006 ++wbeta0 = 1.1006248e-007 ++pbeta0 = -3.0369161e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32933993 ++lkt1 = -2.9705368e-008 ++wkt1 = -7.1060329e-009 ++pkt1 = 1.411005e-014 ++kt1l = 0 ++kt2 = -0.021098214 ++wkt2 = 1.6540179e-010 ++ute = -1.3848214 ++wute = 1.6540179e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5148229e-018 ++lub1 = -7.5352353e-025 ++wub1 = 2.136867e-026 ++pub1 = 1.1988306e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72012411 ++wvth0 = -9.7157009e-009 ++k1 = 0.79064 ++k2 = 0.0048208929 ++wk2 = -6.9456841e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12485946 ++wvoff = 1.9947455e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032322196 ++wu0 = 7.869817e-010 ++ua = -7.954825e-010 ++wua = 7.7341875e-018 ++ub = 2.7178964e-018 ++wub = -2.6265804e-026 ++uc = 9.7313179e-011 ++wuc = -8.4493848e-018 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.1588 ++ags = 0.27520393 ++wags = 1.8458839e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022895839 ++wketa = -9.0210134e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18661964 ++wpclm = 6.6359196e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7121679e-005 ++walpha0 = 6.3984027e-012 ++alpha1 = 0 ++beta0 = 23.901161 ++wbeta0 = 7.9723661e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3323075 ++wkt1 = -5.6964375e-009 ++kt1l = 0 ++kt2 = -0.021098214 ++wkt2 = 1.6540179e-010 ++ute = -1.3848214 ++wute = 1.6540179e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5901e-018 ++wub1 = 3.3345e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.78637258 ++lvth0 = -4.4329423e-008 ++wvth0 = -2.1422215e-008 ++pvth0 = 2.0851079e-015 ++k1 = 0.96652273 ++lk1 = -1.0562749e-007 ++k2 = 0.043846284 ++lk2 = -3.9983252e-008 ++wk2 = -1.2847784e-008 ++pk2 = 3.7258573e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.07880914 ++lvoff = -9.6862495e-009 ++wvoff = -1.7318909e-008 ++pvoff = 5.0224835e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032725347 ++lu0 = 4.2490518e-009 ++wu0 = 1.4619976e-010 ++pu0 = -3.6449187e-016 ++ua = -6.5842313e-010 ++lua = 4.2195702e-016 ++wua = 1.8008954e-017 ++pua = 4.0147707e-024 ++ub = 2.29126e-018 ++lub = -9.7593592e-026 ++wub = 1.3094736e-025 ++pub = -2.5350945e-031 ++uc = 1.6123011e-010 ++luc = -3.6357518e-017 ++wuc = 5.103582e-019 ++puc = -7.8269268e-024 ++eu = 1.67 ++vsat = 64899.351 ++lvsat = 0.0045138312 ++wvsat = 0.0097853084 ++pvsat = -2.1440698e-009 ++a0 = 0.10689312 ++la0 = -1.7844039e-009 ++wa0 = -3.7706055e-009 ++pa0 = 1.0934756e-015 ++ags = 0.35614518 ++lags = -1.2574141e-008 ++wags = 3.3556722e-008 ++pags = -1.4381348e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12710186 ++lketa = 3.1977949e-008 ++wketa = -3.4494299e-009 ++pketa = 1.7592093e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.46474849 ++lpclm = -8.4380551e-008 ++wpclm = -2.1249703e-008 ++ppclm = 2.5305384e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0034090909 ++ldelta = 3.3613636e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6705875e-006 ++lalpha0 = -2.9783362e-013 ++walpha0 = 5.0764006e-014 ++palpha0 = -2.5889643e-020 ++alpha1 = 0 ++beta0 = 20.985833 ++lbeta0 = -9.3517938e-008 ++wbeta0 = -3.8050353e-007 ++pbeta0 = 1.0988358e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37899643 ++lkt1 = 1.7695179e-008 ++wkt1 = -7.2309805e-009 ++pkt1 = 3.6878001e-015 ++kt1l = 0 ++kt2 = -0.014401245 ++lkt2 = -3.492865e-009 ++wkt2 = -3.8792949e-009 ++pkt2 = 1.9784404e-015 ++ute = -1.4339286 ++wute = 3.9866071e-008 ++ua1 = 1.675e-009 ++ub1 = -3.6760182e-018 ++lub1 = 2.6245527e-025 ++wub1 = 7.9456704e-026 ++pub1 = -4.0522919e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.73523913 ++lvth0 = -1.8251362e-008 ++wvth0 = -1.0759625e-008 ++pvth0 = -3.3528129e-015 ++k1 = 0.76831276 ++lk1 = -4.5404077e-009 ++wk1 = -1.0460743e-008 ++pk1 = 5.334979e-015 ++k2 = 0.0086062399 ++lk2 = -2.201083e-008 ++wk2 = 4.4618175e-009 ++pk2 = -5.1020394e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12063313 ++lvoff = 1.1643984e-008 ++wvoff = 5.4430856e-009 ++pvoff = -6.5861336e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031100065 ++lu0 = 5.0779459e-009 ++wu0 = 1.3854029e-010 ++pu0 = -3.6058554e-016 ++ua = -1.1698757e-009 ++lua = 6.8279785e-016 ++wua = -1.8856196e-017 ++pua = 2.2815997e-023 ++ub = 2.8309252e-018 ++lub = -3.7282285e-025 ++wub = 9.9986385e-026 ++pub = -2.3771936e-031 ++uc = 8.1961957e-011 ++luc = 4.0692393e-018 ++wuc = 3.5972295e-018 ++puc = -9.4012312e-024 ++eu = 1.67 ++vsat = 81960.714 ++lvsat = -0.0041874643 ++wvsat = -0.0040663393 ++pvsat = 4.9202705e-009 ++a0 = 0.98260745 ++la0 = -4.4839871e-007 ++wa0 = 1.1850475e-009 ++pa0 = -1.4339074e-015 ++ags = 0.44253343 ++lags = -5.6632149e-008 ++wags = 6.0928114e-008 ++pags = -2.8340758e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043717643 ++lketa = -1.0548002e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.21633117 ++lpclm = 4.231228e-008 ++wpclm = -2.0668622e-008 ++ppclm = 2.5009032e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013439 ++lpdiblc2= 9.31821e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013571429 ++ldelta = 4.4078571e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.854642e-006 ++lalpha0 = -2.4317014e-012 ++walpha0 = -1.3175851e-013 ++palpha0 = 6.7196838e-020 ++alpha1 = 0 ++beta0 = 21.041625 ++lbeta0 = -1.219717e-007 ++wbeta0 = 4.1105337e-007 ++pbeta0 = -2.9381044e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.40824973 ++lkt1 = 3.2614365e-008 ++wkt1 = 3.0376124e-008 ++pkt1 = -1.5491823e-014 ++kt1l = 0 ++kt2 = -0.031266454 ++lkt2 = 5.1083916e-009 ++wkt2 = 5.1683514e-009 ++pkt2 = -2.6358592e-015 ++ute = -1.4339286 ++wute = 3.9866071e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8063699e-018 ++lub1 = -1.8106533e-025 ++wub1 = 5.912594e-026 ++pub1 = -3.0154229e-032 ++uc1 = -1.1914311e-010 ++luc1 = 3.2202986e-017 ++wuc1 = 2.9992977e-017 ++puc1 = -1.5296418e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70918783 ++lvth0 = 1.3270713e-008 ++wvth0 = -3.9984673e-009 ++pvth0 = -1.1533814e-014 ++k1 = 0.79422595 ++lk1 = -3.5895368e-008 ++wk1 = 8.3210458e-010 ++pk1 = -8.3293668e-015 ++k2 = -0.0057375564 ++lk2 = -4.6548363e-009 ++wk2 = -1.9783209e-009 ++pk2 = 2.6905281e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12198688 ++lvoff = 1.3282019e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036480486 ++lu0 = -1.432364e-009 ++wu0 = -1.2523428e-009 ++pu0 = 1.322383e-015 ++ua = -7.8835147e-010 ++lua = 2.2115348e-016 ++wua = -6.9926086e-018 ++pua = 8.4610564e-024 ++ub = 3.0585412e-018 ++lub = -6.4823822e-025 ++wub = -1.6567642e-025 ++pub = 8.3732633e-032 ++uc = 9.7494752e-011 ++luc = -1.4725443e-017 ++wuc = -8.3407396e-018 ++puc = 5.0437114e-024 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.2339809 ++la0 = -7.5256056e-007 ++ags = 0.28346322 ++lags = 1.3584281e-007 ++wags = -1.4852404e-008 ++pags = 6.3353669e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0209945 ++lketa = -3.8043005e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22691687 ++lpclm = 2.9503581e-008 ++wpclm = -1.5817263e-008 ++ppclm = 1.9138888e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0006386625 ++lpdiblc2= 1.7851584e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.002725 ++ldelta = 2.75275e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1007216e-005 ++lalpha0 = -1.0425632e-010 ++walpha0 = 1.048079e-014 ++palpha0 = -1.0491271e-019 ++alpha1 = 0 ++beta0 = 24.044693 ++lbeta0 = -3.755685e-006 ++wbeta0 = 1.9272256e-007 ++pbeta0 = -2.9630159e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33921309 ++lkt1 = -5.0919976e-008 ++wkt1 = -2.4162826e-009 ++pkt1 = 2.4186989e-014 ++kt1l = 0 ++kt2 = -0.021793862 ++lkt2 = -6.3534453e-009 ++wkt2 = 4.9583426e-010 ++pkt2 = 3.0178865e-015 ++ute = -1.7203348 ++lute = 3.4655156e-007 ++wute = 1.7590904e-007 ++pute = -1.6461199e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5425504e-018 ++lub1 = 7.0971302e-025 ++wub1 = 5.0953922e-025 ++pub1 = -5.751543e-031 ++uc1 = -5.0977253e-011 ++luc1 = -5.0277701e-017 ++wuc1 = -2.385805e-018 ++puc1 = 2.3881908e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.71051357 ++wvth0 = -5.1506964e-009 ++k1 = 0.79064 ++k2 = -0.006202575 ++wk2 = -1.7095369e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036337393 ++wu0 = -1.1202366e-009 ++ua = -7.6625821e-010 ++wua = -6.1473482e-018 ++ub = 2.9937821e-018 ++wub = -1.5731152e-025 ++uc = 9.6023679e-011 ++wuc = -7.8368723e-018 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.1588 ++ags = 0.29703393 ++wags = -8.5233661e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.22986429 ++wpclm = -1.3905286e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6695 ++wbeta0 = 1.897625e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022428571 ++wkt2 = 7.9732143e-010 ++ute = -1.6857143 ++wute = 1.5946429e-007 ++ua1 = 1.675e-009 ++ub1 = -3.47165e-018 ++wub1 = 4.5208125e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.81815039 ++lvth0 = -5.8885304e-008 ++wvth0 = -5.8761136e-008 ++pvth0 = 1.9188267e-014 ++k1 = 0.95743806 ++lk1 = -1.0099431e-007 ++wk1 = 1.0674487e-008 ++pk1 = -5.4439881e-015 ++k2 = 0.016113039 ++lk2 = -3.1940611e-008 ++wk2 = 1.973878e-008 ++pk2 = -5.7242461e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12427998 ++lvoff = 7.2259769e-010 ++wvoff = 3.6109333e-008 ++pvoff = -7.2079119e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046878971 ++lu0 = 1.8200638e-010 ++wu0 = -1.6484308e-008 ++pu0 = 4.4142864e-015 ++ua = -6.8024396e-010 ++lua = 2.6903445e-016 ++wua = 4.3648431e-017 ++pua = 1.8369878e-022 ++ub = 3.8192473e-018 ++lub = -3.5114332e-025 ++wub = -1.6644377e-024 ++pub = 4.4411482e-032 ++uc = 2.9916081e-010 ++luc = -7.1885433e-017 ++wuc = -1.6155822e-016 ++puc = 3.3918374e-023 ++eu = 1.67 ++vsat = 79204.029 ++lvsat = -0.00035905475 ++wvsat = -0.0070226885 ++pvsat = 3.5815711e-009 ++a0 = 0.10368409 ++la0 = -8.5378636e-010 ++ags = 0.26826194 ++lags = 3.4571911e-008 ++wags = 1.3681953e-007 ++pags = -6.977796e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12637977 ++lketa = 3.1609683e-008 ++wketa = -4.2978854e-009 ++pketa = 2.1919215e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.20558963 ++lpclm = -1.0377847e-008 ++wpclm = 2.8326196e-007 ++ppclm = -6.1647793e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0034090909 ++ldelta = 3.3613636e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6135308e-006 ++lalpha0 = -2.6873472e-013 ++walpha0 = 1.178056e-013 ++palpha0 = -6.0080856e-020 ++alpha1 = 0 ++beta0 = 21.140347 ++wbeta0 = -5.6205724e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.68954029 ++lnoff = 1.3716655e-006 ++wnoff = 3.1602098e-006 ++pnoff = -1.611707e-012 ++voffcv = 0.2365993 ++lvoffcv = -1.1811564e-007 ++wvoffcv = -2.7212918e-007 ++pvoffcv = 1.3878588e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28048406 ++lkt1 = -1.0653613e-008 ++wkt1 = -1.2298302e-007 ++pkt1 = 3.699763e-014 ++kt1l = 0 ++kt2 = -0.025514754 ++lkt2 = 1.0188312e-009 ++wkt2 = 9.1790777e-009 ++pkt2 = -3.3228026e-015 ++ute = -1.5700284 ++wute = 1.9978338e-007 ++ua1 = 1.675e-009 ++ub1 = -5.4123917e-018 ++lub1 = 5.0984495e-025 ++wub1 = 2.1196956e-024 ++pub1 = -3.3120579e-031 ++uc1 = -2.3284907e-010 ++luc1 = 5.2512691e-017 ++wuc1 = 2.0779766e-016 ++puc1 = -6.1702412e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.7227726 ++lvth0 = -1.0242633e-008 ++wvth0 = 3.8885457e-009 ++pvth0 = -1.276307e-014 ++k1 = 0.75941 ++k2 = 0.017683205 ++lk2 = -3.2741396e-008 ++wk2 = -6.2036165e-009 ++pk2 = 7.5063759e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10237415 ++lvoff = -1.0449376e-008 ++wvoff = -1.6011211e-008 ++pvoff = 1.9373565e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038388296 ++lu0 = 4.5122507e-009 ++wu0 = -8.4251315e-009 ++pu0 = 3.0410627e-016 ++ua = -9.355169e-010 ++lua = 3.9922365e-016 ++wua = -2.9422783e-016 ++pua = 3.5601568e-022 ++ub = 3.4750928e-018 ++lub = -1.7562456e-025 ++wub = -6.5691059e-025 ++pub = -4.6942736e-031 ++uc = 1.571796e-010 ++luc = 5.249858e-019 ++wuc = -8.47835e-017 ++puc = -5.2367334e-024 ++eu = 1.67 ++vsat = 78500 ++a0 = 0.58388391 ++la0 = -2.4575569e-007 ++wa0 = 4.6968521e-007 ++pa0 = -2.3953946e-013 ++ags = 0.63581483 ++lags = -1.5288006e-007 ++wags = -1.6617753e-007 ++pags = 8.4750542e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043717643 ++lketa = -1.0548002e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.046649798 ++lpclm = 7.0681467e-008 ++wpclm = 1.7870699e-007 ++ppclm = -8.3247623e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013439 ++lpdiblc2= 9.31821e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013571429 ++ldelta = 4.4078571e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7425071e-006 ++lalpha0 = -2.3745126e-012 ++alpha1 = 0 ++beta0 = 21.042948 ++lbeta0 = 4.9673545e-008 ++wbeta0 = 4.0949885e-007 ++pbeta0 = -4.9549361e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30302683 ++lkt1 = 8.4320304e-010 ++wkt1 = -9.3260785e-008 ++pkt1 = 2.1839292e-014 ++kt1l = 0 ++kt2 = -0.021787246 ++lkt2 = -8.8219755e-010 ++wkt2 = -5.9697177e-009 ++pkt2 = 4.403083e-015 ++ute = -1.5700284 ++wute = 1.9978338e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0217801e-018 ++lub1 = -7.0936697e-025 ++wub1 = 3.1223288e-025 ++pub1 = 5.906002e-031 ++uc1 = -1.4521817e-010 ++luc1 = 7.820931e-018 ++wuc1 = 6.0631174e-017 ++puc1 = 1.3352496e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70815167 ++lvth0 = 7.4486913e-009 ++wvth0 = -2.7809847e-009 ++pvth0 = -4.6929382e-015 ++k1 = 0.79751289 ++lk1 = -4.6104496e-008 ++wk1 = -3.0300479e-009 ++pk1 = 3.666358e-015 ++k2 = -0.0074212337 ++lk2 = -2.3650252e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12198688 ++lvoff = 1.3282019e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040489883 ++lu0 = 1.9693306e-009 ++wu0 = -5.9633841e-009 ++pu0 = -2.6746082e-015 ++ua = -8.1092276e-010 ++lua = 2.4846474e-016 ++wua = 1.9528659e-017 ++pua = -2.3629677e-023 ++ub = 3.1893035e-018 ++lub = 1.7018056e-025 ++wub = -3.193221e-025 ++pub = -8.7790942e-031 ++uc = 1.0426802e-010 ++luc = 6.4547999e-017 ++wuc = -1.6299327e-017 ++puc = -8.8102583e-023 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.1761657 ++la0 = -9.6241665e-007 ++wa0 = 6.7932842e-008 ++pa0 = 2.4658091e-013 ++ags = 0.26705136 ++lags = 2.9332373e-007 ++wags = 4.4315284e-009 ++pags = -1.2168642e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0209945 ++lketa = -3.8043005e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23356279 ++lpclm = -1.5548326e-007 ++wpclm = -2.3626216e-008 ++ppclm = 2.3649842e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0006386625 ++lpdiblc2= 1.7851584e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.002725 ++ldelta = 2.75275e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1016136e-005 ++lalpha0 = -1.043456e-010 ++alpha1 = 0 ++beta0 = 24.515585 ++lbeta0 = -4.1522184e-006 ++wbeta0 = -3.605757e-007 ++pbeta0 = 4.362966e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1289382 ++lnoff = -1.5601523e-007 ++wnoff = -1.515024e-007 ++pnoff = 1.833179e-013 ++voffcv = -0.065916016 ++lvoffcv = 8.5808379e-008 ++wvoffcv = 8.3326318e-008 ++pvoffcv = -1.0082485e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31508994 ++lkt1 = 1.5439565e-008 ++wkt1 = -3.076098e-008 ++pkt1 = -5.3785472e-014 ++kt1l = 0 ++kt2 = -0.016809446 ++lkt2 = -6.9053359e-009 ++wkt2 = -5.3608541e-009 ++pkt2 = 3.666358e-015 ++ute = -1.5472461 ++lute = -2.7566602e-008 ++wute = -2.7470215e-008 ++pute = 2.7497685e-013 ++ua1 = 1.6533384e-009 ++lua1 = 2.6210559e-017 ++wua1 = 2.5452403e-017 ++pua1 = -3.0797407e-023 ++ub1 = -2.147361e-018 ++lub1 = -1.7674141e-024 ++wub1 = -1.1298083e-024 ++pub1 = 2.3354701e-030 ++uc1 = -4.4621244e-011 ++luc1 = -1.1390135e-016 ++wuc1 = -9.8541155e-018 ++puc1 = 9.8639696e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.7088958 ++wvth0 = -3.2498097e-009 ++k1 = 0.79290705 ++wk1 = -2.6637784e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040686619 ++wu0 = -6.2305777e-009 ++ua = -7.8610111e-010 ++wua = 1.7168052e-017 ++ub = 3.2063045e-018 ++wub = -4.0702534e-025 ++uc = 1.1071637e-010 ++wuc = -2.5100784e-017 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.0800202 ++wa0 = 9.25663e-008 ++ags = 0.29635443 ++wags = -7.7249574e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.100778 ++wbeta0 = -3.1698963e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1133523 ++wnoff = -1.3318892e-007 ++voffcv = -0.05734375 ++wvoffcv = 7.3253906e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31354753 ++wkt1 = -3.6134154e-008 ++kt1l = 0 ++kt2 = -0.01749929 ++wkt2 = -4.9945845e-009 ++ute = -1.55 ++ua1 = 1.6559568e-009 ++wua1 = 2.2375739e-017 ++ub1 = -2.3239259e-018 ++wub1 = -8.9649462e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.81225955 ++lvth0 = -5.6961668e-008 ++k1 = 0.95850818 ++lk1 = -1.0154007e-007 ++k2 = 0.018091864 ++lk2 = -3.2514471e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045226409 ++lu0 = 6.2454136e-010 ++ua = -6.7586818e-010 ++lua = 2.8745037e-016 ++ub = 3.6523864e-018 ++lub = -3.4669104e-025 ++uc = 2.829645e-010 ++luc = -6.8485095e-017 ++eu = 1.67 ++vsat = 78500 ++a0 = 0.13319227 ++la0 = -1.5902956e-008 ++wa0 = -2.9434406e-007 ++pa0 = 1.5011547e-013 ++ags = 0.46602357 ++lags = -6.6286522e-008 ++wags = -1.8358528e-006 ++pags = 9.3628492e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12307965 ++lketa = 2.9926622e-008 ++wketa = -3.7216588e-008 ++pketa = 1.898046e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23398682 ++lpclm = -1.6558077e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0034090909 ++ldelta = 3.3613636e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6253409e-006 ++lalpha0 = -2.7475786e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.415e-010 ++cgdo = 2.415e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.37272727 ++lnoff = 1.2100909e-006 ++voffcv = 0.20931818 ++lvoffcv = -1.0420227e-007 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29281318 ++lkt1 = -6.9445773e-009 ++kt1l = 0 ++kt2 = -0.024594545 ++lkt2 = 6.8571818e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1998909e-018 ++lub1 = 4.7664136e-025 ++uc1 = -2.1201723e-010 ++luc1 = 4.6326986e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.72316243 ++lvth0 = -1.1522139e-008 ++k1 = 0.75941 ++k2 = 0.017061289 ++lk2 = -3.1988877e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10397929 ++lvoff = -8.5071643e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037543671 ++lu0 = 4.5427376e-009 ++ua = -9.6501343e-010 ++lua = 4.3491445e-016 ++ub = 3.4092371e-018 ++lub = -2.2268494e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 78500 ++a0 = 0.63097014 ++la0 = -2.6976967e-007 ++ags = 0.61915543 ++lags = -1.4438377e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043717643 ++lketa = -1.0548002e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.064565286 ++lpclm = 6.9846904e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0013439 ++lpdiblc2= 9.31821e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0013571429 ++ldelta = 4.4078571e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7425071e-006 ++lalpha0 = -2.3745126e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31237629 ++lkt1 = 3.0326057e-009 ++kt1l = 0 ++kt2 = -0.022385714 ++lkt2 = -4.4078571e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -2.9904786e-018 ++lub1 = -6.5015893e-025 ++uc1 = -1.3913986e-010 ++luc1 = 9.1595271e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70787288 ++lvth0 = 6.9782212e-009 ++k1 = 0.79720913 ++lk1 = -4.5736941e-008 ++k2 = -0.0074212337 ++lk2 = -2.3650252e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12198688 ++lvoff = 1.3282019e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.03989205 ++lu0 = 1.7011995e-009 ++ua = -8.08965e-010 ++lua = 2.4609585e-016 ++ub = 3.1572912e-018 ++lub = 8.2169587e-026 ++uc = 1.02634e-010 ++luc = 5.571566e-017 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.182976 ++la0 = -9.3769676e-007 ++ags = 0.26749563 ++lags = 2.8112459e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.0209945 ++lketa = -3.8043005e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23119425 ++lpclm = -1.3177414e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.0006386625 ++lpdiblc2= 1.7851584e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.002725 ++ldelta = 2.75275e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.1016136e-005 ++lalpha0 = -1.043456e-010 ++alpha1 = 0 ++beta0 = 24.479438 ++lbeta0 = -4.1084794e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1.115e-010 ++cgdo = 1.115e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.11375 ++lnoff = -1.376375e-007 ++voffcv = -0.0575625 ++lvoffcv = 7.5700625e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31817375 ++lkt1 = 1.0047538e-008 ++kt1l = 0 ++kt2 = -0.017346875 ++lkt2 = -6.5377812e-009 ++ute = -1.55 ++ua1 = 1.65589e-009 ++lua1 = 2.31231e-017 ++ub1 = -2.260625e-018 ++lub1 = -1.5332818e-024 ++uc1 = -4.5609125e-011 ++luc1 = -1.0401266e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.2e-009 ++toxp = 8.2e-009 ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1e-008 ++xw = -5e-009 ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = 0.70857 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 78500 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 530 ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.415e-010 ++cgdo = 2.415e-010 ++cgbo = 1e-013 ++cgdl = 1.05e-010 ++cgsl = 1.05e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +*resistor + +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + + +.endl nfet_03v3_sf +* +*************************************************************************************************** +* 3.3V PMOS Models +*************************************************************************************************** +* +.lib pfet_03v3_t + + +.subckt pfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model pfet_03v3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7506174 ++lvth0 = -7.6827273e-009 ++wvth0 = 4.2938493e-009 ++pvth0 = 2.3570182e-015 ++k1 = 0.86959286 ++lk1 = 4.91e-009 ++wk1 = 6.7137132e-008 ++pk1 = -2.0974909e-014 ++k2 = 0.029351195 ++lk2 = -2.4890454e-008 ++wk2 = -2.1522854e-008 ++pk2 = 3.4158327e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094658091 ++lvoff = -1.6014546e-009 ++wvoff = -1.6655127e-009 ++pvoff = 8.3275636e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0077071688 ++lu0 = 2.4492727e-009 ++wu0 = 6.0892675e-010 ++pu0 = -5.2642909e-016 ++ua = -2.4381818e-012 ++lua = 1.0386891e-015 ++wua = 3.3100364e-018 ++pua = -1.9180342e-022 ++ub = 6.7035533e-019 ++lub = -1.4361909e-025 ++wub = -4.8420779e-027 ++pub = 1.3557818e-033 ++uc = 8.6801065e-011 ++luc = 8.4861818e-018 ++wuc = -1.3364176e-017 ++puc = -4.4743636e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.0272635 ++la0 = -2.0434818e-007 ++wa0 = 1.1112467e-008 ++pa0 = -2.7370909e-015 ++ags = 0.19081247 ++lags = 1.0492091e-007 ++wags = -2.3219283e-008 ++pags = -1.2080073e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.066404636 ++lketa = 8.2658182e-009 ++wketa = -7.3229236e-009 ++pketa = 3.6614618e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35627558 ++lpclm = 7.0823636e-008 ++wpclm = 2.9266005e-008 ++ppclm = 6.5406545e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1485698e-005 ++lalpha0 = -3.0054064e-012 ++walpha0 = -1.0325417e-013 ++palpha0 = 6.39288e-020 ++alpha1 = 0 ++beta0 = 39.773597 ++lbeta0 = -3.6237273e-006 ++wbeta0 = 2.1005299e-007 ++pbeta0 = 1.1827636e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28373805 ++lkt1 = -1.5974545e-008 ++wkt1 = -1.1172031e-008 ++pkt1 = 1.9400727e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1563636e-009 ++lua1 = 1.7181818e-016 ++wua1 = 1.7869091e-016 ++pua1 = -8.9345454e-023 ++ub1 = -2.100161e-018 ++lub1 = -6.7359091e-025 ++wub1 = -1.4002317e-025 ++pub1 = 1.4950473e-031 ++uc1 = -2.5418182e-010 ++luc1 = 5.8570909e-017 ++wuc1 = 4.0843636e-017 ++puc1 = -1.4057018e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.78216327 ++lvth0 = 8.0902041e-009 ++wvth0 = 5.9668408e-009 ++pvth0 = 1.5205225e-015 ++k1 = 1.011648 ++lk1 = -6.6117551e-008 ++wk1 = -1.7990939e-008 ++pk1 = 2.1589126e-014 ++k2 = -0.018784 ++lk2 = -8.2285714e-010 ++wk2 = -2.5231886e-009 ++pk2 = -6.084e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12364214 ++lvoff = 1.2890571e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010288147 ++lu0 = 1.1587837e-009 ++wu0 = -2.4611069e-010 ++pu0 = -9.8910367e-017 ++ua = 3.7095469e-010 ++lua = 8.5199265e-016 ++wua = -4.3130498e-017 ++pua = -1.6858315e-022 ++ub = 1.0877988e-018 ++lub = -3.5234082e-025 ++wub = -1.9235628e-025 ++pub = 9.5112882e-032 ++uc = -1.3265853e-011 ++luc = 5.8519641e-017 ++wuc = -7.386721e-018 ++puc = -7.4630909e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1510659 ++la0 = -2.6624939e-007 ++wa0 = 3.8929322e-008 ++pa0 = -1.6645518e-014 ++ags = 0.19022326 ++lags = 1.0521551e-007 ++wags = 1.3854074e-008 ++pags = -3.0616751e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0050909592 ++lketa = -2.239102e-008 ++wketa = -2.2043755e-009 ++pketa = 1.1021878e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25657102 ++lpclm = 1.2067592e-007 ++wpclm = 8.5357469e-008 ++ppclm = -2.1505078e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.5504633e-005 ++lalpha0 = -3.5014873e-011 ++walpha0 = 4.8045453e-012 ++palpha0 = -2.3899709e-018 ++alpha1 = 0 ++beta0 = 42.422959 ++lbeta0 = -4.9484082e-006 ++wbeta0 = 2.7621551e-007 ++pbeta0 = 8.5195102e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30703735 ++lkt1 = -4.324898e-009 ++wkt1 = 2.5044049e-008 ++pkt1 = -1.6167967e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2391388e-018 ++lub1 = -6.0410204e-025 ++wub1 = -3.3103837e-026 ++pub1 = 9.6045061e-032 ++uc1 = -7.5563755e-011 ++luc1 = -3.0738122e-017 ++wuc1 = 6.2211526e-018 ++puc1 = 3.2542237e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76745877 ++lvth0 = -9.5551948e-009 ++wvth0 = 3.6783584e-009 ++pvth0 = 4.2667013e-015 ++k1 = 0.95493474 ++lk1 = 1.9383117e-009 ++wk1 = 3.0592208e-008 ++pk1 = -3.6710649e-014 ++k2 = -0.010993416 ++lk2 = -1.0171558e-008 ++wk2 = -1.5055864e-008 ++pk2 = 8.9552104e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097716396 ++lvoff = -1.8220325e-008 ++wvoff = 9.9120779e-010 ++pvoff = -1.1894494e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087516409 ++lu0 = 3.0025909e-009 ++wu0 = 2.1888218e-010 ++pu0 = -6.5690182e-016 ++ua = 3.9822779e-010 ++lua = 8.1926494e-016 ++wua = -5.2662561e-017 ++pua = -1.5714468e-022 ++ub = 8.5181617e-019 ++lub = -6.9161688e-026 ++wub = -1.0966152e-025 ++pub = -4.1208312e-033 ++uc = -4.4095525e-011 ++luc = 9.5515247e-017 ++wuc = 1.8553586e-018 ++puc = -1.8553586e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2626103 ++la0 = -4.001026e-007 ++wa0 = -3.4170078e-009 ++pa0 = 3.4170078e-014 ++ags = 0.15731682 ++lags = 1.4470325e-007 ++wags = 7.2894545e-010 ++pags = -1.4866597e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.00016272403 ++lketa = -2.8304903e-008 ++wketa = -3.463048e-009 ++pketa = 2.6125948e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32143299 ++lpclm = 4.2841558e-008 ++wpclm = 4.9757922e-009 ++ppclm = 7.4952935e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020588939 ++lalpha0 = -2.415082e-009 ++walpha0 = 2.2256682e-011 ++palpha0 = -2.3332535e-017 ++alpha1 = 0 ++beta0 = 44.45026 ++lbeta0 = -7.3811688e-006 ++wbeta0 = 4.0343221e-007 ++pbeta0 = -6.7464935e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26850506 ++lkt1 = -5.0563636e-008 ++wkt1 = -1.0340166e-008 ++pkt1 = 2.6293091e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0202519e-018 ++lub1 = 3.3323377e-025 ++wub1 = 1.9133501e-025 ++pub1 = -1.7328156e-031 ++uc1 = -3.5566519e-011 ++luc1 = -7.8734805e-017 ++wuc1 = -1.2279955e-017 ++puc1 = 2.5455553e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76841429 ++wvth0 = 4.1050286e-009 ++k1 = 0.95512857 ++wk1 = 2.6921143e-008 ++k2 = -0.012010571 ++wk2 = -1.4160343e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099538429 ++wvoff = 8.7226286e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090519 ++wu0 = 1.53192e-010 ++ua = 4.8015429e-010 ++wua = -6.8377029e-017 ++ub = 8.449e-019 ++wub = -1.100736e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2226 ++ags = 0.17178714 ++wags = -7.5771429e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0029932143 ++wketa = -3.2017886e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32571714 ++wpclm = 1.2471086e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018173857 ++walpha0 = 1.9923429e-011 ++alpha1 = 0 ++beta0 = 43.712143 ++wbeta0 = 3.9668571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27356143 ++wkt1 = -7.7108571e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9869286e-018 ++wub1 = 1.7400686e-025 ++uc1 = -4.344e-011 ++wuc1 = -9.7344e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7710094 ++lvth0 = -2.1407273e-009 ++wvth0 = 1.4897689e-008 ++pvth0 = -5.2482182e-016 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = 0.014249873 ++lk2 = -2.0467636e-008 ++wk2 = -1.3670166e-008 ++pk2 = 1.1159673e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0091928468 ++lu0 = 1.8372909e-009 ++wu0 = -1.6362577e-010 ++pu0 = -2.0819854e-016 ++ua = -8.0522078e-011 ++lua = 7.4813818e-016 ++wua = 4.3913662e-017 ++pua = -4.0716945e-023 ++ub = 1.748897e-018 ++lub = -3.0903909e-025 ++wub = -5.6568377e-025 ++pub = 8.7374182e-032 ++uc = 8.6704408e-011 ++luc = 1.2453182e-017 ++wuc = -1.3313914e-017 ++puc = -6.5372036e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.66833429 ++la0 = -1.03128e-007 ++wa0 = 1.9775566e-007 ++pa0 = -5.5371585e-014 ++ags = 0.20459958 ++lags = 6.9689636e-008 ++wags = -3.0388584e-008 ++pags = 6.2401891e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10490303 ++lketa = 2.2143527e-008 ++wketa = 1.2696239e-008 ++pketa = -3.5549469e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3781492 ++lpclm = 2.7248545e-008 ++wpclm = 1.7891728e-008 ++ppclm = 2.9199702e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.2079775e-005 ++lalpha0 = -3.0255502e-012 ++walpha0 = -4.121738e-013 ++palpha0 = 7.4403585e-020 ++alpha1 = 0 ++beta0 = 38.238696 ++lbeta0 = -2.7152909e-006 ++wbeta0 = 1.0082017e-006 ++pbeta0 = -3.5411055e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33225761 ++lkt1 = 5.3309091e-010 ++wkt1 = 1.4058139e-008 ++pkt1 = -6.6438982e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 2.0124e-009 ++lua1 = -2.562e-016 ++wua1 = -2.66448e-016 ++pua1 = 1.33224e-022 ++ub1 = -2.8876353e-018 ++lub1 = -2.9730909e-026 ++wub1 = 2.6946346e-025 ++pub1 = -1.8530247e-031 ++uc1 = 6.432e-012 ++luc1 = -3.4608e-017 ++wuc1 = -9.4675549e-017 ++puc1 = 3.4396015e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.77464237 ++lvth0 = -3.242449e-010 ++wvth0 = 2.0559739e-009 ++pvth0 = 5.8960359e-015 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.026847376 ++lk2 = 8.0987755e-011 ++wk2 = 1.6697667e-009 ++pk2 = -6.5539994e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12364214 ++lvoff = 1.2890571e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097471347 ++lu0 = 1.5601469e-009 ++wu0 = 3.5215673e-011 ++pu0 = -3.0761926e-016 ++ua = 2.6778433e-010 ++lua = 5.7398498e-016 ++wua = 1.0518093e-017 ++pua = -2.4019161e-023 ++ub = 1.2732368e-018 ++lub = -7.120898e-026 ++wub = -2.8878406e-025 ++pub = -5.1075673e-032 ++uc = 8.0016841e-012 ++luc = 5.1804544e-017 ++wuc = -1.844584e-017 ++puc = -3.9712404e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.3454526 ++la0 = -4.4168718e-007 ++wa0 = -6.215178e-008 ++pa0 = 7.4582136e-014 ++ags = 0.19226653 ++lags = 7.5856163e-008 ++wags = 1.2791576e-008 ++pags = -1.5349891e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0016565918 ++lketa = -2.947969e-008 ++wketa = -3.9902465e-009 ++pketa = 4.7882958e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55246506 ++lpclm = -5.9909388e-008 ++wpclm = -6.8507432e-008 ++ppclm = 7.2399282e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.000123026 ++lalpha0 = -5.8498663e-011 ++walpha0 = -1.9906566e-011 ++palpha0 = 9.8215995e-018 ++alpha1 = 0 ++beta0 = 43.366204 ++lbeta0 = -5.2790449e-006 ++wbeta0 = -2.1427184e-007 ++pbeta0 = 2.571262e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28366163 ++lkt1 = -2.3764898e-008 ++wkt1 = 1.2888678e-008 ++pkt1 = -6.0591673e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.1375788e-018 ++lub1 = 9.5240816e-026 ++wub1 = 4.3408496e-025 ++pub1 = -2.6761322e-031 ++uc1 = -9.9154286e-011 ++luc1 = 1.8185143e-017 ++wuc1 = 1.8488229e-017 ++puc1 = -2.2185874e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.77376777 ++lvth0 = -1.3737662e-009 ++wvth0 = 6.9590384e-009 ++pvth0 = 1.2358442e-017 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.027452061 ++lk2 = 8.0661039e-010 ++wk2 = -6.4973683e-009 ++pk2 = 3.2465626e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095810227 ++lvoff = -2.0507727e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097323026 ++lu0 = 1.5779454e-009 ++wu0 = -2.910619e-010 ++pu0 = 8.3913818e-017 ++ua = 4.0315384e-010 ++lua = 4.1154156e-016 ++wua = -5.5224108e-017 ++pua = 5.487148e-023 ++ub = 1.1661759e-018 ++lub = 5.7264156e-026 ++wub = -2.7312856e-025 ++pub = -6.986227e-032 ++uc = 1.1632475e-012 ++luc = 6.0010667e-017 ++wuc = -2.1679203e-017 ++puc = -9.1205299e-026 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1189871 ++la0 = -1.6992857e-007 ++wa0 = 7.1267013e-008 ++pa0 = -8.5520416e-014 ++ags = 0.16561084 ++lags = 1.0784299e-007 ++wags = -3.583948e-009 ++pags = 4.3007377e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.3288581 ++lpclm = 2.0841896e-007 ++wpclm = 1.1147314e-009 ++ppclm = -1.1147314e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002173683 ++lalpha0 = -2.519287e-009 ++walpha0 = -3.7433637e-011 ++palpha0 = 3.0854085e-017 ++alpha1 = 0 ++beta0 = 44.354662 ++lbeta0 = -6.4651948e-006 ++wbeta0 = 4.5314286e-007 ++pbeta0 = -5.4377143e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33774851 ++lkt1 = 4.1139351e-008 ++wkt1 = 2.5666423e-008 ++pkt1 = -2.1392462e-014 ++kt1l = 0 ++kt2 = -0.016947818 ++lkt2 = 4.6581818e-009 ++wkt2 = 2.0185455e-009 ++pkt2 = -2.4222546e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5969484e-018 ++lub1 = -5.5351558e-025 ++wub1 = -2.878281e-026 ++pub1 = 2.878281e-031 ++uc1 = -4.2545455e-011 ++luc1 = -4.9745455e-017 ++wuc1 = -8.6509091e-018 ++puc1 = 1.0381091e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.77390514 ++wvth0 = 6.9602743e-009 ++k1 = 1.0069 ++k2 = -0.0273714 ++wk2 = -6.172712e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098900971 ++wu0 = -2.8267051e-010 ++ua = 4.44308e-010 ++wua = -4.973696e-017 ++ub = 1.1719023e-018 ++wub = -2.8011479e-025 ++uc = 7.1643143e-012 ++wuc = -2.1688323e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1019943 ++wa0 = 6.2714971e-008 ++ags = 0.17639514 ++wags = -3.1538743e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019217543 ++walpha0 = -3.4348229e-011 ++alpha1 = 0 ++beta0 = 43.708143 ++wbeta0 = 3.9876571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33363457 ++wkt1 = 2.3527177e-008 ++kt1l = 0 ++kt2 = -0.016482 ++wkt2 = 1.77632e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.752e-011 ++wuc1 = -7.6128e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76226585 ++lvth0 = -8.7733719e-009 ++wvth0 = 4.2305517e-009 ++pvth0 = 7.5670046e-015 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = -0.00067810868 ++lk2 = -1.7691446e-008 ++wk2 = 4.5419708e-009 ++pk2 = -2.2709854e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011352976 ++lu0 = 1.7890915e-009 ++wu0 = -2.7989835e-009 ++pu0 = -1.493953e-016 ++ua = 3.4788822e-010 ++lua = 6.3071157e-016 ++wua = -4.7874691e-016 ++pua = 1.0254352e-022 ++ub = 9.2772209e-019 ++lub = 3.2915171e-026 ++wub = 4.3614967e-025 ++pub = -3.2981002e-031 ++uc = 1.3375779e-010 ++luc = -7.439668e-018 ++wuc = -7.0719038e-017 ++puc = 1.7732073e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.8879706 ++la0 = -1.4725376e-007 ++wa0 = -7.0200638e-008 ++pa0 = -1.5381528e-015 ++ags = 0.3341873 ++lags = -2.4436508e-009 ++wags = -1.884856e-007 ++pags = 9.42428e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.088919542 ++lketa = 1.7668152e-008 ++wketa = -6.803611e-009 ++pketa = 1.9050111e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32482036 ++lpclm = 5.0559583e-008 ++wpclm = 8.2952909e-008 ++ppclm = 7.6023645e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.723125e-006 ++lalpha0 = -2.2830898e-012 ++walpha0 = 2.4629388e-012 ++palpha0 = -8.3139811e-019 ++alpha1 = 0 ++beta0 = 37.805966 ++lbeta0 = -2.3737058e-006 ++wbeta0 = 1.5361323e-006 ++pbeta0 = -7.708444e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29596713 ++lkt1 = -8.3979897e-009 ++wkt1 = -3.0216242e-008 ++pkt1 = 4.2520201e-015 ++kt1l = 0 ++kt2 = -0.020842369 ++lkt2 = 2.1773833e-009 ++wkt2 = 9.4871699e-009 ++pkt2 = -2.6564076e-015 ++ute = -1 ++ua1 = 1.8116799e-009 ++lua1 = -1.5583996e-016 ++wua1 = -2.1569499e-017 ++pua1 = 1.078475e-023 ++ub1 = -2.5843988e-018 ++lub1 = -1.2857843e-025 ++wub1 = -1.0048507e-025 ++pub1 = -6.4708497e-032 ++uc1 = -8.5778578e-011 ++luc1 = 8.8928926e-019 ++wuc1 = 1.7821357e-017 ++puc1 = -8.9106783e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76552347 ++lvth0 = -7.1445584e-009 ++wvth0 = -9.069076e-009 ++pvth0 = 1.4216818e-014 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.030551827 ++lk2 = -2.7545864e-009 ++wk2 = 6.1891978e-009 ++pk2 = -3.0945989e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094286796 ++lvoff = -1.787102e-009 ++wvoff = -3.5813523e-008 ++pvoff = 1.7906761e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010127025 ++lu0 = 2.4020669e-009 ++wu0 = -4.282509e-010 ++pu0 = -1.3347616e-015 ++ua = 3.2582253e-010 ++lua = 6.4174442e-016 ++wua = -6.0288518e-017 ++pua = -1.0668567e-022 ++ub = 9.6220002e-019 ++lub = 1.5676208e-026 ++wub = 9.0680837e-026 ++pub = -1.570756e-031 ++uc = -2.7758895e-011 ++luc = 7.3318673e-017 ++wuc = 2.5182066e-017 ++puc = -3.0218479e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1782327 ++la0 = -2.9238479e-007 ++wa0 = 1.4185662e-007 ++pa0 = -1.0756678e-013 ++ags = 0.20788505 ++lags = 6.0707474e-008 ++wags = -6.2630205e-009 ++pags = 3.1315103e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0066799724 ++lketa = -2.3451633e-008 ++wketa = 2.1382778e-009 ++pketa = -2.5659333e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37778426 ++lpclm = 2.407763e-008 ++wpclm = 1.4460314e-007 ++ppclm = -3.006488e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011108151 ++lalpha0 = -5.296228e-011 ++walpha0 = -5.3342836e-012 ++palpha0 = 3.0672131e-018 ++alpha1 = 0 ++beta0 = 43.187318 ++lbeta0 = -5.0643818e-006 ++wbeta0 = 3.968961e-009 ++pbeta0 = -4.7627532e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30269355 ++lkt1 = -5.0347792e-009 ++wkt1 = 3.6107623e-008 ++pkt1 = -2.8909912e-014 ++kt1l = 0 ++kt2 = -0.010621998 ++lkt2 = -2.9328019e-009 ++wkt2 = -2.981682e-009 ++pkt2 = 3.5780184e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4617658e-018 ++lub1 = -1.8989494e-025 ++wub1 = -3.9040685e-025 ++pub1 = 8.0252392e-032 ++uc1 = -3.4810909e-011 ++luc1 = -2.4594545e-017 ++wuc1 = -6.0010691e-017 ++puc1 = 3.0005345e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7677531 ++lvth0 = -4.4690083e-009 ++wvth0 = -3.7885537e-010 ++pvth0 = 3.7885537e-015 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.036023042 ++lk2 = 3.8108709e-009 ++wk2 = 3.9592281e-009 ++pk2 = -4.1863519e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098145312 ++lvoff = 2.8431167e-009 ++wvoff = 2.848803e-009 ++pvoff = -2.848803e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011031559 ++lu0 = 1.3166262e-009 ++wu0 = -1.8761549e-009 ++pu0 = 4.0272326e-016 ++ua = 6.676128e-010 ++lua = 2.315961e-016 ++wua = -3.7786403e-016 ++pua = 2.7440495e-022 ++ub = 9.7526352e-019 ++wub = -4.0215498e-026 ++uc = -1.6606591e-011 ++luc = 5.9935909e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1832393 ++la0 = -2.9839274e-007 ++wa0 = -7.1205867e-009 ++pa0 = 7.1205867e-014 ++ags = 0.16685819 ++lags = 1.0993971e-007 ++wags = -5.1057076e-009 ++pags = 1.7427347e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34313423 ++lpclm = 6.5657665e-008 ++wpclm = -1.6302147e-008 ++ppclm = 1.6302147e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021426891 ++lalpha0 = -2.4908914e-009 ++walpha0 = 3.7885537e-013 ++palpha0 = -3.7885537e-018 ++alpha1 = 0 ++beta0 = 44.161948 ++lbeta0 = -6.233938e-006 ++wbeta0 = 6.8825393e-007 ++pbeta0 = -8.2590471e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29670927 ++lkt1 = -1.2215919e-008 ++wkt1 = -2.4401443e-008 ++pkt1 = 4.3700967e-014 ++kt1l = 0 ++kt2 = -0.012757219 ++lkt2 = -3.7053719e-010 ++wkt2 = -3.0939855e-009 ++pkt2 = 3.7127826e-015 ++ute = -1 ++ua1 = 1.39597e-009 ++lua1 = 1.2483595e-016 ++wua1 = 1.2691655e-016 ++pua1 = -1.5229986e-022 ++ub1 = -2.656703e-018 ++lub1 = 4.4029649e-026 ++wub1 = 4.4117708e-026 ++pub1 = -4.4117708e-031 ++uc1 = -6.6591694e-011 ++luc1 = 1.3542397e-017 ++wuc1 = 2.0685503e-017 ++puc1 = -6.6830088e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7682 ++k1 = 1.0069 ++k2 = -0.035641955 ++wk2 = 3.9173646e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011163222 ++wu0 = -1.8358826e-009 ++ua = 6.9077241e-010 ++wua = -3.5042354e-016 ++ub = 9.7526352e-019 ++wub = -4.0215498e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17785216 ++wags = -4.9314341e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538555 ++wbeta0 = 6.0566345e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29793086 ++wkt1 = -2.0031346e-008 ++kt1l = 0 ++kt2 = -0.012794273 ++wkt2 = -2.7227073e-009 ++ute = -1 ++ua1 = 1.4084536e-009 ++wua1 = 1.1168656e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5237455e-011 ++wuc1 = 1.4002494e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76184364 ++lvth0 = -8.0181818e-009 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = -0.00022481818 ++lk2 = -1.7918091e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011073636 ++lu0 = 1.7741818e-009 ++ua = 3.0010909e-010 ++lua = 6.4094546e-016 ++ub = 9.7125e-019 ++uc = 1.267e-010 ++luc = -5.67e-018 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.88096455 ++la0 = -1.4740727e-007 ++ags = 0.31537636 ++lags = 6.9618182e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.089598545 ++lketa = 1.7858273e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33309909 ++lpclm = 5.0635454e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.9689273e-006 ++lalpha0 = -2.3660636e-012 ++alpha1 = 0 ++beta0 = 37.959273 ++lbeta0 = -2.4506364e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29898273 ++lkt1 = -7.9736364e-009 ++kt1l = 0 ++kt2 = -0.019895546 ++lkt2 = 1.9122727e-009 ++ute = -1 ++ua1 = 1.8095273e-009 ++lua1 = -1.5476364e-016 ++ub1 = -2.5944273e-018 ++lub1 = -1.3503636e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76642857 ++lvth0 = -5.7257143e-009 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.029934143 ++lk2 = -3.0634286e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010084286 ++lu0 = 2.2688571e-009 ++ua = 3.1980571e-010 ++lua = 6.3109714e-016 ++ub = 9.7125e-019 ++uc = -2.5245714e-011 ++luc = 7.0302857e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.19239 ++la0 = -3.0312e-007 ++ags = 0.20726 ++lags = 6.102e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0064665714 ++lketa = -2.3707714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39221571 ++lpclm = 2.1077143e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011054914 ++lalpha0 = -5.2656171e-011 ++alpha1 = 0 ++beta0 = 43.187714 ++lbeta0 = -5.0648571e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29909 ++lkt1 = -7.92e-009 ++kt1l = 0 ++kt2 = -0.010919571 ++lkt2 = -2.5757143e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5007286e-018 ++lub1 = -1.8188571e-025 ++uc1 = -4.08e-011 ++luc1 = -2.16e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.76779091 ++lvth0 = -4.0909091e-009 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.035627909 ++lk2 = 3.7690909e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010844318 ++lu0 = 1.3568182e-009 ++ua = 6.2990182e-010 ++lua = 2.5898182e-016 ++ub = 9.7125e-019 ++uc = -1.6606591e-011 ++luc = 5.9935909e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1825286 ++la0 = -2.9128636e-007 ++ags = 0.16634864 ++lags = 1.1011364e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34150727 ++lpclm = 8.1927273e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002142727 ++lalpha0 = -2.4912696e-009 ++alpha1 = 0 ++beta0 = 44.230636 ++lbeta0 = -6.3163636e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29914454 ++lkt1 = -7.8545455e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4086364e-009 ++lua1 = 1.0963636e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4527273e-011 ++luc1 = 6.8727273e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.9e-009 ++toxp = 7.9e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 0 ++xw = 0 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7682 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + + + +.endl pfet_03v3_t +* +* +.lib pfet_03v3_f + + +.subckt pfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + + +.model pfet_03v3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.67663617 ++lvth0 = -7.3534153e-009 ++wvth0 = 4.7732695e-009 ++pvth0 = 2.3377109e-015 ++k1 = 0.8647782 ++lk1 = 6.1568267e-009 ++wk1 = 6.9370633e-008 ++pk1 = -2.0803095e-014 ++k2 = 0.028918855 ++lk2 = -2.3507638e-008 ++wk2 = -2.2539936e-008 ++pk2 = 3.3878523e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094667623 ++lvoff = -1.5583678e-009 ++wvoff = -1.6924896e-009 ++pvoff = 8.2593493e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0078719299 ++lu0 = 2.3274457e-009 ++wu0 = 5.9859026e-010 ++pu0 = -5.221169e-016 ++ua = 8.7644416e-011 ++lua = 9.8467082e-016 ++wua = -1.3940377e-017 ++pua = -1.9023228e-022 ++ub = 6.5842379e-019 ++lub = -1.3426634e-025 ++wub = -5.017448e-027 ++pub = 1.3446761e-033 ++uc = 8.863003e-011 ++luc = 8.2624703e-018 ++wuc = -1.4595908e-017 ++puc = -4.4377124e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.0088763 ++la0 = -1.9069135e-007 ++wa0 = 1.154901e-008 ++pa0 = -2.7146703e-015 ++ags = 0.20174918 ++lags = 9.8918351e-008 ++wags = -2.5751203e-008 ++pags = -1.198112e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.065134512 ++lketa = 7.447618e-009 ++wketa = -7.4415355e-009 ++pketa = 3.6314694e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35995623 ++lpclm = 6.5671827e-008 ++wpclm = 3.1666898e-008 ++ppclm = 6.4870775e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1235927e-005 ++lalpha0 = -2.8123501e-012 ++walpha0 = -1.0380713e-013 ++palpha0 = 6.3405134e-020 ++alpha1 = 0 ++beta0 = 39.445349 ++lbeta0 = -3.3940359e-006 ++wbeta0 = 2.3377646e-007 ++pbeta0 = 1.1730752e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28422543 ++lkt1 = -1.5068185e-008 ++wkt1 = -1.1684779e-008 ++pkt1 = 1.9241808e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1573864e-009 ++lua1 = 1.6719545e-016 ++wua1 = 1.8158523e-016 ++pua1 = -8.8613591e-023 ++ub1 = -2.1477044e-018 ++lub1 = -6.4044033e-025 ++wub1 = -1.3505708e-025 ++pub1 = 1.4828008e-031 ++uc1 = -2.5233764e-010 ++luc1 = 5.5767486e-017 ++wuc1 = 4.2084409e-017 ++puc1 = -1.3941872e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70748201 ++lvth0 = 7.6993553e-009 ++wvth0 = 6.367274e-009 ++pvth0 = 1.5598367e-015 ++k1 = 1.0117326 ++lk1 = -6.5556919e-008 ++wk1 = -1.8642534e-008 ++pk1 = 2.214733e-014 ++k2 = -0.018588529 ++lk2 = -3.2403549e-010 ++wk2 = -2.8080536e-009 ++pk2 = -6.2413065e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12338433 ++lvoff = 1.2455386e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010331202 ++lu0 = 1.1273211e-009 ++wu0 = -2.6339567e-010 ++pu0 = -1.0146777e-016 ++ua = 3.9172068e-010 ++lua = 8.3628161e-016 ++wua = -4.9371285e-017 ++pua = -1.72942e-022 ++ub = 1.0960127e-018 ++lub = -3.4780972e-025 ++wub = -2.0220479e-025 ++pub = 9.7572098e-032 ++uc = -1.1491615e-011 ++luc = 5.7121833e-017 ++wuc = -8.0009433e-018 ++puc = -7.6560549e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1426483 ++la0 = -2.5597206e-007 ++wa0 = 4.0977761e-008 ++pa0 = -1.7075901e-014 ++ags = 0.19126654 ++lags = 1.0403388e-007 ++wags = 1.4058737e-008 ++pags = -3.1408371e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0053639133 ++lketa = -2.1720434e-008 ++wketa = -2.3169788e-009 ++pketa = 1.1306857e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25217946 ++lpclm = 1.1826689e-007 ++wpclm = 9.0167278e-008 ++ppclm = -2.2061108e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00025119377 ++lpdiblc2= 2.3704904e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.4423186e-005 ++lalpha0 = -3.3647732e-011 ++walpha0 = 5.050231e-012 ++palpha0 = -2.4517655e-018 ++alpha1 = 0 ++beta0 = 42.301722 ++lbeta0 = -4.787946e-006 ++wbeta0 = 2.9506668e-007 ++pbeta0 = 8.7397887e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30910467 ++lkt1 = -2.9271156e-009 ++wkt1 = 2.6245925e-008 ++pkt1 = -1.6586003e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2487222e-018 ++lub1 = -5.9114366e-025 ++wub1 = -3.3106883e-026 ++pub1 = 9.852838e-032 ++uc1 = -7.6682222e-011 ++luc1 = -2.9952355e-017 ++wuc1 = 6.6740899e-018 ++puc1 = 3.3383641e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.6927634 ++lvth0 = -9.7863494e-009 ++wvth0 = 3.9098384e-009 ++pvth0 = 4.4792702e-015 ++k1 = 0.95248832 ++lk1 = 4.8252741e-009 ++wk1 = 3.2440732e-008 ++pk1 = -3.853959e-014 ++k2 = -0.0097979054 ++lk2 = -1.0767296e-008 ++wk2 = -1.5975284e-008 ++pk2 = 9.4013629e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097813945 ++lvoff = -1.7922234e-008 ++wvoff = 1.0511012e-009 ++pvoff = -1.2487082e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087371575 ++lu0 = 3.0210454e-009 ++wu0 = 2.316895e-010 ++pu0 = -6.8962895e-016 ++ua = 4.0327941e-010 ++lua = 8.2254984e-016 ++wua = -5.6078614e-017 ++pua = -1.6497369e-022 ++ub = 8.6053432e-019 ++lub = -6.8061407e-026 ++wub = -1.1643187e-025 ++pub = -4.3261328e-033 ++uc = -4.4147189e-011 ++luc = 9.5916655e-017 ++wuc = 1.9501336e-018 ++puc = -1.9477934e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2624812 ++la0 = -3.983336e-007 ++wa0 = -3.5915545e-009 ++pa0 = 3.5872446e-014 ++ags = 0.1574043 ++lags = 1.4426221e-007 ++wags = 7.5813661e-010 ++pags = -1.5607258e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = 8.6249554e-005 ++lketa = -2.8195228e-008 ++wketa = -3.6739399e-009 ++pketa = 2.7427554e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32107112 ++lpclm = 3.6423596e-008 ++wpclm = 5.3623696e-009 ++ppclm = 7.8687123e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.88813e-005 ++lpdiblc2= 4.4175626e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020546973 ++lalpha0 = -2.3862134e-009 ++walpha0 = 2.3605119e-011 ++palpha0 = -2.4494972e-017 ++alpha1 = 0 ++beta0 = 44.410558 ++lbeta0 = -7.2932429e-006 ++wbeta0 = 4.2825183e-007 ++pbeta0 = -7.0826067e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.946 ++lnoff = 5.39352e-007 ++voffcv = 0.0216 ++lvoffcv = -2.157408e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2677292 ++lkt1 = -5.2081178e-008 ++wkt1 = -1.0950226e-008 ++pkt1 = 2.7603024e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0352362e-018 ++lub1 = 3.4323494e-025 ++wub1 = 2.0295616e-025 ++pub1 = -1.8191452e-031 ++uc1 = -3.4663323e-011 ++luc1 = -7.9870808e-017 ++wuc1 = -1.3010587e-017 ++puc1 = 2.672376e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69374321 ++wvth0 = 4.3583036e-009 ++k1 = 0.95297143 ++wk1 = 2.8582143e-008 ++k2 = -0.010875929 ++wk2 = -1.5034018e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099608321 ++wvoff = 9.2608036e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.009039625 ++wu0 = 1.6264375e-010 ++ua = 4.8563321e-010 ++wua = -7.2595804e-017 ++ub = 8.5372e-019 ++wub = -1.16865e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2226 ++ags = 0.17184786 ++wags = -8.0446429e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0027366607 ++wketa = -3.3993348e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32471786 ++wpclm = 1.3240536e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018157893 ++walpha0 = 2.1152679e-011 ++alpha1 = 0 ++beta0 = 43.680357 ++wbeta0 = 4.2116071e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27294357 ++wkt1 = -8.1866071e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0008714e-018 ++wub1 = 1.8474286e-025 ++uc1 = -4.266e-011 ++wuc1 = -1.0335e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69642702 ++lvth0 = -1.9920781e-009 ++wvth0 = 1.5262418e-008 ++pvth0 = -5.037978e-016 ++k1 = 0.99566618 ++lk1 = -3.3094297e-008 ++k2 = 0.012709478 ++lk2 = -1.9136714e-008 ++wk2 = -1.3948967e-008 ++pk2 = 1.0712624e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0093531893 ++lu0 = 1.7194104e-009 ++wu0 = -1.8647726e-010 ++pu0 = -1.9985825e-016 ++ua = -1.7033143e-011 ++lua = 6.9948888e-016 ++wua = 4.1538729e-017 ++pua = -3.9085851e-023 ++ub = 1.7312067e-018 ++lub = -2.899821e-025 ++wub = -5.7359242e-025 ++pub = 8.387403e-032 ++uc = 8.7990522e-011 ++luc = 1.1729669e-017 ++wuc = -1.4256968e-017 ++puc = -6.2753276e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.65645235 ++la0 = -9.5523869e-008 ++wa0 = 1.9833372e-007 ++pa0 = -5.3153436e-014 ++ags = 0.21104356 ++lags = 6.5010179e-008 ++wags = -3.0677229e-008 ++pags = 5.9902112e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10320034 ++lketa = 2.0738198e-008 ++wketa = 1.2733351e-008 ++pketa = -3.4125381e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.38016331 ++lpclm = 2.5024839e-008 ++wpclm = 2.0957146e-008 ++ppclm = 2.8029981e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1826838e-005 ++lalpha0 = -2.8274782e-012 ++walpha0 = -4.1699029e-013 ++palpha0 = 7.1423027e-020 ++alpha1 = 0 ++beta0 = 37.990543 ++lbeta0 = -2.5313328e-006 ++wbeta0 = 1.0048234e-006 ++pbeta0 = -3.3992511e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33242454 ++lkt1 = 5.9583152e-010 ++wkt1 = 1.3860749e-008 ++pkt1 = -6.377748e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.99446e-009 ++lua1 = -2.4129648e-016 ++wua1 = -2.620638e-016 ++pua1 = 1.2788713e-022 ++ub1 = -2.8941809e-018 ++lub1 = -2.5045136e-026 ++wub1 = 2.6057544e-025 ++pub1 = -1.7787938e-031 ++uc1 = 4.9114909e-012 ++luc1 = -3.2836296e-017 ++wuc1 = -9.4257628e-017 ++puc1 = 3.3018133e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69968312 ++lvth0 = -4.0309955e-010 ++wvth0 = 2.2338617e-009 ++pvth0 = 5.8541378e-015 ++k1 = 0.976558 ++lk1 = -2.3769504e-008 ++k2 = -0.02687001 ++lk2 = 1.7807606e-010 ++wk2 = 1.5811316e-009 ++pk2 = -6.5074256e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12338433 ++lvoff = 1.2455386e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097778795 ++lu0 = 1.5121617e-009 ++wu0 = 2.9865002e-011 ++pu0 = -3.0543328e-016 ++ua = 2.791058e-010 ++lua = 5.5497308e-016 ++wua = 1.0314601e-017 ++pua = -2.3848477e-023 ++ub = 1.2763808e-018 ++lub = -6.8027043e-026 ++wub = -2.9779989e-025 ++pub = -5.0712722e-032 ++uc = 9.3297873e-012 ++luc = 5.0116107e-017 ++wuc = -1.9036287e-017 ++puc = -3.9430202e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.3375751 ++la0 = -4.2791177e-007 ++wa0 = -6.2333454e-008 ++pa0 = 7.4052143e-014 ++ags = 0.19358686 ++lags = 7.352905e-008 ++wags = 1.2828966e-008 ++pags = -1.5240812e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0021847972 ++lketa = -2.8557385e-008 ++wketa = -4.0019103e-009 ++pketa = 4.7542695e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55232393 ++lpclm = -5.8989544e-008 ++wpclm = -6.8909289e-008 ++ppclm = 7.1884801e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00025119377 ++lpdiblc2= 2.3704904e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00012216672 ++lalpha0 = -5.6673338e-011 ++walpha0 = -2.025384e-011 ++palpha0 = 9.7518057e-018 ++alpha1 = 0 ++beta0 = 43.26392 ++lbeta0 = -5.1047406e-006 ++wbeta0 = -2.1489817e-007 ++pbeta0 = 2.5529903e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28433818 ++lkt1 = -2.2870309e-008 ++wkt1 = 1.3119687e-008 ++pkt1 = -6.01611e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.142432e-018 ++lub1 = 9.6101442e-026 ++wub1 = 4.4055934e-025 ++pub1 = -2.6571152e-031 ++uc1 = -9.9075017e-011 ++luc1 = 1.790912e-017 ++wuc1 = 1.8542271e-017 ++puc1 = -2.2028218e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69887884 ++lvth0 = -1.3585892e-009 ++wvth0 = 7.151017e-009 ++pvth0 = 1.255727e-017 ++k1 = 1.0136972 ++lk1 = -6.7890933e-008 ++k2 = -0.027348888 ++lk2 = 7.4698326e-010 ++wk2 = -6.6732628e-009 ++pk2 = 3.2987949e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095830735 ++lvoff = -2.0278287e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097384672 ++lu0 = 1.5589835e-009 ++wu0 = -2.9900464e-010 ++pu0 = 8.5263865e-017 ++ua = 4.0443501e-010 ++lua = 4.0608197e-016 ++wua = -5.6691087e-017 ++pua = 5.575428e-023 ++ub = 1.1705395e-018 ++lub = 5.7712398e-026 ++wub = -2.8073464e-025 ++pub = -7.0986249e-032 ++uc = 1.5649873e-012 ++luc = 5.934069e-017 ++wuc = -2.227732e-017 ++puc = -9.2672655e-026 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1176952 ++la0 = -1.6669444e-007 ++wa0 = 7.3145043e-008 ++pa0 = -8.6896311e-014 ++ags = 0.16577511 ++lags = 1.0656941e-007 ++wags = -3.6783923e-009 ++pags = 4.3699301e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068457125 ++lketa = -2.3020218e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.32904913 ++lpclm = 2.0626092e-007 ++wpclm = 1.1340266e-009 ++ppclm = -1.1326658e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.88813e-005 ++lpdiblc2= 4.4175626e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021717533 ++lalpha0 = -2.4915822e-009 ++walpha0 = -3.8434543e-011 ++palpha0 = 3.1350481e-017 ++alpha1 = 0 ++beta0 = 44.341063 ++lbeta0 = -6.3843867e-006 ++wbeta0 = 4.6508409e-007 ++pbeta0 = -5.5251989e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.946 ++lnoff = 5.39352e-007 ++voffcv = 0.0216 ++lvoffcv = -2.157408e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33811161 ++lkt1 = 4.1012519e-008 ++wkt1 = 2.6352452e-008 ++pkt1 = -2.1736635e-014 ++kt1l = 0 ++kt2 = -0.01697494 ++lkt2 = 4.6438207e-009 ++wkt2 = 2.0717382e-009 ++pkt2 = -2.461225e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5970528e-018 ++lub1 = -5.5180911e-025 ++wub1 = -2.928102e-026 ++pub1 = 2.9245883e-031 ++uc1 = -4.2459e-011 ++luc1 = -4.9350708e-017 ++wuc1 = -8.878878e-018 ++puc1 = 1.0548107e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69901486 ++wvth0 = 7.1522743e-009 ++k1 = 1.0069 ++k2 = -0.0272741 ++wk2 = -6.342987e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098945529 ++wu0 = -2.9046801e-010 ++ua = 4.45092e-010 ++wua = -5.110896e-017 ++ub = 1.1763177e-018 ++wub = -2.8784179e-025 ++uc = 7.5061857e-012 ++wuc = -2.2286598e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1010057 ++wa0 = 6.4444971e-008 ++ags = 0.17644486 ++wags = -3.2408743e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019222957 ++walpha0 = -3.5295729e-011 ++alpha1 = 0 ++beta0 = 43.701857 ++wbeta0 = 4.0976571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33400543 ++wkt1 = 2.4176177e-008 ++kt1l = 0 ++kt2 = -0.01651 ++wkt2 = 1.82532e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.74e-011 ++wuc1 = -7.8228e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.68802184 ++lvth0 = -8.201616e-009 ++wvth0 = 4.9240544e-009 ++pvth0 = 7.1339337e-015 ++k1 = 0.99566618 ++lk1 = -3.3094297e-008 ++k2 = -0.0021980746 ++lk2 = -1.6525108e-008 ++wk2 = 4.3873228e-009 ++pk2 = -2.1410135e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011508627 ++lu0 = 1.6714324e-009 ++wu0 = -2.8376654e-009 ++pu0 = -1.4084519e-016 ++ua = 4.0233366e-010 ++lua = 5.8911437e-016 ++wua = -4.7428243e-016 ++pua = 9.6674803e-023 ++ub = 9.3020973e-019 ++lub = 3.1000449e-026 ++wub = 4.1163392e-025 ++pub = -3.109345e-031 ++uc = 1.3317671e-010 ++luc = -6.9634759e-018 ++wuc = -6.9835979e-017 ++puc = 1.671724e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.87540638 ++la0 = -1.3755908e-007 ++wa0 = -7.0979742e-008 ++pa0 = -1.4501221e-015 ++ags = 0.33412543 ++lags = -2.3548078e-009 ++wags = -1.8206792e-007 ++pags = 8.8849145e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.087399697 ++lketa = 1.6503627e-008 ++wketa = -6.7014347e-009 ++pketa = 1.7959845e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32908612 ++lpclm = 4.7230737e-008 ++wpclm = 8.378208e-008 ++ppclm = 7.1672699e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.5254751e-006 ++lalpha0 = -2.1321619e-012 ++walpha0 = 2.4136865e-012 ++palpha0 = -7.8381597e-019 ++alpha1 = 0 ++beta0 = 37.601303 ++lbeta0 = -2.2168591e-006 ++wbeta0 = 1.4835887e-006 ++pbeta0 = -7.2672783e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29666254 ++lkt1 = -7.8484113e-009 ++wkt1 = -3.0126506e-008 ++pkt1 = 4.0086707e-015 ++kt1l = 0 ++kt2 = -0.02066331 ++lkt2 = 2.0360792e-009 ++wkt2 = 9.344692e-009 ++pkt2 = -2.5043775e-015 ++ute = -1 ++ua1 = 1.7983391e-009 ++lua1 = -1.4558948e-016 ++wua1 = -2.0835087e-017 ++pua1 = 1.0167522e-023 ++ub1 = -2.5953331e-018 ++lub1 = -1.2006485e-025 ++wub1 = -1.0700731e-025 ++pub1 = -6.1005134e-032 ++uc1 = -8.5716307e-011 ++luc1 = 8.3755803e-019 ++wuc1 = 1.7214564e-017 ++puc1 = -8.400707e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69065918 ++lvth0 = -6.9145954e-009 ++wvth0 = -8.8655847e-009 ++pvth0 = 1.3863278e-014 ++k1 = 0.976558 ++lk1 = -2.3769504e-008 ++k2 = -0.030611931 ++lk2 = -2.6591455e-009 ++wk2 = 6.1836949e-009 ++pk2 = -3.0176431e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094293534 ++lvoff = -1.7409232e-009 ++wvoff = -3.578168e-008 ++pvoff = 1.746146e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010175439 ++lu0 = 2.3220281e-009 ++wu0 = -4.5913295e-010 ++pu0 = -1.301569e-015 ++ua = 3.3870848e-010 ++lua = 6.2016345e-016 ++wua = -6.2996698e-017 ++pua = -1.0403264e-022 ++ub = 9.6244193e-019 ++lub = 1.5271135e-026 ++wub = 8.8344941e-026 ++pub = -1.5316948e-031 ++uc = -2.6312627e-011 ++luc = 7.086732e-017 ++wuc = 2.4803882e-017 ++puc = -2.9467012e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1722707 ++la0 = -2.8242886e-007 ++wa0 = 1.4099098e-007 ++pa0 = -1.0489184e-013 ++ags = 0.20910427 ++lags = 5.8655514e-008 ++wags = -6.2574519e-009 ++pags = 3.0536365e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0071507123 ++lketa = -2.2657878e-008 ++wketa = 2.1061652e-009 ++pketa = -2.5021242e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37814802 ++lpclm = 2.3288533e-008 ++wpclm = 1.4532708e-007 ++ppclm = -2.9317233e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00025119377 ++lpdiblc2= 2.3704904e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011002657 ++lalpha0 = -5.1176698e-011 ++walpha0 = -5.3214657e-012 ++palpha0 = 2.9909383e-018 ++alpha1 = 0 ++beta0 = 43.086027 ++lbeta0 = -4.8934045e-006 ++wbeta0 = 3.9093553e-009 ++pbeta0 = -4.6443141e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30282331 ++lkt1 = -4.8419541e-009 ++wkt1 = 3.5856399e-008 ++pkt1 = -2.8190987e-014 ++kt1l = 0 ++kt2 = -0.010678274 ++lkt2 = -2.8366187e-009 ++wkt2 = -2.9369032e-009 ++pkt2 = 3.489041e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4652457e-018 ++lub1 = -1.8354751e-025 ++wub1 = -3.9237991e-025 ++pub1 = 7.8256693e-032 ++uc1 = -3.52542e-011 ++luc1 = -2.378795e-017 ++wuc1 = -5.9957334e-017 ++puc1 = 2.9259179e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69275726 ++lvth0 = -4.4220735e-009 ++wvth0 = -3.7851852e-010 ++pvth0 = 3.780643e-015 ++k1 = 1.0136972 ++lk1 = -6.7890933e-008 ++k2 = -0.036022469 ++lk2 = 3.7685735e-009 ++wk2 = 3.995242e-009 ++pk2 = -4.1776105e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098144776 ++lvoff = 2.8343515e-009 ++wvoff = 2.84627e-009 ++pvoff = -2.8428545e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01103441 ++lu0 = 1.3015701e-009 ++wu0 = -1.8930146e-009 ++pu0 = 4.0188235e-016 ++ua = 6.6815328e-010 ++lua = 2.2878304e-016 ++wua = -3.8106455e-016 ++pua = 2.7383197e-022 ++ub = 9.7529642e-019 ++wub = -4.0585597e-026 ++uc = -1.6546655e-011 ++luc = 5.9265346e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1829466 ++la0 = -2.9511192e-007 ++wa0 = -7.1142556e-009 ++pa0 = 7.1057185e-014 ++ags = 0.1669723 ++lags = 1.087083e-007 ++wags = -5.1509361e-009 ++pags = 1.7390958e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068457125 ++lketa = -2.3020218e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34321309 ++lpclm = 6.4791222e-008 ++wpclm = -1.6287652e-008 ++ppclm = 1.6268107e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.88813e-005 ++lpdiblc2= 4.4175626e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002140198 ++lalpha0 = -2.4630203e-009 ++walpha0 = 3.7851852e-013 ++palpha0 = -3.780643e-018 ++alpha1 = 0 ++beta0 = 44.155152 ++lbeta0 = -6.1635247e-006 ++wbeta0 = 6.9375436e-007 ++pbeta0 = -8.2418018e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.946 ++lnoff = 5.39352e-007 ++voffcv = 0.0216 ++lvoffcv = -2.157408e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29670156 ++lkt1 = -1.2114597e-008 ++wkt1 = -2.4581904e-008 ++pkt1 = 4.3609717e-014 ++kt1l = 0 ++kt2 = -0.012755062 ++lkt2 = -3.6939483e-010 ++wkt2 = -3.1187122e-009 ++pkt2 = 3.7050301e-015 ++ute = -1 ++ua1 = 1.3959912e-009 ++lua1 = 1.2356248e-016 ++wua1 = 1.2793085e-016 ++pua1 = -1.5198185e-022 ++ub1 = -2.6566947e-018 ++lub1 = 4.3893906e-026 ++wub1 = 4.4078482e-026 ++pub1 = -4.4025588e-031 ++uc1 = -6.6595019e-011 ++luc1 = 1.3444942e-017 ++wuc1 = 2.0808425e-017 ++puc1 = -6.6690543e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.6932 ++k1 = 1.0069 ++k2 = -0.035645159 ++wk2 = 3.9534157e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011164724 ++wu0 = -1.8527781e-009 ++ua = 6.9105907e-010 ++wua = -3.5364845e-016 ++ub = 9.7529642e-019 ++wub = -4.0585597e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17785619 ++wags = -4.9768176e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538059 ++wbeta0 = 6.1123732e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29791448 ++wkt1 = -2.0215693e-008 ++kt1l = 0 ++kt2 = -0.012792045 ++wkt2 = -2.7477641e-009 ++ute = -1 ++ua1 = 1.4083623e-009 ++wua1 = 1.1271441e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5248909e-011 ++wuc1 = 1.4131358e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.68753091 ++lvth0 = -7.4903564e-009 ++k1 = 0.99566618 ++lk1 = -3.3094297e-008 ++k2 = -0.0017606545 ++lk2 = -1.6738569e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011225709 ++lu0 = 1.65739e-009 ++ua = 3.5504727e-010 ++lua = 5.9875293e-016 ++ub = 9.7125e-019 ++uc = 1.26214e-010 ++luc = -5.296752e-018 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.86832964 ++la0 = -1.3770366e-007 ++ags = 0.31597309 ++lags = 6.5035316e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.088067836 ++lketa = 1.6682688e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33743927 ++lpclm = 4.7302195e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.7661218e-006 ++lalpha0 = -2.2103091e-012 ++alpha1 = 0 ++beta0 = 37.749218 ++lbeta0 = -2.2893145e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29966618 ++lkt1 = -7.4487433e-009 ++kt1l = 0 ++kt2 = -0.019731636 ++lkt2 = 1.7863906e-009 ++ute = -1 ++ua1 = 1.7962618e-009 ++lua1 = -1.4457577e-016 ++ub1 = -2.6060018e-018 ++lub1 = -1.2614711e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69154309 ++lvth0 = -5.5324142e-009 ++k1 = 0.976558 ++lk1 = -2.3769504e-008 ++k2 = -0.029995411 ++lk2 = -2.9600072e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010129663 ++lu0 = 2.1922605e-009 ++ua = 3.3242766e-010 ++lua = 6.097913e-016 ++ub = 9.7125e-019 ++uc = -2.3839657e-011 ++luc = 6.7929433e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1863276 ++la0 = -2.9288667e-007 ++ags = 0.2084804 ++lags = 5.8959965e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0069407257 ++lketa = -2.2907342e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39263726 ++lpclm = 2.0365578e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00025119377 ++lpdiblc2= 2.3704904e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00010949602 ++lalpha0 = -5.0878499e-011 ++alpha1 = 0 ++beta0 = 43.086417 ++lbeta0 = -4.8938676e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2992484 ++lkt1 = -7.6526208e-009 ++kt1l = 0 ++kt2 = -0.010971086 ++lkt2 = -2.4887582e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5043663e-018 ++lub1 = -1.7574525e-025 ++uc1 = -4.1232e-011 ++luc1 = -2.0870784e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.692795 ++lvth0 = -4.04514e-009 ++k1 = 1.0136972 ++lk1 = -6.7890933e-008 ++k2 = -0.03562414 ++lk2 = 3.7269223e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010845675 ++lu0 = 1.3416381e-009 ++ua = 6.301608e-010 ++lua = 2.5608433e-016 ++ub = 9.7125e-019 ++uc = -1.6546655e-011 ++luc = 5.9265346e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1822374 ++la0 = -2.8802745e-007 ++ags = 0.16645875 ++lags = 1.0888169e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068457125 ++lketa = -2.3020217e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.3415892 ++lpclm = 8.101067e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.88813e-005 ++lpdiblc2= 4.4175626e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021402357 ++lalpha0 = -2.4633972e-009 ++alpha1 = 0 ++beta0 = 44.22432 ++lbeta0 = -6.2456962e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.946 ++lnoff = 5.39352e-007 ++voffcv = 0.0216 ++lvoffcv = -2.157408e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2991524 ++lkt1 = -7.7666688e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.408746e-009 ++lua1 = 1.0840975e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.45204e-011 ++luc1 = 6.7958352e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.5e-009 ++toxp = 7.5e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -1.2e-008 ++xw = 1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.6932 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.116e-010 ++cgdo = 1.116e-010 ++cgbo = 1e-013 ++cgdl = 3.6e-011 ++cgsl = 3.6e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + + +.endl pfet_03v3_f +* +* +.lib pfet_03v3_s + + +.subckt pfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model pfet_03v3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.82463101 ++lvth0 = -8.0025899e-009 ++wvth0 = 3.8459166e-009 ++pvth0 = 2.3657625e-015 ++k1 = 0.87469564 ++lk1 = 3.4485427e-009 ++wk1 = 6.4792254e-008 ++pk1 = -2.1052724e-014 ++k2 = 0.029736614 ++lk2 = -2.6287876e-008 ++wk2 = -2.0504604e-008 ++pk2 = 3.4285051e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094659997 ++lvoff = -1.6389133e-009 ++wvoff = -1.6325113e-009 ++pvoff = 8.358458e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.007549639 ++lu0 = 2.570498e-009 ++wu0 = 6.147434e-010 ++pu0 = -5.2838208e-016 ++ua = -8.9886117e-011 ++lua = 1.092789e-015 ++wua = 1.8563411e-017 ++pua = -1.9251499e-022 ++ub = 6.8226823e-019 ++lub = -1.5325276e-025 ++wub = -4.6603138e-027 ++pub = 1.3608116e-033 ++uc = 8.5033561e-011 ++luc = 8.679411e-018 ++wuc = -1.2200571e-017 ++puc = -4.490963e-024 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.0456883 ++la0 = -2.1845471e-007 ++wa0 = 1.066516e-008 ++pa0 = -2.7472452e-015 ++ags = 0.1800417 ++lags = 1.1100987e-007 ++wags = -2.0850684e-008 ++pags = -1.2124889e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.067725055 ++lketa = 9.1402523e-009 ++wketa = -7.1778231e-009 ++pketa = 3.6750454e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3525051 ++lpclm = 7.6191219e-008 ++wpclm = 2.6980295e-008 ++ppclm = 6.5649197e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1734592e-005 ++lalpha0 = -3.2039603e-012 ++walpha0 = -1.021993e-013 ++palpha0 = 6.4165969e-020 ++alpha1 = 0 ++beta0 = 40.100221 ++lbeta0 = -3.8596059e-006 ++wbeta0 = 1.8790089e-007 ++pbeta0 = 1.1871516e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28327733 ++lkt1 = -1.6892981e-008 ++wkt1 = -1.0656933e-008 ++pkt1 = 1.9472702e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1565682e-009 ++lua1 = 1.7583709e-016 ++wua1 = 1.7515023e-016 ++pua1 = -8.9676916e-023 ++ub1 = -2.0546713e-018 ++lub1 = -7.0652532e-025 ++wub1 = -1.4365289e-025 ++pub1 = 1.5005937e-031 ++uc1 = -2.5583291e-010 ++luc1 = 6.134421e-017 ++wuc1 = 3.9521569e-017 ++puc1 = -1.4109168e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.85684939 ++lvth0 = 8.4932211e-009 ++wvth0 = 5.5796737e-009 ++pvth0 = 1.4780788e-015 ++k1 = 1.0114941 ++lk1 = -6.6592286e-008 ++wk1 = -1.7315587e-008 ++pk1 = 2.0986491e-014 ++k2 = -0.018959971 ++lk2 = -1.3552238e-009 ++wk2 = -2.2571871e-009 ++pk2 = -5.9141722e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12389995 ++lvoff = 1.3331945e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010245409 ++lu0 = 1.1902635e-009 ++wu0 = -2.2946106e-010 ++pu0 = -9.6149399e-017 ++ua = 3.5072904e-010 ++lua = 8.6719409e-016 ++wua = -3.7369482e-017 ++pua = -1.6387735e-022 ++ub = 1.07928e-018 ++lub = -3.5652279e-025 ++wub = -1.8258434e-025 ++pub = 9.2457915e-032 ++uc = -1.5016171e-011 ++luc = 5.9904874e-017 ++wuc = -6.8025157e-018 ++puc = -7.2547674e-024 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1595369 ++la0 = -2.7674521e-007 ++wa0 = 3.6902724e-008 ++pa0 = -1.6180878e-014 ++ags = 0.18927812 ++lags = 1.0628082e-007 ++wags = 1.3597033e-008 ++pags = -2.976212e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0048215378 ++lketa = -2.3066349e-008 ++wketa = -2.09262e-009 ++pketa = 1.0714215e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.26103151 ++lpclm = 1.230257e-007 ++wpclm = 8.0632068e-008 ++ppclm = -2.0904788e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024138051 ++lpdiblc2= 2.5373158e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.659374e-005 ++lalpha0 = -3.6411844e-011 ++walpha0 = 4.5607375e-012 ++palpha0 = -2.3232577e-018 ++alpha1 = 0 ++beta0 = 42.543923 ++lbeta0 = -5.1107814e-006 ++wbeta0 = 2.5801452e-007 ++pbeta0 = 8.2816979e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3049182 ++lkt1 = -5.8128509e-009 ++wkt1 = 2.3842925e-008 ++pkt1 = -1.5716657e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2298632e-018 ++lub1 = -6.1682707e-025 ++wub1 = -3.2919886e-026 ++pub1 = 9.3364074e-032 ++uc1 = -7.4455718e-011 ++luc1 = -3.1520912e-017 ++wuc1 = 5.7861122e-018 ++puc1 = 3.1633858e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84215481 ++lvth0 = -9.3166113e-009 ++wvth0 = 3.453293e-009 ++pvth0 = 4.0552523e-015 ++k1 = 0.95738704 ++lk1 = -1.0144952e-009 ++wk1 = 2.8788238e-008 ++pk1 = -3.4891345e-014 ++k2 = -0.012190361 ++lk2 = -9.5599917e-009 ++wk2 = -1.4159481e-008 ++pk2 = 8.5114085e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097618657 ++lvoff = -1.8520988e-008 ++wvoff = 9.3275798e-010 ++pvoff = -1.1305027e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087662296 ++lu0 = 2.9830294e-009 ++wu0 = 2.0634563e-010 ++pu0 = -6.2434711e-016 ++ua = 3.9320136e-010 ++lua = 8.1571763e-016 ++wua = -4.9350043e-017 ++pua = -1.4935691e-022 ++ub = 8.4309868e-019 ++lub = -7.0271026e-026 ++wub = -1.0306741e-025 ++pub = -3.9166112e-033 ++uc = -4.4040887e-011 ++luc = 9.508283e-017 ++wuc = 1.7612973e-018 ++puc = -1.7634109e-023 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.2627338 ++la0 = -4.0181986e-007 ++wa0 = -3.2437753e-009 ++pa0 = 3.2476679e-014 ++ags = 0.15723172 ++lags = 1.4512107e-007 ++wags = 6.9911181e-010 ++pags = -1.4129839e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.00041211629 ++lketa = -2.8410567e-008 ++wketa = -3.2573878e-009 ++pketa = 2.48312e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32178284 ++lpclm = 4.939508e-008 ++wpclm = 4.6063177e-009 ++ppclm = 7.1238421e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.7987791e-005 ++lpdiblc2= 4.5176356e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020630943 ++lalpha0 = -2.4440505e-009 ++walpha0 = 2.0941071e-011 ++palpha0 = -2.2176222e-017 ++alpha1 = 0 ++beta0 = 44.489973 ++lbeta0 = -7.4693929e-006 ++wbeta0 = 3.7925089e-007 ++pbeta0 = -6.4121511e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9449091 ++lnoff = 5.5157018e-007 ++voffcv = 0.022036364 ++lvoffcv = -2.2062807e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26928515 ++lkt1 = -4.9000116e-008 ++wkt1 = -9.743475e-009 ++pkt1 = 2.4990059e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.00524e-018 ++lub1 = 3.2292957e-025 ++wub1 = 1.7999938e-025 ++pub1 = -1.6469408e-031 ++uc1 = -3.6473795e-011 ++luc1 = -7.7555003e-017 ++wuc1 = -1.1565903e-017 ++puc1 = 2.4194028e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84308536 ++wvth0 = 3.8583321e-009 ++k1 = 0.95728571 ++wk1 = 2.5303286e-008 ++k2 = -0.013145214 ++wk2 = -1.3309361e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099468536 ++wvoff = 8.1984321e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.009064175 ++wu0 = 1.4398575e-010 ++ua = 4.7467536e-010 ++wua = -6.4267832e-017 ++ub = 8.3608e-019 ++wub = -1.034586e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.2226 ++ags = 0.17172643 ++wags = -7.1217857e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0032497679 ++wketa = -3.0093734e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32671643 ++wpclm = 1.1721621e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018189821 ++walpha0 = 1.8726107e-011 ++alpha1 = 0 ++beta0 = 43.743929 ++wbeta0 = 3.7284643e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27417929 ++wkt1 = -7.2474643e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9729857e-018 ++wub1 = 1.6354971e-025 ++uc1 = -4.422e-011 ++wuc1 = -9.1494e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84559037 ++lvth0 = -2.2948864e-009 ++wvth0 = 1.4535189e-008 ++pvth0 = -5.4516634e-016 ++k1 = 1.0017393 ++lk1 = -3.7831308e-008 ++k2 = 0.015787252 ++lk2 = -2.1838311e-008 ++wk2 = -1.3390429e-008 ++pk2 = 1.1592273e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090330668 ++lu0 = 1.9585121e-009 ++wu0 = -1.4180477e-010 ++pu0 = -2.1626928e-016 ++ua = -1.4390099e-010 ++lua = 7.9824069e-016 ++wua = 4.6110994e-017 ++pua = -4.2295322e-023 ++ub = 1.7663512e-018 ++lub = -3.2854766e-025 ++wub = -5.5754264e-025 ++pub = 9.0761209e-032 ++uc = 8.5435958e-011 ++luc = 1.3188534e-017 ++wuc = -1.2405794e-017 ++puc = -6.7906158e-024 ++eu = 1.67 ++vsat = 84000 ++a0 = 0.68036585 ++la0 = -1.1106099e-007 ++wa0 = 1.969796e-007 ++pa0 = -5.7518044e-014 ++ags = 0.19813874 ++lags = 7.4525608e-008 ++wags = -3.0080179e-008 ++pags = 6.4820876e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10659611 ++lketa = 2.3586916e-008 ++wketa = 1.2646415e-008 ++pketa = -3.6927531e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37605618 ++lpclm = 2.9589851e-008 ++wpclm = 1.4969243e-008 ++ppclm = 3.0331617e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.233251e-005 ++lalpha0 = -3.2296894e-012 ++walpha0 = -4.0713741e-013 ++palpha0 = 7.7287812e-020 ++alpha1 = 0 ++beta0 = 38.487806 ++lbeta0 = -2.9055811e-006 ++wbeta0 = 1.0102328e-006 ++pbeta0 = -3.6783751e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33207273 ++lkt1 = 4.5744341e-010 ++wkt1 = 1.4228723e-008 ++pkt1 = -6.9014464e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 2.02998e-009 ++lua1 = -2.7134976e-016 ++wua1 = -2.702898e-016 ++pua1 = 1.3838838e-022 ++ub1 = -2.8805891e-018 ++lub1 = -3.486841e-026 ++wub1 = 2.7756517e-025 ++pub1 = -1.9248565e-031 ++uc1 = 7.8595636e-012 ++luc1 = -3.6378401e-017 ++wuc1 = -9.4961592e-017 ++puc1 = 3.5729363e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84960533 ++lvth0 = -2.3922604e-010 ++wvth0 = 1.8852027e-009 ++pvth0 = 5.9316269e-015 ++k1 = 0.977542 ++lk1 = -2.5442304e-008 ++k2 = -0.026820609 ++lk2 = -2.308677e-011 ++wk2 = 1.7517378e-009 ++pk2 = -6.593562e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12389995 ++lvoff = 1.3331945e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097165838 ++lu0 = 1.6085514e-009 ++wu0 = 4.0239958e-011 ++pu0 = -3.0947618e-016 ++ua = 2.5647799e-010 ++lua = 5.9324665e-016 ++wua = 1.0698549e-017 ++pua = -2.416415e-023 ++ub = 1.270125e-018 ++lub = -7.4479844e-026 ++wub = -2.799153e-025 ++pub = -5.1383988e-032 ++uc = 6.6760848e-012 ++luc = 5.351359e-017 ++wuc = -1.7865566e-017 ++puc = -3.9952125e-024 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.3532832 ++la0 = -4.5559467e-007 ++wa0 = -6.1907875e-008 ++pa0 = 7.5032345e-014 ++ags = 0.19095588 ++lags = 7.8203234e-008 ++wags = 1.2741377e-008 ++pags = -1.5442549e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0011314055 ++lketa = -3.0411013e-008 ++wketa = -3.9745875e-009 ++pketa = 4.8172e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55256055 ++lpclm = -6.0780385e-008 ++wpclm = -6.8047743e-008 ++ppclm = 7.2836314e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024138051 ++lpdiblc2= 2.5373158e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00012387909 ++lalpha0 = -6.0341539e-011 ++walpha0 = -1.9554792e-011 ++palpha0 = 9.8808868e-018 ++alpha1 = 0 ++beta0 = 43.468326 ++lbeta0 = -5.4556076e-006 ++wbeta0 = -2.1343096e-007 ++pbeta0 = 2.5867832e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28298126 ++lkt1 = -2.4677389e-008 ++wkt1 = 1.2655084e-008 ++pkt1 = -6.095743e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.1325568e-018 ++lub1 = 9.4139058e-026 ++wub1 = 4.2745384e-025 ++pub1 = -2.6922865e-031 ++uc1 = -9.9219566e-011 ++luc1 = 1.8446114e-017 ++wuc1 = 1.8415675e-017 ++puc1 = -2.2319798e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8486567 ++lvth0 = -1.3889719e-009 ++wvth0 = 6.7692544e-009 ++pvth0 = 1.2156213e-017 ++k1 = 1.0138346 ++lk1 = -6.9428897e-008 ++k2 = -0.027555336 ++lk2 = 8.6740321e-010 ++wk2 = -6.323344e-009 ++pk2 = 3.1934371e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095789719 ++lvoff = -2.073766e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097261353 ++lu0 = 1.5969749e-009 ++wu0 = -2.832063e-010 ++pu0 = 8.2540685e-017 ++ua = 4.0187094e-010 ++lua = 4.1703039e-016 ++wua = -5.3771529e-017 ++pua = 5.3973585e-023 ++ub = 1.1618144e-018 ++lub = 5.6792621e-026 ++wub = -2.6561243e-025 ++pub = -6.8719071e-032 ++uc = 7.6151064e-013 ++luc = 6.0682053e-017 ++wuc = -2.1087925e-017 ++puc = -8.9712851e-026 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1202818 ++la0 = -1.7319698e-007 ++wa0 = 6.940676e-008 ++pa0 = -8.4120993e-014 ++ags = 0.16544644 ++lags = 1.0912068e-007 ++wags = -3.4903977e-009 ++pags = 4.2303621e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0067991511 ++lketa = -2.3541705e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.32866743 ++lpclm = 2.1057807e-007 ++wpclm = 1.0951762e-009 ++ppclm = -1.0964904e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.7987791e-005 ++lpdiblc2= 4.5176356e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021756117 ++lalpha0 = -2.5470415e-009 ++walpha0 = -3.644284e-011 ++palpha0 = 3.0349201e-017 ++alpha1 = 0 ++beta0 = 44.368279 ++lbeta0 = -6.5463501e-006 ++wbeta0 = 4.4131466e-007 ++pbeta0 = -5.3487336e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9449091 ++lnoff = 5.5157018e-007 ++voffcv = 0.022036364 ++lvoffcv = -2.2062807e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33738473 ++lkt1 = 4.1259616e-008 ++wkt1 = 2.4987313e-008 ++pkt1 = -2.1042404e-014 ++kt1l = 0 ++kt2 = -0.01692062 ++lkt2 = 4.6717994e-009 ++wkt2 = 1.9658562e-009 ++pkt2 = -2.3826177e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5968532e-018 ++lub1 = -5.5513372e-025 ++wub1 = -2.8277886e-026 ++pub1 = 2.831182e-031 ++uc1 = -4.2632236e-011 ++luc1 = -5.013773e-017 ++wuc1 = -8.425098e-018 ++puc1 = 1.0211219e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84879543 ++wvth0 = 6.7704686e-009 ++k1 = 1.0069 ++k2 = -0.0274687 ++wk2 = -6.004383e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098856414 ++wu0 = -2.7496213e-010 ++ua = 4.43524e-010 ++wua = -4.838064e-017 ++ub = 1.1674869e-018 ++wub = -2.724761e-025 ++uc = 6.8224429e-012 ++wuc = -2.1096886e-017 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1029829 ++wa0 = 6.1004743e-008 ++ags = 0.17634543 ++wags = -3.0678686e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019212129 ++walpha0 = -3.3411557e-011 ++alpha1 = 0 ++beta0 = 43.714429 ++wbeta0 = 3.8789143e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33326371 ++wkt1 = 2.2885594e-008 ++kt1l = 0 ++kt2 = -0.016454 ++wkt2 = 1.72788e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.764e-011 ++wuc1 = -7.4052e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83651091 ++lvth0 = -9.3623482e-009 ++wvth0 = 3.5490455e-009 ++pvth0 = 8.0064625e-015 ++k1 = 1.0017393 ++lk1 = -3.7831308e-008 ++k2 = 0.00084217568 ++lk2 = -1.8894426e-008 ++wk2 = 4.6931134e-009 ++pk2 = -2.4028741e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011197346 ++lu0 = 1.9104148e-009 ++wu0 = -2.7605828e-009 ++pu0 = -1.5807151e-016 ++ua = 2.9342841e-010 ++lua = 6.7361745e-016 ++wua = -4.8305758e-016 ++pua = 1.0849879e-022 ++ub = 9.252807e-019 ++lub = 3.486153e-026 ++wub = 4.6015269e-025 ++pub = -3.4896391e-031 ++uc = 1.3433638e-010 ++luc = -7.929225e-018 ++wuc = -7.1575304e-017 ++puc = 1.8761873e-023 ++eu = 1.67 ++vsat = 84000 ++a0 = 0.90053503 ++la0 = -1.5725154e-007 ++wa0 = -6.9425106e-008 ++pa0 = -1.6274819e-015 ++ags = 0.33423596 ++lags = -2.5272122e-009 ++wags = -1.9475781e-007 ++pags = 9.9716e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.090439654 ++lketa = 1.8869231e-008 ++wketa = -6.902896e-009 ++pketa = 2.0156456e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32055448 ++lpclm = 5.399252e-008 ++wpclm = 8.2126294e-008 ++ppclm = 8.0438758e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.9208915e-006 ++lalpha0 = -2.4388052e-012 ++walpha0 = 2.5109209e-012 ++palpha0 = -8.79682e-019 ++alpha1 = 0 ++beta0 = 38.010737 ++lbeta0 = -2.5355199e-006 ++wbeta0 = 1.5874859e-006 ++pbeta0 = -8.156116e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29527232 ++lkt1 = -8.9643788e-009 ++wkt1 = -3.029977e-008 ++pkt1 = 4.4989585e-015 ++kt1l = 0 ++kt2 = -0.021021054 ++lkt2 = 2.3228759e-009 ++wkt2 = 9.625616e-009 ++pkt2 = -2.8106799e-015 ++ute = -1 ++ua1 = 1.8250192e-009 ++lua1 = -1.6640984e-016 ++wua1 = -2.2287265e-017 ++pua1 = 1.141108e-023 ++ub1 = -2.5734555e-018 ++lub1 = -1.373636e-025 ++wub1 = -9.4066473e-026 ++pub1 = -6.8466478e-032 ++uc1 = -8.58396e-011 ++luc1 = 9.418752e-019 ++wuc1 = 1.8414396e-017 ++puc1 = -9.4281708e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84038823 ++lvth0 = -7.3771601e-009 ++wvth0 = -9.2674868e-009 ++pvth0 = 1.4568527e-014 ++k1 = 0.977542 ++lk1 = -2.5442304e-008 ++k2 = -0.030491622 ++lk2 = -2.8515216e-009 ++wk2 = 6.1936639e-009 ++pk2 = -3.1711559e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094280644 ++lvoff = -1.8331424e-009 ++wvoff = -3.5839366e-008 ++pvoff = 1.8349755e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010078656 ++lu0 = 2.4831844e-009 ++wu0 = -3.9786675e-010 ++pu0 = -1.3677821e-015 ++ua = 3.1294007e-010 ++lua = 6.6362748e-016 ++wua = -5.7620563e-017 ++pua = -1.0932496e-022 ++ub = 9.6196324e-019 ++lub = 1.6080068e-026 ++wub = 9.2960446e-026 ++pub = -1.6096148e-031 ++uc = -2.9204174e-011 ++luc = 7.5803538e-017 ++wuc = 2.5549547e-017 ++puc = -3.0966051e-023 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1841981 ++la0 = -3.0248705e-007 ++wa0 = 1.4268502e-007 ++pa0 = -1.1022787e-013 ++ags = 0.20666573 ++lags = 6.2788747e-008 ++wags = -6.2675398e-009 ++pags = 3.2089804e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0062091486 ++lketa = -2.4256788e-008 ++wketa = 2.1694816e-009 ++pketa = -2.6294117e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37742149 ++lpclm = 2.4876612e-008 ++wpclm = 1.4387051e-007 ++ppclm = -3.0808652e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024138051 ++lpdiblc2= 2.5373158e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011213634 ++lalpha0 = -5.4773114e-011 ++walpha0 = -5.3460607e-012 ++palpha0 = 3.1430926e-018 ++alpha1 = 0 ++beta0 = 43.288609 ++lbeta0 = -5.2377903e-006 ++wbeta0 = 4.02688e-009 ++pbeta0 = -4.8805786e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30256285 ++lkt1 = -5.2316292e-009 ++wkt1 = 3.6348805e-008 ++pkt1 = -2.9625112e-014 ++kt1l = 0 ++kt2 = -0.01056584 ++lkt2 = -3.0301939e-009 ++wkt2 = -3.0251936e-009 ++pkt2 = 3.6665346e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4582886e-018 ++lub1 = -1.9632904e-025 ++wub1 = -3.8841067e-025 ++pub1 = 8.2237749e-032 ++uc1 = -3.43686e-011 ++luc1 = -2.5411277e-017 ++wuc1 = -6.0053994e-017 ++puc1 = 3.0747645e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84274894 ++lvth0 = -4.5159809e-009 ++wvth0 = -3.7912875e-010 ++pvth0 = 3.795837e-015 ++k1 = 1.0138346 ++lk1 = -6.9428897e-008 ++k2 = -0.036023613 ++lk2 = 3.853252e-009 ++wk2 = 3.9232712e-009 ++pk2 = -4.1943999e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098145801 ++lvoff = 2.8514282e-009 ++wvoff = 2.8508586e-009 ++pvoff = -2.8542797e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011028707 ++lu0 = 1.3317214e-009 ++wu0 = -1.8593186e-009 ++pu0 = 4.0349748e-016 ++ua = 6.6707187e-010 ++lua = 2.3441974e-016 ++wua = -3.7466465e-016 ++pua = 2.7493248e-022 ++ub = 9.7523062e-019 ++wub = -3.9846056e-026 ++uc = -1.6666527e-011 ++luc = 6.0607911e-017 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1835318 ++la0 = -3.0167941e-007 ++wa0 = -7.1257249e-009 ++pa0 = 7.1342757e-014 ++ags = 0.16674407 ++lags = 1.111738e-007 ++wags = -5.0605305e-009 ++pags = 1.746085e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0067991511 ++lketa = -2.3541705e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34305511 ++lpclm = 6.6528672e-008 ++wpclm = -1.631391e-008 ++ppclm = 1.6333487e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.7987791e-005 ++lpdiblc2= 4.5176356e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021451803 ++lalpha0 = -2.5188225e-009 ++walpha0 = 3.7912875e-013 ++palpha0 = -3.795837e-018 ++alpha1 = 0 ++beta0 = 44.168746 ++lbeta0 = -6.3045161e-006 ++wbeta0 = 6.8274957e-007 ++pbeta0 = -8.2749248e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9449091 ++lnoff = 5.5157018e-007 ++voffcv = 0.022036364 ++lvoffcv = -2.2062807e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29671705 ++lkt1 = -1.2316734e-008 ++wkt1 = -2.4220578e-008 ++pkt1 = 4.378498e-014 ++kt1l = 0 ++kt2 = -0.012759382 ++lkt2 = -3.7162041e-010 ++wkt2 = -3.0692412e-009 ++pkt2 = 3.7199203e-015 ++ute = -1 ++ua1 = 1.3959491e-009 ++lua1 = 1.2610963e-016 ++wua1 = 1.2590153e-016 ++pua1 = -1.5259265e-022 ++ub1 = -2.6567105e-018 ++lub1 = 4.4158364e-026 ++wub1 = 4.4149543e-026 ++pub1 = -4.4202522e-031 ++uc1 = -6.658826e-011 ++luc1 = 1.3638952e-017 ++wuc1 = 2.0561691e-017 ++puc1 = -6.6958565e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8432 ++k1 = 1.0069 ++k2 = -0.03563875 ++wk2 = 3.8813775e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01116172 ++wu0 = -1.8190172e-009 ++ua = 6.9048575e-010 ++wua = -3.4720436e-016 ++ub = 9.7523062e-019 ++wub = -3.9846056e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1534 ++ags = 0.17784813 ++wags = -4.8861312e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.53905 ++wbeta0 = 6.000995e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29794725 ++wkt1 = -1.9847327e-008 ++kt1l = 0 ++kt2 = -0.0127965 ++wkt2 = -2.697695e-009 ++ute = -1 ++ua1 = 1.408545e-009 ++wua1 = 1.1066055e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5226e-011 ++wuc1 = 1.387386e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83615636 ++lvth0 = -8.5625018e-009 ++k1 = 1.0017393 ++lk1 = -3.7831308e-008 ++k2 = 0.0013110182 ++lk2 = -1.9134473e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010921564 ++lu0 = 1.8946234e-009 ++ua = 2.4517091e-010 ++lua = 6.844565e-016 ++ub = 9.7125e-019 ++uc = 1.27186e-010 ++luc = -6.054912e-018 ++eu = 1.67 ++vsat = 84000 ++a0 = 0.89359946 ++la0 = -1.5741412e-007 ++ags = 0.31477964 ++lags = 7.4344262e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.091129254 ++lketa = 1.9070594e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.32875891 ++lpclm = 5.4072878e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.0171733e-005 ++lalpha0 = -2.5266856e-012 ++alpha1 = 0 ++beta0 = 38.169327 ++lbeta0 = -2.6169996e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29829927 ++lkt1 = -8.5149324e-009 ++kt1l = 0 ++kt2 = -0.020059454 ++lkt2 = 2.0420887e-009 ++ute = -1 ++ua1 = 1.8227927e-009 ++lua1 = -1.6526988e-016 ++ub1 = -2.5828527e-018 ++lub1 = -1.442034e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84131406 ++lvth0 = -5.9217627e-009 ++k1 = 0.977542 ++lk1 = -2.5442304e-008 ++k2 = -0.029872874 ++lk2 = -3.1683204e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010038909 ++lu0 = 2.3465428e-009 ++ua = 3.0718377e-010 ++lua = 6.5270591e-016 ++ub = 9.7125e-019 ++uc = -2.6651771e-011 ++luc = 7.2710027e-017 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1984524 ++la0 = -3.1349883e-007 ++ags = 0.2060396 ++lags = 6.3109325e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0059924171 ++lketa = -2.4519466e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39179417 ++lpclm = 2.1798824e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024138051 ++lpdiblc2= 2.5373158e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011160227 ++lalpha0 = -5.4459119e-011 ++alpha1 = 0 ++beta0 = 43.289011 ++lbeta0 = -5.2382779e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2989316 ++lkt1 = -8.1911808e-009 ++kt1l = 0 ++kt2 = -0.010868057 ++lkt2 = -2.6639067e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4970909e-018 ++lub1 = -1.8811348e-025 ++uc1 = -4.0368e-011 ++luc1 = -2.2339584e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84278682 ++lvth0 = -4.1367764e-009 ++k1 = 1.0138346 ++lk1 = -6.9428897e-008 ++k2 = -0.035631678 ++lk2 = 3.81135e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010842961 ++lu0 = 1.3720308e-009 ++ua = 6.2964284e-010 ++lua = 2.6188552e-016 ++ub = 9.7125e-019 ++uc = -1.6666527e-011 ++luc = 6.0607911e-017 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1828199 ++la0 = -2.9455227e-007 ++ags = 0.16623852 ++lags = 1.1134823e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0067991511 ++lketa = -2.3541705e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34142534 ++lpclm = 8.2845841e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.7987791e-005 ++lpdiblc2= 4.5176356e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021452182 ++lalpha0 = -2.5192017e-009 ++alpha1 = 0 ++beta0 = 44.236953 ++lbeta0 = -6.3871827e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9449091 ++lnoff = 5.5157018e-007 ++voffcv = 0.022036364 ++lvoffcv = -2.2062807e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29913669 ++lkt1 = -7.9426106e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4085267e-009 ++lua1 = 1.1086561e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4534145e-011 ++luc1 = 6.9497843e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.3e-009 ++toxp = 8.3e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 1.2e-008 ++xw = -1e-008 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8432 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 84000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.364e-010 ++cgdo = 1.364e-010 ++cgbo = 1e-013 ++cgdl = 4.4e-011 ++cgsl = 4.4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=225 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + + +.endl pfet_03v3_s +* +* +.lib pfet_03v3_fs + + +.subckt pfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model pfet_03v3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.81501176 ++lvth0 = -7.9299856e-009 ++wvth0 = 4.0333546e-009 ++pvth0 = 2.388645e-015 ++k1 = 0.87205009 ++lk1 = 4.25291e-009 ++wk1 = 6.6268653e-008 ++pk1 = -2.1256353e-014 ++k2 = 0.029903393 ++lk2 = -2.5868222e-008 ++wk2 = -2.1061108e-008 ++pk2 = 3.4616668e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094635213 ++lvoff = -1.6386998e-009 ++wvoff = -1.6612803e-009 ++pvoff = 8.439304e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0075928117 ++lu0 = 2.5375194e-009 ++wu0 = 6.1967943e-010 ++pu0 = -5.3349279e-016 ++ua = -6.122012e-011 ++lua = 1.0774293e-015 ++wua = 1.383858e-017 ++pua = -1.9437706e-022 ++ub = 6.7836503e-019 ++lub = -1.5002929e-025 ++wub = -4.7707426e-027 ++pub = 1.3739739e-033 ++uc = 8.579096e-011 ++luc = 8.6809692e-018 ++wuc = -1.2711987e-017 ++puc = -4.5344012e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.039392 ++la0 = -2.1366429e-007 ++wa0 = 1.0928007e-008 ++pa0 = -2.7738176e-015 ++ags = 0.18391438 ++lags = 1.0913958e-007 ++wags = -2.1847527e-008 ++pags = -1.2242165e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.067178738 ++lketa = 8.7913147e-009 ++wketa = -7.3043146e-009 ++pketa = 3.7105918e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35338606 ++lpclm = 7.4286569e-008 ++wpclm = 2.8018309e-008 ++ppclm = 6.6284179e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1653153e-005 ++lalpha0 = -3.1380589e-012 ++walpha0 = -1.0367347e-013 ++palpha0 = 6.4786605e-020 ++alpha1 = 0 ++beta0 = 39.988812 ++lbeta0 = -3.7819465e-006 ++wbeta0 = 1.9714501e-007 ++pbeta0 = 1.1986341e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28327726 ++lkt1 = -1.6612629e-008 ++wkt1 = -1.0941603e-008 ++pkt1 = 1.9661049e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1539091e-009 ++lua1 = 1.7581418e-016 ++wua1 = 1.7823682e-016 ++pua1 = -9.0544304e-023 ++ub1 = -2.0676223e-018 ++lub1 = -6.9766226e-025 ++wub1 = -1.440724e-025 ++pub1 = 1.515108e-031 ++uc1 = -2.5586018e-010 ++luc1 = 6.0619732e-017 ++wuc1 = 4.0387143e-017 ++puc1 = -1.4245637e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.84703289 ++lvth0 = 8.3367507e-009 ++wvth0 = 5.7666931e-009 ++pvth0 = 1.508109e-015 ++k1 = 1.0117972 ++lk1 = -6.6738621e-008 ++wk1 = -1.772589e-008 ++pk1 = 2.1412875e-014 ++k2 = -0.018870868 ++lk2 = -1.0908973e-009 ++wk2 = -2.3681999e-009 ++pk2 = -6.0343307e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12381402 ++lvoff = 1.3184133e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010262889 ++lu0 = 1.18112e-009 ++wu0 = -2.3738733e-010 ++pu0 = -9.8102871e-017 ++ua = 3.5795686e-010 ++lua = 8.6448742e-016 ++wua = -3.9646086e-017 ++pua = -1.6720685e-022 ++ub = 1.0847393e-018 ++lub = -3.564674e-025 ++wub = -1.8776762e-025 ++pub = 9.4336388e-032 ++uc = -1.4338071e-011 ++luc = 5.9546517e-017 ++wuc = -7.0667866e-018 ++puc = -7.4021628e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1561845 ++la0 = -2.7299485e-007 ++wa0 = 3.7967e-008 ++pa0 = -1.6509626e-014 ++ags = 0.1893918 ++lags = 1.0635705e-007 ++wags = 1.3830885e-008 ++pags = -3.0366798e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0048813173 ++lketa = -2.2855775e-008 ++wketa = -2.151948e-009 ++pketa = 1.0931896e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25839327 ++lpclm = 1.2254291e-007 ++wpclm = 8.3053604e-008 ++ppclm = -2.1329512e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024301606 ++lpdiblc2= 2.5091844e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.6165264e-005 ++lalpha0 = -3.5910211e-011 ++walpha0 = 4.6901179e-012 ++palpha0 = -2.3704594e-018 ++alpha1 = 0 ++beta0 = 42.499959 ++lbeta0 = -5.0576089e-006 ++wbeta0 = 2.6675886e-007 ++pbeta0 = 8.4499576e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30596768 ++lkt1 = -5.0858969e-009 ++wkt1 = 2.4495559e-008 ++pkt1 = -1.6035973e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2324617e-018 ++lub1 = -6.1392387e-025 ++wub1 = -3.3344363e-026 ++pub1 = 9.5260957e-032 ++uc1 = -7.4906407e-011 ++luc1 = -3.1304785e-017 ++wuc1 = 5.9908956e-018 ++puc1 = 3.2276564e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83230514 ++lvth0 = -9.4543724e-009 ++wvth0 = 3.5643356e-009 ++pvth0 = 4.1685569e-015 ++k1 = 0.95616008 ++lk1 = 4.7102587e-010 ++wk1 = 2.9690576e-008 ++pk1 = -3.5866216e-014 ++k2 = -0.011590073 ++lk2 = -9.886097e-009 ++wk2 = -1.4606238e-008 ++pk2 = 8.7492189e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097664506 ++lvoff = -1.8404477e-008 ++wvoff = 9.6199433e-010 ++pvoff = -1.1620891e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087584261 ++lu0 = 2.9985114e-009 ++wu0 = 2.1268603e-010 ++pu0 = -6.4179149e-016 ++ua = 3.9557594e-010 ++lua = 8.1904358e-016 ++wua = -5.0968009e-017 ++pua = -1.5352997e-022 ++ub = 8.474689e-019 ++lub = -6.9844795e-026 ++wub = -1.0634177e-025 ++pub = -4.0260421e-033 ++uc = -4.4084373e-011 ++luc = 9.548005e-017 ++wuc = 1.8112319e-018 ++puc = -1.8126809e-023 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.2627392 ++la0 = -4.0171294e-007 ++wa0 = -3.3357398e-009 ++pa0 = 3.3384084e-014 ++ags = 0.15724995 ++lags = 1.451844e-007 ++wags = 7.1650729e-010 ++pags = -1.452463e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.00028266778 ++lketa = -2.8410943e-008 ++wketa = -3.3599854e-009 ++pketa = 2.5524988e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32160177 ++lpclm = 4.6187031e-008 ++wpclm = 4.7768253e-009 ++ppclm = 7.3228837e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8136709e-005 ++lpdiblc2= 4.500927e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020613963 ++lalpha0 = -2.4340693e-009 ++walpha0 = 2.1598538e-011 ++palpha0 = -2.279583e-017 ++alpha1 = 0 ++beta0 = 44.471345 ++lbeta0 = -7.439044e-006 ++wbeta0 = 3.9127265e-007 ++pbeta0 = -6.5913079e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9450909 ++lnoff = 5.4953018e-007 ++voffcv = 0.021963636 ++lvoffcv = -2.1981207e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26888633 ++lkt1 = -4.9880168e-008 ++wkt1 = -1.0044391e-008 ++pkt1 = 2.5688286e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0128038e-018 ++lub1 = 3.2872945e-025 ++wub1 = 1.8565946e-025 ++pub1 = -1.6929566e-031 ++uc1 = -3.6006695e-011 ++luc1 = -7.8295637e-017 ++wuc1 = -1.1924963e-017 ++puc1 = 2.4870014e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83324982 ++wvth0 = 3.980858e-009 ++k1 = 0.95620714 ++wk1 = 2.6106821e-008 ++k2 = -0.012577893 ++wk2 = -1.3732015e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099503482 ++wvoff = 8.458783e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090580375 ++wu0 = 1.4855819e-010 ++ua = 4.7741482e-010 ++wua = -6.6308733e-017 ++ub = 8.4049e-019 ++wub = -1.0674405e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.2226 ++ags = 0.17175679 ++wags = -7.3479464e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0031214911 ++wketa = -3.1049396e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32621679 ++wpclm = 1.2093855e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018181839 ++walpha0 = 1.9320777e-011 ++alpha1 = 0 ++beta0 = 43.728036 ++wbeta0 = 3.8468661e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27387036 ++wkt1 = -7.4776161e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9799571e-018 ++wub1 = 1.6874343e-025 ++uc1 = -4.383e-011 ++wuc1 = -9.43995e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83576942 ++lvth0 = -2.2414438e-009 ++wvth0 = 1.4723553e-008 ++pvth0 = -5.4095405e-016 ++k1 = 1.0007271 ++lk1 = -3.7021562e-008 ++k2 = 0.015311208 ++lk2 = -2.1380073e-008 ++wk2 = -1.3546134e-008 ++pk2 = 1.1502704e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090866629 ++lu0 = 1.9183067e-009 ++wu0 = -1.4965392e-010 ++pu0 = -2.1459826e-016 ++ua = -1.2290839e-010 ++lua = 7.8149042e-016 ++wua = 4.5608039e-017 ++pua = -4.1968523e-023 ++ub = 1.7620586e-018 ++lub = -3.2223504e-025 ++wub = -5.6287295e-025 ++pub = 9.0059933e-032 ++uc = 8.5890808e-011 ++luc = 1.2960088e-017 ++wuc = -1.2763408e-017 ++puc = -6.7381475e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.67581086 ++la0 = -1.0822777e-007 ++wa0 = 1.9817231e-007 ++pa0 = -5.7073625e-014 ++ags = 0.200375 ++lags = 7.2879057e-008 ++wags = -3.0324746e-008 ++pags = 6.4320031e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.1060667 ++lketa = 2.3111339e-008 ++wketa = 1.2722988e-008 ++pketa = -3.6642206e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37672 ++lpclm = 2.8716008e-008 ++wpclm = 1.6001332e-008 ++ppclm = 3.0097257e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.2249381e-005 ++lalpha0 = -3.1611736e-012 ++walpha0 = -4.1073109e-013 ++palpha0 = 7.669064e-020 ++alpha1 = 0 ++beta0 = 38.401961 ++lbeta0 = -2.8404732e-006 ++wbeta0 = 1.0143734e-006 ++pbeta0 = -3.6499537e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33217428 ++lkt1 = 5.0237373e-010 ++wkt1 = 1.4240363e-008 ++pkt1 = -6.8481216e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 2.02488e-009 ++lua1 = -2.6663904e-016 ++wua1 = -2.703132e-016 ++pua1 = 1.3731911e-022 ++ub1 = -2.8837292e-018 ++lub1 = -3.2595866e-026 ++wub1 = 2.7622264e-025 ++pub1 = -1.9099839e-031 ++uc1 = 7.6479273e-012 ++luc1 = -3.5883051e-017 ++wuc1 = -9.5319534e-017 ++puc1 = 3.5453296e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83962246 ++lvth0 = -2.8410138e-010 ++wvth0 = 1.950321e-009 ++pvth0 = 5.9478478e-015 ++k1 = 0.977378 ++lk1 = -2.5160224e-008 ++k2 = -0.026834606 ++lk2 = 3.0000655e-011 ++wk2 = 1.7331254e-009 ++pk2 = -6.6115932e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12381402 ++lvoff = 1.3184133e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097266426 ++lu0 = 1.593197e-009 ++wu0 = 3.8779626e-011 ++pu0 = -3.103225e-016 ++ua = 2.6021662e-010 ++lua = 5.8686291e-016 ++wua = 1.0690141e-017 ++pua = -2.4230231e-023 ++ub = 1.2719156e-018 ++lub = -7.3242364e-026 ++wub = -2.8416343e-025 ++pub = -5.1524505e-032 ++uc = 7.1659939e-012 ++luc = 5.2952294e-017 ++wuc = -1.814138e-017 ++puc = -4.006138e-024 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.3508441 ++la0 = -4.5114467e-007 ++wa0 = -6.2282725e-008 ++pa0 = 7.5237532e-014 ++ags = 0.19135754 ++lags = 7.7459926e-008 ++wags = 1.2818526e-008 ++pags = -1.5484779e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0012954815 ++lketa = -3.0112442e-008 ++wketa = -3.9986535e-009 ++pketa = 4.8303734e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55271631 ++lpclm = -6.0690117e-008 ++wpclm = -6.8522762e-008 ++ppclm = 7.3035497e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024301606 ++lpdiblc2= 2.5091844e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00012364806 ++lalpha0 = -5.9751701e-011 ++walpha0 = -1.9763521e-011 ++palpha0 = 9.9079077e-018 ++alpha1 = 0 ++beta0 = 43.434876 ++lbeta0 = -5.3971937e-006 ++wbeta0 = -2.1472328e-007 ++pbeta0 = 2.5938572e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28324255 ++lkt1 = -2.4354946e-008 ++wkt1 = 1.2792118e-008 ++pkt1 = -6.1124128e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.1353993e-018 ++lub1 = 9.525255e-026 ++wub1 = 4.3166852e-025 ++pub1 = -2.699649e-031 ++uc1 = -9.9248709e-011 ++luc1 = 1.842044e-017 ++wuc1 = 1.8527181e-017 ++puc1 = -2.2380835e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.838712 ++lvth0 = -1.3839329e-009 ++wvth0 = 6.8638701e-009 ++pvth0 = 1.2280528e-017 ++k1 = 1.0138117 ++lk1 = -6.9172112e-008 ++k2 = -0.027503825 ++lk2 = 8.3841624e-010 ++wk2 = -6.4106558e-009 ++pk2 = 3.2260946e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095796556 ++lvoff = -2.0660961e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097289562 ++lu0 = 1.5904022e-009 ++wu0 = -2.8713699e-010 ++pu0 = 8.3384782e-017 ++ua = 4.0244395e-010 ++lua = 4.150523e-016 ++wua = -5.4505036e-017 ++pua = 5.4525543e-023 ++ub = 1.1639854e-018 ++lub = 5.7137303e-026 ++wub = -2.6934777e-025 ++pub = -6.9421823e-032 ++uc = 9.5237707e-013 ++luc = 6.0458343e-017 ++wuc = -2.1382694e-017 ++puc = -9.0630294e-026 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1196626 ++la0 = -1.7187734e-007 ++wa0 = 7.0348718e-008 ++pa0 = -8.4981251e-014 ++ags = 0.16551068 ++lags = 1.0868294e-007 ++wags = -3.5377679e-009 ++pags = 4.2736236e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068069114 ++lketa = -2.3454635e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.328728 ++lpclm = 2.0988775e-007 ++wpclm = 1.1068181e-009 ++ppclm = -1.1077036e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8136709e-005 ++lpdiblc2= 4.500927e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021750673 ++lalpha0 = -2.5378662e-009 ++walpha0 = -3.6942045e-011 ++palpha0 = 3.0659565e-017 ++alpha1 = 0 ++beta0 = 44.362547 ++lbeta0 = -6.5178205e-006 ++wbeta0 = 4.4730399e-007 ++pbeta0 = -5.4034322e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9450909 ++lnoff = 5.4953018e-007 ++voffcv = 0.021963636 ++lvoffcv = -2.1981207e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33757353 ++lkt1 = 4.127688e-008 ++wkt1 = 2.5329519e-008 ++pkt1 = -2.1257593e-014 ++kt1l = 0 ++kt2 = -0.016935002 ++lkt2 = 4.6737542e-009 ++wkt2 = 1.9925359e-009 ++pkt2 = -2.4069834e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5968078e-018 ++lub1 = -5.55366e-025 ++wub1 = -2.8578486e-026 ++pub1 = 2.8601349e-031 ++uc1 = -4.2580527e-011 ++luc1 = -5.0034723e-017 ++wuc1 = -8.5394397e-018 ++puc1 = 1.0315643e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83885029 ++wvth0 = 6.8650971e-009 ++k1 = 1.0069 ++k2 = -0.02742005 ++wk2 = -6.0883043e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098878693 ++wu0 = -2.7880518e-010 ++ua = 4.43916e-010 ++wua = -4.905684e-017 ++ub = 1.1696946e-018 ++wub = -2.762844e-025 ++uc = 6.9933786e-012 ++wuc = -2.139175e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1024886 ++wa0 = 6.1857386e-008 ++ags = 0.17637029 ++wags = -3.1107471e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019214836 ++walpha0 = -3.3878539e-011 ++alpha1 = 0 ++beta0 = 43.711286 ++wbeta0 = 3.9331286e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33344914 ++wkt1 = 2.3205459e-008 ++kt1l = 0 ++kt2 = -0.016468 ++wkt2 = 1.75203e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.758e-011 ++wuc1 = -7.5087e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.82676296 ++lvth0 = -9.1651899e-009 ++wvth0 = 3.7806977e-009 ++pvth0 = 7.8713975e-015 ++k1 = 1.0007271 ++lk1 = -3.7021562e-008 ++k2 = 0.0003347419 ++lk2 = -1.8489037e-008 ++wk2 = 4.6502732e-009 ++pk2 = -2.3623388e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011249601 ++lu0 = 1.8695879e-009 ++wu0 = -2.7776236e-009 ++pu0 = -1.5540493e-016 ++ua = 3.1164935e-010 ++lua = 6.5915544e-016 ++wua = -4.8237961e-016 ++pua = 1.0666847e-022 ++ub = 9.2602732e-019 ++lub = 3.4256322e-026 ++wub = 4.5290511e-025 ++pub = -3.4307707e-031 ++uc = 1.3415357e-010 ++luc = -7.7670863e-018 ++wuc = -7.1402667e-017 ++puc = 1.8445369e-023 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.89635642 ++la0 = -1.5388505e-007 ++wa0 = -6.9790553e-008 ++pa0 = -1.6000271e-015 ++ags = 0.33424764 ++lags = -2.5134022e-009 ++wags = -1.9298e-007 ++pags = 9.8033842e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.089931978 ++lketa = 1.8464538e-008 ++wketa = -6.8807038e-009 ++pketa = 1.9816427e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32196515 ++lpclm = 5.2836533e-008 ++wpclm = 8.2528472e-008 ++ppclm = 7.9081797e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.8546141e-006 ++lalpha0 = -2.3862494e-012 ++walpha0 = 2.4989109e-012 ++palpha0 = -8.6484221e-019 ++alpha1 = 0 ++beta0 = 37.942253 ++lbeta0 = -2.4809199e-006 ++wbeta0 = 1.5729191e-006 ++pbeta0 = -8.0185264e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29549971 ++lkt1 = -8.7743217e-009 ++wkt1 = -3.0319244e-008 ++pkt1 = 4.4230633e-015 ++kt1l = 0 ++kt2 = -0.020962848 ++lkt2 = 2.2742923e-009 ++wkt2 = 9.5946705e-009 ++pkt2 = -2.7632651e-015 ++ute = -1 ++ua1 = 1.820576e-009 ++lua1 = -1.628526e-016 ++wua1 = -2.208382e-017 ++pua1 = 1.121858e-023 ++ub1 = -2.5770911e-018 ++lub1 = -1.3439579e-025 ++wub1 = -9.6342676e-026 ++pub1 = -6.7311483e-032 ++uc1 = -8.5821897e-011 ++luc1 = 9.2552394e-019 ++wuc1 = 1.8246304e-017 ++puc1 = -9.2691223e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.830432 ++lvth0 = -7.3013165e-009 ++wvth0 = -9.2160872e-009 ++pvth0 = 1.4473764e-014 ++k1 = 0.977378 ++lk1 = -2.5160224e-008 ++k2 = -0.030512551 ++lk2 = -2.818612e-009 ++wk2 = 6.2018282e-009 ++pk2 = -3.1505287e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094277714 ++lvoff = -1.8203092e-009 ++wvoff = -3.5886608e-008 ++pvoff = 1.8230397e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01009483 ++lu0 = 2.4562116e-009 ++wu0 = -4.0856791e-010 ++pu0 = -1.3588852e-015 ++ua = 3.1724186e-010 ++lua = 6.5631445e-016 ++wua = -5.8595534e-017 ++pua = -1.0861384e-022 ++ub = 9.6202895e-019 ++lub = 1.5967497e-026 ++wub = 9.2348847e-026 ++pub = -1.5991449e-031 ++uc = -2.8726012e-011 ++luc = 7.4975743e-017 ++wuc = 2.5467408e-017 ++puc = -3.0764628e-023 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1821897 ++la0 = -2.9908837e-007 ++wa0 = 1.4263238e-007 ++pa0 = -1.0951088e-013 ++ags = 0.20707304 ++lags = 6.2091296e-008 ++wags = -6.2758015e-009 ++pags = 3.1881072e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0063663954 ++lketa = -2.3986778e-008 ++wketa = 2.1625069e-009 ++pketa = -2.6123084e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37752254 ++lpclm = 2.4613381e-008 ++wpclm = 1.4433767e-007 ++ppclm = -3.0608253e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024301606 ++lpdiblc2= 2.5091844e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011178547 ++lalpha0 = -5.4167125e-011 ++walpha0 = -5.3504792e-012 ++palpha0 = 3.122648e-018 ++alpha1 = 0 ++beta0 = 43.254845 ++lbeta0 = -5.1797167e-006 ++wbeta0 = 4.013934e-009 ++pbeta0 = -4.8488322e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3026115 ++lkt1 = -5.1615319e-009 ++wkt1 = 3.6325391e-008 ++pkt1 = -2.9432412e-014 ++kt1l = 0 ++kt2 = -0.010584133 ++lkt2 = -2.9980948e-009 ++wkt2 = -3.0154679e-009 ++pkt2 = 3.6426852e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.459394e-018 ++lub1 = -1.941859e-025 ++wub1 = -3.8967792e-025 ++pub1 = 8.1702823e-032 ++uc1 = -3.4507691e-011 ++luc1 = -2.5142093e-017 ++wuc1 = -6.0133156e-017 ++puc1 = 3.0547643e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83275028 ++lvth0 = -4.5008395e-009 ++wvth0 = -3.7962851e-010 ++pvth0 = 3.7993221e-015 ++k1 = 1.0138117 ++lk1 = -6.9172112e-008 ++k2 = -0.036023963 ++lk2 = 3.8391732e-009 ++wk2 = 3.941312e-009 ++pk2 = -4.1982509e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098146034 ++lvoff = 2.8526213e-009 ++wvoff = 2.8546166e-009 ++pvoff = -2.8569002e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011029914 ++lu0 = 1.32663e-009 ++wu0 = -1.8678007e-009 ++pu0 = 4.0386794e-016 ++ua = 6.6730377e-010 ++lua = 2.3343966e-016 ++wua = -3.7630972e-016 ++pua = 2.751849e-022 ++ub = 9.7524707e-019 ++wub = -4.0030695e-026 ++uc = -1.6646548e-011 ++luc = 6.038375e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1834353 ++la0 = -3.0059299e-007 ++wa0 = -7.1351178e-009 ++pa0 = 7.1408259e-014 ++ags = 0.16678281 ++lags = 1.107619e-007 ++wags = -5.0834017e-009 ++pags = 1.7476882e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068069114 ++lketa = -2.3454635e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34308375 ++lpclm = 6.6215436e-008 ++wpclm = -1.6335415e-008 ++ppclm = 1.6348483e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8136709e-005 ++lpdiblc2= 4.500927e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021443499 ++lalpha0 = -2.509505e-009 ++walpha0 = 3.7962851e-013 ++palpha0 = -3.7993221e-018 ++alpha1 = 0 ++beta0 = 44.166386 ++lbeta0 = -6.2808583e-006 ++wbeta0 = 6.8563925e-007 ++pbeta0 = -8.2825222e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9450909 ++lnoff = 5.4953018e-007 ++voffcv = 0.021963636 ++lvoffcv = -2.1981207e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29671112 ++lkt1 = -1.2289189e-008 ++wkt1 = -2.4318311e-008 ++pkt1 = 4.382518e-014 ++kt1l = 0 ++kt2 = -0.012758239 ++lkt2 = -3.717759e-010 ++wkt2 = -3.0822315e-009 ++pkt2 = 3.7233357e-015 ++ute = -1 ++ua1 = 1.3959388e-009 ++lua1 = 1.2570597e-016 ++wua1 = 1.2643439e-016 ++pua1 = -1.5273275e-022 ++ub1 = -2.6567142e-018 ++lub1 = 4.4176841e-026 ++wub1 = 4.420774e-026 ++pub1 = -4.4243106e-031 ++uc1 = -6.6592244e-011 ++luc1 = 1.3616046e-017 ++wuc1 = 2.0634796e-017 ++puc1 = -6.7020042e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8332 ++k1 = 1.0069 ++k2 = -0.035640352 ++wk2 = 3.899363e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011162471 ++wu0 = -1.8274461e-009 ++ua = 6.9062908e-010 ++wua = -3.4881323e-016 ++ub = 9.7524707e-019 ++wub = -4.0030695e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1534 ++ags = 0.17785014 ++wags = -4.9087726e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538802 ++wbeta0 = 6.0288024e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29793906 ++wkt1 = -1.9939296e-008 ++kt1l = 0 ++kt2 = -0.012795386 ++wkt2 = -2.7101956e-009 ++ute = -1 ++ua1 = 1.4084993e-009 ++wua1 = 1.1117333e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5231727e-011 ++wuc1 = 1.3938149e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.82638545 ++lvth0 = -8.3792291e-009 ++k1 = 1.0007271 ++lk1 = -3.7021562e-008 ++k2 = 0.00079907273 ++lk2 = -1.8724917e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010972255 ++lu0 = 1.8540707e-009 ++ua = 2.6348364e-010 ++lua = 6.6980631e-016 ++ub = 9.7125e-019 ++uc = 1.27024e-010 ++luc = -5.925312e-018 ++eu = 1.67 ++vsat = 90000 ++a0 = 0.88938782 ++la0 = -1.5404481e-007 ++ags = 0.31497855 ++lags = 7.2752989e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.090619018 ++lketa = 1.8662405e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33020564 ++lpclm = 5.2915497e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.0104131e-005 ++lalpha0 = -2.4726041e-012 ++alpha1 = 0 ++beta0 = 38.099309 ++lbeta0 = -2.560985e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29852709 ++lkt1 = -8.3326778e-009 ++kt1l = 0 ++kt2 = -0.020004818 ++lkt2 = 1.9983796e-009 ++ute = -1 ++ua1 = 1.8183709e-009 ++lua1 = -1.6173242e-016 ++ub1 = -2.5867109e-018 ++lub1 = -1.4111686e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83135223 ++lvth0 = -5.8561079e-009 ++k1 = 0.977378 ++lk1 = -2.5160224e-008 ++k2 = -0.029893297 ++lk2 = -3.133193e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010054034 ++lu0 = 2.3205266e-009 ++ua = 3.1139109e-010 ++lua = 6.4546933e-016 ++ub = 9.7125e-019 ++uc = -2.6183086e-011 ++luc = 7.1903887e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1964316 ++la0 = -3.1002305e-007 ++ags = 0.2064464 ++lags = 6.2409629e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0061504686 ++lketa = -2.4247618e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39193469 ++lpclm = 2.155714e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024301606 ++lpdiblc2= 2.5091844e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011125123 ++lalpha0 = -5.3855328e-011 ++alpha1 = 0 ++beta0 = 43.255246 ++lbeta0 = -5.1802008e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2989844 ++lkt1 = -8.1003648e-009 ++kt1l = 0 ++kt2 = -0.010885229 ++lkt2 = -2.6343719e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4983034e-018 ++lub1 = -1.8602786e-025 ++uc1 = -4.0512e-011 ++luc1 = -2.2091904e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.83278818 ++lvth0 = -4.1214764e-009 ++k1 = 1.0138117 ++lk1 = -6.9172112e-008 ++k2 = -0.035630422 ++lk2 = 3.7972536e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010843414 ++lu0 = 1.3669563e-009 ++ua = 6.2972916e-010 ++lua = 2.6091693e-016 ++ub = 9.7125e-019 ++uc = -1.6646548e-011 ++luc = 6.038375e-017 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1827228 ++la0 = -2.9346286e-007 ++ags = 0.16627523 ++lags = 1.109364e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068069114 ++lketa = -2.3454635e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34145265 ++lpclm = 8.2539433e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8136709e-005 ++lpdiblc2= 4.500927e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021443878 ++lalpha0 = -2.5098843e-009 ++alpha1 = 0 ++beta0 = 44.234847 ++lbeta0 = -6.3635595e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9450909 ++lnoff = 5.4953018e-007 ++voffcv = 0.021963636 ++lvoffcv = -2.1981207e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29913931 ++lkt1 = -7.9132346e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4085633e-009 ++lua1 = 1.1045557e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4531855e-011 ++luc1 = 6.9240803e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 8.1e-009 ++toxp = 8.1e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = 8e-009 ++xw = -5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.8332 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 90000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.302e-010 ++cgdo = 1.302e-010 ++cgbo = 1e-013 ++cgdl = 4.2e-011 ++cgsl = 4.2e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + +.endl pfet_03v3_fs +* +* +.lib pfet_03v3_sf + +.subckt pfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model pfet_03v3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.68623384 ++lvth0 = -7.4340753e-009 ++wvth0 = 4.5642665e-009 ++pvth0 = 2.3220935e-015 ++k1 = 0.86723166 ++lk1 = 5.4966687e-009 ++wk1 = 6.795952e-008 ++pk1 = -2.0664117e-014 ++k2 = 0.028783357 ++lk2 = -2.3923245e-008 ++wk2 = -2.1981336e-008 ++pk2 = 3.3652193e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094684782 ++lvoff = -1.5626994e-009 ++wvoff = -1.6675146e-009 ++pvoff = 8.2041716e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0078239364 ++lu0 = 2.3613853e-009 ++wu0 = 5.9658614e-010 ++pu0 = -5.1862883e-016 ++ua = 5.7221977e-011 ++lua = 1.0002135e-015 ++wua = -7.884629e-018 ++pua = -1.8896141e-022 ++ub = 6.6233941e-019 ++lub = -1.3733536e-025 ++wub = -4.9106352e-027 ++pub = 1.3356928e-033 ++uc = 8.7831657e-011 ++luc = 8.2831734e-018 ++wuc = -1.4037289e-017 ++puc = -4.4080656e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.0151475 ++la0 = -1.9522869e-007 ++wa0 = 1.1291855e-008 ++pa0 = -2.6965346e-015 ++ags = 0.19776586 ++lags = 1.0075502e-007 ++wags = -2.4642378e-008 ++pags = -1.1901079e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0656473 ++lketa = 7.7609556e-009 ++wketa = -7.3317252e-009 ++pketa = 3.6072088e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35913516 ++lpclm = 6.7448817e-008 ++wpclm = 3.0548187e-008 ++ppclm = 6.4437396e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1317951e-005 ++lalpha0 = -2.8752733e-012 ++walpha0 = -1.0265377e-013 ++palpha0 = 6.2981547e-020 ++alpha1 = 0 ++beta0 = 39.557841 ++lbeta0 = -3.4683987e-006 ++wbeta0 = 2.234567e-007 ++pbeta0 = 1.1652383e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28420773 ++lkt1 = -1.5344138e-008 ++wkt1 = -1.1400184e-008 ++pkt1 = 1.9113261e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1592273e-009 ++lua1 = 1.6766018e-016 ++wua1 = 1.7890568e-016 ++pua1 = -8.8021596e-023 ++ub1 = -2.1333843e-018 ++lub1 = -6.4960147e-025 ++wub1 = -1.3550978e-025 ++pub1 = 1.4728947e-031 ++uc1 = -2.5243909e-010 ++luc1 = 5.6525433e-017 ++wuc1 = 4.1267577e-017 ++puc1 = -1.3848731e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.71729526 ++lvth0 = 7.848145e-009 ++wvth0 = 6.1706137e-009 ++pvth0 = 1.5317707e-015 ++k1 = 1.0114756 ++lk1 = -6.5471375e-008 ++wk1 = -1.8245666e-008 ++pk1 = 2.1748834e-014 ++k2 = -0.018690632 ++lk2 = -5.6604249e-010 ++wk2 = -2.6841281e-009 ++pk2 = -6.129007e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12347027 ++lvoff = 1.259976e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01031351 ++lu0 = 1.1365149e-009 ++wu0 = -2.5501298e-010 ++pu0 = -9.9642066e-017 ++ua = 3.8413264e-010 ++lua = 8.3937346e-016 ++wua = -4.6769074e-017 ++pua = -1.6983026e-022 ++ub = 1.0907567e-018 ++lub = -3.4811665e-025 ++wub = -1.9694477e-025 ++pub = 9.5816488e-032 ++uc = -1.2185662e-011 ++luc = 5.7491694e-017 ++wuc = -7.7156746e-018 ++puc = -7.5182999e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1459651 ++la0 = -2.5959096e-007 ++wa0 = 3.9893726e-008 ++pa0 = -1.6768655e-014 ++ags = 0.19108744 ++lags = 1.0404081e-007 ++wags = 1.3857952e-008 ++pags = -3.0843241e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0053017786 ++lketa = -2.1929041e-008 ++wketa = -2.2567913e-009 ++pketa = 1.1103413e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25477175 ++lpclm = 1.1879561e-007 ++wpclm = 8.7678071e-008 ++ppclm = -2.1664163e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024955823 ++lpdiblc2= 2.3979675e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.4846555e-005 ++lalpha0 = -3.4131346e-011 ++walpha0 = 4.918957e-012 ++palpha0 = -2.407651e-018 ++alpha1 = 0 ++beta0 = 42.345869 ++lbeta0 = -4.8401083e-006 ++wbeta0 = 2.85852e-007 ++pbeta0 = 8.5825341e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30808974 ++lkt1 = -3.5941865e-009 ++wkt1 = 2.5589445e-008 ++pkt1 = -1.6287571e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2459185e-018 ++lub1 = -5.9423465e-025 ++wub1 = -3.2798588e-026 ++pub1 = 9.6755564e-032 ++uc1 = -7.622458e-011 ++luc1 = -3.0172107e-017 ++wuc1 = 6.4565445e-018 ++puc1 = 3.2782971e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70261262 ++lvth0 = -9.6535664e-009 ++wvth0 = 3.7940282e-009 ++pvth0 = 4.3646606e-015 ++k1 = 0.95371136 ++lk1 = 3.3836545e-009 ++wk1 = 3.1504606e-008 ++pk1 = -3.755349e-014 ++k2 = -0.010397236 ++lk2 = -1.045177e-008 ++wk2 = -1.5511159e-008 ++pk2 = 9.1608133e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097768223 ++lvoff = -1.8037078e-008 ++wvoff = 1.0207701e-009 ++pvoff = -1.2167579e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087448909 ++lu0 = 3.0063094e-009 ++wu0 = 2.2513935e-010 ++pu0 = -6.7198364e-016 ++ua = 4.0088804e-010 ++lua = 8.1940101e-016 ++wua = -5.4384592e-017 ++pua = -1.6075256e-022 ++ub = 8.5616366e-019 ++lub = -6.8481784e-026 ++wub = -1.1302537e-025 ++pub = -4.2154414e-033 ++uc = -4.4105686e-011 ++luc = 9.5540362e-017 ++wuc = 1.8994754e-018 ++puc = -1.8979559e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2624795 ++la0 = -3.9847608e-007 ++wa0 = -3.4982576e-009 ++pa0 = 3.495459e-014 ++ags = 0.15738448 ++lags = 1.4421474e-007 ++wags = 7.410721e-010 ++pags = -1.520792e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -4.2919829e-005 ++lketa = -2.8197601e-008 ++wketa = -3.567392e-009 ++pketa = 2.6725774e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32126019 ++lpclm = 3.9541387e-008 ++wpclm = 5.1797956e-009 ++ppclm = 7.6673781e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8732382e-005 ++lpdiblc2= 4.4342116e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020563928 ++lalpha0 = -2.3961345e-009 ++walpha0 = 2.2922796e-011 ++palpha0 = -2.3868227e-017 ++alpha1 = 0 ++beta0 = 44.429178 ++lbeta0 = -7.3234127e-006 ++wbeta0 = 4.1575066e-007 ++pbeta0 = -6.9013864e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9458182 ++lnoff = 5.4138473e-007 ++voffcv = 0.021672727 ++lvoffcv = -2.1655389e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26812521 ++lkt1 = -5.1231914e-008 ++wkt1 = -1.0639016e-008 ++pkt1 = 2.6896755e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0276908e-018 ++lub1 = 3.3763797e-025 ++wub1 = 1.9708019e-025 ++pub1 = -1.7725993e-031 ++uc1 = -3.5127704e-011 ++luc1 = -7.9159583e-017 ++wuc1 = -1.2638834e-017 ++puc1 = 2.6039988e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70357875 ++wvth0 = 4.2308437e-009 ++k1 = 0.95405 ++wk1 = 2.774625e-008 ++k2 = -0.01144325 ++wk2 = -1.4594344e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099573375 ++wvoff = 8.9899687e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090457625 ++wu0 = 1.5788719e-010 ++ua = 4.8289375e-010 ++wua = -7.0472719e-017 ++ub = 8.4931e-019 ++wub = -1.1344725e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2226 ++ags = 0.1718175 ++wags = -7.809375e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0028649375 ++wketa = -3.2999203e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.3252175 ++wpclm = 1.2853312e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018165875 ++walpha0 = 2.0534062e-011 ++alpha1 = 0 ++beta0 = 43.69625 ++wbeta0 = 4.0884375e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2732525 ++wkt1 = -7.9471875e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9939e-018 ++wub1 = 1.7934e-025 ++uc1 = -4.305e-011 ++wuc1 = -1.003275e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70624891 ++lvth0 = -2.0423367e-009 ++wvth0 = 1.5072177e-008 ++pvth0 = -5.0856922e-016 ++k1 = 0.99667836 ++lk1 = -3.3863555e-008 ++k2 = 0.013187532 ++lk2 = -1.9573129e-008 ++wk2 = -1.3793528e-008 ++pk2 = 1.0814082e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0092992182 ++lu0 = 1.7578086e-009 ++wu0 = -1.7793682e-010 ++pu0 = -2.0175109e-016 ++ua = -3.8099091e-011 ++lua = 7.1544135e-016 ++wua = 4.2158932e-017 ++pua = -3.9456029e-023 ++ub = 1.7356567e-018 ++lub = -2.9606431e-025 ++wub = -5.6840223e-025 ++pub = 8.4668392e-032 ++uc = 8.7523896e-011 ++luc = 1.1953068e-017 ++wuc = -1.3875714e-017 ++puc = -6.3347605e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.66090759 ++la0 = -9.8161425e-008 ++wa0 = 1.9726782e-007 ++pa0 = -5.3656847e-014 ++ags = 0.20881855 ++lags = 6.6568316e-008 ++wags = -3.0445036e-008 ++pags = 6.0469439e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10373614 ++lketa = 2.1193464e-008 ++wketa = 1.2664919e-008 ++pketa = -3.4448579e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37955209 ++lpclm = 2.5826511e-008 ++wpclm = 1.9829298e-008 ++ppclm = 2.829545e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1910101e-005 ++lalpha0 = -2.8926407e-012 ++walpha0 = -4.1353238e-013 ++palpha0 = 7.2099467e-020 ++alpha1 = 0 ++beta0 = 38.07575 ++lbeta0 = -2.59284e-006 ++wbeta0 = 1.0015544e-006 ++pbeta0 = -3.4314451e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33233495 ++lkt1 = 5.5962764e-010 ++wkt1 = 1.386661e-008 ++pkt1 = -6.438151e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.9998e-009 ++lua1 = -2.459016e-016 ++wua1 = -2.62395e-016 ++pua1 = 1.2909834e-022 ++ub1 = -2.8913745e-018 ++lub1 = -2.7023324e-026 ++wub1 = 2.6243509e-025 ++pub1 = -1.7956405e-031 ++uc1 = 5.1850909e-012 ++luc1 = -3.3340425e-017 ++wuc1 = -9.3985118e-017 ++puc1 = 3.3330844e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70966351 ++lvth0 = -3.6235097e-010 ++wvth0 = 2.163945e-009 ++pvth0 = 5.8422811e-015 ++k1 = 0.976722 ++lk1 = -2.4045024e-008 ++k2 = -0.026858767 ++lk2 = 1.2965043e-010 ++wk2 = 1.6041428e-009 ++pk2 = -6.4942458e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12347027 ++lvoff = 1.259976e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097676914 ++lu0 = 1.5273198e-009 ++wu0 = 3.1542e-011 ++pu0 = -3.0481466e-016 ++ua = 2.7535709e-010 ++lua = 5.6122091e-016 ++wua = 1.033809e-017 ++pua = -2.3800175e-023 ++ub = 1.2745688e-018 ++lub = -6.9209035e-026 ++wub = -2.9344612e-025 ++pub = -5.0610011e-032 ++uc = 8.8382089e-012 ++luc = 5.0666426e-017 ++wuc = -1.8753207e-017 ++puc = -3.9350342e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.3400455 ++la0 = -4.3229728e-007 ++wa0 = -6.1998457e-008 ++pa0 = 7.3902161e-014 ++ags = 0.19317874 ++lags = 7.4263098e-008 ++wags = 1.276002e-008 ++pags = -1.5209944e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0020187086 ++lketa = -2.8851515e-008 ++wketa = -3.980403e-009 ++pketa = 4.7446404e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.5521986 ++lpclm = -5.9115571e-008 ++wpclm = -6.8471025e-008 ++ppclm = 7.1739209e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024955823 ++lpdiblc2= 2.3979675e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00012240188 ++lalpha0 = -5.7254595e-011 ++walpha0 = -2.0047588e-011 ++palpha0 = 9.7320549e-018 ++alpha1 = 0 ++beta0 = 43.297479 ++lbeta0 = -5.1619305e-006 ++wbeta0 = -2.1374325e-007 ++pbeta0 = 2.5478195e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28407944 ++lkt1 = -2.3182084e-008 ++wkt1 = 1.2984037e-008 ++pkt1 = -6.0039252e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.139702e-018 ++lub1 = 9.5153784e-026 ++wub1 = 4.3643775e-025 ++pub1 = -2.6517336e-031 ++uc1 = -9.90552e-011 ++luc1 = 1.7945798e-017 ++wuc1 = 1.844262e-017 ++puc1 = -2.1983603e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70882353 ++lvth0 = -1.3636128e-009 ++wvth0 = 7.0547555e-009 ++pvth0 = 1.2434931e-017 ++k1 = 1.0137201 ++lk1 = -6.8146803e-008 ++k2 = -0.027400332 ++lk2 = 7.7519526e-010 ++wk2 = -6.5845334e-009 ++pk2 = 3.2666562e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095823899 ++lvoff = -2.0354712e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097356481 ++lu0 = 1.5655154e-009 ++wu0 = -2.950082e-010 ++pu0 = 8.4433178e-017 ++ua = 4.0386316e-010 ++lua = 4.0804167e-016 ++wua = -5.594653e-017 ++pua = 5.5211091e-023 ++ub = 1.1683671e-018 ++lub = 5.7383397e-026 ++wub = -2.7693216e-025 ++pub = -7.0294662e-032 ++uc = 1.3741189e-012 ++luc = 5.9563621e-017 ++wuc = -2.1977422e-017 ++puc = -9.1769787e-026 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1183126 ++la0 = -1.6799168e-007 ++wa0 = 7.2189361e-008 ++pa0 = -8.6049719e-014 ++ags = 0.16571096 ++lags = 1.0700469e-007 ++wags = -3.630332e-009 ++pags = 4.3273558e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068379523 ++lketa = -2.3106977e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.32898832 ++lpclm = 2.0695108e-007 ++wpclm = 1.1225287e-009 ++ppclm = -1.1216307e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8732382e-005 ++lpdiblc2= 4.4342116e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021722983 ++lalpha0 = -2.5007312e-009 ++walpha0 = -3.7927615e-011 ++palpha0 = 3.1045047e-017 ++alpha1 = 0 ++beta0 = 44.346784 ++lbeta0 = -6.4127021e-006 ++wbeta0 = 4.590075e-007 ++pbeta0 = -5.4713694e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9458182 ++lnoff = 5.4138473e-007 ++voffcv = 0.021672727 ++lvoffcv = -2.1655389e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33792326 ++lkt1 = 4.0999742e-008 ++wkt1 = 2.600496e-008 ++pkt1 = -2.1524865e-014 ++kt1l = 0 ++kt2 = -0.016960609 ++lkt2 = 4.642374e-009 ++wkt2 = 2.0446698e-009 ++pkt2 = -2.4372464e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5970921e-018 ++lub1 = -5.516372e-025 ++wub1 = -2.898414e-026 ++pub1 = 2.8960953e-031 ++uc1 = -4.2510491e-011 ++luc1 = -4.9455495e-017 ++wuc1 = -8.7628704e-018 ++puc1 = 1.0445342e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70896 ++wvth0 = 7.056e-009 ++k1 = 1.0069 ++k2 = -0.02732275 ++wk2 = -6.2576062e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.009892325 ++wu0 = -2.8655813e-010 ++ua = 4.447e-010 ++wua = -5.0421e-017 ++ub = 1.17411e-018 ++wub = -2.8396725e-025 ++uc = 7.33525e-012 ++wuc = -2.1986606e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1015 ++wa0 = 6.35775e-008 ++ags = 0.17642 ++wags = -3.19725e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.001922025 ++walpha0 = -3.4820625e-011 ++alpha1 = 0 ++beta0 = 43.705 ++wbeta0 = 4.0425e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33382 ++wkt1 = 2.385075e-008 ++kt1l = 0 ++kt2 = -0.016496 ++wkt2 = 1.80075e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.746e-011 ++wuc1 = -7.7175e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69776909 ++lvth0 = -8.3892994e-009 ++wvth0 = 4.6843987e-009 ++pvth0 = 7.26646e-015 ++k1 = 0.99667836 ++lk1 = -3.3863555e-008 ++k2 = -0.0016908531 ++lk2 = -1.6910112e-008 ++wk2 = 4.4324937e-009 ++pk2 = -2.1807869e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011456358 ++lu0 = 1.7102254e-009 ++wu0 = -2.8204333e-009 ++pu0 = -1.4346165e-016 ++ua = 3.841223e-010 ++lua = 6.0284809e-016 ++wua = -4.7506228e-016 ++pua = 9.847072e-023 ++ub = 9.2943227e-019 ++lub = 3.159209e-026 ++wub = 4.1922273e-025 ++pub = -3.167107e-031 ++uc = 1.3336117e-010 ++luc = -7.1184051e-018 ++wuc = -7.0026382e-017 ++puc = 1.7027795e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.87958485 ++la0 = -1.4075717e-007 ++wa0 = -7.0611818e-008 ++pa0 = -1.4770608e-015 ++ags = 0.33412256 ++lags = -2.3726975e-009 ++wags = -1.8394245e-007 ++pags = 9.0499685e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.087907195 ++lketa = 1.6887989e-008 ++wketa = -6.7255452e-009 ++pketa = 1.8293483e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32767553 ++lpclm = 4.8328885e-008 ++wpclm = 8.3378086e-008 ++ppclm = 7.3004154e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.5916748e-006 ++lalpha0 = -2.1820478e-012 ++walpha0 = 2.4265399e-012 ++palpha0 = -7.9837683e-019 ++alpha1 = 0 ++beta0 = 37.669715 ++lbeta0 = -2.2686901e-006 ++wbeta0 = 1.4989467e-006 ++pbeta0 = -7.4022817e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29643476 ++lkt1 = -8.0291808e-009 ++wkt1 = -3.0111128e-008 ++pkt1 = 4.0831393e-015 ++kt1l = 0 ++kt2 = -0.020721765 ++lkt2 = 2.0823681e-009 ++wkt2 = 9.3783124e-009 ++pkt2 = -2.550901e-015 ++ute = -1 ++ua1 = 1.8027834e-009 ++lua1 = -1.4896941e-016 ++wua1 = -2.10496e-017 ++pua1 = 1.0356403e-023 ++ub1 = -2.5917035e-018 ++lub1 = -1.2288098e-025 ++wub1 = -1.0466188e-025 ++pub1 = -6.213842e-032 ++uc1 = -8.5734843e-011 ++luc1 = 8.5354274e-019 ++wuc1 = 1.7391801e-017 ++puc1 = -8.556766e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7006151 ++lvth0 = -6.989061e-009 ++wvth0 = -8.9203589e-009 ++pvth0 = 1.3960001e-014 ++k1 = 0.976722 ++lk1 = -2.4045024e-008 ++k2 = -0.03059107 ++lk2 = -2.6912057e-009 ++wk2 = 6.1762134e-009 ++pk2 = -3.038697e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094296073 ++lvoff = -1.7539439e-009 ++wvoff = -3.5738389e-008 ++pvoff = 1.7583287e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010159235 ++lu0 = 2.3484099e-009 ++wu0 = -4.4809927e-010 ++pu0 = -1.31065e-015 ++ua = 3.3440437e-010 ++lua = 6.2730931e-016 ++wua = -6.1994828e-017 ++pua = -1.0475847e-022 ++ub = 9.623728e-019 ++lub = 1.538535e-026 ++wub = 8.8993941e-026 ++pub = -1.5423813e-031 ++uc = -2.6791447e-011 ++luc = 7.1676685e-017 ++wuc = 2.4893122e-017 ++puc = -2.9672601e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1742768 ++la0 = -2.8574559e-007 ++wa0 = 1.4106826e-007 ++pa0 = -1.0562366e-013 ++ags = 0.20869703 ++lags = 5.9336662e-008 ++wags = -6.2498812e-009 ++pags = 3.0749415e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0069935214 ++lketa = -2.2921538e-008 ++wketa = 2.1137428e-009 ++pketa = -2.5195814e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37804632 ++lpclm = 2.3546458e-008 ++wpclm = 1.4486552e-007 ++ppclm = -2.9521777e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024955823 ++lpdiblc2= 2.3979675e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011037751 ++lalpha0 = -5.1768678e-011 ++walpha0 = -5.3177339e-012 ++palpha0 = 3.0118059e-018 ++alpha1 = 0 ++beta0 = 43.119792 ++lbeta0 = -4.9501275e-006 ++wbeta0 = 3.9234204e-009 ++pbeta0 = -4.6767172e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3027753 ++lkt1 = -4.9096367e-009 ++wkt1 = 3.5886458e-008 ++pkt1 = -2.8387673e-014 ++kt1l = 0 ++kt2 = -0.010659902 ++lkt2 = -2.8680684e-009 ++wkt2 = -2.9474696e-009 ++pkt2 = 3.5133838e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4641385e-018 ++lub1 = -1.8564299e-025 ++wub1 = -3.9112754e-025 ++pub1 = 7.8802685e-032 ++uc1 = -3.5114455e-011 ++luc1 = -2.4051688e-017 ++wuc1 = -5.9884793e-017 ++puc1 = 2.9463318e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70275593 ++lvth0 = -4.4372015e-009 ++wvth0 = -3.7806056e-010 ++pvth0 = 3.7775811e-015 ++k1 = 1.0137201 ++lk1 = -6.8146803e-008 ++k2 = -0.03602212 ++lk2 = 3.7826066e-009 ++wk2 = 3.9771578e-009 ++pk2 = -4.1742272e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098144574 ++lvoff = 2.8334685e-009 ++wvoff = 2.8428264e-009 ++pvoff = -2.8405521e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011033204 ++lu0 = 1.306639e-009 ++wu0 = -1.8845144e-009 ++pu0 = 4.0155688e-016 ++ua = 6.6792168e-010 ++lua = 2.2975668e-016 ++wua = -3.7941821e-016 ++pua = 2.736102e-022 ++ub = 9.7527997e-019 ++wub = -4.0400465e-026 ++uc = -1.6566634e-011 ++luc = 5.9488707e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1830432 ++la0 = -2.9619524e-007 ++wa0 = -7.1056483e-009 ++pa0 = 7.0999638e-014 ++ags = 0.16693357 ++lags = 1.0911871e-007 ++wags = -5.1280236e-009 ++pags = 1.7376873e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068379523 ++lketa = -2.3106977e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34318463 ++lpclm = 6.510159e-008 ++wpclm = -1.6267946e-008 ++ppclm = 1.6254932e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8732382e-005 ++lpdiblc2= 4.4342116e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021410284 ++lalpha0 = -2.4723045e-009 ++walpha0 = 3.7806056e-013 ++palpha0 = -3.7775811e-018 ++alpha1 = 0 ++beta0 = 44.157511 ++lbeta0 = -6.1870892e-006 ++wbeta0 = 6.9086635e-007 ++pbeta0 = -8.2351269e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9458182 ++lnoff = 5.4138473e-007 ++voffcv = 0.021672727 ++lvoffcv = -2.1655389e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29670745 ++lkt1 = -1.2142514e-008 ++wkt1 = -2.4484407e-008 ++pkt1 = 4.3574398e-014 ++kt1l = 0 ++kt2 = -0.012756202 ++lkt2 = -3.6927975e-010 ++wkt2 = -3.1057295e-009 ++pkt2 = 3.7020295e-015 ++ute = -1 ++ua1 = 1.3960014e-009 ++lua1 = 1.2396634e-016 ++wua1 = 1.2739829e-016 ++pua1 = -1.5185876e-022 ++ub1 = -2.6566915e-018 ++lub1 = 4.3880232e-026 ++wub1 = 4.4025153e-026 ++pub1 = -4.3989932e-031 ++uc1 = -6.6591108e-011 ++luc1 = 1.3468483e-017 ++wuc1 = 2.0735886e-017 ++puc1 = -6.6636531e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7032 ++k1 = 1.0069 ++k2 = -0.035643557 ++wk2 = 3.9353821e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011163973 ++wu0 = -1.8443266e-009 ++ua = 6.9091574e-010 ++wua = -3.5203528e-016 ++ub = 9.7527997e-019 ++wub = -4.0400465e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17785418 ++wags = -4.9541158e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538307 ++wbeta0 = 6.0844915e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29792267 ++wkt1 = -2.0123479e-008 ++kt1l = 0 ++kt2 = -0.012793159 ++wkt2 = -2.7352301e-009 ++ute = -1 ++ua1 = 1.4084079e-009 ++wua1 = 1.1220026e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5243182e-011 ++wuc1 = 1.4066898e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.69730182 ++lvth0 = -7.6644654e-009 ++k1 = 0.99667836 ++lk1 = -3.3863555e-008 ++k2 = -0.0012487091 ++lk2 = -1.7127647e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011175018 ++lu0 = 1.695915e-009 ++ua = 3.3673455e-010 ++lua = 6.126706e-016 ++ub = 9.7125e-019 ++uc = 1.26376e-010 ++luc = -5.419872e-018 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.87254127 ++la0 = -1.4090451e-007 ++ags = 0.31577418 ++lags = 6.6547025e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.088578073 ++lketa = 1.7070468e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33599254 ++lpclm = 4.8401708e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.8337236e-006 ++lalpha0 = -2.2616864e-012 ++alpha1 = 0 ++beta0 = 37.819236 ++lbeta0 = -2.3425283e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29943836 ++lkt1 = -7.6218851e-009 ++kt1l = 0 ++kt2 = -0.019786273 ++lkt2 = 1.8279142e-009 ++ute = -1 ++ua1 = 1.8006836e-009 ++lua1 = -1.4793635e-016 ++ub1 = -2.6021436e-018 ++lub1 = -1.2907933e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70150491 ++lvth0 = -5.5965422e-009 ++k1 = 0.976722 ++lk1 = -2.4045024e-008 ++k2 = -0.029974989 ++lk2 = -2.9943176e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010114537 ++lu0 = 2.2176717e-009 ++ua = 3.2822034e-010 ++lua = 6.1685959e-016 ++ub = 9.7125e-019 ++uc = -2.4308343e-011 ++luc = 6.8716825e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1883484 ++la0 = -2.9628161e-007 ++ags = 0.2080736 ++lags = 5.9643389e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0067826743 ++lketa = -2.3172868e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39249674 ++lpclm = 2.0601642e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024955823 ++lpdiblc2= 2.3979675e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00010984706 ++lalpha0 = -5.1468248e-011 ++alpha1 = 0 ++beta0 = 43.120183 ++lbeta0 = -4.950594e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.2991956 ++lkt1 = -7.7413248e-009 ++kt1l = 0 ++kt2 = -0.010953914 ++lkt2 = -2.5176062e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5031537e-018 ++lub1 = -1.7778237e-025 ++uc1 = -4.1088e-011 ++luc1 = -2.1112704e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.70279364 ++lvth0 = -4.0603855e-009 ++k1 = 1.0137201 ++lk1 = -6.8146803e-008 ++k2 = -0.035625396 ++lk2 = 3.7409685e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010845223 ++lu0 = 1.3466945e-009 ++ua = 6.3007447e-010 ++lua = 2.5704947e-016 ++ub = 9.7125e-019 ++uc = -1.6566634e-011 ++luc = 5.9488707e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1823344 ++la0 = -2.8911298e-007 ++ags = 0.16642204 ++lags = 1.0929204e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068379523 ++lketa = -2.3106977e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34156189 ++lpclm = 8.1315986e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8732382e-005 ++lpdiblc2= 4.4342116e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021410661 ++lalpha0 = -2.4726813e-009 ++alpha1 = 0 ++beta0 = 44.226425 ++lbeta0 = -6.2692351e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9458182 ++lnoff = 5.4138473e-007 ++voffcv = 0.021672727 ++lvoffcv = -2.1655389e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29914978 ++lkt1 = -7.7959401e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4087095e-009 ++lua1 = 1.0881833e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4522691e-011 ++luc1 = 6.8214476e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = 7.7e-009 ++toxp = 7.7e-009 ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = -8e-009 ++xw = 5e-009 ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = -0.7032 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = 1e-007 ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = 466 ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.178e-010 ++cgdo = 1.178e-010 ++cgbo = 1e-013 ++cgdl = 3.8e-011 ++cgsl = 3.8e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=150 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + + +.endl pfet_03v3_sf +* +* +*************************************************************************************************** +* 6V NMOS Models +*************************************************************************************************** +* +.lib nfet_06v0_t + + +.subckt nfet_06v0_dss d g s b w=10u l=0.6u par=1 s_sab=0.28u d_sab=3.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.01155 ++ par_k=0.0000 ++ par_l=4e-7 ++ par_w=-5e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b nplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nfet_06v0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + + + + +.model nfet_06v0.0 nmos level = 54 ++lmin = 7e-007 lmax = 5.0001e-005 wmin = 3e-007 wmax = 0.000100001 ++version = 4.5 binunit = 1 paramchk= 1 mobmod = 0 ++capmod = 2 igcmod = 0 igbmod = 0 geomod = 0 ++diomod = 1 rdsmod = 0 rbodymod= 0 rgeomod = 0 ++rgatemod= 0 permod = 1 acnqsmod= 0 trnqsmod= 0 ++tempmod = 0 wpemod = 0 ++tnom = 25 toxe = '1.52e-008+nfet_06v0_tox' toxp = '1.6e-008+nfet_06v0_tox' toxm = '1.52e-008+nfet_06v0_tox' ++epsrox = 3.9 toxref = 1.52e-008 wint = 1.55e-008 lint = -3e-008 ++ll = 1.93e-014 wl = 0 lln = 1 wln = 1 ++lw = 0 ww = -2.7e-015 lwn = 1 wwn = 1 ++lwl = 0 wwl = 0 llc = 0 wlc = 0 ++lwc = 0 wwc = 0 lwlc = 0 wwlc = 0 ++xl = '0+nfet_06v0_xl' xw = '0+nfet_06v0_xw' dlc = 5.4E-8 dwc = 0 ++dlcig = 0 xpart = 0 ++vth0 = '0.67314+nfet_06v0_vth0' k1 = 0.9 k2 = -0.001 k3 = -1.1369995 ++wk3 = -0.047531062 k3b = 0.86 w0 = 1e-009 dvt0 = 5.72 ++dvt1 = 0.299 dvt2 = -0.0793 dvt0w = 10 dvt1w = 976700 ++dvt2w = 0.15 dsub = 0.4 minv = 0 voffl = 0 ++dvtp0 = 0 dvtp1 = 0 lambda = 0 vtl = 200000 ++xn = 3 lpe0 = 1.63e-007 lpeb = 0 vbm = -3 ++xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 nsd = 1e+020 ++phin = 0 cdsc = 0.00024 ud1 = 0 up = 0 ++lp = 1e-008 cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.08 nfactor = 0.864 eta0 = 0 etab = -0.43 ++u0 = 0.052500014 lu0 = 0.019999998 wu0 = -8.0300635e-009 pu0 = 0.0018000065 ++ua = 6.8000001e-012 lua = -3.3696895e-019 wua = -8.4912706e-019 pua = 1.4622721e-010 ++ub = 2.8799997e-018 lub = 1.7400001e-018 wub = -1.1655759e-026 pub = -2.3803999e-019 ++uc = 7.9399996e-011 luc = 9.8000018e-011 wuc = 8.0000028e-012 puc = -5.6168065e-012 ++eu = 1.67 vsat = '103999.98*nfet_06v0_vsat' lvsat = '-2649.9871*nfet_06v0_vsat' wvsat = '0.012447116*nfet_06v0_vsat' ++pvsat = '6308.7992*nfet_06v0_vsat' a0 = 0.72499969 la0 = 0.40144032 ags = 0.13699995 ++lags = -0.068999933 wags = -4.2211594e-008 pags = 0.0070910278 a1 = 0 ++a2 = 0.96 b0 = 0 b1 = 0 keta = -0.021200021 ++lketa = 0.04140001 dwg = -6e-010 dwb = 6e-009 pclm = 0.0099999763 ++lpclm = 0.89088024 pdiblc1 = 1.6 pdiblc2 = 0.0022 pdiblcb = 0 ++drout = 0.4 pvag = 1.75 delta = 0.01 pscbe1 = 4.325e+009 ++pscbe2 = 8.8e-006 fprout = 0 pdits = 0 pditsd = 0 ++pditsl = 0 rsh = 7 rdsw = 2175 rsw = 100 ++rdw = 100 rdswmin = 0 rdwmin = 0 rswmin = 0 ++prwg = 1 prwb = 0 wr = 1 alpha0 = -1.88e-007 ++alpha1 = 19 beta0 = 36.6 agidl = 0 bgidl = 2.3e+009 ++cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 bigbacc = 0.054 ++cigbacc = 0.075 nigbacc = 1 aigbinv = 0.35 bigbinv = 0.03 ++cigbinv = 0.006 eigbinv = 1.1 nigbinv = 3 aigc = 0.43 ++bigc = 0.054 cigc = 0.075 aigsd = 0.43 bigsd = 0.054 ++cigsd = 0.075 nigc = 1 poxedge = 1 pigcd = 1 ++ntox = 1 vfbsdoff= 0 ++cgso = '1e-010*nfet_06v0_cgso' cgdo = '1e-010*nfet_06v0_cgdo' cgbo = 1e-013 cgdl = '1.5e-010*nfet_06v0_cgdo' ++cgsl = '1.5e-010*nfet_06v0_cgso' clc = 1e-010 cle = 0.6 ckappas = 0.6 ++ckappad = 0.6 vfbcv = -1 acde = 0.3 moin = 15 ++noff = 1.5 voffcv = 0 ++tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 kt1l = 3.5e-008 ++kt2 = -0.05 ute = -1.5000005 lute = 0.030000222 wute = 0.06000001 ++pute = -0.019999981 ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.5999995e-011 ++luc1 = -1.8816003e-011 prt = 0 at = 109000.03 lat = -75600.021 ++wat = 6479.9797 pat = -6699.9857 ++fnoimod = 1 tnoimod = 0 em = 4.1e+007 ef = 1 ++noia = 'nfet_06v0_noia' noib = 'nfet_06v0_noib' noic = 'nfet_06v0_noic' ntnoi = 1 ++lintnoi = 0 ++jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 njs = 1.0541 ++ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 11 xjbvs = 1 ++xjbvd = 1 jtss = 0 jtsd = 0 jtssws = 0 ++jtsswd = 0 jtsswgs = 0 jtsswgd = 0 njts = 20 ++njtssw = 20 njtsswg = 20 xtss = 0.02 xtsd = 0.02 ++xtssws = 0.02 xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 ++tnjts = 0 tnjtssw = 0 tnjtsswg= 0 vtss = 10 ++vtsd = 10 vtssws = 10 vtsswd = 10 vtsswgs = 10 ++vtsswgd = 10 pbs = 0.606 cjs = 0.00095 mjs = 0.296 ++pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 pbswgs = 0.861 ++cjswgs = 3.573e-010 mjswgs = 0.40313 tpb = 0.00146 tcj = 0.000825 ++tpbsw = 0.00313 tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 ++xtis = 3 ++dmcg = 0 dmdg = 0 dmcgt = 0 xgw = 0 ++xgl = 0 ++rshg = 0.1 gbmin = 1e-012 rbpb = 50 rbpd = 50 ++rbps = 50 rbdb = 50 rbsb = 50 ngcon = 1 ++xrcrg1 = 12 xrcrg2 = 1 rbps0 = 50 rbpsl = 0 ++rbpsw = 0 rbpsnf = 0 rbpd0 = 50 rbpdl = 0 ++rbpdw = 0 rbpdnf = 0 rbpbx0 = 100 rbpbxl = 0 ++rbpbxw = 0 rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 ++rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 rbsby0 = 100 ++rbdbx0 = 100 rbdby0 = 100 rbsdbxl = 0 rbsdbxw = 0 ++rbsdbxnf= 0 rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 ++web = 0 wec = 0 scref = 1e-006 kvth0we = 0 ++k2we = 0 ku0we = 0 saref = 1e-006 sbref = 1e-006 ++wlod = 0 kvth0 = 0 lkvth0 = 0 wkvth0 = 0 ++pkvth0 = 0 llodvth = 0 wlodvth = 0 stk2 = 0 ++lodk2 = 1 lodeta0 = 1 ku0 = 0 lku0 = 0 ++wku0 = 0 pku0 = 0 llodku0 = 0 wlodku0 = 0 ++kvsat = 0 steta0 = 0 tku0 = 0 + +.model nfet_06v0.1 nmos level = 54 ++lmin = 6e-007 lmax = 7e-007 wmin = 3e-007 wmax = 0.000100001 ++version = 4.5 binunit = 1 paramchk= 1 mobmod = 0 ++capmod = 2 igcmod = 0 igbmod = 0 geomod = 0 ++diomod = 1 rdsmod = 0 rbodymod= 0 rgeomod = 0 ++rgatemod= 0 permod = 1 acnqsmod= 0 trnqsmod= 0 ++tempmod = 0 wpemod = 0 ++tnom = 25 toxe = '1.52e-008+nfet_06v0_tox' toxp = '1.6e-008+nfet_06v0_tox' toxm = '1.52e-008+nfet_06v0_tox' ++epsrox = 3.9 toxref = 1.52e-008 wint = 1.55e-008 lint = -3e-008 ++ll = 1.93e-014 wl = 0 lln = 1 wln = 1 ++lw = 0 ww = -2.7e-015 lwn = 1 wwn = 1 ++lwl = 0 wwl = 0 llc = 0 wlc = 0 ++lwc = 0 wwc = 0 lwlc = 0 wwlc = 0 ++xl = '0+nfet_06v0_xl' xw = '0+nfet_06v0_xw' dlc = 5.4E-8 dwc = 0 ++dlcig = 0 xpart = 0 ++vth0 = '0.67314+nfet_06v0_vth0' k1 = 0.9 k2 = -0.001 k3 = -1.1369995 ++wk3 = -0.047531062 k3b = 0.86 w0 = 1e-009 dvt0 = 5.72 ++dvt1 = 0.299 dvt2 = -0.0793 dvt0w = 10 dvt1w = 976700 ++dvt2w = 0.15 dsub = 0.4 minv = 0 voffl = 0 ++dvtp0 = 0 dvtp1 = 0 lambda = 0 vtl = 200000 ++xn = 3 lpe0 = 1.63e-007 lpeb = 0 vbm = -3 ++xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 nsd = 1e+020 ++phin = 0 cdsc = 0.00024 ud1 = 0 up = 0 ++lp = 1e-008 cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.08 nfactor = 0.864 eta0 = 0 etab = -0.43 ++u0 = 0.052500361 lu0 = 0.019999754 wu0 = -2.8167565e-008 pu0 = 0.0018000207 ++ua = 6.7999991e-012 lua = 3.7339196e-019 wua = 1.3244868e-016 pua = 1.4622711e-010 ++ub = 2.8800011e-018 lub = 1.7399991e-018 wub = 1.8765824e-025 pub = -2.3804013e-019 ++uc = 7.9400388e-011 luc = 9.7999741e-011 wuc = 7.9999428e-012 puc = -5.6167642e-012 ++eu = 1.67 vsat = '64848.09*nfet_06v0_vsat' lvsat = '24946.5*nfet_06v0_vsat' wvsat = '0.14568305*nfet_06v0_vsat' ++pvsat = '6308.7053*nfet_06v0_vsat' a0 = 0.72500081 la0 = 0.40143954 ags = 0.13700019 ++lags = -0.069000099 wags = 5.7853969e-008 pags = 0.0070909573 a1 = 0 ++a2 = 0.96 b0 = 0 b1 = 0 keta = -0.021200265 ++lketa = 0.041400183 dwg = -6e-010 dwb = 6e-009 pclm = 0.0099996572 ++lpclm = 0.89088046 pdiblc1 = 1.6 pdiblc2 = 0.0022 pdiblcb = 0 ++drout = 0.4 pvag = 1.75 delta = 0.01 pscbe1 = 4.325e+009 ++pscbe2 = 8.8e-006 fprout = 0 pdits = 0 pditsd = 0 ++pditsl = 0 rsh = 7 rdsw = 2175 rsw = 100 ++rdw = 100 rdswmin = 0 rdwmin = 0 rswmin = 0 ++prwg = 1 prwb = 0 wr = 1 alpha0 = -1.88e-007 ++alpha1 = 19 beta0 = 36.6 agidl = 0 bgidl = 2.3e+009 ++cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 bigbacc = 0.054 ++cigbacc = 0.075 nigbacc = 1 aigbinv = 0.35 bigbinv = 0.03 ++cigbinv = 0.006 eigbinv = 1.1 nigbinv = 3 aigc = 0.43 ++bigc = 0.054 cigc = 0.075 aigsd = 0.43 bigsd = 0.054 ++cigsd = 0.075 nigc = 1 poxedge = 1 pigcd = 1 ++ntox = 1 vfbsdoff= 0 ++cgso = '1e-010*nfet_06v0_cgso' cgdo = '1e-010*nfet_06v0_cgdo' cgbo = 1e-013 cgdl = '1.5e-010*nfet_06v0_cgdo' ++cgsl = '1.5e-010*nfet_06v0_cgso' clc = 1e-010 cle = 0.6 ckappas = 0.6 ++ckappad = 0.6 vfbcv = -1 acde = 0.3 moin = 15 ++noff = 1.5 voffcv = 0 ++tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 kt1l = 3.5e-008 ++kt2 = -0.05 ute = -1.5000008 lute = 0.030000412 wute = 0.060000189 ++pute = -0.020000108 ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.5999975e-011 ++luc1 = -1.8816017e-011 prt = 0 at = -119957.68 lat = 85782.454 ++wat = -33999.727 pat = 21832.424 ++fnoimod = 1 tnoimod = 0 em = 4.1e+007 ef = 1 ++noia = 'nfet_06v0_noia' noib = 'nfet_06v0_noib' noic = 'nfet_06v0_noic' ntnoi = 1 ++lintnoi = 0 ++jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 njs = 1.0541 ++ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 11 xjbvs = 1 ++xjbvd = 1 jtss = 0 jtsd = 0 jtssws = 0 ++jtsswd = 0 jtsswgs = 0 jtsswgd = 0 njts = 20 ++njtssw = 20 njtsswg = 20 xtss = 0.02 xtsd = 0.02 ++xtssws = 0.02 xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 ++tnjts = 0 tnjtssw = 0 tnjtsswg= 0 vtss = 10 ++vtsd = 10 vtssws = 10 vtsswd = 10 vtsswgs = 10 ++vtsswgd = 10 pbs = 0.606 cjs = 0.00095 mjs = 0.296 ++pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 pbswgs = 0.861 ++cjswgs = 3.573e-010 mjswgs = 0.40313 tpb = 0.00146 tcj = 0.000825 ++tpbsw = 0.00313 tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 ++xtis = 3 ++dmcg = 0 dmdg = 0 dmcgt = 0 xgw = 0 ++xgl = 0 ++rshg = 0.1 gbmin = 1e-012 rbpb = 50 rbpd = 50 ++rbps = 50 rbdb = 50 rbsb = 50 ngcon = 1 ++xrcrg1 = 12 xrcrg2 = 1 rbps0 = 50 rbpsl = 0 ++rbpsw = 0 rbpsnf = 0 rbpd0 = 50 rbpdl = 0 ++rbpdw = 0 rbpdnf = 0 rbpbx0 = 100 rbpbxl = 0 ++rbpbxw = 0 rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 ++rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 rbsby0 = 100 ++rbdbx0 = 100 rbdby0 = 100 rbsdbxl = 0 rbsdbxw = 0 ++rbsdbxnf= 0 rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 ++web = 0 wec = 0 scref = 1e-006 kvth0we = 0 ++k2we = 0 ku0we = 0 saref = 1e-006 sbref = 1e-006 ++wlod = 0 kvth0 = 0 lkvth0 = 0 wkvth0 = 0 ++pkvth0 = 0 llodvth = 0 wlodvth = 0 stk2 = 0 ++lodk2 = 1 lodeta0 = 1 ku0 = 0 lku0 = 0 ++wku0 = 0 pku0 = 0 llodku0 = 0 wlodku0 = 0 ++kvsat = 0 steta0 = 0 tku0 = 0 + + +*resistor +.subckt nplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m2 + +.endl nfet_06v0_t +* +*************************************************************************************************** +* 6V native NMOS Models +*************************************************************************************************** +* +.lib nfet_06v0_nvt_t +.subckt nfet_06v0_nvt d g s b w=1e-5 l=1.8e-6 as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 sa=0 sb=0 nf=1 sd=0 m=1 + +m0 d g s b nfet_06v0_nvt w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs sa=sa sb=sb nf=nf sd=sd m=m + +.ends nfet_06v0_nvt + +.model nfet_06v0_nvt.0 nmos ++level = 54 +************************************************************** +* MODEL FLAG PARAMETERS +************************************************************** ++lmin = 1.8e-6 lmax = 50.01e-6 wmin = 0.8e-6 ++wmax = 100.01e-6 version = 4.6 binunit = 1 ++paramchk= 1 mobmod = 0 capmod = 2 ++igcmod = 0 igbmod = 0 geomod = 0 ++diomod = 1 rdsmod = 0 rbodymod= 0 ++rgeomod = 0 rgatemod= 0 permod = 1 ++acnqsmod= 0 trnqsmod= 0 tempmod = 0 ++wpemod = 0 +************************************************************** +* GENERAL MODEL PARAMETERS +************************************************************** ++tnom = 25 toxe = nfet_06v0_nvt_tox toxp = 1.6e-008 ++toxm = 1.52e-008 epsrox = 3.9 toxref = 1.52e-008 ++wint = 1e-009 lint = 1e-007 ll = 0 ++wl = 0 lln = 1 wln = 1 ++lw = 0 ww = 0 lwn = 1 ++wwn = 1 lwl = 0 wwl = 0 ++llc = 0 wlc = 0 lwc = 0 ++wwc = 0 lwlc = 0 wwlc = 0 ++xl = nfet_06v0_nvt_xl xw = nfet_06v0_nvt_xw dlc = 0 ++dwc = 0 dlcig = 0 xpart = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++vth0 = nfet_06v0_nvt_vth0 lvth0 = -0.088 k1 = 0.165 ++k2 = -0.001 k3 = -0.6 k3b = -0.6 ++w0 = 1e-010 dvt0 = 2.2 dvt1 = 0.53 ++dvt2 = -0.032 dvt0w = 0 dvt1w = 5300000 ++dvt2w = -0.032 dsub = 0.4 minv = -0.5 ++voffl = 0 dvtp0 = 1e-008 dvtp1 = 0 ++lambda = 0 vtl = 200000 xn = 3 ++lpe0 = 1e-007 lpeb = 0 vbm = -3 ++xj = 1.5e-007 ngate = 1e+020 ndep = 1.7e+017 ++nsd = 1e+020 phin = 0.5 cdsc = 0.00024 ++cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.06 ud1 = 0 up = 0 ++lp = 1e-008 nfactor = 0.40241 lnfactor= 0.45 ++eta0 = 0.06 etab = -0.43 u0 = nfet_06v0_nvt_u0 ++lu0 = 0.042 ua = 2.278e-009 ub = 3.97e-019 ++lub = 3.65e-018 uc = 2.625e-012 eu = 1.67 ++vsat = 106700 pvsat = 23500 a0 = 0.88 ++ags = 0.72 a1 = 0 a2 = 0.47 ++b0 = 3.5e-007 b1 = 0 keta = -0.04 ++dwg = 0 dwb = 0 pclm = 3 ++pdiblc1 = 1.41 pdiblc2 = 1e-005 pdiblcb = 0 ++drout = 0.16 pvag = 1 delta = 0.005 ++pscbe1 = 5e+009 pscbe2 = 5e-006 fprout = 65 ++pdits = 0 pditsd = 0 pditsl = 0 ++rsh = 7 rdsw = 3480 rsw = 100 ++rdw = 100 rdswmin = 0 rdwmin = 0 ++rswmin = 0 prwg = 1 prwb = 0 ++wr = 1 alpha0 = 1.36e-008 alpha1 = 1e-005 ++beta0 = 15 agidl = 2e-010 bgidl = 2.3e+009 ++cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 ++bigbacc = 0.054 cigbacc = 0.075 nigbacc = 1 ++aigbinv = 0.35 bigbinv = 0.03 cigbinv = 0.006 ++eigbinv = 1.1 nigbinv = 3 aigc = 0.43 ++bigc = 0.054 cigc = 0.075 aigsd = 0.43 ++bigsd = 0.054 cigsd = 0.075 nigc = 1 ++poxedge = 1 pigcd = 1 ntox = 1 ++vfbsdoff= 0 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cgso = nfet_06v0_nvt_cgso cgdo = nfet_06v0_nvt_cgdo cgbo = 1e-013 ++cgdl = 1.5e-010 cgsl = 1.5e-010 clc = 1e-010 ++cle = 0.6 ckappas = 0.6 ckappad = 0.6 ++vfbcv = -1 acde = 0.3 moin = 15 ++noff = 1.5 voffcv = 0 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 ++kt1l = 3.5e-008 kt2 = -0.05 ute = -1.5 ++lute = -0.26 ua1 = 1e-009 ub1 = -1e-018 ++uc1 = -5.6e-011 prt = 0 at = 80000 ++lat = -30000 pat = -10000 +************************************************************** +* NOISE PARAMETERS +************************************************************** ++fnoimod = 1 tnoimod = 0 em = 4.1e+007 ++ef = 1 noia = 'nfet_06v0_nvt_noia' noib = 'nfet_06v0_nvt_noib' ++noic = 'nfet_06v0_nvt_noic' ntnoi = 1 lintnoi = 0 +************************************************************** +* DIODE PARAMETERS +************************************************************** ++jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 ++njs = 1.0541 ijthsfwd= 0.1 ijthsrev= 0.1 ++bvs = 11 xjbvs = 1 xjbvd = 1 ++jtss = 0 jtsd = 0 jtssws = 0 ++jtsswd = 0 jtsswgs = 0 jtsswgd = 0 ++njts = 20 njtssw = 20 njtsswg = 20 ++xtss = 0.02 xtsd = 0.02 xtssws = 0.02 ++xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 ++tnjts = 0 tnjtssw = 0 tnjtsswg= 0 ++vtss = 10 vtsd = 10 vtssws = 10 ++vtsswd = 10 vtsswgs = 10 vtsswgd = 10 ++pbs = 0.606 cjs = 0.00095 mjs = 0.296 ++pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 ++pbswgs = 0.861 cjswgs = 3.573e-010 mjswgs = 0.40313 ++tpb = 0.00146 tcj = 0.000825 tpbsw = 0.00313 ++tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 ++xtis = 3 +************************************************************** +* LAYOUT RELATED PARAMETERS +************************************************************** ++dmcg = 0 dmdg = 0 dmcgt = 0 ++xgw = 0 xgl = 0 +************************************************************** +* RF PARAMETERS +************************************************************** ++rshg = 0.1 gbmin = 1e-012 rbpb = 50 ++rbpd = 50 rbps = 50 rbdb = 50 ++rbsb = 50 ngcon = 1 xrcrg1 = 12 ++xrcrg2 = 1 rbps0 = 50 rbpsl = 0 ++rbpsw = 0 rbpsnf = 0 rbpd0 = 50 ++rbpdl = 0 rbpdw = 0 rbpdnf = 0 ++rbpbx0 = 100 rbpbxl = 0 rbpbxw = 0 ++rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 ++rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 ++rbsby0 = 100 rbdbx0 = 100 rbdby0 = 100 ++rbsdbxl = 0 rbsdbxw = 0 rbsdbxnf= 0 ++rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 +************************************************************** +* STRESS PARAMETERS +************************************************************** ++web = 0 wec = 0 scref = 1e-006 ++kvth0we = 0 k2we = 0 ku0we = 0 ++saref = 1e-006 sbref = 1e-006 wlod = 0 ++kvth0 = 0 lkvth0 = 0 wkvth0 = 0 ++pkvth0 = 0 llodvth = 0 wlodvth = 0 ++stk2 = 0 lodk2 = 1 lodeta0 = 1 ++ku0 = 0 lku0 = 0 wku0 = 0 ++pku0 = 0 llodku0 = 0 wlodku0 = 0 ++kvsat = 0 steta0 = 0 tku0 = 0 + +.endl nfet_06v0_nvt_t +* +*************************************************************************************************** +* 6V PMOS Models +*************************************************************************************************** +* +.lib pfet_06v0_t + + +.subckt pfet_06v0_dss d g s b w=10u l=0.5u par=1 s_sab=0.28u d_sab=2.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.01051 ++ par_k=0.00517 ++ par_l=3e-7 ++ par_w=-4e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pfet_06v0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model pfet_06v0.0 pmos +***** Flag Parameter *** ++level = 54 version = 4.6 binunit = 1 ++paramchk = 1 mobmod = 0 capmod = 2 ++rdsmod = 0 igcmod = 0 igbmod = 0 ++rbodymod = 0 trnqsmod = 0 acnqsmod = 0 ++fnoimod = 1 tnoimod = 0 diomod = 1 ++tempmod = 0 permod = 1 geomod = 1 +***** Geometry Range Parameter *** ++lmin = 0.5e-6 lmax = 50.01e-6 wmin = 0.3e-6 ++wmax = 100.01e-6 +***** Process Parameter *** ++epsrox = 3.9 toxe = '1.56E-8+pfet_06v0_dtox' xj = 1.5E-7 ++ndep = 1.7E17 ngate = 3.6E19 nsd = 6E16 ++rsh = 7 rshg = 0.1 phin = 0 ++lphin = 0.1408 +***** dW and dL Parameter *** ++wint = 4.9E-8 wl = 0 wln = 1 ++ww = -1.37E-14 wwn = 1 wwl = 3.04E-22 ++lint = 6.7E-8 ll = -5.4E-15 lln = 1 ++lw = 0 lwn = 1 lwl = -4.76E-21 ++dwg = -6.6E-9 dwb = -3E-9 xl = '0+pfet_06v0_dxl' ++xw = '0+pfet_06v0_dxw' +***** Vth Related Parameter *** ++vth0 = '-0.8978+pfet_06v0_dvth0' pvth0 = '7.6E-3+8.47e-3*pfet_06v0_dvth0' ++k1 = 0.9588 k2 = 8.936E-3 vfb = -1 ++k3 = -0.75 k3b = 1.2104 w0 = 3.1E-7 ++lpe0 = -4.4E-8 lpeb = -5.96E-8 dvtp0 = 0 ++dvtp1 = 0.3 dvt0 = 1 dvt1 = 1 ++dvt2 = 0 dvt0w = 0 dvt1w = 5.3E6 ++dvt2w = -0.032 +***** Mobility Related Parameter *** ++u0 = 0.0151 ua = 1.78E-9 ub = 4.88E-19 ++uc = -2.7435E-11 luc = 8.691408E-11 puc = -1.501336E-11 ++vsat = 8.55E4 a0 = 0.84 ags = 0.059 ++b0 = 2.625E-8 b1 = 0 keta = -8.6016E-5 ++wketa = 2.772E-3 a1 = 0 a2 = 1 ++rdsw = 1.426E3 wrdsw = 213.9 prdsw = -120 ++rdswmin = 100 prwb = 0.569552 pprwb = -0.052 ++prwg = 0.0432 wr = 1 +***** Subthreshold Related Parameter *** ++voff = -0.1284 voffl = 2.19E-8 minv = 0 ++nfactor = 1 eta0 = 0.08 etab = -0.09408 ++petab = -0.012128 dsub = 0.4824 cit = 0 ++cdsc = 2.4E-4 cdscb = 0 cdscd = 0 +***** Output Resistance Related Parameter *** ++pclm = 0.42 ppclm = 0.071 pdiblc1 = 0.14 ++pdiblc2 = 1E-5 pdiblcb = 0 drout = 0.56 ++pscbe1 = 5.088E8 pscbe2 = 1E-8 pvag = 1.5 ++delta = 0.01 fprout = 0 pdits = 0.01 ++pditsl = 0 pditsd = 0 lambda = 0 ++vtl = 2E5 lc = 0 xn = 3 ++alpha0 = 9.6E-7 alpha1 = 51.5 beta0 = 50.8 ++wbeta0 = 0.22 pbeta0 = 0.14 +***** GIDL Effect Parameters *** ++agidl = 1.1E-15 pagidl = 6.27545E-16 bgidl = 1.578E5 ++egidl = 1.19653E-2 +***** Noise Parameters *** ++ef = 1.1 noia = 'pfet_06v0_noia' ++noib = 'pfet_06v0_noib' noic = 'pfet_06v0_noic' +***** Capacitance Parameter *** ++xpart = 1 cgso = '7.71E-11*pfet_06v0_dcgso' cgdo = '7.71E-11*pfet_06v0_dcgdo' ++cgbo = 1E-13 ckappas = 0.6 ckappad = 0.6 ++dlc = 7.4E-9 noff = 1 voffcv = 0 ++acde = 0.7 moin = 15 cgsl = '5.25E-11*pfet_06v0_dcgso' ++cgdl = '5.25E-11*pfet_06v0_dcgdo' +***** Souce/Drain Junction Diode Model Parameter *** ++ijthsrev = 0.1 ijthdrev = 0.1 ijthsfwd = 0.1 ++ijthdfwd = 0.1 xjbvs = 1 xjbvd = 1 ++bvs = 10.5 bvd = 10.5 jss = 2.0867e-007 ++jsd = 2.0867e-007 jsws = 1.6088e-013 jswd = 1.6088e-013 ++jswgs = 0 jswgd = 0 cjs = 0.000912 ++cjd = 0.000912 mjs = 0.32713 mjd = 0.32713 ++mjsws = 0.056777 mjswd = 0.056777 cjsws = 1.4649e-010 ++cjswd = 1.4649e-010 cjswgs = 3.3229e-010 cjswgd = 3.3229e-010 ++mjswgs = 0.50996 mjswgd =0.50996 pbs = 0.76836 ++pbd = 0.76836 pbsws = 0.5 pbswd = 0.5 ++pbswgs = 1.2295 pbswgd = 1.2295 +***** Temperature coefficient *** ++tnom = 25 ute = -1.2 lute = -0.152467 ++wute = -0.07 kt1 = -0.3828 pkt1 = 2.2E-3 ++kt1l = -3.158E-8 kt2 = -0.09064 ua1 = 1.41E-9 ++lua1 = -6.554813E-10 wua1 = -1.2E-10 pua1 = -3.823641E-10 ++ub1 = -4.31E-18 lub1 = 1.939773E-19 pub1 = 7.291324E-19 ++uc1 = 1.147552E-10 luc1 = -1.067674E-10 puc1 = 1.8536E-11 ++at = -2.18E4 pat = -6.1E3 prt = 454 ++njs = 1 njd = 1 xtis = 3 ++xtid = 3 tpb = 0.0019314 tpbsw = 0.0017642 ++tpbswg = 0.0016588 tcj = 0.001 tcjsw = 0.00071888 ++tcjswg = 0.0009411 + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m2 + +.endl pfet_06v0_t +* +* +* +.LIB dio + +.model diode_nd2ps_03v3 d level = 3 ++tref = 25 ++is = '2.2959e-007 * jsa' ++jsw = '2.1207e-013 * jsa' ++ik = 300000 ++bv = 11 ++ibv = 0.001 ++n = 1.01 ++rs = '2e-010 * rsa' ++jtun = 1.1223e-005 ++jtunsw = 6.4125e-012 ++ntun = 10 ++cjo = '0.00096797* cja' ++cjp = '1.5663e-010* cjswa' ++pb = 0.70172 ++php = 0.8062 ++mj = 0.32071 ++mjsw = 0.1 ++tlev = 1 ++tlevc = 1 ++trs = 4.5778e-005 ++xti = 3 ++xtitun = -25 ++cta = 0.0009438 ++ctp = 0.00060474 ++eg = 1.17 ++tpb = 0.0018129 ++tphp = 5e-005 +* +.model diode_pd2nw_03v3 d level = 3 ++tref = 25 ++is = '1.653e-007 * jsa' ++jsw = '2.1207e-013 * jsa' ++ik = 500000 ++bv = 10.5 ++ibv = 0.001 ++n = 1 ++rs = '2e-010 * rsa' ++jtun = 5.4028e-005 ++jtunsw = 9.8419e-011 ++ntun = 60 ++cjo = '0.00094344* cja' ++cjp = '1.5078e-010* cjswa' ++pb = 0.69939 ++php = 0.8022 ++mj = 0.32084 ++mjsw = 0.05 ++tlev = 1 ++tlevc = 1 ++trs = 3.8628e-005 ++xti = 3 ++xtitun = -40 ++cta = 0.00099187 ++ctp = 0.00063483 ++eg = 1.17 ++tpb = 0.0016906 ++tphp = 0.0052 +* +.model diode_nd2ps_06v0 d level = 3 ++tref = 25 ++is = '6.88e-007 * jsa' jsw = '4.88e-013 * jsa' ik = 229000 ++bv = 11 ibv = 0.001 ikr = 1e-030 ++n = 1.0541 rs = '2e-010 * rsa' ++cjo = '0.00095 * cja' cjp = '1.33e-010 * cjswa' pb = 0.606 ++php = 0.48 mj = 0.296 mjsw = 0.01 ++tlev = 1 tlevc = 1 trs = 0.0001 ++xti = 5 cta = 0.000825 ctp = 0.0018 ++tpb = 0.00146 tphp = 0.00313 eg = 1.11 + +.model diode_pd2nw_06v0 d level = 3 ++tref = 25 ++is = '2.0867e-007 * jsa' jsw = '1.6088e-013 * jsa' ik = 253800 ++ikr = 0 n = 1.0058 rs = '2.0e-010 * rsa' ++cjo = '0.000912 * cja' cjsw = '1.4649e-010 * cjswa' pb = 0.76836 php = 0.5 ++mj = 0.32713 mjsw = 0.056777 ++tlev = 1 tlevc = 1 trs = 0.00168 xti = 3 ++cta = 0.001 ctp = 0.00071888 tpb = 0.0019314 tphp = 0.0017642 ++eg = 1.17 bv=10.5 +* + +.model diode_nw2ps_03v3 d level = 3 ++area = 1.6e-009 ++pj = 0.00016 ++tref = 25 ++is = '1.5654e-006 * jsa' ++jsw = '1.6912e-012 * jsa' ++ik = 300000 ++bv = 11 ++ibv = 0.001 ++n = 1.01 ++rs = '2e-010 * rsa' ++jtun = 0.00037353 ++jtunsw = 3.0737e-011 ++ntun = 22 ++cjo = '0.00014917* cja' ++cjp = '5.8113e-010*cjswa' ++vj = 0.5755 ++php = 0.55456 ++mj = 0.33979 ++mjsw = 0.2257 ++tlev = 1 ++tlevc = 1 ++trs = 3.8628e-005 ++xti = 3 ++xtitun = -46 ++cta = 0.0023998 ++ctp = 0.0010977 ++eg = 1.18 ++tpb = 0.0027641 ++tphp = 0.0019629 +* +.model diode_nw2ps_06v0 d level = 3 ++tref = 25 ++is = '1.6119e-006 * jsa' jsw = '2e-012 * jsa' ik = 100000 ++ikr = 0 n = 1 rs = '2e-010 * rsa' ++cjo = '0.00014914 * cja' cjsw = '5.8719e-010 * cjswa' pb = 0.43905 php = 0.48991 ++mj = 0.30525 mjsw = 0.21757 ++tlev = 1 tlevc = 1 trs = 0 xti = 3 ++cta = 0.0028626 ctp = 0.00091707 tpb = 0.0024779 tphp = 0.00125 ++eg = 1.1763 bv=14 +* +.model diode_pw2dw d level = 3 ++tref = 25 ++is = '5.2139e-007* jsa' jsw = '0* jsa' ik = 711930 bv = 14.732 ++ibv = 0.001 ikr = 0 n = 0.98 rs = '2e-010* rsa' ++cjo = '0.00032124* cja' cjp = '5.4659e-010* cjswa' pb = 0.63391 php = 0.77752 ++mj = 0.31113 mjsw = 0.39816 ++tlev = 1 tlevc = 1 trs = 0.0002207 xti = 3 ++cta = 0.0012922 ctp = 0.0010772 tpb = 0.0019819 tphp = 0.0016567 ++eg = 1.17 +* +.model diode_dw2ps d level = 3 ++tref = 25 ++is = '2e-006* jsa' jsw = '1e-12* jsa' ik = 229050 bv = 30.48 ++ibv = 0.001 ikr = 0 n = 0.99335 rs = '2e-010* rsa' ++cjo = '0.00022998* cja' cjp = '7.2369e-010* cjswa' pb = 0.35175 php = 0.37806 ++mj = 0.14716 mjsw = 0.19821 ++tlev = 1 tlevc = 1 trs = 0.0026028 xti = 3 ++cta = 0.0012309 ctp = 0.0012111 tpb = 0.0019414 tphp = 0.0017152 ++eg = 1.17 + +* +.model sc_diode d level = 3 ++tref = 25 ++js = '8.16*10**jsa_sc' jsw = 0 ik = 4e+010 bv = '17 + vba_sc' ++ibv = 9.92e-005 ikr = 4e+008 n = 1.0553 rs = '2.768e-009*rs_sc' ++jtun = '1048.7*10**jtuna_sc' jtunsw = 0 ntun = 72.211 ++cjo = '0.00176*cja_sc' cjp = 0 pb = 0.14256 php = 0.93627 ++mj = 0.02604 mjsw = 0.1545 ++tlev = 1 tlevc = 1 tcv = -5e-005 trs = 0.0022143 ++xti = 3 xtitun = -12.347 cta = 6.2962e-005 ctp = 0 ++tpb = 0.0002696 tphp = 0 eg = 0.61 +* +.endl diode +* +******************************************************************************************************* +* Resistor Models +* ---------------------- +* +* Temperature : -40, 0, 25, 50, 75, 100 and 125C. +* +* The resistor models were generated from the resistor characterization reports R-EZ-ER-557 Rev.1B or +* refer to resistor_VCR document attached in the lotus notes document. The user is advised to follow +* the instructions on the usage and understand the limitations of the models documented in this report. +* In particular the user should take note of the following : +* +* a. The parameters for each model were extracted from test structures with various dimensions. +* The user should therefore take note of this limitation when extending design & simulation +* beyond the test conditions. +* +* b. The voltage coefficients (r_vc1 and r_vc2) are set to zero by default in each model. +* If the need arise, the user should consult the resistor characterization report for the +* appropriate values to use. +* +* c. The Poly-STI-substrate capacitance parameters are obtained from YI-141-IA001 Rev. 1B. +* +* The models included in this release are as follows : +* +* Model Name Description +* ---------- ----------- +* +* nplus_u Model for 3-terminal unsalicidedn+ diffusion resistor +* pplus_u Model for 3-terminal unsalicidedP+ diffusion resistor +* nplus_s Model for 3-terminal salicided N+ diffusion resistor +* pplus_s Model for 3-terminal salicided P+ diffusion resistor +* nwell Model for 3-terminal nwell resistor under STI +* npolyf_u Model for 3-terminal unsalicidedn+ poly resistor +* ppolyf_u Model for 3-terminal unsalicided p+ poly resistor +* npolyf_s Model for 3-terminal salicided n+ poly resistor +* ppolyf_s Model for 3-terminal salicided p+ poly resistor +* ppolyf_u_1k Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide +* ppolyf_u_2k Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide +* ppolyf_u_1k_6p0 Model for 3-terminal 1k high-Rs p+ poly resistor on field oxide (6.0V area) +* ppolyf_u_2k_6p0 Model for 3-terminal 2k high-Rs p+ poly resistor on field oxide (6.0V area) +* ppolyf_u_3k Model for 3-terminal 3k high-Rs p+ poly resistor on field oxide (3.3V & 6V area) +* rm1 Model for 2-terminal metal 1 resistor +* rm2 Model for 2-terminal metal 2 resistor +* rm3 Model for 2-terminal metal 3 resistor +* rm4 Model for 2-terminal metal 4 resistor +* tm6k Model for 2-terminal top metal 6k resistor +* tm9k Model for 2-terminal top metal 9k resistor +* tm30k Model for 2-terminal top metal 30k resistor +******************************************************************************************************* +.LIB res +* model for unsalicided n+ diffusion resistor +.subckt nplus_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_nplus_u*(1+(mc_rsh_nplus_u/(rsh_nplus_u))*res_mc_skew*sw_stat_global)' ++ r_dw='-5E-8*(1+ mc_dw_nplus_u*res_mc_skew*sw_stat_global)' ++ r_dl=2E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* + par_r=0.012608 +* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' +* + var_r='0.7071*par_r*1e-06/par_sqrtarea' +* + mis_r=agauss(0, 0.1, 1) +**** + mis_r=agauss(0, var_r, 1) ++ mis_r = 0 + +* model for terminal resistor +.model nplus_u_t r ++ rsh='18.5+ mc_rt_nplus_u*res_mc_skew*sw_stat_global' ++ tc1=8.5E-4 ++ tc2=1.75E-6 ++ tnom=25 +* model for substrate capacitor +.model diode_nd2ps_03v3_r d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +rt1 1 11 nplus_u_t l='s*1u' w=r_w dtemp=dtemp +d1 3 1 diode_nd2ps_03v3_r area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' +* terminal 2 +rt2 21 2 nplus_u_t l='s*1u' w=r_w dtemp=dtemp +d2 3 2 diode_nd2ps_03v3_r area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends nplus_u +******************************************************************************************************* +* model for unsalicided p+ diffusion resistor +.subckt pplus_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_pplus_u*(1+mc_rsh_pplus_u/(rsh_pplus_u)*res_mc_skew*sw_stat_global)' ++ r_dw='2.75E-8*(1+ mc_dw_pplus_u*res_mc_skew*sw_stat_global)' ++ r_dl=5.0E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* + par_r=0.0126 +* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' +* + var_r='0.7071*par_r*1e-06/par_sqrtarea' +* + mis_r=agauss(0, 0.1, 1) +** + mis_r=agauss(0, var_r, 1) ++ mis_r=0 + +* model for terminal resistor +.model pplus_u_t r ++ rsh='50+mc_rt_pplus_u*res_mc_skew*sw_stat_global' ++ tc1=-1.528E-3 ++ tc2=0.7E-6 ++ tnom=25 +* model for substrate capacitor +.model diode_pd2nw_03v3 d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +rt1 1 11 pplus_u_t l='s*1u' w=r_w dtemp=dtemp +d1 1 3 diode_pd2nw_03v3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' +* terminal 2 +rt2 21 2 pplus_u_t l='s*1u' w=r_w dtemp=dtemp +d2 2 3 diode_pd2nw_03v3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends pplus_u +******************************************************************************************************* +* model for salicided n+ diffusion resistor +.subckt nplus_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_nplus_s*(1 + mc_rsh_nplus_s/(rsh_nplus_s)*res_mc_skew*sw_stat_global)' ++ r_dw='-1.25E-8*(1+mc_dw_nplus_s*res_mc_skew*sw_stat_global)' ++ r_dl=3.5E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.3E-3 ++ r_tc2=3E-7 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for terminal resistor +.model nplus_s_t r ++ rsh=6 ++ tc1=1.43E-3 ++ tc2=-0.27E-6 ++ tnom=25 +* model for substrate capacitor +.model diode_nd2ps_03v3_r d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +rt1 1 11 nplus_s_t l='s*1u' w=r_w dtemp=dtemp +d1 3 1 diode_nd2ps_03v3_r area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 nplus_s_t l='s*1u' w=r_w dtemp=dtemp +d2 3 2 diode_nd2ps_03v3_r area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends nplus_s +******************************************************************************************************* +* model for salicided p+ diffusion resistor +.subckt pplus_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_pplus_s*(1+ mc_rsh_pplus_s/(rsh_pplus_s)*res_mc_skew*sw_stat_global)' ++ r_dw='-5E-8*(1+mc_dw_pplus_s*res_mc_skew*sw_stat_global)' ++ r_dl=3.5E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.375E-3 ++ r_tc2=0.45E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for terminal resistor +.model pplus_s_t r ++ rsh=6.5 ++ tc1=1.565E-3 ++ tc2=-0.028E-6 ++ tnom=25 +* model for substrate capacitor +.model diode_pd2nw_03v3 d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +rt1 1 11 pplus_s_t l='s*1u' w=r_w dtemp=dtemp +d1 1 3 diode_pd2nw_03v3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 pplus_s_t l='s*1u' w=r_w dtemp=dtemp +d2 2 3 diode_pd2nw_03v3 area='r_w*r_l/2' pj='r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends pplus_s +******************************************************************************************************* +* model for Nwell resistor under STI +.subckt nwell 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0 = rsh_nwell ++ r_dw = 2.22E-7 ++ r_dl = 1.02E-8 ++ r_vc1 = 0 ++ r_vc2 = 0 ++ r_tc1 = 2.285E-3 ++ r_tc2 = 9.78E-6 ++ r_tnom = 25 ++ r_l = 's*(r_length-2*r_dl)' ++ r_w = 'r_width-2*r_dw' ++ r_n = 'r_l/r_w' ++ r_temp = '1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for terminal resistor +.model nwell_t r ++ rsh = 250 ++ tc1 = 1.72E-3 ++ tc2 = 9.34E-6 ++ tnom = 25 +* model for substrate capacitor +.model nwp d ++ level = 3 ++ cj = 0.00014917 ++ mj = 0.33979 ++ pb = 0.5755 ++ cjsw = 5.8113e-010 ++ mjsw = 0.2257 ++ php = 0.55456 ++ cta = 0.0023998 ++ ctp = 0.0010977 ++ tpb = 0.0027641 ++ tphp = 0.0019629 ++ tlevc = 1 ++ tref = 25 +*------------------- +* terminal 1 +rt1 1 11 nwell_t l='s*1u' w=r_w dtemp=dtemp +d1 3 1 nwp area='r_w*r_l/2' PJ= 'r_w+2*r_l/2' dtemp=dtemp +* body +rb 11 21 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' +* terminal 2 +rt2 21 2 nwell_t l='s*1u' w=r_w dtemp=dtemp +d2 3 2 nwp area='r_w*r_l/2' PJ= 'r_w+2*r_l/2' dtemp=dtemp +*------------------- +.ends nwell +******************************************************************************************************* +******************************************************************************************************* +* model for n+ poly on field oxide resistor +.subckt npolyf_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +* model for body resistor ++ r_rsh0='rsh_npolyf_u*(1+mc_rsh_npolyf_u/(rsh_npolyf_u)*res_mc_skew*sw_stat_global)' ++ r_dw='0.0265e-6*(1+ mc_dw_npolyf_u*res_mc_skew*sw_stat_global)' ++ r_dl=8.48e-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-1.4e-3 ++ r_tc2=2.2E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* + par_r=0.05808 +* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' +* + var_r='0.7071*par_r*1e-06/par_sqrtarea' +* + mis_r=agauss(0, 0.1, 1) +** + mis_r=agauss(0, var_r, 1) ++ mis_r=0 + +.model npolyf_u_body r ++ af=1.684 ++ kf=3.6e-23 ++ noise=1 +* model for terminal resistor +.model npolyf_u_t r ++ rsh='40+ mc_rt_npolyf_u*res_mc_skew*sw_stat_global' ++ tc1=-0.735E-3 ++ tc2=-1.7E-6 ++ tnom=25 ++ af=1.684 ++ kf=3.6e-23 ++ noise=1 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 npolyf_u_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 npolyf_u_body ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)*(1+mis_r*sw_stat_mismatch)' l=r_l w=r_w dtemp=dtemp +* terminal 2 +rt2 21 2 npolyf_u_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends npolyf_u +******************************************************************************************************* +* model for P+ poly on field oxide resistor +.subckt ppolyf_u 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +* model for body resistor ++ r_rsh0='rsh_ppolyf_u*(1+mc_rsh_ppolyf_u/(rsh_ppolyf_u)*res_mc_skew*sw_stat_global)' ++ r_dw='2.55E-8*(1+ mc_dw_ppolyf_u*res_mc_skew*sw_stat_global)' ++ r_dl=2E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-0.9e-4 ++ r_tc2=7E-7 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* + par_r=0.021 +* + par_sqrtarea='sqrt(par*(r_l)*(r_w))' +* + var_r='0.7071*par_r*1e-06/par_sqrtarea' +* + mis_r=agauss(0, 0.1, 1) +** + mis_r=agauss(0, var_r, 1) ++ mis_r = 0 + +.model ppolyf_u_body r ++ af=1.79 ++ kf=2.4E-23 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_t r ++ rsh='60+ mc_rt_ppolyf_u*res_mc_skew*sw_stat_global' ++ tc1=-1.47E-3 ++ tc2=0.82E-6 ++ tnom=25 ++ af=1.79 ++ kf=2.4E-23 ++ noise=1 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85e-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_body ++r='(r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n))*(1+mis_r*sw_stat_mismatch)' l=r_l w=r_w dtemp=dtemp +* terminal 2 +rt2 21 2 ppolyf_u_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u +******************************************************************************************************* +******************************************************************************************************* +* model for salicided n+ poly over field oxide resistor +.subckt npolyf_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +* model for body resistor ++ r_rsh0='rsh_npolyf_s*(1+mc_rsh_npolyf_s/(rsh_npolyf_s)*res_mc_skew*sw_stat_global)' ++ r_dw='6.5e-9*(1+mc_dw_npolyf_s*res_mc_skew*sw_stat_global)' ++ r_dl=1.5e-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.26e-3 ++ r_tc2=0.25E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model npolyf_s_body r ++ af=1.684 ++ kf=3.6e-23 ++ noise=1 +* model for terminal resistor +.model npolyf_s_t r ++ rsh=5.5 ++ tc1=1.28E-3 ++ tc2=-0.5E-6 ++ tnom=25 ++ af=1.684 ++ kf=3.6e-23 ++ noise=1 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 npolyf_s_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 npolyf_s_body ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' l=r_l w=r_w dtemp=dtemp +* terminal 2 +rt2 21 2 npolyf_s_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends npolyf_s +******************************************************************************************************* +******************************************************************************************************* +* model for salicided p+ poly over field oxide resistor +.subckt ppolyf_s 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +* model for body resistor ++ r_rsh0='rsh_ppolyf_s*(1+mc_rsh_ppolyf_s/(rsh_ppolyf_s)*res_mc_skew*sw_stat_global)' ++ r_dw='7.5E-9*(1+mc_dw_ppolyf_s*res_mc_skew*sw_stat_global)' ++ r_dl=1.5E-10 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.245e-3 ++ r_tc2=3.6E-7 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_s_body r ++ af=1.79 ++ kf=2.4E-23 ++ noise=1 +* model for terminal resistor +.model ppolyf_s_t r ++ rsh=5 ++ tc1=1.254E-3 ++ tc2=-0.27E-6 ++ tnom=25 ++ af=1.79 ++ kf=2.4E-23 ++ noise=1 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85e-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_s_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_s_body ++r='(r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n))' l=r_l w=r_w dtemp=dtemp +* terminal 2 +rt2 21 2 ppolyf_s_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_s +******************************************************************************************************* +* model for 1k high-Rs P+ poly on field oxide resistor (LV area) +******************************************************************************************************* +.subckt ppolyf_u_1k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_1k*(1+ mc_rsh_ppolyf_u_1k/(rsh_ppolyf_u_1k)*res_mc_skew*sw_stat_global)' ++ r_dw='0.0148E-6*(1+ mc_dw_ppolyf_u_1k*res_mc_skew*sw_stat_global)' ++ r_dl=3.85E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-9.39e-4 ++ r_tc2=2.51E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_1k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_1k_t r ++ rsh='85.45+mc_rt_ppolyf_u_1k*res_mc_skew*sw_stat_global' ++ tc1=-7.92E-3 ++ tc2=4.25E-5 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_1k_body ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' l=r_l w=r_w dtemp=dtemp +* terminal 2 +rt2 21 2 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_1k +******************************************************************************************************* +*** model for 2k high-rs P+ poly on field oxide resistor (LV area) +******************************************************************************************************* +* model for 2k high-Rs P+ poly on field oxide resistor +.subckt ppolyf_u_2k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_2k*(1+ mc_rsh_ppolyf_u_2k/(rsh_ppolyf_u_2k)*res_mc_skew*sw_stat_global)' ++ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_2k*res_mc_skew*sw_stat_global)' ++ r_dl=-0.0932E-6 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-0.001669823 ++ r_tc2=3.74326E-06 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_2k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_2k_t r ++ rsh='33.16+mc_rt_ppolyf_u_2k*res_mc_skew*sw_stat_global' ++ tc1=-0.003763316 ++ tc2=9.81166E-06 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_2k_body ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' l=r_l w=r_w dtemp=dtemp +* terminal 2 +rt2 21 2 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_2k +******************************************************************************************************* +* model for 1k high-Rs P+ poly on field oxide resistor (MV area) +******************************************************************************************************* +.subckt ppolyf_u_1k_6p0 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_1k_6p0*(1 + mc_rsh_ppolyf_u_1k_6p0/(rsh_ppolyf_u_1k_6p0)*res_mc_skew*sw_stat_global)' ++ r_dw='0.0148E-6*(1+ mc_dw_ppolyf_u_1k_6p0*res_mc_skew*sw_stat_global)' ++ r_dl=3.85E-11 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-9.39e-4 ++ r_tc2=2.51E-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_1k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_1k_t r ++ rsh='85.45+mc_rt_ppolyf_u_1k_6p0*res_mc_skew*sw_stat_global' ++ tc1=-7.92E-3 ++ tc2=4.25E-5 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_1k_body ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' l=r_l w=r_w dtemp=dtemp +* terminal 2 +rt2 21 2 ppolyf_u_1k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_1k_6p0 +******************************************************************************************************* +*** model for 2k high-rs P+ poly on field oxide resistor (MV area) +******************************************************************************************************* +* model for 2k high-Rs P+ poly on field oxide resistor +.subckt ppolyf_u_2k_6p0 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_2k_6p0+mc_rsh_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global' ++ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global)' ++ r_dl=-0.0932E-6 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-0.001669823 ++ r_tc2=3.74326E-06 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +.model ppolyf_u_2k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_2k_t r ++ rsh='33.16+mc_rt_ppolyf_u_2k_6p0*res_mc_skew*sw_stat_global' ++ tc1=-0.003763316 ++ tc2=9.81166E-06 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body + +rb 11 21 ppolyf_u_2k_body ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' l='r_l' w='r_w' dtemp=dtemp + +* terminal 2 +rt2 21 2 ppolyf_u_2k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_2k_6p0 +******************************************************************************************************* +*** model for 3k high-rs P+ poly on field oxide resistor (LV & MVarea) +******************************************************************************************************* +* model for 3k high-Rs P+ poly on field oxide resistor +.subckt ppolyf_u_3k 1 2 3 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* model for body resistor +.param ++ r_rsh0='rsh_ppolyf_u_3k*(1 + mc_rsh_ppolyf_u_3k/(rsh_ppolyf_u_3k)*res_mc_skew*sw_stat_global)' ++ r_dw='0.02256E-6*(1+ mc_dw_ppolyf_u_3k*res_mc_skew*sw_stat_global)' ++ r_dl=-0.0932E-6 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=-0.001669823 ++ r_tc2=3.74326E-06 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +.model ppolyf_u_3k_body r ++ af=1 ++ kf=2.62e-26 ++ noise=1 +* model for terminal resistor +.model ppolyf_u_3k_t r ++ rsh='33.16+mc_rt_ppolyf_u_3k*res_mc_skew*sw_stat_global' ++ tc1=-0.003763316 ++ tc2=9.81166E-06 ++ tnom=25 ++ af=1 ++ kf=2.62e-26 +* model for substrate capacitor (pF/um2) +.model fox_sub c ++ cox=8.85E-05 +*------------------- +* terminal 1 +rt1 1 11 ppolyf_u_3k_t l='s*1u' w=r_w dtemp=dtemp +c1 1 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +* body +rb 11 21 ppolyf_u_3k_body ++r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(11,21))/r_n+r_vc2*abs(v(11,21))*abs(v(11,21))/r_n/r_n)' dtemp=dtemp l='r_l' w='r_w' +* terminal 2 +rt2 21 2 ppolyf_u_3k_t l='s*1u' w=r_w dtemp=dtemp +c2 2 3 fox_sub l='r_l/2' w=r_w dtemp=dtemp +*------------------- +.ends ppolyf_u_3k +******************************************************************************************************* +* model for metal 1 resistor +.subckt rm1 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_rm1 ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.33E-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends rm1 +******************************************************************************************************* +* model for metal 2 resistor +.subckt rm2 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_rm2 ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.33E-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends rm2 +******************************************************************************************************* +* model for metal 3 resistor +.subckt rm3 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_rm3 ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.33E-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends rm3 +******************************************************************************************************* +* model for metal 4 resistor +.subckt rm4 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_rm4 ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.33E-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends rm4 +*************************************************************************************** +* model for top metal 6k resistor +.subckt tm6k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_tm6k ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.5e-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends tm6k +*************************************************************************************** +* model for top metal 9k resistor +.subckt tm9k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_tm9k ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.7e-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends tm9k +*************************************************************************************** +* model for top metal 11k resistor +.subckt tm11k 1 2 r_length=l r_width=w dtemp=0 par=1 s=1 +*------------------- +* body resistor parameters ++ r_rsh0=rsh_tm11k ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.7e-3 ++ r_tc2=0 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends tm11k +*************************************************************************************** +* model for top metal 30k resistor +.subckt tm30k 1 2 r_length=l r_width=w par=1 s=1 dtemp=0 +*------------------- +* body resistor parameters ++ r_rsh0='rsh_tm30k' ++ r_dw=0 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=3.86e-3 ++ r_tc2=1.51e-6 ++ r_tnom=25 ++ r_l='s*(r_length-2*r_dl)' ++ r_w='r_width-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +*------------------- +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*rb 1 2 r='r_temp*r_n*r_rsh0' vc1 = 'r_vc1/r_n/r_rsh0' vc2 = 'r_vc2/r_n/r_n/r_rsh0' +*------------------- +.ends tm30k +*************************************************************************************** +* +.ENDL res +* +* ---------------------------------------------------------------------------------------------------- +* MIM Capacitor Scalable DC Model +* +*The models are obtained from YI-141-SM003 Rev. 1E. +* +* ---------------------------------------------------------------------------------------------------- +.LIB cap_mim +*/ ------------------------------------------------------------------------------------- +*/ MIM Capacitor (1.5fF/um2) subcircuit model for GF's 0.18 Analog CMOS process +*/-------------------------------------------------------------------------------------- +.subckt cap_mim_1f5fF 1 2 c_length=l c_width=w dtemp=0 par=1 +.param ++ c_cox='1.47e-3*mim_corner_1p5fF' ++ c_capsw='3.79e-10*mim_corner_1p5fF' ++ c_tnom=25 ++ c_tc1=4.0604E-05 ++ c_tc2=-6.90E-08 ++ c_vcr1=-4.5152E-05 ++ c_vcr2=9.748E-06 ++ c_area='c_length*c_width' ++ c_peri='2*(c_length+c_width)' +* + c_c0='(c_cox*c_area+c_capsw*c_peri)*(1+c_tc1*(temper+dtemp-c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' ++ c_c0='(c_cox*c_area+c_capsw*c_peri) * (1+mc_c_cox_1p5fF)' + +*/ model for capacitance +.MODEL cap_mim_1f5_model C ++ cap=c_c0 ++ tc1=c_tc1 ++ tc2=c_tc2 ++ tnom=c_tnom + +* c_cap 1 2 c='c_c0*(1+ c_vcr1*v(1, 2)+c_vcr2*v(1,2)*v(1,2) )*(1+mc_c_cox_1p5fF)' +c_cap 1 2 cap_mim_1f5_model dtemp=dtemp + +.ends cap_mim_1f5 +*/ ------------------------------------------------------------------------------------- +*/ MIM Capacitor (1fF/um2) subcircuit model for GF's 0.18 Analog CMOS process +*/-------------------------------------------------------------------------------------- +.subckt cap_mim_1f0fF 1 2 c_length=l c_width=w dtemp=0 par=1 +.param ++ c_cox='0.987e-3*mim_corner_1p0fF' ++ c_capsw='3.3e-10*mim_corner_1p0fF' ++ c_tnom=25 ++ c_tc1=1.302e-5 ++ c_tc2=-4.93e-9 ++ c_vcr1=6.079e-6 ++ c_vcr2=1.268e-6 ++ c_area='c_length*c_width' ++ c_peri='2*(c_length+c_width)' +* + c_c0='(c_cox*c_area+c_capsw*c_peri)*(1+c_tc1*(temper+dtemp-c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' ++ c_c0='(c_cox*c_area+c_capsw*c_peri) * (1+mc_c_cox_1p0fF)' + +*/ model for capacitance +.MODEL cap_mim_1f0_model C ++ cap=c_c0 ++ tc1=c_tc1 ++ tc2=c_tc2 ++ tnom=c_tnom + +* c_cap 1 2 c='c_c0*(1+ c_vcr1*v(1, 2)+c_vcr2*v(1,2)*v(1,2) )*(1+mc_c_cox_1p0fF)' +c_cap 1 2 cap_mim_1f0_model dtemp=dtemp + +.ends cap_mim_1f0 +*/ ------------------------------------------------------------------------------------- +*/ MIM Capacitor (2fF/um2) subcircuit model for GLOBALFOUNDRIES 0.18 Analog CMOS process M2-M3 +*/-------------------------------------------------------------------------------------- +.subckt cap_mim_2f0fF 1 2 c_length=l c_width=w dtemp=0 par=1 +.param gleak='9.51e-10/5*10000' +.param c_cox='1.99e-3*mim_corner_2p0fF' +.param c_capsw='2.383e-10*mim_corner_2p0fF' +.param c_vcr1='0+(c_width>5u||c_length>5u)*8.742e-6+(c_width<=5u||c_length<=5u)*(-81e-6)' +.param c_vcr2='0+(c_width>5u||c_length>5u)*9.188e-6+(c_width<=5u||c_length<=5u)*(16.7e-6)' + +.param c_tnom=25 +.param c_tc1=1.46e-5 +.param c_tc2=-5.55e-8 +.param c_AREA='c_length*c_width' +.param c_PERI='2*(c_length+c_width)' + +* .param c_c0='(c_cox*c_AREA+c_capsw*c_PERI)*(1+c_tc1*(temper +dtemp -c_tnom)+c_tc2*(temper+dtemp-c_tnom)*(temper+dtemp-c_tnom))' +.param c_c0='(c_cox*c_area+c_capsw*c_peri) * (1+mc_c_cox_2p0fF)' + +*/ model for capacitance +.MODEL cap_mim_2f0_model C ++ cap=c_c0 ++ tc1=c_tc1 ++ tc2=c_tc2 ++ tnom=c_tnom + +* c_cap 1 2 c='c_c0*(1+c_vcr1*v(1,2)+c_vcr2*v(1,2)*v(1,2))*(1+mc_c_cox_2p0fF)' +c_cap 1 2 cap_mim_2f0_model dtemp=dtemp +r_leak 1 2 r='1/(gleak*c_AREA)' tc1=c_tc1 tc2=c_tc2 dtemp=dtemp + +.ends cap_mim_2f0 +.ENDL cap_mim +* ---------------------------------------------------------------------------------------------------- +* +* +.LIB moscap + +.subckt cap_nmos_03v3 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.002003 +.param cvar2=0.00198 +.param cvar3=6.25 +.param cvar4=-3.9375 +c_moscap 1 2 c='cap_nmos_03v3_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends cap_nmos_03v3 +* +.subckt cap_pmos_03v3 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001998 +.param cvar2=0.00196 +.param cvar3=-6.25 +.param cvar4=-4.9375 +c_moscap 1 2 c='cap_pmos_03v3_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends cap_pmos_03v3 +* +.subckt cap_nmos_06v0 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001107 +.param cvar2=0.00107 +.param cvar3=6.25 +.param cvar4=-4.1875 +c_moscap 1 2 c='cap_nmos_06v0_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends cap_nmos_06v0 +* +.subckt cap_pmos_06v0 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001107 +.param cvar2=0.00107 +.param cvar3=-6.25 +.param cvar4=-5.75 +c_moscap 1 2 c='cap_pmos_06v0_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends cap_pmos_06v0 +* +.subckt cap_nmos_03v3_b 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.002458 +.param cvar2=0.001533 +.param cvar3=1.515152 +.param cvar4=0.560606 +c_moscap 1 2 c='cap_nmos_03v3_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends cap_nmos_03v3_b +* +.subckt cap_pmos_03v3_b 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.002435 +.param cvar2=0.00154 +.param cvar3=-1.66667 +.param cvar4=0.65 +c_moscap 1 2 c='cap_pmos_03v3_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends cap_pmos_03v3_b +* +.subckt cap_nmos_06v0_b 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001293 +.param cvar2=0.000863 +.param cvar3=1.052632 +.param cvar4=0.736842 +c_moscap 1 2 c='cap_nmos_06v0_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends cap_nmos_06v0_b +* +.subckt cap_pmos_06v0_b 1 2 c_length=l c_width=w dtemp=0 +.param cvar1=0.001325 +.param cvar2=0.000865 +.param cvar3=-1.42857 +.param cvar4=0.642857 +c_moscap 1 2 c='cap_pmos_06v0_b_corner*c_length*c_width*(cvar1+cvar2*tanh(cvar3*v(1,2)+cvar4))' dtemp=dtemp +.ends cap_pmos_06v0_b +* +.ENDL moscap +* +*************************************************************************************************** +* 3.3V NMOS statistical Models +*************************************************************************************************** +* +.lib nfet_03v3_stat + + +.subckt nfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) +xr1 d d1 b nplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b nplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b nfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model nfet_03v3.0 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_0 ++lvth0 = -3.8715455e-008 ++wvth0 = -1.430587e-008 ++pvth0 = 4.3636364e-016 ++k1 = 0.95938091 ++lk1 = -9.9985454e-008 ++k2 = 0.054714558 ++lk2 = -4.1647636e-008 ++wk2 = -1.9242857e-008 ++pk2 = 5.388e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.1262652 ++lvoff = 3.9354545e-009 ++wvoff = 5.3064935e-009 ++pvoff = -1.4858182e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.023671338 ++lu0 = 4.6525455e-009 ++wu0 = 4.6066597e-009 ++pu0 = -6.5127273e-016 ++ua = -1.1554452e-009 ++lua = 7.0220545e-016 ++wua = 2.7073777e-016 ++pua = -1.4149745e-022 ++ub = 3.3771156e-018 ++lub = -7.9058636e-025 ++wub = -4.093733e-025 ++pub = 9.2644364e-032 ++uc = 2.2660166e-010 ++luc = -6.1360545e-017 ++wuc = -3.2577351e-017 ++puc = 5.4467782e-024 ++eu = 1.67 ++vsat = 92454.546 ++lvsat = -0.0027272727 ++wvsat = -0.00021818182 ++pvsat = 1.3090909e-009 ++a0 = 0.11197377 ++la0 = -3.1454545e-009 ++wa0 = -6.2322078e-009 ++pa0 = 1.7450182e-015 ++ags = 0.32403844 ++lags = -1.5116364e-008 ++wags = 4.7930493e-008 ++pags = -1.2213818e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.14896036 ++lketa = 3.8830182e-008 ++wketa = 8.1643636e-009 ++pketa = -2.4261818e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3741 ++lpclm = -4.729e-008 ++wpclm = 2.1028364e-008 ++ppclm = 8.5658182e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.652013e-006 ++lalpha0 = -3.0506364e-013 ++walpha0 = 4.8779221e-014 ++palpha0 = -1.3658182e-020 ++alpha1 = 0 ++beta0 = 19.905584 ++lbeta0 = 1.2863636e-007 ++wbeta0 = 1.3848312e-007 ++pbeta0 = 8.7272727e-016 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.45934558 ++lkt1 = 4.2126364e-008 ++wkt1 = 3.2086753e-008 ++pkt1 = -8.6530909e-015 ++kt1l = 0 ++kt2 = -0.024730519 ++lkt2 = 1.2545455e-009 ++wkt2 = 1.0597403e-009 ++pkt2 = -2.9672727e-016 ++ute = -1.5675325 ++lute = 9.0909091e-008 ++wute = 1.0441558e-007 ++pute = -4.3636364e-014 ++ua1 = 1.675e-009 ++ub1 = -4.1945234e-018 ++lub1 = 2.8745455e-025 ++wub1 = 3.3492467e-025 ++pub1 = -5.7490909e-032 ++uc1 = -4.2363636e-011 ++luc1 = -3.8181818e-018 ++wuc1 = -6.5454545e-018 ++puc1 = 1.8327273e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.1 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_1 ++lvth0 = -2.3433061e-008 ++wvth0 = -1.2304653e-008 ++pvth0 = -5.642449e-016 ++k1 = 0.74639857 ++lk1 = 6.5057143e-009 ++k2 = 0.0237458 ++lk2 = -2.6163257e-008 ++wk2 = -3.01296e-009 ++pk2 = -2.7269486e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.11273959 ++lvoff = -2.8273469e-009 ++wvoff = 1.6942041e-009 ++pvoff = 3.2032653e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.029675694 ++lu0 = 1.6503673e-009 ++wu0 = 8.572898e-010 ++pu0 = 1.2234122e-015 ++ua = -1.2961984e-009 ++lua = 7.7258204e-016 ++wua = 4.7264816e-017 ++pua = -2.976098e-023 ++ub = 3.0836898e-018 ++lub = -6.4387347e-025 ++wub = -2.7080816e-026 ++pub = -9.8501878e-032 ++uc = 8.4613959e-011 ++luc = 9.6333061e-018 ++wuc = 2.2398367e-018 ++puc = -1.1961815e-023 ++eu = 1.67 ++vsat = 83571.429 ++lvsat = 0.0017142857 ++wvsat = -0.0017142857 ++pvsat = 2.0571429e-009 ++a0 = 1.0861147 ++la0 = -4.9021592e-007 ++wa0 = -5.1997224e-008 ++pa0 = 2.4627526e-014 ++ags = 0.47870122 ++lags = -9.2447755e-008 ++wags = 4.3304327e-008 ++pags = -9.9007347e-015 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.028417143 ++lketa = -2.1441429e-008 ++wketa = -7.4262857e-009 ++pketa = 5.3691429e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.082893878 ++lpclm = 9.8313061e-008 ++wpclm = 4.3902367e-008 ++ppclm = -2.8711837e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.5720816e-006 ++lalpha0 = -2.265098e-012 ++walpha0 = -1.5330612e-014 ++palpha0 = 1.8396735e-020 ++alpha1 = 0 ++beta0 = 22.625306 ++lbeta0 = -1.2312245e-006 ++wbeta0 = -3.5054694e-007 ++pbeta0 = 2.4538775e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33916633 ++lkt1 = -1.7963265e-008 ++wkt1 = -2.4641633e-009 ++pkt1 = 8.6223674e-015 ++kt1l = 0 ++kt2 = -0.020311225 ++lkt2 = -9.5510204e-010 ++wkt2 = -3.9183673e-011 ++pkt2 = 2.5273469e-016 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.804398e-018 ++lub1 = -4.0760816e-025 ++wub1 = 5.6899592e-026 ++pub1 = 8.1521633e-032 ++uc1 = -6.0285714e-011 ++luc1 = 5.1428571e-018 ++wuc1 = 2.0571429e-018 ++puc1 = -2.4685714e-024 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.2 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_2 ++lvth0 = -3.224026e-009 ++wvth0 = -9.7008312e-009 ++pvth0 = -3.6888312e-015 ++k1 = 0.79593364 ++lk1 = -5.2936364e-008 ++k2 = 0.0056393844 ++lk2 = -4.4355584e-009 ++wk2 = -7.4596769e-009 ++pk2 = 2.6091117e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12631325 ++lvoff = 1.3461039e-008 ++wvoff = 2.0819221e-009 ++pvoff = -1.4493507e-016 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032447266 ++lu0 = -1.6755195e-009 ++wu0 = 6.7095584e-010 ++pu0 = 1.447013e-015 ++ua = -8.1547091e-010 ++lua = 1.9570909e-016 ++wua = 6.0458182e-018 ++pua = 1.9701818e-023 ++ub = 2.7427942e-018 ++lub = -2.347987e-025 ++wub = -1.6048831e-026 ++pub = -1.1174026e-031 ++uc = 9.84685e-011 ++luc = -6.9921429e-018 ++wuc = -8.8975636e-018 ++puc = 1.4030649e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.224418 ++la0 = -6.5617987e-007 ++wa0 = 4.291948e-009 ++pa0 = -4.2919481e-014 ++ags = 0.25784649 ++lags = 1.7257792e-007 ++wags = -2.606026e-009 ++pags = 4.5191688e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.019651071 ++lketa = -3.1960714e-008 ++wketa = -6.5992208e-010 ++pketa = -2.7504935e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.18918506 ++lpclm = -2.9236364e-008 ++wpclm = 2.1551688e-009 ++ppclm = 4.7225454e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 7.5243347e-005 ++lalpha0 = -8.4670617e-011 ++walpha0 = 7.5358442e-012 ++palpha0 = -9.043013e-018 ++alpha1 = 0 ++beta0 = 24.210162 ++lbeta0 = -3.133052e-006 ++wbeta0 = 1.1381299e-007 ++pbeta0 = -3.1184416e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.32898149 ++lkt1 = -3.0185065e-008 ++wkt1 = -7.3528831e-009 ++pkt1 = 1.4488831e-014 ++kt1l = 0 ++kt2 = -0.021107143 ++wkt2 = 1.7142857e-010 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5166039e-018 ++lub1 = -7.5296104e-025 ++wub1 = 2.224987e-026 ++pub1 = 1.231013e-031 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.3 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_3 ++wvth0 = -1.0069714e-008 ++k1 = 0.79064 ++k2 = 0.0051958286 ++wk2 = -7.1987657e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12496714 ++wvoff = 2.0674286e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.032279714 ++wu0 = 8.1565714e-010 ++ua = -7.959e-010 ++wua = 8.016e-018 ++ub = 2.7193143e-018 ++wub = -2.7222857e-026 ++uc = 9.7769286e-011 ++wuc = -8.7572571e-018 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1588 ++ags = 0.27510429 ++wags = 1.9131429e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.022847143 ++wketa = -9.3497143e-010 ++dwg = 0 ++dwb = 0 ++pclm = 0.18626143 ++wpclm = 6.8777143e-009 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.6776286e-005 ++walpha0 = 6.6315429e-012 ++alpha1 = 0 ++beta0 = 23.896857 ++wbeta0 = 8.2628571e-008 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.332 ++wkt1 = -5.904e-009 ++kt1l = 0 ++kt2 = -0.021107143 ++wkt2 = 1.7142857e-010 ++ute = -1.3857143 ++wute = 1.7142857e-008 ++ua1 = 1.675e-009 ++ub1 = -2.5919e-018 ++wub1 = 3.456e-026 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.4 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_4 ++lvth0 = -4.1979273e-008 ++wvth0 = -2.1596758e-008 ++pvth0 = 2.0029964e-015 ++k1 = 0.95938091 ++lk1 = -9.9985454e-008 ++k2 = 0.041255727 ++lk2 = -3.7879164e-008 ++wk2 = -1.2782618e-008 ++pk2 = 3.5791331e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.079311948 ++lvoff = -9.2114546e-009 ++wvoff = -1.7231065e-008 ++pvoff = 4.8246982e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.033011551 ++lu0 = 4.0251818e-009 ++wu0 = 1.2335751e-010 ++pu0 = -3.5013818e-016 ++ua = -6.3005701e-010 ++lua = 3.9938436e-016 ++wua = 1.8551439e-017 ++pua = 3.8566691e-024 ++ub = 2.2836418e-018 ++lub = -9.0230909e-026 ++wub = 1.1549411e-025 ++pub = -2.4352626e-031 ++uc = 1.5877203e-010 ++luc = -3.4349127e-017 ++wuc = -1.9125195e-020 ++puc = -7.5187026e-024 ++eu = 1.67 ++vsat = 71618.182 ++lvsat = 0.0042909091 ++wvsat = 0.0097832727 ++pvsat = -2.0596364e-009 ++a0 = 0.10680558 ++la0 = -1.6983636e-009 ++wa0 = -3.7514805e-009 ++pa0 = 1.0504145e-015 ++ags = 0.35500309 ++lags = -1.1780546e-008 ++wags = 3.3067462e-008 ++pags = -1.3815011e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12490989 ++lketa = 3.0254945e-008 ++wketa = -3.3798633e-009 ++pketa = 1.6899316e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.45921829 ++lpclm = -8.0088e-008 ++wpclm = -1.9828414e-008 ++ppclm = 2.4308858e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6500109e-006 ++lalpha0 = -2.8170545e-013 ++walpha0 = 4.9740218e-014 ++palpha0 = -2.4870109e-020 ++alpha1 = 0 ++beta0 = 20.982852 ++lbeta0 = -8.9454546e-008 ++wbeta0 = -3.786053e-007 ++pbeta0 = 1.0555636e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.37773746 ++lkt1 = 1.6718727e-008 ++wkt1 = -7.0851491e-009 ++pkt1 = 3.5425745e-015 ++kt1l = 0 ++kt2 = -0.014603854 ++lkt2 = -3.3230727e-009 ++wkt2 = -3.8010589e-009 ++pkt2 = 1.9005294e-015 ++ute = -1.4342857 ++wute = 4.0457143e-008 ++ua1 = 1.675e-009 ++ub1 = -3.65896e-018 ++lub1 = 2.4878e-025 ++wub1 = 7.7854254e-026 ++pub1 = -3.8927127e-032 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.5 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_5 ++lvth0 = -1.7716408e-008 ++wvth0 = -1.0974289e-008 ++pvth0 = -3.3082384e-015 ++k1 = 0.76833212 ++lk1 = -4.4610612e-009 ++wk1 = -1.0528104e-008 ++pk1 = 5.2640522e-015 ++k2 = 0.0082103273 ++lk2 = -2.1356464e-008 ++wk2 = 4.4440669e-009 ++pk2 = -5.0342094e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12049225 ++lvoff = 1.1378694e-008 ++wvoff = 5.4154776e-009 ++pvoff = -6.4985731e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.031181163 ++lu0 = 4.9403755e-009 ++wu0 = 1.3466449e-010 ++pu0 = -3.5579167e-016 ++ua = -1.1586455e-009 ++lua = 6.6367861e-016 ++wua = -1.8760555e-017 ++pua = 2.2512666e-023 ++ub = 2.8240225e-018 ++lub = -3.6042122e-025 ++wub = 9.755951e-026 ++pub = -2.3455895e-031 ++uc = 8.1997037e-011 ++luc = 4.0383673e-018 ++wuc = 3.4959595e-018 ++puc = -9.2762449e-024 ++eu = 1.67 ++vsat = 88428.571 ++lvsat = -0.0041142857 ++wvsat = -0.0040457143 ++pvsat = 4.8548571e-009 ++a0 = 0.97533082 ++la0 = -4.3596098e-007 ++wa0 = 1.1790367e-009 ++pa0 = -1.4148441e-015 ++ags = 0.441074 ++lags = -5.4816e-008 ++wags = 6.1365394e-008 ++pags = -2.7963977e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.21719837 ++lpclm = 4.0921959e-008 ++wpclm = -2.0563788e-008 ++ppclm = 2.4676545e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.8164074e-006 ++lalpha0 = -2.3649037e-012 ++walpha0 = -1.3260696e-013 ++palpha0 = 6.6303478e-020 ++alpha1 = 0 ++beta0 = 21.036008 ++lbeta0 = -1.1603265e-007 ++wbeta0 = 4.1231608e-007 ++pbeta0 = -2.8990433e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.4079911 ++lkt1 = 3.1845551e-008 ++wkt1 = 3.0571729e-008 ++pkt1 = -1.5285865e-014 ++kt1l = 0 ++kt2 = -0.031229592 ++lkt2 = 4.9897959e-009 ++wkt2 = 5.2016327e-009 ++pkt2 = -2.6008163e-015 ++ute = -1.4342857 ++wute = 4.0457143e-008 ++ua1 = 1.675e-009 ++ub1 = -2.8098294e-018 ++lub1 = -1.7578531e-025 ++wub1 = 5.9506678e-026 ++pub1 = -2.9753339e-032 ++uc1 = -1.1888774e-010 ++luc1 = 3.1443869e-017 ++wuc1 = 3.0186115e-017 ++puc1 = -1.5093057e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.6 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_6 ++lvth0 = 1.325026e-008 ++wvth0 = -4.067414e-009 ++pvth0 = -1.1596488e-014 ++k1 = 0.79418892 ++lk1 = -3.5489221e-008 ++wk1 = 8.3746286e-010 ++pk1 = -8.3746286e-015 ++k2 = -0.0057236965 ++lk2 = -4.6356351e-009 ++wk2 = -2.005398e-009 ++pk2 = 2.7051485e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036490513 ++lu0 = -1.4308442e-009 ++wu0 = -1.2698026e-009 ++pu0 = 1.3295688e-015 ++ua = -7.881063e-010 ++lua = 2.1903156e-016 ++wua = -7.0891948e-018 ++pua = 8.5070338e-024 ++ub = 3.0594896e-018 ++lub = -6.4298182e-025 ++wub = -1.6806265e-025 ++pub = 8.4187636e-032 ++uc = 9.7557278e-011 ++luc = -1.4633922e-017 ++wuc = -8.460177e-018 ++puc = 5.071119e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.2333595 ++la0 = -7.4559545e-007 ++ags = 0.28370796 ++lags = 1.3402325e-007 ++wags = -1.501953e-008 ++pags = 6.3697932e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.22708279 ++lpclm = 2.9060649e-008 ++wpclm = -1.603574e-008 ++ppclm = 1.9242888e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0921047e-005 ++lalpha0 = -1.0329047e-010 ++walpha0 = 1.0548281e-014 ++palpha0 = -1.0548281e-019 ++alpha1 = 0 ++beta0 = 24.039866 ++lbeta0 = -3.7206623e-006 ++wbeta0 = 1.9555512e-007 ++pbeta0 = -2.9791169e-014 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33923366 ++lkt1 = -5.0663377e-008 ++wkt1 = -2.4318421e-009 ++pkt1 = 2.4318421e-014 ++kt1l = 0 ++kt2 = -0.021803571 ++lkt2 = -6.3214286e-009 ++wkt2 = 5.0571429e-010 ++pkt2 = 3.0342857e-015 ++ute = -1.7216234 ++lute = 3.448052e-007 ++wute = 1.7837922e-007 ++pute = -1.6550649e-013 ++ua1 = 1.675e-009 ++ub1 = -3.5465249e-018 ++lub1 = 7.0824935e-025 ++wub1 = 5.1661197e-025 ++pub1 = -5.7827969e-031 ++uc1 = -5.0997566e-011 ++luc1 = -5.0024338e-017 ++wuc1 = -2.4011682e-018 ++puc1 = 2.4011682e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.7 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_7 ++wvth0 = -5.2270629e-009 ++k1 = 0.79064 ++k2 = -0.00618726 ++wk2 = -1.7348832e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.036347429 ++wu0 = -1.1368457e-009 ++ua = -7.6620314e-010 ++wua = -6.2384914e-018 ++ub = 2.9951914e-018 ++wub = -1.5964389e-025 ++uc = 9.6093886e-011 ++wuc = -7.9530651e-018 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1588 ++ags = 0.29711029 ++wags = -8.6497371e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.22998886 ++wpclm = -1.4111451e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 23.6678 ++wbeta0 = 1.92576e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.3443 ++kt1l = 0 ++kt2 = -0.022435714 ++wkt2 = 8.0914286e-010 ++ute = -1.6871429 ++wute = 1.6182857e-007 ++ua1 = 1.675e-009 ++ub1 = -3.4757e-018 ++wub1 = 4.58784e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.8 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_8 ++lvth0 = -5.5747725e-008 ++wvth0 = -5.7737207e-008 ++pvth0 = 1.824977e-014 ++k1 = 0.95060511 ++lk1 = -9.5597554e-008 ++wk1 = 1.0355446e-008 ++pk1 = -5.177723e-015 ++k2 = 0.013945175 ++lk2 = -3.0232209e-008 ++wk2 = 1.9443834e-008 ++pk2 = -5.4442735e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12424632 ++lvoff = 6.8691116e-010 ++wvoff = 3.5791497e-008 ++pvoff = -6.8553733e-015 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.046898182 ++lu0 = 1.7050207e-010 ++wu0 = -1.6262868e-008 ++pu0 = 4.1983839e-015 ++ua = -6.6207759e-010 ++lua = 2.5458994e-016 ++wua = 5.6335718e-017 ++pua = 1.7471409e-022 ++ub = 3.7962141e-018 ++lub = -3.3240512e-025 ++wub = -1.6693412e-024 ++pub = 4.2239319e-032 ++uc = 2.9436835e-010 ++luc = -6.8059408e-017 ++wuc = -1.6002278e-016 ++puc = 3.2259428e-023 ++eu = 1.67 ++vsat = 85682.645 ++lvsat = -0.00034132231 ++wvsat = -0.0068127934 ++pvsat = 3.4063967e-009 ++a0 = 0.10362636 ++la0 = -8.0818182e-010 ++ags = 0.2705431 ++lags = 3.2753448e-008 ++wags = 1.3273025e-007 ++pags = -6.6365124e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12424077 ++lketa = 2.9920384e-008 ++wketa = -4.1694295e-009 ++pketa = 2.0847148e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.20476889 ++lpclm = -9.798626e-009 ++wpclm = 2.8042187e-007 ++ppclm = -5.8632603e-014 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.5953123e-006 ++lalpha0 = -2.5435614e-013 ++walpha0 = 1.1428461e-013 ++palpha0 = -5.7142305e-020 ++alpha1 = 0 ++beta0 = 21.140586 ++wbeta0 = -5.6473191e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.59809917 ++lnoff = 1.2990496e-006 ++wnoff = 3.065757e-006 ++pnoff = -1.5328785e-012 ++voffcv = 0.22872521 ++lvoffcv = -1.118626e-007 ++wvoffcv = -2.6399574e-007 ++pvoffcv = 1.3199787e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28115299 ++lkt1 = -1.0099496e-008 ++wkt1 = -1.2105482e-007 ++pkt1 = 3.5188078e-014 ++kt1l = 0 ++kt2 = -0.025449687 ++lkt2 = 9.6575269e-010 ++wkt2 = 8.9970236e-009 ++pkt2 = -3.1602845e-015 ++ute = -1.5701136 ++wute = 2.0073409e-007 ++ua1 = 1.675e-009 ++ub1 = -5.3788142e-018 ++lub1 = 4.827456e-025 ++wub1 = 2.1072821e-024 ++pub1 = -3.1500653e-031 ++uc1 = -2.2938539e-010 ++luc1 = 4.973267e-017 ++wuc1 = 2.0459475e-016 ++puc1 = -5.8684551e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.9 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_9 ++lvth0 = -9.953513e-009 ++wvth0 = 3.6992425e-009 ++pvth0 = -1.2468455e-014 ++k1 = 0.75941 ++k2 = 0.017155231 ++lk2 = -3.1837237e-008 ++wk2 = -6.1109193e-009 ++pk2 = 7.3331031e-015 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10253679 ++lvoff = -1.0167857e-008 ++wvoff = -1.5771964e-008 ++pvoff = 1.8926357e-014 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.038465008 ++lu0 = 4.387089e-009 ++wu0 = -8.4602728e-009 ++pu0 = 2.9708645e-016 ++ua = -9.289245e-010 ++lua = 3.880134e-016 ++wua = -2.8983135e-016 ++pua = 3.4779762e-022 ++ub = 3.4725304e-018 ++lub = -1.7056325e-025 ++wub = -6.6767982e-025 ++pub = -4.5859137e-031 ++uc = 1.5722431e-010 ++luc = 5.1261039e-019 ++wuc = -8.5272224e-017 ++puc = -5.1158517e-024 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.57970277 ++la0 = -2.3884638e-007 ++wa0 = 4.6802014e-007 ++pa0 = -2.3401007e-013 ++ags = 0.63340774 ++lags = -1.4867887e-007 ++wags = -1.6558842e-007 ++pags = 8.279421e-014 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.047719 ++lpclm = 6.8726318e-008 ++wpclm = 1.7942187e-007 ++ppclm = -8.1325983e-015 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7040286e-006 ++lalpha0 = -2.3087143e-012 ++alpha1 = 0 ++beta0 = 21.043581 ++lbeta0 = 4.8502597e-008 ++wbeta0 = 4.0337993e-007 ++pbeta0 = -4.8405592e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30297354 ++lkt1 = 8.1077922e-010 ++wkt1 = -9.3348999e-008 ++pkt1 = 2.1335166e-014 ++kt1l = 0 ++kt2 = -0.021799026 ++lkt2 = -8.5957792e-010 ++wkt2 = -5.9264351e-009 ++pkt2 = 4.3014448e-015 ++ute = -1.5701136 ++wute = 2.0073409e-007 ++ua1 = 1.675e-009 ++ub1 = -3.0334126e-018 ++lub1 = -6.899552e-025 ++wub1 = 3.2333483e-025 ++pub1 = 5.7696713e-031 ++uc1 = -1.4511739e-010 ++luc1 = 7.5986727e-018 ++wuc1 = 6.1137104e-017 ++puc1 = 1.3044275e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.10 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_10 ++lvth0 = 7.3817355e-009 ++wvth0 = -2.7981116e-009 ++pvth0 = -4.6716298e-015 ++k1 = 0.79747612 ++lk1 = -4.5679339e-008 ++wk1 = -3.0414256e-009 ++pk1 = 3.6497107e-015 ++k2 = -0.0074231864 ++lk2 = -2.3431364e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040494054 ++lu0 = 1.9522345e-009 ++wu0 = -5.9939808e-009 ++pu0 = -2.662464e-015 ++ua = -8.1072595e-010 ++lua = 2.4617513e-016 ++wua = 1.9601988e-017 ++pua = -2.3522386e-023 ++ub = 3.1895805e-018 ++lub = 1.6897655e-025 ++wub = -3.2156993e-025 ++pub = -8.7392324e-031 ++uc = 1.0432829e-010 ++luc = 6.3987831e-017 ++wuc = -1.6449976e-017 ++puc = -8.7702549e-023 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.175342 ++la0 = -9.536135e-007 ++wa0 = 6.8460666e-008 ++pa0 = 2.454613e-013 ++ags = 0.26729169 ++lags = 2.9066039e-007 ++wags = 4.3516718e-009 ++pags = -1.211339e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23344442 ++lpclm = -1.5414418e-007 ++wpclm = -2.3542459e-008 ++ppclm = 2.3542459e-013 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0929986e-005 ++lalpha0 = -1.0337986e-010 ++alpha1 = 0 ++beta0 = 24.512311 ++lbeta0 = -4.1139731e-006 ++wbeta0 = -3.6192965e-007 ++pbeta0 = 4.3431558e-013 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.128874 ++lnoff = -1.5464876e-007 ++wnoff = -1.5207128e-007 ++pnoff = 1.8248554e-013 ++voffcv = -0.065880682 ++lvoffcv = 8.5056818e-008 ++wvoffcv = 8.3639205e-008 ++pvoffcv = -1.0036705e-013 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31506405 ++lkt1 = 1.5319401e-008 ++wkt1 = -3.095198e-008 ++pkt1 = -5.3541257e-014 ++kt1l = 0 ++kt2 = -0.016812862 ++lkt2 = -6.8429752e-009 ++wkt2 = -5.3833233e-009 ++pkt2 = 3.6497107e-015 ++ute = -1.5472572 ++lute = -2.7427686e-008 ++wute = -2.7372831e-008 ++pute = 2.7372831e-013 ++ua1 = 1.6533492e-009 ++lua1 = 2.5980992e-017 ++wua1 = 2.5547975e-017 ++pua1 = -3.065757e-023 ++ub1 = -2.1483391e-018 ++lub1 = -1.7520434e-024 ++wub1 = -1.1332474e-024 ++pub1 = 2.3248657e-030 ++uc1 = -4.4711114e-011 ++luc1 = -1.1288886e-016 ++wuc1 = -9.8191818e-018 ++puc1 = 9.8191818e-023 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.11 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_11 ++wvth0 = -3.2652745e-009 ++k1 = 0.79290818 ++wk1 = -2.6764545e-009 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040689277 ++wu0 = -6.2602272e-009 ++ua = -7.8610843e-010 ++wua = 1.7249749e-017 ++ub = 3.2064782e-018 ++wub = -4.0896225e-025 ++uc = 1.1072708e-010 ++wuc = -2.5220231e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.0799807 ++wa0 = 9.3006796e-008 ++ags = 0.29635773 ++wags = -7.7617182e-009 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.100914 ++wbeta0 = -3.1849809e-007 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1134091 ++wnoff = -1.3382273e-007 ++voffcv = -0.057375 ++wvoffcv = 7.36025e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31353211 ++wkt1 = -3.6306106e-008 ++kt1l = 0 ++kt2 = -0.017497159 ++wkt2 = -5.0183523e-009 ++ute = -1.55 ++ua1 = 1.6559473e-009 ++wua1 = 2.2482218e-017 ++ub1 = -2.3235434e-018 ++wub1 = -9.0076078e-025 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.12 nmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_12 ++lvth0 = -5.3919091e-008 ++k1 = 0.95164273 ++lk1 = -9.6116364e-008 ++k2 = 0.015893454 ++lk2 = -3.0777727e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.045268636 ++lu0 = 5.9118182e-010 ++ua = -6.5643273e-010 ++lua = 2.7209636e-016 ++ub = 3.6289455e-018 ++lub = -3.2817273e-025 ++uc = 2.78334e-010 ++luc = -6.4827e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.13211844 ++la0 = -1.5054221e-008 ++wa0 = -2.8435094e-007 ++pa0 = 1.4217547e-013 ++ags = 0.46155061 ++lags = -6.2750307e-008 ++wags = -1.7735247e-006 ++pags = 8.8676235e-013 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.12105603 ++lketa = 2.8328017e-008 ++wketa = -3.5953066e-008 ++pketa = 1.7976533e-014 ++dwg = 0 ++dwb = 0 ++pclm = 0.23286727 ++lpclm = -1.5673636e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.003171 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0036363636 ++ldelta = 3.1818182e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 2.6067636e-006 ++lalpha0 = -2.6008182e-013 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.3e-010 ++cgdo = 2.3e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = -0.29090909 ++lnoff = 1.1454545e-006 ++voffcv = 0.20227273 ++lvoffcv = -9.8636364e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29328273 ++lkt1 = -6.5736364e-009 ++kt1l = 0 ++kt2 = -0.024548182 ++lkt2 = 6.4909091e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -5.1676636e-018 ++lub1 = 4.5118182e-025 ++uc1 = -2.0888491e-010 ++luc1 = 4.3852454e-017 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.13 nmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_13 ++lvth0 = -1.1202857e-008 ++k1 = 0.75941 ++k2 = 0.016542914 ++lk2 = -3.1102457e-008 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.10411714 ++lvoff = -8.2714286e-009 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.037617286 ++lu0 = 4.4168571e-009 ++ua = -9.5796571e-010 ++lua = 4.2286286e-016 ++ub = 3.4056286e-018 ++lub = -2.1651429e-025 ++uc = 1.4868e-010 ++eu = 1.67 ++vsat = 85000 ++a0 = 0.62659857 ++la0 = -2.6229429e-007 ++ags = 0.61681571 ++lags = -1.4038286e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.043888571 ++lketa = -1.0255714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.065697143 ++lpclm = 6.7911429e-008 ++pdiblc1 = 0.39 ++pdiblc2 = 0.001359 ++lpdiblc2= 9.06e-010 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0014285714 ++ldelta = 4.2857143e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 6.7040286e-006 ++lalpha0 = -2.3087143e-012 ++alpha1 = 0 ++beta0 = 21.084 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2 ++voffcv = 0.005 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31232714 ++lkt1 = 2.9485714e-009 ++kt1l = 0 ++kt2 = -0.022392857 ++lkt2 = -4.2857143e-010 ++ute = -1.55 ++ua1 = 1.675e-009 ++ub1 = -3.0010143e-018 ++lub1 = -6.3214286e-025 ++uc1 = -1.3899143e-010 ++luc1 = 8.9057143e-018 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.14 nmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 0 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_14 ++lvth0 = 6.9136364e-009 ++k1 = 0.79717136 ++lk1 = -4.5313636e-008 ++k2 = -0.0074231864 ++lk2 = -2.3431364e-009 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12197591 ++lvoff = 1.3159091e-008 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.039893455 ++lu0 = 1.6854546e-009 ++ua = -8.0876182e-010 ++lua = 2.4381818e-016 ++ub = 3.1573591e-018 ++lub = 8.1409091e-026 ++uc = 1.0268e-010 ++luc = 5.52e-017 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.1822018 ++la0 = -9.2901818e-007 ++ags = 0.26772773 ++lags = 2.7852273e-007 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.021025909 ++lketa = -3.7690909e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.23108545 ++lpclm = -1.3055455e-007 ++pdiblc1 = 0.39 ++pdiblc2 = 0.00064013636 ++lpdiblc2= 1.7686364e-009 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.0027272727 ++ldelta = 2.7272727e-009 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 9.0929986e-005 ++lalpha0 = -1.0337986e-010 ++alpha1 = 0 ++beta0 = 24.476046 ++lbeta0 = -4.0704545e-006 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 1e-010 ++cgdo = 1e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1136364 ++lnoff = -1.3636364e-007 ++voffcv = -0.0575 ++lvoffcv = 7.5e-008 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31816545 ++lkt1 = 9.9545454e-009 ++kt1l = 0 ++kt2 = -0.017352273 ++lkt2 = -6.4772727e-009 ++ute = -1.55 ++ua1 = 1.6559091e-009 ++lua1 = 2.2909091e-017 ++ub1 = -2.2618909e-018 ++lub1 = -1.5190909e-024 ++uc1 = -4.5695e-011 ++luc1 = -1.0305e-016 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model nfet_03v3.15 nmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = nfet_03v3_tox ++toxp = nfet_03v3_tox ++toxm = 8e-009 ++epsrox = 3.9 ++wint = 1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = nfet_03v3_xl ++xw = nfet_03v3_xw ++dlc = 3e-008 ++dwc = 0 ++xpart = 0 ++toxref = 8e-009 ++dlcig = 1.5e-007 ++vth0 = nfet_03v3_vth0_15 ++k1 = 0.79264 ++k2 = -0.0076575 ++k3 = 0 ++k3b = 0 ++w0 = 5e-007 ++dvt0 = 0 ++dvt1 = 0.53 ++dvt2 = 0 ++dvt0w = 0 ++dvt1w = 0 ++dvt2w = 0 ++dsub = 0.5 ++minv = -0.25 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 1.1e-007 ++lpeb = 0 ++vbm = -3 ++xj = nfet_03v3_xj ++ngate = 6e+019 ++ndep = 3e+017 ++nsd = 1e+020 ++phin = 0.07 ++cdsc = 0 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12066 ++nfactor = 1 ++eta0 = 0.75 ++etab = -0.32 ++u0 = 0.040062 ++ua = -7.8438e-010 ++ub = 3.1655e-018 ++uc = 1.082e-010 ++eu = 1.67 ++vsat = 85000 ++a0 = 1.0893 ++ags = 0.29558 ++a1 = 0 ++a2 = 1 ++b0 = 0 ++b1 = 0 ++keta = -0.024795 ++dwg = 0 ++dwb = 0 ++pclm = 0.21803 ++pdiblc1 = 0.39 ++pdiblc2 = 0.000817 ++pdiblcb = 0.2 ++drout = 0.56 ++pvag = 0 ++delta = 0.003 ++pscbe1 = 6.6469e+008 ++pscbe2 = 1.638e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = nfet_03v3_rdsw ++rdswmin = 50 ++rdwmin = 0 ++rswmin = 0 ++prwg = 0 ++prwb = 0 ++wr = 1 ++alpha0 = 8.0592e-005 ++alpha1 = 0 ++beta0 = 24.069 ++agidl = 1.3268e-010 ++bgidl = 1.8961e+009 ++cgidl = 0.5 ++egidl = 0.8 ++cgso = 2.3e-010 ++cgdo = 2.3e-010 ++cgbo = 1e-013 ++cgdl = 1e-010 ++cgsl = 1e-010 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 0.6 ++moin = 15 ++noff = 2.1 ++voffcv = -0.05 ++tvoff = 0.001 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.31717 ++kt1l = 0 ++kt2 = -0.018 ++ute = -1.55 ++ua1 = 1.6582e-009 ++ub1 = -2.4138e-018 ++uc1 = -5.6e-011 ++prt = 0 ++at = 23000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 0.95 ++noia = nfet_03v3_noia ++noib = nfet_03v3_noib ++noic = nfet_03v3_noic ++ntnoi = 1 ++jss = 2.2959e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1.01 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++pbs = 0.70172 ++cjs = 0.00096797 ++mjs = 0.32071 ++pbsws = 0.8062 ++cjsws = 1.5663e-010 ++mjsws = 0.1 ++pbswgs = 0.74743 ++cjswgs = 5.9903e-010 ++mjswgs = 0.32059 ++tpb = 0.0018129 ++tcj = 0.0009438 ++tpbsw = 5e-005 ++tcjsw = 0.00060474 ++tpbswg = 0.0016872 ++tcjswg = 0.001 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + + +*resistor +.subckt nplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 +*------------------- +* body resistor parameters +*.param rsh_nplus_u_m=60 ++ r_rsh0=rsh_nplus_u_m ++ r_dw=-5E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.36E-3 ++ r_tc2=6.5E-7 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' + +* model for substrate capacitor +.model np_junction d ++ Level=3 ++ Cj=0.00096797 ++ Mj=0.32071 ++ Pb=0.70172 ++ Cjsw=1.5663e-010 ++ Mjsw=0.1 ++ Php=0.8062 ++ Cta=0.0009438 ++ Ctp=0.00060474 ++ Tpb=0.0018129 ++ Tphp=5e-005 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 + +d1 3 1 np_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' + +*------------------- +.ends nplus_u_m1 + +.endl nfet_03v3_stat +* +* +*************************************************************************************************** +* 3.3V PMOS statistical Models +*************************************************************************************************** +* +.lib pfet_03v3_stat + + +.subckt pfet_03v3_dss d g s b w=10u l=0.28u par=1 s_sab=0.48u d_sab=1.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m1 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m1 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pfet_03v3 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=sa sb=sb sd=sd m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model pfet_03v3.0 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_0 ++lvth0 = -7.6827273e-009 ++wvth0 = 4.2938493e-009 ++pvth0 = 2.3570182e-015 ++k1 = 0.86959286 ++lk1 = 4.91e-009 ++wk1 = 6.7137132e-008 ++pk1 = -2.0974909e-014 ++k2 = 0.029351195 ++lk2 = -2.4890454e-008 ++wk2 = -2.1522854e-008 ++pk2 = 3.4158327e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094658091 ++lvoff = -1.6014546e-009 ++wvoff = -1.6655127e-009 ++pvoff = 8.3275636e-016 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0077071688 ++lu0 = 2.4492727e-009 ++wu0 = 6.0892675e-010 ++pu0 = -5.2642909e-016 ++ua = -2.4381818e-012 ++lua = 1.0386891e-015 ++wua = 3.3100364e-018 ++pua = -1.9180342e-022 ++ub = 6.7035533e-019 ++lub = -1.4361909e-025 ++wub = -4.8420779e-027 ++pub = 1.3557818e-033 ++uc = 8.6801065e-011 ++luc = 8.4861818e-018 ++wuc = -1.3364176e-017 ++puc = -4.4743636e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.0272635 ++la0 = -2.0434818e-007 ++wa0 = 1.1112467e-008 ++pa0 = -2.7370909e-015 ++ags = 0.19081247 ++lags = 1.0492091e-007 ++wags = -2.3219283e-008 ++pags = -1.2080073e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.066404636 ++lketa = 8.2658182e-009 ++wketa = -7.3229236e-009 ++pketa = 3.6614618e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.35627558 ++lpclm = 7.0823636e-008 ++wpclm = 2.9266005e-008 ++ppclm = 6.5406545e-015 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.1485698e-005 ++lalpha0 = -3.0054064e-012 ++walpha0 = -1.0325417e-013 ++palpha0 = 6.39288e-020 ++alpha1 = 0 ++beta0 = 39.773597 ++lbeta0 = -3.6237273e-006 ++wbeta0 = 2.1005299e-007 ++pbeta0 = 1.1827636e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28373805 ++lkt1 = -1.5974545e-008 ++wkt1 = -1.1172031e-008 ++pkt1 = 1.9400727e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.1563636e-009 ++lua1 = 1.7181818e-016 ++wua1 = 1.7869091e-016 ++pua1 = -8.9345454e-023 ++ub1 = -2.100161e-018 ++lub1 = -6.7359091e-025 ++wub1 = -1.4002317e-025 ++pub1 = 1.4950473e-031 ++uc1 = -2.5418182e-010 ++luc1 = 5.8570909e-017 ++wuc1 = 4.0843636e-017 ++puc1 = -1.4057018e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.1 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_1 ++lvth0 = 8.0902041e-009 ++wvth0 = 5.9668408e-009 ++pvth0 = 1.5205225e-015 ++k1 = 1.011648 ++lk1 = -6.6117551e-008 ++wk1 = -1.7990939e-008 ++pk1 = 2.1589126e-014 ++k2 = -0.018784 ++lk2 = -8.2285714e-010 ++wk2 = -2.5231886e-009 ++pk2 = -6.084e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12364214 ++lvoff = 1.2890571e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010288147 ++lu0 = 1.1587837e-009 ++wu0 = -2.4611069e-010 ++pu0 = -9.8910367e-017 ++ua = 3.7095469e-010 ++lua = 8.5199265e-016 ++wua = -4.3130498e-017 ++pua = -1.6858315e-022 ++ub = 1.0877988e-018 ++lub = -3.5234082e-025 ++wub = -1.9235628e-025 ++pub = 9.5112882e-032 ++uc = -1.3265853e-011 ++luc = 5.8519641e-017 ++wuc = -7.386721e-018 ++puc = -7.4630909e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1510659 ++la0 = -2.6624939e-007 ++wa0 = 3.8929322e-008 ++pa0 = -1.6645518e-014 ++ags = 0.19022326 ++lags = 1.0521551e-007 ++wags = 1.3854074e-008 ++pags = -3.0616751e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0050909592 ++lketa = -2.239102e-008 ++wketa = -2.2043755e-009 ++pketa = 1.1021878e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.25657102 ++lpclm = 1.2067592e-007 ++wpclm = 8.5357469e-008 ++ppclm = -2.1505078e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 7.5504633e-005 ++lalpha0 = -3.5014873e-011 ++walpha0 = 4.8045453e-012 ++palpha0 = -2.3899709e-018 ++alpha1 = 0 ++beta0 = 42.422959 ++lbeta0 = -4.9484082e-006 ++wbeta0 = 2.7621551e-007 ++pbeta0 = 8.5195102e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30703735 ++lkt1 = -4.324898e-009 ++wkt1 = 2.5044049e-008 ++pkt1 = -1.6167967e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.2391388e-018 ++lub1 = -6.0410204e-025 ++wub1 = -3.3103837e-026 ++pub1 = 9.6045061e-032 ++uc1 = -7.5563755e-011 ++luc1 = -3.0738122e-017 ++wuc1 = 6.2211526e-018 ++puc1 = 3.2542237e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.2 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_2 ++lvth0 = -9.5551948e-009 ++wvth0 = 3.6783584e-009 ++pvth0 = 4.2667013e-015 ++k1 = 0.95493474 ++lk1 = 1.9383117e-009 ++wk1 = 3.0592208e-008 ++pk1 = -3.6710649e-014 ++k2 = -0.010993416 ++lk2 = -1.0171558e-008 ++wk2 = -1.5055864e-008 ++pk2 = 8.9552104e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097716396 ++lvoff = -1.8220325e-008 ++wvoff = 9.9120779e-010 ++pvoff = -1.1894494e-015 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0087516409 ++lu0 = 3.0025909e-009 ++wu0 = 2.1888218e-010 ++pu0 = -6.5690182e-016 ++ua = 3.9822779e-010 ++lua = 8.1926494e-016 ++wua = -5.2662561e-017 ++pua = -1.5714468e-022 ++ub = 8.5181617e-019 ++lub = -6.9161688e-026 ++wub = -1.0966152e-025 ++pub = -4.1208312e-033 ++uc = -4.4095525e-011 ++luc = 9.5515247e-017 ++wuc = 1.8553586e-018 ++puc = -1.8553586e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2626103 ++la0 = -4.001026e-007 ++wa0 = -3.4170078e-009 ++pa0 = 3.4170078e-014 ++ags = 0.15731682 ++lags = 1.4470325e-007 ++wags = 7.2894545e-010 ++pags = -1.4866597e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.00016272403 ++lketa = -2.8304903e-008 ++wketa = -3.463048e-009 ++pketa = 2.6125948e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32143299 ++lpclm = 4.2841558e-008 ++wpclm = 4.9757922e-009 ++ppclm = 7.4952935e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0020588939 ++lalpha0 = -2.415082e-009 ++walpha0 = 2.2256682e-011 ++palpha0 = -2.3332535e-017 ++alpha1 = 0 ++beta0 = 44.45026 ++lbeta0 = -7.3811688e-006 ++wbeta0 = 4.0343221e-007 ++pbeta0 = -6.7464935e-014 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.26850506 ++lkt1 = -5.0563636e-008 ++wkt1 = -1.0340166e-008 ++pkt1 = 2.6293091e-014 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.0202519e-018 ++lub1 = 3.3323377e-025 ++wub1 = 1.9133501e-025 ++pub1 = -1.7328156e-031 ++uc1 = -3.5566519e-011 ++luc1 = -7.8734805e-017 ++wuc1 = -1.2279955e-017 ++puc1 = 2.5455553e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.3 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 2.2e-007 ++wmax = 5e-007 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_3 ++wvth0 = 4.1050286e-009 ++k1 = 0.95512857 ++wk1 = 2.6921143e-008 ++k2 = -0.012010571 ++wk2 = -1.4160343e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.099538429 ++wvoff = 8.7226286e-010 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0090519 ++wu0 = 1.53192e-010 ++ua = 4.8015429e-010 ++wua = -6.8377029e-017 ++ub = 8.449e-019 ++wub = -1.100736e-025 ++uc = -3.4544e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.2226 ++ags = 0.17178714 ++wags = -7.5771429e-010 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0029932143 ++wketa = -3.2017886e-009 ++dwg = 0 ++dwb = 0 ++pclm = 0.32571714 ++wpclm = 1.2471086e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018173857 ++walpha0 = 1.9923429e-011 ++alpha1 = 0 ++beta0 = 43.712143 ++wbeta0 = 3.9668571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.27356143 ++wkt1 = -7.7108571e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.9869286e-018 ++wub1 = 1.7400686e-025 ++uc1 = -4.344e-011 ++wuc1 = -9.7344e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.4 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_4 ++lvth0 = -2.1407273e-009 ++wvth0 = 1.4897689e-008 ++pvth0 = -5.2482182e-016 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = 0.014249873 ++lk2 = -2.0467636e-008 ++wk2 = -1.3670166e-008 ++pk2 = 1.1159673e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0091928468 ++lu0 = 1.8372909e-009 ++wu0 = -1.6362577e-010 ++pu0 = -2.0819854e-016 ++ua = -8.0522078e-011 ++lua = 7.4813818e-016 ++wua = 4.3913662e-017 ++pua = -4.0716945e-023 ++ub = 1.748897e-018 ++lub = -3.0903909e-025 ++wub = -5.6568377e-025 ++pub = 8.7374182e-032 ++uc = 8.6704408e-011 ++luc = 1.2453182e-017 ++wuc = -1.3313914e-017 ++puc = -6.5372036e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.66833429 ++la0 = -1.03128e-007 ++wa0 = 1.9775566e-007 ++pa0 = -5.5371585e-014 ++ags = 0.20459958 ++lags = 6.9689636e-008 ++wags = -3.0388584e-008 ++pags = 6.2401891e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.10490303 ++lketa = 2.2143527e-008 ++wketa = 1.2696239e-008 ++pketa = -3.5549469e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.3781492 ++lpclm = 2.7248545e-008 ++wpclm = 1.7891728e-008 ++ppclm = 2.9199702e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 1.2079775e-005 ++lalpha0 = -3.0255502e-012 ++walpha0 = -4.121738e-013 ++palpha0 = 7.4403585e-020 ++alpha1 = 0 ++beta0 = 38.238696 ++lbeta0 = -2.7152909e-006 ++wbeta0 = 1.0082017e-006 ++pbeta0 = -3.5411055e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33225761 ++lkt1 = 5.3309091e-010 ++wkt1 = 1.4058139e-008 ++pkt1 = -6.6438982e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 2.0124e-009 ++lua1 = -2.562e-016 ++wua1 = -2.66448e-016 ++pua1 = 1.33224e-022 ++ub1 = -2.8876353e-018 ++lub1 = -2.9730909e-026 ++wub1 = 2.6946346e-025 ++pub1 = -1.8530247e-031 ++uc1 = 6.432e-012 ++luc1 = -3.4608e-017 ++wuc1 = -9.4675549e-017 ++puc1 = 3.4396015e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.5 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_5 ++lvth0 = -3.242449e-010 ++wvth0 = 2.0559739e-009 ++pvth0 = 5.8960359e-015 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.026847376 ++lk2 = 8.0987755e-011 ++wk2 = 1.6697667e-009 ++pk2 = -6.5539994e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.12364214 ++lvoff = 1.2890571e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097471347 ++lu0 = 1.5601469e-009 ++wu0 = 3.5215673e-011 ++pu0 = -3.0761926e-016 ++ua = 2.6778433e-010 ++lua = 5.7398498e-016 ++wua = 1.0518093e-017 ++pua = -2.4019161e-023 ++ub = 1.2732368e-018 ++lub = -7.120898e-026 ++wub = -2.8878406e-025 ++pub = -5.1075673e-032 ++uc = 8.0016841e-012 ++luc = 5.1804544e-017 ++wuc = -1.844584e-017 ++puc = -3.9712404e-024 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.3454526 ++la0 = -4.4168718e-007 ++wa0 = -6.215178e-008 ++pa0 = 7.4582136e-014 ++ags = 0.19226653 ++lags = 7.5856163e-008 ++wags = 1.2791576e-008 ++pags = -1.5349891e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0016565918 ++lketa = -2.947969e-008 ++wketa = -3.9902465e-009 ++pketa = 4.7882958e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.55246506 ++lpclm = -5.9909388e-008 ++wpclm = -6.8507432e-008 ++ppclm = 7.2399282e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.000123026 ++lalpha0 = -5.8498663e-011 ++walpha0 = -1.9906566e-011 ++palpha0 = 9.8215995e-018 ++alpha1 = 0 ++beta0 = 43.366204 ++lbeta0 = -5.2790449e-006 ++wbeta0 = -2.1427184e-007 ++pbeta0 = 2.571262e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.28366163 ++lkt1 = -2.3764898e-008 ++wkt1 = 1.2888678e-008 ++pkt1 = -6.0591673e-015 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -3.1375788e-018 ++lub1 = 9.5240816e-026 ++wub1 = 4.3408496e-025 ++pub1 = -2.6761322e-031 ++uc1 = -9.9154286e-011 ++luc1 = 1.8185143e-017 ++wuc1 = 1.8488229e-017 ++puc1 = -2.2185874e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.6 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_6 ++lvth0 = -1.3737662e-009 ++wvth0 = 6.9590384e-009 ++pvth0 = 1.2358442e-017 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.027452061 ++lk2 = 8.0661039e-010 ++wk2 = -6.4973683e-009 ++pk2 = 3.2465626e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.095810227 ++lvoff = -2.0507727e-008 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0097323026 ++lu0 = 1.5779454e-009 ++wu0 = -2.910619e-010 ++pu0 = 8.3913818e-017 ++ua = 4.0315384e-010 ++lua = 4.1154156e-016 ++wua = -5.5224108e-017 ++pua = 5.487148e-023 ++ub = 1.1661759e-018 ++lub = 5.7264156e-026 ++wub = -2.7312856e-025 ++pub = -6.986227e-032 ++uc = 1.1632475e-012 ++luc = 6.0010667e-017 ++wuc = -2.1679203e-017 ++puc = -9.1205299e-026 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1189871 ++la0 = -1.6992857e-007 ++wa0 = 7.1267013e-008 ++pa0 = -8.5520416e-014 ++ags = 0.16561084 ++lags = 1.0784299e-007 ++wags = -3.583948e-009 ++pags = 4.3007377e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.3288581 ++lpclm = 2.0841896e-007 ++wpclm = 1.1147314e-009 ++ppclm = -1.1147314e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002173683 ++lalpha0 = -2.519287e-009 ++walpha0 = -3.7433637e-011 ++palpha0 = 3.0854085e-017 ++alpha1 = 0 ++beta0 = 44.354662 ++lbeta0 = -6.4651948e-006 ++wbeta0 = 4.5314286e-007 ++pbeta0 = -5.4377143e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33774851 ++lkt1 = 4.1139351e-008 ++wkt1 = 2.5666423e-008 ++pkt1 = -2.1392462e-014 ++kt1l = 0 ++kt2 = -0.016947818 ++lkt2 = 4.6581818e-009 ++wkt2 = 2.0185455e-009 ++pkt2 = -2.4222546e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5969484e-018 ++lub1 = -5.5351558e-025 ++wub1 = -2.878281e-026 ++pub1 = 2.878281e-031 ++uc1 = -4.2545455e-011 ++luc1 = -4.9745455e-017 ++wuc1 = -8.6509091e-018 ++puc1 = 1.0381091e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.7 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 5e-007 ++wmax = 1.2e-006 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_7 ++wvth0 = 6.9602743e-009 ++k1 = 1.0069 ++k2 = -0.0273714 ++wk2 = -6.172712e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.0098900971 ++wu0 = -2.8267051e-010 ++ua = 4.44308e-010 ++wua = -4.973696e-017 ++ub = 1.1719023e-018 ++wub = -2.8011479e-025 ++uc = 7.1643143e-012 ++wuc = -2.1688323e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1019943 ++wa0 = 6.2714971e-008 ++ags = 0.17639514 ++wags = -3.1538743e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0019217543 ++walpha0 = -3.4348229e-011 ++alpha1 = 0 ++beta0 = 43.708143 ++wbeta0 = 3.9876571e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.33363457 ++wkt1 = 2.3527177e-008 ++kt1l = 0 ++kt2 = -0.016482 ++wkt2 = 1.77632e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.6523e-018 ++uc1 = -4.752e-011 ++wuc1 = -7.6128e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.8 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_8 ++lvth0 = -8.7733719e-009 ++wvth0 = 4.2305517e-009 ++pvth0 = 7.5670046e-015 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = -0.00067810868 ++lk2 = -1.7691446e-008 ++wk2 = 4.5419708e-009 ++pk2 = -2.2709854e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011352976 ++lu0 = 1.7890915e-009 ++wu0 = -2.7989835e-009 ++pu0 = -1.493953e-016 ++ua = 3.4788822e-010 ++lua = 6.3071157e-016 ++wua = -4.7874691e-016 ++pua = 1.0254352e-022 ++ub = 9.2772209e-019 ++lub = 3.2915171e-026 ++wub = 4.3614967e-025 ++pub = -3.2981002e-031 ++uc = 1.3375779e-010 ++luc = -7.439668e-018 ++wuc = -7.0719038e-017 ++puc = 1.7732073e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.8879706 ++la0 = -1.4725376e-007 ++wa0 = -7.0200638e-008 ++pa0 = -1.5381528e-015 ++ags = 0.3341873 ++lags = -2.4436508e-009 ++wags = -1.884856e-007 ++pags = 9.42428e-014 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.088919542 ++lketa = 1.7668152e-008 ++wketa = -6.803611e-009 ++pketa = 1.9050111e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.32482036 ++lpclm = 5.0559583e-008 ++wpclm = 8.2952909e-008 ++ppclm = 7.6023645e-016 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.723125e-006 ++lalpha0 = -2.2830898e-012 ++walpha0 = 2.4629388e-012 ++palpha0 = -8.3139811e-019 ++alpha1 = 0 ++beta0 = 37.805966 ++lbeta0 = -2.3737058e-006 ++wbeta0 = 1.5361323e-006 ++pbeta0 = -7.708444e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29596713 ++lkt1 = -8.3979897e-009 ++wkt1 = -3.0216242e-008 ++pkt1 = 4.2520201e-015 ++kt1l = 0 ++kt2 = -0.020842369 ++lkt2 = 2.1773833e-009 ++wkt2 = 9.4871699e-009 ++pkt2 = -2.6564076e-015 ++ute = -1 ++ua1 = 1.8116799e-009 ++lua1 = -1.5583996e-016 ++wua1 = -2.1569499e-017 ++pua1 = 1.078475e-023 ++ub1 = -2.5843988e-018 ++lub1 = -1.2857843e-025 ++wub1 = -1.0048507e-025 ++pub1 = -6.4708497e-032 ++uc1 = -8.5778578e-011 ++luc1 = 8.8928926e-019 ++wuc1 = 1.7821357e-017 ++puc1 = -8.9106783e-024 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.9 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_9 ++lvth0 = -7.1445584e-009 ++wvth0 = -9.069076e-009 ++pvth0 = 1.4216818e-014 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.030551827 ++lk2 = -2.7545864e-009 ++wk2 = 6.1891978e-009 ++pk2 = -3.0945989e-015 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.094286796 ++lvoff = -1.787102e-009 ++wvoff = -3.5813523e-008 ++pvoff = 1.7906761e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010127025 ++lu0 = 2.4020669e-009 ++wu0 = -4.282509e-010 ++pu0 = -1.3347616e-015 ++ua = 3.2582253e-010 ++lua = 6.4174442e-016 ++wua = -6.0288518e-017 ++pua = -1.0668567e-022 ++ub = 9.6220002e-019 ++lub = 1.5676208e-026 ++wub = 9.0680837e-026 ++pub = -1.570756e-031 ++uc = -2.7758895e-011 ++luc = 7.3318673e-017 ++wuc = 2.5182066e-017 ++puc = -3.0218479e-023 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1782327 ++la0 = -2.9238479e-007 ++wa0 = 1.4185662e-007 ++pa0 = -1.0756678e-013 ++ags = 0.20788505 ++lags = 6.0707474e-008 ++wags = -6.2630205e-009 ++pags = 3.1315103e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0066799724 ++lketa = -2.3451633e-008 ++wketa = 2.1382778e-009 ++pketa = -2.5659333e-015 ++dwg = 0 ++dwb = 0 ++pclm = 0.37778426 ++lpclm = 2.407763e-008 ++wpclm = 1.4460314e-007 ++ppclm = -3.006488e-014 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011108151 ++lalpha0 = -5.296228e-011 ++walpha0 = -5.3342836e-012 ++palpha0 = 3.0672131e-018 ++alpha1 = 0 ++beta0 = 43.187318 ++lbeta0 = -5.0643818e-006 ++wbeta0 = 3.968961e-009 ++pbeta0 = -4.7627532e-015 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.30269355 ++lkt1 = -5.0347792e-009 ++wkt1 = 3.6107623e-008 ++pkt1 = -2.8909912e-014 ++kt1l = 0 ++kt2 = -0.010621998 ++lkt2 = -2.9328019e-009 ++wkt2 = -2.981682e-009 ++pkt2 = 3.5780184e-015 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.4617658e-018 ++lub1 = -1.8989494e-025 ++wub1 = -3.9040685e-025 ++pub1 = 8.0252392e-032 ++uc1 = -3.4810909e-011 ++luc1 = -2.4594545e-017 ++wuc1 = -6.0010691e-017 ++puc1 = 3.0005345e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.10 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_10 ++lvth0 = -4.4690083e-009 ++wvth0 = -3.7885537e-010 ++pvth0 = 3.7885537e-015 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.036023042 ++lk2 = 3.8108709e-009 ++wk2 = 3.9592281e-009 ++pk2 = -4.1863519e-016 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.098145312 ++lvoff = 2.8431167e-009 ++wvoff = 2.848803e-009 ++pvoff = -2.848803e-014 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011031559 ++lu0 = 1.3166262e-009 ++wu0 = -1.8761549e-009 ++pu0 = 4.0272326e-016 ++ua = 6.676128e-010 ++lua = 2.315961e-016 ++wua = -3.7786403e-016 ++pua = 2.7440495e-022 ++ub = 9.7526352e-019 ++wub = -4.0215498e-026 ++uc = -1.6606591e-011 ++luc = 5.9935909e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1832393 ++la0 = -2.9839274e-007 ++wa0 = -7.1205867e-009 ++pa0 = 7.1205867e-014 ++ags = 0.16685819 ++lags = 1.0993971e-007 ++wags = -5.1057076e-009 ++pags = 1.7427347e-015 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34313423 ++lpclm = 6.5657665e-008 ++wpclm = -1.6302147e-008 ++ppclm = 1.6302147e-013 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0021426891 ++lalpha0 = -2.4908914e-009 ++walpha0 = 3.7885537e-013 ++palpha0 = -3.7885537e-018 ++alpha1 = 0 ++beta0 = 44.161948 ++lbeta0 = -6.233938e-006 ++wbeta0 = 6.8825393e-007 ++pbeta0 = -8.2590471e-013 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29670927 ++lkt1 = -1.2215919e-008 ++wkt1 = -2.4401443e-008 ++pkt1 = 4.3700967e-014 ++kt1l = 0 ++kt2 = -0.012757219 ++lkt2 = -3.7053719e-010 ++wkt2 = -3.0939855e-009 ++pkt2 = 3.7127826e-015 ++ute = -1 ++ua1 = 1.39597e-009 ++lua1 = 1.2483595e-016 ++wua1 = 1.2691655e-016 ++pua1 = -1.5229986e-022 ++ub1 = -2.656703e-018 ++lub1 = 4.4029649e-026 ++wub1 = 4.4117708e-026 ++pub1 = -4.4117708e-031 ++uc1 = -6.6591694e-011 ++luc1 = 1.3542397e-017 ++wuc1 = 2.0685503e-017 ++puc1 = -6.6830088e-023 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.11 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1.2e-006 ++wmax = 1e-005 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_11 ++k1 = 1.0069 ++k2 = -0.035641955 ++wk2 = 3.9173646e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011163222 ++wu0 = -1.8358826e-009 ++ua = 6.9077241e-010 ++wua = -3.5042354e-016 ++ub = 9.7526352e-019 ++wub = -4.0215498e-026 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17785216 ++wags = -4.9314341e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.538555 ++wbeta0 = 6.0566345e-007 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29793086 ++wkt1 = -2.0031346e-008 ++kt1l = 0 ++kt2 = -0.012794273 ++wkt2 = -2.7227073e-009 ++ute = -1 ++ua1 = 1.4084536e-009 ++wua1 = 1.1168656e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.5237455e-011 ++wuc1 = 1.4002494e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.12 pmos ++level = 54 ++lmin = 2.8e-007 ++lmax = 5e-007 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_12 ++lvth0 = -8.0181818e-009 ++k1 = 0.99870273 ++lk1 = -3.5426364e-008 ++k2 = -0.00022481818 ++lk2 = -1.7918091e-008 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.011073636 ++lu0 = 1.7741818e-009 ++ua = 3.0010909e-010 ++lua = 6.4094546e-016 ++ub = 9.7125e-019 ++uc = 1.267e-010 ++luc = -5.67e-018 ++eu = 1.67 ++vsat = 94000 ++a0 = 0.88096455 ++la0 = -1.4740727e-007 ++ags = 0.31537636 ++lags = 6.9618182e-009 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.089598545 ++lketa = 1.7858273e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.33309909 ++lpclm = 5.0635454e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00073695 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 9.9689273e-006 ++lalpha0 = -2.3660636e-012 ++alpha1 = 0 ++beta0 = 37.959273 ++lbeta0 = -2.4506364e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29898273 ++lkt1 = -7.9736364e-009 ++kt1l = 0 ++kt2 = -0.019895546 ++lkt2 = 1.9122727e-009 ++ute = -1 ++ua1 = 1.8095273e-009 ++lua1 = -1.5476364e-016 ++ub1 = -2.5944273e-018 ++lub1 = -1.3503636e-025 ++uc1 = -8.4e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.13 pmos ++level = 54 ++lmin = 5e-007 ++lmax = 1.2e-006 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_13 ++lvth0 = -5.7257143e-009 ++k1 = 0.97705 ++lk1 = -2.46e-008 ++k2 = -0.029934143 ++lk2 = -3.0634286e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010084286 ++lu0 = 2.2688571e-009 ++ua = 3.1980571e-010 ++lua = 6.3109714e-016 ++ub = 9.7125e-019 ++uc = -2.5245714e-011 ++luc = 7.0302857e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.19239 ++la0 = -3.0312e-007 ++ags = 0.20726 ++lags = 6.102e-008 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0064665714 ++lketa = -2.3707714e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.39221571 ++lpclm = 2.1077143e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00024628714 ++lpdiblc2= 2.4533143e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.00011054914 ++lalpha0 = -5.2656171e-011 ++alpha1 = 0 ++beta0 = 43.187714 ++lbeta0 = -5.0648571e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2.4 ++voffcv = -0.16 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29909 ++lkt1 = -7.92e-009 ++kt1l = 0 ++kt2 = -0.010919571 ++lkt2 = -2.5757143e-009 ++ute = -1 ++ua1 = 1.5e-009 ++ub1 = -2.5007286e-018 ++lub1 = -1.8188571e-025 ++uc1 = -4.08e-011 ++luc1 = -2.16e-017 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.14 pmos ++level = 54 ++lmin = 1.2e-006 ++lmax = 1e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_14 ++lvth0 = -4.0909091e-009 ++k1 = 1.0137659 ++lk1 = -6.8659091e-008 ++k2 = -0.035627909 ++lk2 = 3.7690909e-009 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.010844318 ++lu0 = 1.3568182e-009 ++ua = 6.2990182e-010 ++lua = 2.5898182e-016 ++ub = 9.7125e-019 ++uc = -1.6606591e-011 ++luc = 5.9935909e-017 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1825286 ++la0 = -2.9128636e-007 ++ags = 0.16634864 ++lags = 1.1011364e-007 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0068224318 ++lketa = -2.3280682e-008 ++dwg = 0 ++dwb = 0 ++pclm = 0.34150727 ++lpclm = 8.1927273e-008 ++pdiblc1 = 0.1484 ++pdiblc2 = 7.8434545e-005 ++lpdiblc2= 4.4675455e-010 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.002142727 ++lalpha0 = -2.4912696e-009 ++alpha1 = 0 ++beta0 = 44.230636 ++lbeta0 = -6.3163636e-006 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 1.9454546 ++lnoff = 5.4545454e-007 ++voffcv = 0.021818182 ++lvoffcv = -2.1818182e-007 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29914454 ++lkt1 = -7.8545455e-009 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4086364e-009 ++lua1 = 1.0963636e-016 ++ub1 = -2.6523e-018 ++uc1 = -6.4527273e-011 ++luc1 = 6.8727273e-018 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 +.model pfet_03v3.15 pmos ++level = 54 ++lmin = 1e-005 ++lmax = 5.0001e-005 ++wmin = 1e-005 ++wmax = 0.000100001 ++version = 4.5 ++binunit = 2 ++paramchk= 1 ++mobmod = 0 ++capmod = 2 ++igcmod = 0 ++igbmod = 0 ++geomod = 0 ++diomod = 1 ++rdsmod = 0 ++rbodymod= 0 ++rgatemod= 0 ++permod = 1 ++acnqsmod= 0 ++trnqsmod= 0 ++tnom = 25 ++toxe = pfet_03v3_tox ++toxp = pfet_03v3_tox ++toxm = 7.9e-009 ++epsrox = 3.9 ++wint = -1e-008 ++lint = 0 ++ll = 0 ++wl = 0 ++lln = 1 ++wln = 1 ++lw = 0 ++ww = 0 ++lwn = 1 ++wwn = 1 ++lwl = 0 ++wwl = 0 ++xl = pfet_03v3_xl ++xw = pfet_03v3_xw ++dlc = -8e-009 ++dwc = 0 ++xpart = 0 ++toxref = 7.9e-009 ++dlcig = 1.5e-007 ++vth0 = pfet_03v3_vth0_15 ++k1 = 1.0069 ++k2 = -0.035251 ++k3 = 0 ++k3b = 0 ++w0 = 2.5e-006 ++dvt0 = 2.8985 ++dvt1 = 0.23999 ++dvt2 = -0.016 ++dvt0w = 0 ++dvt1w = 5300000 ++dvt2w = -0.032 ++dsub = 0.3659 ++minv = -0.1 ++voffl = 0 ++dvtp0 = 0 ++dvtp1 = 0 ++lpe0 = 3.2493e-008 ++lpeb = 0 ++vbm = -3 ++xj = pfet_03v3_xj ++ngate = 6e+019 ++ndep = 5.6e+017 ++nsd = 1e+020 ++phin = 0 ++cdsc = 0.00024 ++cdscb = 0 ++cdscd = 0 ++cit = 0 ++voff = -0.097861 ++nfactor = 0.8 ++eta0 = 0.2541 ++etab = -0.15284 ++vfb = 0 ++u0 = 0.01098 ++ua = 6.558e-010 ++ub = 9.7125e-019 ++uc = -1.0613e-011 ++eu = 1.67 ++vsat = 94000 ++a0 = 1.1534 ++ags = 0.17736 ++a1 = 0 ++a2 = 0.99 ++b0 = 0 ++b1 = 0 ++keta = -0.0091505 ++dwg = 0 ++dwb = 0 ++pclm = 0.3497 ++pdiblc1 = 0.1484 ++pdiblc2 = 0.00012311 ++pdiblcb = 0 ++drout = 0.56 ++pvag = 0 ++delta = 0.01 ++pscbe1 = 6.7448e+008 ++pscbe2 = 1e-005 ++fprout = 0 ++pdits = 0 ++pditsd = 0 ++pditsl = 0 ++rsh = 7 ++rdsw = pfet_03v3_rdsw ++rdswmin = 20 ++prwg = 0 ++prwb = 0 ++alpha0 = 0.0018936 ++alpha1 = 0 ++beta0 = 43.599 ++agidl = 1.5908e-011 ++bgidl = 1.3902e+009 ++cgidl = 7.5 ++egidl = 0.1009 ++cgso = 1.24e-010 ++cgdo = 1.24e-010 ++cgbo = 1e-013 ++cgdl = 4e-011 ++cgsl = 4e-011 ++clc = 1e-007 ++cle = 0.6 ++cf = 0 ++ckappas = 0.6 ++ckappad = 0.6 ++vfbcv = -1 ++acde = 1 ++moin = 15 ++noff = 2 ++voffcv = 0 ++tvoff = 0.0032 ++ltvoff = 0 ++wtvoff = 0 ++ptvoff = 0 ++kt1 = -0.29993 ++kt1l = 0 ++kt2 = -0.013066 ++ute = -1 ++ua1 = 1.4196e-009 ++ub1 = -2.6523e-018 ++uc1 = -6.384e-011 ++prt = 0 ++at = 12000 ++fnoimod = 1 ++tnoimod = 0 ++em = 4.1e+007 ++ef = 1.12 ++noia = pfet_03v3_noia ++noib = pfet_03v3_noib ++noic = pfet_03v3_noic ++ntnoi = 1 ++jss = 1.653e-007 ++jsws = 2.1207e-013 ++jswgs = 0 ++njs = 1 ++ijthsfwd= 0.1 ++ijthsrev= 0.1 ++jtss = 1e-011 ++jtssws = 1e-011 ++jtsswgs = 1e-011 ++njts = 20 ++njtssw = 20 ++njtsswg = 20 ++vtss = 10 ++vtssws = 10 ++vtsswgs = 10 ++pbs = 0.69939 ++cjs = 0.00094344 ++mjs = 0.32084 ++pbsws = 0.8022 ++cjsws = 1.5078e-010 ++mjsws = 0.05 ++pbswgs = 0.65 ++cjswgs = 4.794e-010 ++mjswgs = 0.21964 ++tpb = 0.0016906 ++tcj = 0.00099187 ++tpbsw = 0.0052 ++tcjsw = 0.00063483 ++tpbswg = 0.000744 ++tcjswg = 0.000932 ++xtis = 3 ++dmcg = 1.5e-007 ++saref = 4.4e-007 ++sbref = 4.4e-007 ++kvth0 = 0 ++ku0 = 0 ++kvsat = 0 + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m1 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m1 + + +.endl pfet_03v3_stat +* +*************************************************************************************************** +* 6V native NMOS statistical Models +*************************************************************************************************** +* +.lib nfet_06v0_nvt_stat + +*.lib nfet_06v0_nvt_t +.subckt nfet_06v0_nvt d g s b w=1e-5 l=1.8e-6 as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 sa=0 sb=0 nf=1 sd=0 m=1 + +m0 d g s b nfet_06v0_nvt w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs sa=sa sb=sb nf=nf sd=sd m=m + +.ends nfet_06v0_nvt + +.model nfet_06v0_nvt.0 nmos ++level = 54 +************************************************************** +* MODEL FLAG PARAMETERS +************************************************************** ++lmin = 1.8e-6 lmax = 50.01e-6 wmin = 0.8e-6 ++wmax = 100.01e-6 version = 4.6 binunit = 1 ++paramchk= 1 mobmod = 0 capmod = 2 ++igcmod = 0 igbmod = 0 geomod = 0 ++diomod = 1 rdsmod = 0 rbodymod= 0 ++rgeomod = 0 rgatemod= 0 permod = 1 ++acnqsmod= 0 trnqsmod= 0 tempmod = 0 ++wpemod = 0 +************************************************************** +* GENERAL MODEL PARAMETERS +************************************************************** ++tnom = 25 toxe = nfet_06v0_nvt_tox toxp = '8e-10+nfet_06v0_nvt_tox' ++toxm = 1.52e-008 epsrox = 3.9 toxref = 1.52e-008 ++wint = 1e-009 lint = 1e-007 ll = 0 ++wl = 0 lln = 1 wln = 1 ++lw = 0 ww = 0 lwn = 1 ++wwn = 1 lwl = 0 wwl = 0 ++llc = 0 wlc = 0 lwc = 0 ++wwc = 0 lwlc = 0 wwlc = 0 ++xl = nfet_06v0_nvt_xl xw = nfet_06v0_nvt_xw dlc = 0 ++dwc = 0 dlcig = 0 xpart = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++vth0 = nfet_06v0_nvt_vth0 lvth0 = -0.088 k1 = 0.165 ++k2 = -0.001 k3 = -0.6 k3b = -0.6 ++w0 = 1e-010 dvt0 = 2.2 dvt1 = 0.53 ++dvt2 = -0.032 dvt0w = 0 dvt1w = 5300000 ++dvt2w = -0.032 dsub = 0.4 minv = -0.5 ++voffl = 0 dvtp0 = 1e-008 dvtp1 = 0 ++lambda = 0 vtl = 200000 xn = 3 ++lpe0 = 1e-007 lpeb = 0 vbm = -3 ++xj = nfet_06v0_nvt_xj ngate = 1e+020 ndep = 1.7e+017 ++nsd = 1e+020 phin = 0.5 cdsc = 0.00024 ++cdscb = 0 cdscd = 0 cit = 0 ++voff = -0.06 ud1 = 0 up = 0 ++lp = 1e-008 nfactor = 0.40241 lnfactor= 0.45 ++eta0 = 0.06 etab = -0.43 u0 = 0.070102 ++lu0 = 0.042 ua = 2.278e-009 ub = 3.97e-019 ++lub = 3.65e-018 uc = 2.625e-012 eu = 1.67 ++vsat = 106700 pvsat = 23500 a0 = 0.88 ++ags = 0.72 a1 = 0 a2 = 0.47 ++b0 = 3.5e-007 b1 = 0 keta = -0.04 ++dwg = 0 dwb = 0 pclm = 3 ++pdiblc1 = 1.41 pdiblc2 = 1e-005 pdiblcb = 0 ++drout = 0.16 pvag = 1 delta = 0.005 ++pscbe1 = 5e+009 pscbe2 = 5e-006 fprout = 65 ++pdits = 0 pditsd = 0 pditsl = 0 ++rsh = 7 rdsw = nfet_06v0_nvt_rdsw rsw = 100 ++rdw = 100 rdswmin = 0 rdwmin = 0 ++rswmin = 0 prwg = 1 prwb = 0 ++wr = 1 alpha0 = 1.36e-008 alpha1 = 1e-005 ++beta0 = 15 agidl = 2e-010 bgidl = 2.3e+009 ++cgidl = 0.5 egidl = 0.8 aigbacc = 0.43 ++bigbacc = 0.054 cigbacc = 0.075 nigbacc = 1 ++aigbinv = 0.35 bigbinv = 0.03 cigbinv = 0.006 ++eigbinv = 1.1 nigbinv = 3 aigc = 0.43 ++bigc = 0.054 cigc = 0.075 aigsd = 0.43 ++bigsd = 0.054 cigsd = 0.075 nigc = 1 ++poxedge = 1 pigcd = 1 ntox = 1 ++vfbsdoff= 0 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cgso = 1e-010 cgdo = 1e-010 cgbo = 1e-013 ++cgdl = 1.5e-010 cgsl = 1.5e-010 clc = 1e-010 ++cle = 0.6 ckappas = 0.6 ckappad = 0.6 ++vfbcv = -1 acde = 0.3 moin = 15 ++noff = 1.5 voffcv = 0 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++tvoff = 0 tvfbsdoff= 0 kt1 = -0.412 ++kt1l = 3.5e-008 kt2 = -0.05 ute = -1.5 ++lute = -0.26 ua1 = 1e-009 ub1 = -1e-018 ++uc1 = -5.6e-011 prt = 0 at = 80000 ++lat = -30000 pat = -10000 +************************************************************** +* NOISE PARAMETERS +************************************************************** ++fnoimod = 1 tnoimod = 0 em = 4.1e+007 ++ef = 1 noia = nfet_06v0_nvt_noia noib = nfet_06v0_nvt_noib ++noic = nfet_06v0_nvt_noic ntnoi = 1 lintnoi = 0 +************************************************************** +* DIODE PARAMETERS +************************************************************** ++jss = 6.88e-007 jsws = 4.88e-013 jswgs = 0 ++njs = 1.0541 ijthsfwd= 0.1 ijthsrev= 0.1 ++bvs = 11 xjbvs = 1 xjbvd = 1 ++jtss = 0 jtsd = 0 jtssws = 0 ++jtsswd = 0 jtsswgs = 0 jtsswgd = 0 ++njts = 20 njtssw = 20 njtsswg = 20 ++xtss = 0.02 xtsd = 0.02 xtssws = 0.02 ++xtsswd = 0.02 xtsswgs = 0.02 xtsswgd = 0.02 ++tnjts = 0 tnjtssw = 0 tnjtsswg= 0 ++vtss = 10 vtsd = 10 vtssws = 10 ++vtsswd = 10 vtsswgs = 10 vtsswgd = 10 ++pbs = 0.606 cjs = 0.00095 mjs = 0.296 ++pbsws = 0.48 cjsws = 1.33e-010 mjsws = 0.01 ++pbswgs = 0.861 cjswgs = 3.573e-010 mjswgs = 0.40313 ++tpb = 0.00146 tcj = 0.000825 tpbsw = 0.00313 ++tcjsw = 0.0018 tpbswg = 0.0016588 tcjswg = 0.001595 ++xtis = 3 +************************************************************** +* LAYOUT RELATED PARAMETERS +************************************************************** ++dmcg = 0 dmdg = 0 dmcgt = 0 ++xgw = 0 xgl = 0 +************************************************************** +* RF PARAMETERS +************************************************************** ++rshg = 0.1 gbmin = 1e-012 rbpb = 50 ++rbpd = 50 rbps = 50 rbdb = 50 ++rbsb = 50 ngcon = 1 xrcrg1 = 12 ++xrcrg2 = 1 rbps0 = 50 rbpsl = 0 ++rbpsw = 0 rbpsnf = 0 rbpd0 = 50 ++rbpdl = 0 rbpdw = 0 rbpdnf = 0 ++rbpbx0 = 100 rbpbxl = 0 rbpbxw = 0 ++rbpbxnf = 0 rbpby0 = 100 rbpbyl = 0 ++rbpbyw = 0 rbpbynf = 0 rbsbx0 = 100 ++rbsby0 = 100 rbdbx0 = 100 rbdby0 = 100 ++rbsdbxl = 0 rbsdbxw = 0 rbsdbxnf= 0 ++rbsdbyl = 0 rbsdbyw = 0 rbsdbynf= 0 +************************************************************** +* STRESS PARAMETERS +************************************************************** ++web = 0 wec = 0 scref = 1e-006 ++kvth0we = 0 k2we = 0 ku0we = 0 ++saref = 1e-006 sbref = 1e-006 wlod = 0 ++kvth0 = 0 lkvth0 = 0 wkvth0 = 0 ++pkvth0 = 0 llodvth = 0 wlodvth = 0 ++stk2 = 0 lodk2 = 1 lodeta0 = 1 ++ku0 = 0 lku0 = 0 wku0 = 0 ++pku0 = 0 llodku0 = 0 wlodku0 = 0 ++kvsat = 0 steta0 = 0 tku0 = 0 + +.endl nfet_06v0_nvt_stat +* +*************************************************************************************************** +* 6V PMOS statistical Models +*************************************************************************************************** +* +.lib pfet_06v0_stat + + +.subckt pfet_06v0_dss d g s b w=10u l=0.5u par=1 s_sab=0.28u d_sab=2.78u as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 dtemp=0 nf=1 sa=0 sb=0 sd=0 m=1 + +.param ++ par_vth=0.01051 ++ par_k=0.00517 ++ par_l=3e-7 ++ par_w=-4e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +xr1 d d1 b pplus_u_m2 wr='w' lr='(d_sab==0) ? 1e-15 : d_sab' +xr2 s s1 b pplus_u_m2 wr='w' lr='(s_sab==0) ? 1e-15 : s_sab' +m0 d1 g s1 b pfet_06v0 w='w' l='l' as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs nf=nf sa=0 sb=0 sd=0 m=m ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' +.ends + + +.model pfet_06v0.0 pmos +***** Flag Parameter *** ++level = 54 version = 4.6 binunit = 1 ++paramchk = 1 mobmod = 0 capmod = 2 ++rdsmod = 0 igcmod = 0 igbmod = 0 ++rbodymod = 0 trnqsmod = 0 acnqsmod = 0 ++fnoimod = 1 tnoimod = 0 diomod = 1 ++tempmod = 0 permod = 1 geomod = 1 +***** Geometry Range Parameter *** ++lmin = 0.5e-6 lmax = 50.01e-6 wmin = 0.3e-6 ++wmax = 100.01e-6 +***** Process Parameter *** ++epsrox = 3.9 toxe = pfet_06v0_tox xj = pfet_06v0_xj ++ndep = 1.7E17 ngate = 3.6E19 nsd = 6E16 ++rsh = 7 rshg = 0.1 phin = 0 ++lphin = 0.1408 +***** dW and dL Parameter *** ++wint = 4.9E-8 wl = 0 wln = 1 ++ww = -1.37E-14 wwn = 1 wwl = 3.04E-22 ++lint = 6.7E-8 ll = -5.4E-15 lln = 1 ++lw = 0 lwn = 1 lwl = -4.76E-21 ++dwg = -6.6E-9 dwb = -3E-9 xl = pfet_06v0_xl ++xw = pfet_06v0_xw +***** Vth Related Parameter *** ++vth0 = pfet_06v0_vth0 pvth0 = 7.6E-3 ++k1 = 0.9588 k2 = 8.936E-3 vfb = -1 ++k3 = -0.75 k3b = 1.2104 w0 = 3.1E-7 ++lpe0 = -4.4E-8 lpeb = -5.96E-8 dvtp0 = 0 ++dvtp1 = 0.3 dvt0 = 1 dvt1 = 1 ++dvt2 = 0 dvt0w = 0 dvt1w = 5.3E6 ++dvt2w = -0.032 +***** Mobility Related Parameter *** ++u0 = 0.0151 ua = 1.78E-9 ub = 4.88E-19 ++uc = -2.7435E-11 luc = 8.691408E-11 puc = -1.501336E-11 ++vsat = 8.55E4 a0 = 0.84 ags = 0.059 ++b0 = 2.625E-8 b1 = 0 keta = -8.6016E-5 ++wketa = 2.772E-3 a1 = 0 a2 = 1 ++rdsw = pfet_06v0_rdsw wrdsw = 213.9 prdsw = -120 ++rdswmin = 100 prwb = 0.569552 pprwb = -0.052 ++prwg = 0.0432 wr = 1 +***** Subthreshold Related Parameter *** ++voff = -0.1284 voffl = 2.19E-8 minv = 0 ++nfactor = 1 eta0 = 0.08 etab = -0.09408 ++petab = -0.012128 dsub = 0.4824 cit = 0 ++cdsc = 2.4E-4 cdscb = 0 cdscd = 0 +***** Output Resistance Related Parameter *** ++pclm = 0.42 ppclm = 0.071 pdiblc1 = 0.14 ++pdiblc2 = 1E-5 pdiblcb = 0 drout = 0.56 ++pscbe1 = 5.088E8 pscbe2 = 1E-8 pvag = 1.5 ++delta = 0.01 fprout = 0 pdits = 0.01 ++pditsl = 0 pditsd = 0 lambda = 0 ++vtl = 2E5 lc = 0 xn = 3 ++alpha0 = 9.6E-7 alpha1 = 51.5 beta0 = 50.8 ++wbeta0 = 0.22 pbeta0 = 0.14 +***** Noise Parameters *** ++ef = 1.1 noia = pfet_06v0_noia ++noib = pfet_06v0_noib noic = pfet_06v0_noic +***** Capacitance Parameter *** ++xpart = 1 cgso = 7.71E-11 cgdo = 7.71E-11 ++cgbo = 1E-13 ckappas = 0.6 ckappad = 0.6 ++dlc = 7.4E-9 noff = 1 voffcv = 0 ++acde = 0.7 moin = 15 cgsl = 5.25E-11 ++cgdl = 5.25E-11 +***** Souce/Drain Junction Diode Model Parameter *** ++ijthsrev = 0.1 ijthdrev = 0.1 ijthsfwd = 0.1 ++ijthdfwd = 0.1 xjbvs = 1 xjbvd = 1 ++bvs = 10.5 bvd = 10.5 jss = 2.0867e-007 ++jsd = 2.0867e-007 jsws = 1.6088e-013 jswd = 1.6088e-013 ++jswgs = 0 jswgd = 0 cjs = 0.000912 ++cjd = 0.000912 mjs = 0.32713 mjd = 0.32713 ++mjsws = 0.056777 mjswd = 0.056777 cjsws = 1.4649e-010 ++cjswd = 1.4649e-010 cjswgs = 3.3229e-010 cjswgd = 3.3229e-010 ++mjswgs = 0.50996 mjswgd =0.50996 pbs = 0.76836 ++pbd = 0.76836 pbsws = 0.5 pbswd = 0.5 ++pbswgs = 1.2295 pbswgd = 1.2295 +***** Temperature coefficient *** ++tnom = 25 ute = -1.2 lute = -0.152467 ++wute = -0.07 kt1 = -0.3828 pkt1 = 2.2E-3 ++kt1l = -3.158E-8 kt2 = -0.09064 ua1 = 1.41E-9 ++lua1 = -6.554813E-10 wua1 = -1.2E-10 pua1 = -3.823641E-10 ++ub1 = -4.31E-18 lub1 = 1.939773E-19 pub1 = 7.291324E-19 ++uc1 = 1.147552E-10 luc1 = -1.067674E-10 puc1 = 1.8536E-11 ++at = -2.18E4 pat = -6.1E3 prt = 454 ++njs = 1 njd = 1 xtis = 3 ++xtid = 3 tpb = 0.0019314 tpbsw = 0.0017642 ++tpbswg = 0.0016588 tcj = 0.001 tcjsw = 0.00071888 ++tcjswg = 0.0009411 + + + + +* model for unsalicided p+ diffusion resistor +.subckt pplus_u_m2 1 2 3 lr=lr wr=wr dtemp=0 par=1 +*------------------- +* body resistor parameters +*.param rsh_pplus_u_m=185 ++ r_rsh0=rsh_pplus_u_m ++ r_dw=2.75E-8 ++ r_dl=0 ++ r_vc1=0 ++ r_vc2=0 ++ r_tc1=1.375E-3 ++ r_tc2=1E-6 ++ r_tnom=25 ++ r_l='lr-2*r_dl' ++ r_w='wr-2*r_dw' ++ r_n='r_l/r_w' ++ r_temp='1+r_tc1*(temper+dtemp-r_tnom)+r_tc2*(temper+dtemp-r_tnom)*(temper+dtemp-r_tnom)' +* model for substrate capacitor +.model pn_junction d ++ Level=3 ++ Cj=0.00094344 ++ Mj=0.32084 ++ Pb=0.69939 ++ Cjsw=1.5078e-010 ++ Mjsw=0.05 ++ Php=0.8022 ++ Cta=0.00099187 ++ Ctp=0.00063483 ++ Tpb=0.0016906 ++ Tphp=0.0058423 ++ Tlevc=1 ++ Tref=25 +*------------------- +* terminal 1 +d1 1 3 pn_junction area='r_w*r_l' pj='2*(r_w+r_l)' +* body +rb 1 2 r='r_temp*r_n*(r_rsh0+r_vc1*abs(v(1,2))/r_n+r_vc2*abs(v(1,2))*abs(v(1,2))/r_n/r_n)' +*------------------- +.ends pplus_u_m2 + + +.endl pfet_06v0_stat +* + +.LIB efuse +****************************************************************************** +* +* A single resistor is used to simulate the resistance. +* +* Rfuse +* in o--/\/\/\/--o out +* +******************************************************************************* +* +* SYNTAX: +* +* Specify fuse as intact (default, pblow=0) or programmed (pblow=1). +* +* xxx in out efuse (pblow=0) +* +* NOTES: +* +* 1. Model values based on PCELL layout as provided in the design kit. No +* other geometries or layouts are supported. +* +* 2. Resistance toggles between maximum spec value for intact fuse (<200 ohm) +* and minimum end of life value for programmed fuse (> 900 ohm). +* +******************************************************************************* +* +.subckt efuse in out pblow=0 +* +rfuse in out r='200*(1-pblow) + 900*pblow' +* +.ends efuse + +.ENDL efuse + +.lib fets_mm +.subckt nfet_03v3 d g s b w=1e-5 l=2.8e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.007148 ++ par_k=0.007008 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b nfet_03v3 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd m=m +.ends nfet_03v3 +*------------------------------------------------------------------------ +.subckt pfet_03v3 d g s b w=1e-5 l=2.8e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.00666 ++ par_k=0.002833 ++ par_l=1.5e-7 ++ par_w=-1e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b pfet_03v3 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd m=m +.ends pfet_03v3 + +*------------------------------------------------------------------------ +* Added by Tim Edwards, May 16, 2025 +* An nfet_05v0 device is defined as a regular nFET device allowing a +* slightly shorter gate length than required at 6V. Otherwise, the +* model is exactly the same as nfet_06v0. Note that the model bin +* nfet_06v0.1 covers the nfet_05v0 case specifically. +*------------------------------------------------------------------------ +.subckt nfet_05v0 d g s b w=1e-6 l=6e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.01155 ++ par_k=0.0000 ++ par_l=4e-7 ++ par_w=-5e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b nfet_06v0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd m=m +.ends nfet_05v0 + +*------------------------------------------------------------------------ +.subckt nfet_06v0 d g s b w=1e-5 l=7e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.01155 ++ par_k=0.0000 ++ par_l=4e-7 ++ par_w=-5e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b nfet_06v0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd m=m +.ends nfet_06v0 + +*------------------------------------------------------------------------ +* Added by Tim Edwards, May 16, 2025 +* A pfet_05v0 device is defined as a regular pFET device allowing a +* slightly shorter gate length than required at 6V. Otherwise, the +* model is exactly the same as pfet_06v0. Note that unlike the nFET, +* there is no specific model bin for the short gate device. +*------------------------------------------------------------------------ +.subckt pfet_05v0 d g s b w=1e-5 l=5e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.01051 ++ par_k=0.00517 ++ par_l=3e-7 ++ par_w=-4e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b pfet_06v0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd m=m +.ends pfet_05v0 + +*-------------------------------------------------------------------------- +* Corrected by Tim Edwards, May 16, 2025. The default length of 5e-7 +* is incompatible with the pfet_06v0 device and has been changed to 5.5e-7, +* although in practice FET devices are never instantiated without an +* explicit length and width. +*-------------------------------------------------------------------------- +.subckt pfet_06v0 d g s b w=1e-5 l=5.5e-7 ++ as=0 ad=0 ps=0 pd=0 nrd=0 nrs=0 par=1 dtemp=0 ++ sa=0 sb=0 nf=1 sd=0 m=1 + +.param ++ par_vth=0.01051 ++ par_k=0.00517 ++ par_l=3e-7 ++ par_w=-4e-7 ++ par_leff='l-par_l' ++ par_weff='par*(w-par_w)' ++ p_sqrtarea='sqrt((par_leff)*(par_weff))' + +.param ++ var_k='0.7071*par_k* 1e-06 / p_sqrtarea' ++ mis_k=agauss(0,var_k,1) + +.param ++ var_vth='0.7071*par_vth* 1e-06 / p_sqrtarea' ++ mis_vth=agauss(0,var_vth,1) + +m0 d g s b pfet_06v0 w=w l=l as=as ad=ad ps=ps pd=pd nrd=nrd nrs=nrs ++delvto='mis_vth*sw_stat_mismatch' mulu0='1-mis_k*sw_stat_mismatch' sa=sa sb=sb nf=nf sd=sd m=m +.ends pfet_06v0 +*------------------------------------------------------------------------ + +.endl fets_mm + +.LIB res_statistical_par +.param ++mc_rsh_nplus_u=0 ++mc_rsh_pplus_u=0 ++mc_rsh_nplus_s=0 ++mc_rsh_pplus_s=0 ++mc_rsh_npolyf_u=0 ++mc_rsh_ppolyf_u=0 ++mc_rsh_npolyf_s=0 ++mc_rsh_ppolyf_s=0 ++mc_rsh_ppolyf_u_1k=0 ++mc_rsh_ppolyf_u_2k=0 ++mc_rsh_ppolyf_u_1k_6p0=0 ++mc_rsh_ppolyf_u_2k_6p0=0 ++mc_rsh_ppolyf_u_3k=0 + ++mc_dw_nplus_u=0 ++mc_dw_pplus_u=0 ++mc_dw_nplus_s=0 ++mc_dw_pplus_s=0 ++mc_dw_npolyf_u=0 ++mc_dw_ppolyf_u=0 ++mc_dw_npolyf_s=0 ++mc_dw_ppolyf_s=0 ++mc_dw_ppolyf_u_1k=0 ++mc_dw_ppolyf_u_2k=0 ++mc_dw_ppolyf_u_1k_6p0=0 ++mc_dw_ppolyf_u_2k_6p0=0 ++mc_dw_ppolyf_u_3k=0 + ++mc_rt_nplus_u=0 ++mc_rt_pplus_u=0 ++mc_rt_npolyf_u=0 ++mc_rt_ppolyf_u=0 ++mc_rt_ppolyf_u_1k=0 ++mc_rt_ppolyf_u_2k=0 ++mc_rt_ppolyf_u_1k_6p0=0 ++mc_rt_ppolyf_u_2k_6p0=0 ++mc_rt_ppolyf_u_3k=0 + +.ENDL res_statistical_par + +.lib res_statistical +.param ++rsh_nplus_u=60 ++rsh_pplus_u=185 ++rsh_nplus_s=6.3 ++rsh_pplus_s=7 ++rsh_nwell=1000 ++rsh_npolyf_u=310 ++rsh_ppolyf_u=350 ++rsh_npolyf_s=6.8 ++rsh_ppolyf_s=7.3 +* ++rsh_ppolyf_u_1k=1000 ++rsh_ppolyf_u_2k=2000 ++rsh_ppolyf_u_1k_6p0=1000 ++rsh_ppolyf_u_2k_6p0=2000 ++rsh_ppolyf_u_3k=3000 ++rsh_rm1=0.09 ++rsh_rm2=0.09 ++rsh_rm3=0.09 ++rsh_tm6k=60e-3 ++rsh_tm9k=40e-3 ++rsh_tm11k=40e-3 ++rsh_tm30k=9.5e-3 + +.param ++ mc_rsh_nplus_u_temp=agauss(0, 3.8, 3) ++ mc_rsh_pplus_u_temp=agauss(0, 10.055, 3) ++ mc_rsh_nplus_s_temp=agauss(0, 1.33, 3) ++ mc_rsh_pplus_s_temp=agauss(0, 1.4917, 3) ++ mc_rsh_npolyf_u_temp=agauss(0, 15.135, 3) ++ mc_rsh_ppolyf_u_temp=agauss(0, 18.116, 3) ++ mc_rsh_npolyf_s_temp=agauss(0, 1.392, 3) ++ mc_rsh_ppolyf_s_temp=agauss(0, 1.5852, 3) ++ mc_rsh_ppolyf_u_1k_temp=agauss(0, 49.754, 3) ++ mc_rsh_ppolyf_u_2k_temp=agauss(0, 101.518, 3) ++ mc_rsh_ppolyf_u_1k_6p0_temp=agauss(0, 51.894, 3) ++ mc_rsh_ppolyf_u_2k_6p0_temp=agauss(0, 99.242, 3) ++ mc_rsh_ppolyf_u_3k_temp=agauss(0, 184.603, 3) + ++ mc_dw_nplus_u_temp=agauss(0, 0.0144, 3) ++ mc_dw_pplus_u_temp=agauss(0, 0.0144, 3) ++ mc_dw_nplus_s_temp=agauss(0, 0.012, 3) ++ mc_dw_pplus_s_temp=agauss(0, 0.018, 3) ++ mc_dw_npolyf_u_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_temp=agauss(0, 0.0167, 3) ++ mc_dw_npolyf_s_temp=agauss(0, 0.006, 3) ++ mc_dw_ppolyf_s_temp=agauss(0, 0.003, 3) ++ mc_dw_ppolyf_u_1k_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_2k_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_1k_6p0_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_2k_6p0_temp=agauss(0, 0.0167, 3) ++ mc_dw_ppolyf_u_3k_temp=agauss(0, 0.0167, 3) + ++ mc_rt_nplus_u_temp=agauss(0, 0.64, 3) ++ mc_rt_pplus_u_temp=agauss(0, 7.2, 3) ++ mc_rt_npolyf_u_temp=agauss(0, 4.8, 3) ++ mc_rt_ppolyf_u_temp=agauss(0, 4.7, 3) ++ mc_rt_ppolyf_u_1k_temp=agauss(0, 6.838, 3) ++ mc_rt_ppolyf_u_2k_temp=agauss(0, 6.838, 3) ++ mc_rt_ppolyf_u_1k_6p0_temp=agauss(0, 6.838, 3) ++ mc_rt_ppolyf_u_2k_6p0_temp=agauss(0, 6.838, 3) ++ mc_rt_ppolyf_u_3k_temp=agauss(0, 6.93, 3) +************************************************************** ++ mc_rsh_nplus_u=mc_rsh_nplus_u_temp ++ mc_rsh_pplus_u=mc_rsh_pplus_u_temp ++ mc_rsh_nplus_s=mc_rsh_nplus_s_temp ++ mc_rsh_pplus_s=mc_rsh_pplus_s_temp ++ mc_rsh_npolyf_u=mc_rsh_npolyf_u_temp ++ mc_rsh_ppolyf_u=mc_rsh_ppolyf_u_temp ++ mc_rsh_npolyf_s=mc_rsh_npolyf_s_temp ++ mc_rsh_ppolyf_s=mc_rsh_ppolyf_s_temp ++ mc_rsh_ppolyf_u_1k=mc_rsh_ppolyf_u_1k_temp ++ mc_rsh_ppolyf_u_2k=mc_rsh_ppolyf_u_2k_temp ++ mc_rsh_ppolyf_u_1k_6p0=mc_rsh_ppolyf_u_1k_6p0_temp ++ mc_rsh_ppolyf_u_2k_6p0=mc_rsh_ppolyf_u_2k_6p0_temp ++ mc_rsh_ppolyf_u_3k=mc_rsh_ppolyf_u_3k_temp + ++ mc_dw_nplus_u=mc_dw_nplus_u_temp ++ mc_dw_pplus_u=mc_dw_pplus_u_temp ++ mc_dw_nplus_s=mc_dw_nplus_s_temp ++ mc_dw_pplus_s=mc_dw_pplus_s_temp ++ mc_dw_npolyf_u=mc_dw_npolyf_u_temp ++ mc_dw_ppolyf_u=mc_dw_ppolyf_u_temp ++ mc_dw_npolyf_s=mc_dw_npolyf_s_temp ++ mc_dw_ppolyf_s=mc_dw_ppolyf_s_temp ++ mc_dw_ppolyf_u_1k=mc_dw_ppolyf_u_1k_temp ++ mc_dw_ppolyf_u_2k=mc_dw_ppolyf_u_2k_temp ++ mc_dw_ppolyf_u_1k_6p0=mc_dw_ppolyf_u_1k_6p0_temp ++ mc_dw_ppolyf_u_2k_6p0=mc_dw_ppolyf_u_2k_6p0_temp ++ mc_dw_ppolyf_u_3k=mc_dw_ppolyf_u_3k_temp + ++ mc_rt_nplus_u=mc_rt_nplus_u_temp ++ mc_rt_pplus_u=mc_rt_pplus_u_temp ++ mc_rt_npolyf_u=mc_rt_npolyf_u_temp ++ mc_rt_ppolyf_u=mc_rt_ppolyf_u_temp ++ mc_rt_ppolyf_u_1k=mc_rt_ppolyf_u_1k_temp ++ mc_rt_ppolyf_u_2k=mc_rt_ppolyf_u_2k_temp ++ mc_rt_ppolyf_u_1k_6p0=mc_rt_ppolyf_u_1k_6p0_temp ++ mc_rt_ppolyf_u_2k_6p0=mc_rt_ppolyf_u_2k_6p0_temp ++ mc_rt_ppolyf_u_3k=mc_rt_ppolyf_u_3k_temp + +* +.lib 'sm141064.ngspice' res +.lib 'sm141064.ngspice' efuse +.endl res_statistical + +*------------------------------------------------------------------------ +.lib bjt_statistical +.param ++isa=1 bfa=1 rba=1 rea=1 ++rca=1 rbma=1 cjea=1 cjca=1 ++is_cor_npn =1 ++bf_cor_npn=1 ++rb_cor_npn=1 ++re_cor_npn=1 ++rc_cor_npn=1 ++rbm_cor_npn=1 ++cjc_cor_npn=1 ++cje_cor_npn=1 + ++ mc_xis_vnpn_temp=agauss(0, 0.7, 3) ++ mc_xbf_vnpn_temp=agauss(0, 0.48, 3) ++ mc_xrb_vnpn_temp=agauss(0, 0.2, 3) ++ mc_xre_vnpn_temp=agauss(0, 0.2, 3) ++ mc_xrc_vnpn_temp=agauss(0, 0.2, 3) ++ mc_xcje_vnpn_temp=agauss(0, 0.15, 3) ++ mc_xcjc_vnpn_temp=agauss(0, 0.15, 3) + ++ mc_xis_vpnp_temp=agauss(0, 0.3, 3) ++ mc_xbf_vpnp_temp=agauss(0, 0.2, 3) ++ mc_xrb_vpnp_temp=agauss(0, 0.2, 3) ++ mc_xre_vpnp_temp=agauss(0, 0.2, 3) ++ mc_xrc_vpnp_temp=agauss(0, 0.2, 3) ++ mc_xcje_vpnp_temp=agauss(0, 0.15, 3) ++ mc_xcjc_vpnp_temp=agauss(0, 0.15, 3) +** ++ mc_xis_vnpn=mc_xis_vnpn_temp ++ mc_xbf_vnpn=mc_xbf_vnpn_temp ++ mc_xrb_vnpn=mc_xrb_vnpn_temp ++ mc_xre_vnpn=mc_xre_vnpn_temp ++ mc_xrc_vnpn=mc_xrc_vnpn_temp ++ mc_xcje_vnpn=mc_xcje_vnpn_temp ++ mc_xcjc_vnpn=mc_xcjc_vnpn_temp ++ mc_xis_vpnp=mc_xis_vpnp_temp ++ mc_xbf_vpnp=mc_xbf_vpnp_temp ++ mc_xrb_vpnp=mc_xrb_vpnp_temp ++ mc_xre_vpnp=mc_xre_vpnp_temp ++ mc_xrc_vpnp=mc_xrc_vpnp_temp ++ mc_xcje_vpnp=mc_xcje_vpnp_temp ++ mc_xcjc_vpnp=mc_xcjc_vpnp_temp +.lib 'sm141064.ngspice' bjt_mc +.endl bjt_statistical + +.lib bjt_mc + +.subckt pnp_10p00x00p42 c b e par=1 dtemp=0 + +.param ++mis_is_pnp_10p00x00p42=agauss(0,0.0015,1) ++mis_bf_pnp_10p00x00p42=agauss(0,0.01088,1) + ++isa_mis_pnp_10p00x00p42= 'mis_is_pnp_10p00x00p42*sw_stat_mismatch / sqrt(par)' ++bf_mis_pnp_10p00x00p42= 'mis_bf_pnp_10p00x00p42*sw_stat_mismatch / sqrt(par)' + +q0 c b e pnp_10p00x00p42 dtemp=dtemp +.model pnp_10p00x00p42 pnp ++tref = 25 level = 1 ++cjc = '2.04e-014*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' cje = '6.88e-015*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' cjs = 0 fc = 0.5 ++mjc = 0.22711 mje = 0.14469 mjs = 0.5 vjc = 0.43905 ++vje = 0.43905 vjs = 0.75 xcjc = 1 ++itf = 0.1 ptf = 0 ++tf = 1e-010 tr = 0 vtf = 10 xtf = 1 ++af = 1 kf = 0 ++is = '9e-019*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + isa_mis_pnp_10p00x00p42)' rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' irb = 0.1 ++rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' rbm = '10*rbma' bf = '1.69*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + bf_mis_pnp_10p00x00p42)' nf = 1 ++vaf = 80 ikf = 0.00063375 ise = 2.7e-016 ne = 1.64 ++br = 0.0036 nr = 1 var = 23 ikr = 0.1 ++nkf = 0.4 isc = 1e-018 nc = 2 ++xtb = 0.0001 xti = 3 eg = 1.17 ctc = 0.0028626 ++cte = 0.001 tlevc = 1 tvjc = 0.0024779 tvje = 0.0019314 ++tbf1 = 0.0061 tikf1 = -0.0043 tbf2 = -4.235165e-022 + +.ends pnp_10p00x00p42 + +.subckt pnp_05p00x00p42 c b e par=1 dtemp=0 + +.param ++mis_is_pnp_05p00x00p42=agauss(0,0.0017,1) ++mis_bf_pnp_05p00x00p42=agauss(0,0.0119,1) +q0 c b e pnp_05p00x00p42 dtemp=dtemp +.model pnp_05p00x00p42 pnp ++level = 1 tlevc = 1 tref = 25 ++is = '4.388E-19*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_pnp_05p00x00p42*sw_stat_mismatch / sqrt(par))' bf = '1.681*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + mis_bf_pnp_05p00x00p42*sw_stat_mismatch / sqrt(par))' nf = 1 ++vaf = 180 ikf = 2.4777E-4 nkf = 0.4 ++ise = 1.2124E-16 ne = 1.64 br = 1.9872E-3 ++nr = 1 var = 23 ikr = 0.1 ++isc = 1E-16 nc = 2 ++rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' ++re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' ++cje = '3.5E-15*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.15395 ++cjc = '1.17E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.22711 ++cjs = 0 vjs = 0.75 mjs = 0.5 ++tf = 1E-10 xtf = 1 vtf = 10 ++itf = 0.1 xcjc = 1 fc = 0.5 ++tr = 0 ptf = 0 ++xtb = 1E-4 xti = 3 eg = 1.17 ++tbf1 = 6.1E-3 tbf2 = -4.235165E-22 tikf1 = -4.3E-3 ++cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 ++tvjc = 2.4779E-3 ++kf = 0 af = 1 +.ends pnp_05p00x00p42 + + +.subckt pnp_10p00x10p00 c b e par=1 dtemp=0 + +.param ++mis_is_pnp_10p00x10p00=agauss(0,0.00077,1) ++mis_bf_pnp_10p00x10p00=agauss(0,0.0013,1) +q0 c b e pnp_10p00x10p00 dtemp=dtemp +.model pnp_10p00x10p00 pnp ++level = 1 tlevc = 1 tref = 25 ++is = '1.249175E-17*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_pnp_10p00x10p00*sw_stat_mismatch / sqrt(par))' bf = '1.7*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 + mis_bf_pnp_10p00x10p00*sw_stat_mismatch / sqrt(par))' nf = 1 ++vaf = 206.4 ikf = 2.610625E-3 nkf = 0.4 ++ise = 2.7E-16 ne = 1.64 br = 0.017038 ++nr = 1 var = 23 ikr = 0.1 ++isc = 1E-18 nc = 2 ++rb = '27.88*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' ++re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' ++cje = '9.71E-14*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.24192 ++cjc = '4.69E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.24528 ++cjs = 0 vjs = 0.75 mjs = 0.5 ++tf = 1E-10 xtf = 1 vtf = 10 ++itf = 0.1 xcjc = 1 fc = 0.5 ++tr = 0 ptf = 0 ++xtb = 1E-4 xti = 3 eg = 1.17 ++tbf1 = 4.26E-3 tbf2 = -1.4E-6 tikf1 = -4.3E-3 ++cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 ++tvjc = 2.4779E-3 ++kf = 0 af = 1 +.ends pnp_10p00x10p00 + +.subckt pnp_05p00x05p00 c b e par=1 dtemp=0 + +.param ++mis_is_pnp_05p00x05p00=agauss(0,0.00052,1) ++mis_bf_pnp_05p00x05p00=agauss(0,0.0031,1) + +q0 c b e pnp_05p00x05p00 dtemp=dtemp +.model pnp_05p00x05p00 pnp ++level = 1 tlevc = 1 tref = 25 ++is = '3.403E-18*isa*(1 + mc_xis_vpnp*sw_stat_global)*(1 + mis_is_pnp_05p00x05p00*sw_stat_mismatch / sqrt(par))' bf = '1.65*bfa*(1 + mc_xbf_vpnp*sw_stat_global)*(1 +mis_bf_pnp_05p00x05p00*sw_stat_mismatch / sqrt(par))' nf = 1 ++vaf = 208.8 ikf = 1.025275E-3 nkf = 0.4 ++ise = 2.7E-16 ne = 1.64 br = 8.372E-3 ++nr = 1 var = 27.37 ikr = 0.1 ++isc = 1E-18 nc = 2 ++rb = '41*rba*(1 + mc_xrb_vpnp*sw_stat_global)' irb = 0.1 rbm = '10*rbma' ++re = '1*rea*(1 + mc_xre_vpnp*sw_stat_global)' rc = '10*rca*(1 + mc_xrc_vpnp*sw_stat_global)' ++cje = '2.57E-14*cjea*(1 + mc_xcje_vpnp*sw_stat_global)' vje = 0.43905 mje = 0.23266 ++cjc = '2.15E-14*cjca*(1 + mc_xcjc_vpnp*sw_stat_global)' vjc = 0.43905 mjc = 0.22711 ++cjs = 0 vjs = 0.75 mjs = 0.5 ++tf = 1E-10 xtf = 1 vtf = 10 ++itf = 0.1 xcjc = 1 fc = 0.5 ++tr = 0 ptf = 0 ++xtb = 1E-4 xti = 3 eg = 1.17 ++tbf1 = 4.54E-3 tbf2 = -9E-7 tikf1 = -4.3E-3 ++cte = 1E-3 ctc = 2.8626E-3 tvje = 1.9314E-3 ++tvjc = 2.4779E-3 ++kf = 0 af = 1 +.ends pnp_05p00x05p00 + +.subckt npn_10p00x10p00 c b e s par=1 dtemp=0 + +q0 c b e s npn_10p00x10p00 dtemp=dtemp +.model npn_10p00x10p00 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '7.053E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.031E-13*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 1.135E-13 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '1.8108e-017*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '65.442*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '6.9007*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '10.146*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '10.83*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.002 vaf = 95.696 ikf = 0.021028 ise = 2.9626e-016 ++ne = 1.345 br = 0.258 nr = 1.002 var = 29.681 ++ikr = 0.0038951 nkf = 0.584 isc = 1.5816e-016 nc = 1.284 ++iss=6.32E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 ++tnr1 = 0.0001584 tne1 = 0.00047174 tbf1 = 0.00484 tbr1 = 0.0003808 ++tikf1 = -0.0053169 tre1 = 0.002 tbf2 = 3.705e-006 + +.ends npn_10p00x10p00 + +.subckt npn_05p00x05p00 c b e s par=1 dtemp=0 + +q0 c b e s npn_05p00x05p00 dtemp=dtemp +.model npn_05p00x05p00 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '2.972E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '2.733E-14*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 6.618E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '5.1456e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '71.419*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '12.809*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '12.655*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '10.05*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.002 vaf = 77.796 ikf = 0.01158 ise = 2.5923e-016 ++ne = 1.4224 br = 0.16573 nr = 1 var = 28.001 ++ikr = 0.0038951 nkf = 0.584 isc = 1.2536e-016 nc = 1.284 ++iss=3.26E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 6e-005 ++tnr1 = 0.0001071 tne1 = 0.0005 tbf1 = 0.0050844 tbr1 = 0.00045 ++tikf1 = -0.005 tre1 = 0.001 tbf2 = 4.3586e-006 +.ends npn_05p00x05p00 + +.subckt npn_00p54x16p00 c b e s par=1 dtemp=0 + + +q0 c b e s npn_00p54x16p00 dtemp=dtemp +.model npn_00p54x16p00 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '3.540E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.354E-14*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 8.211E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '2.8872e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '137.43*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '14.121*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '12.9*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.4987*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.002 vaf = 37.389 ikf = 0.013121 ise = 5.2003e-016 ++ne = 1.4678 br = 0.079582 nr = 1 var = 23.969 ++ikr = 0.0038951 nkf = 0.584 isc = 1.0425e-016 nc = 1.284 ++iss=3.95E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 5.2528e-005 ++tnr1 = 0.0001 tne1 = 0.00034324 tbf1 = 0.0057737 tbr1 = 0.0007104 ++tikf1 = -0.003 tre1 = 0.0035596 tbf2 = 2.2189e-006 +.ends npn_00p54x16p00 + +.subckt npn_00p54x08p00 c b e s par=1 dtemp=0 + +q0 c b e s npn_00p54x08p00 dtemp=dtemp + +.model npn_00p54x08p00 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '2.064E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '6.857E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 5.703E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '1.4309e-018*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '141.94*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '14.573*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '13.434*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.4*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.001 vaf = 37.389 ikf = 0.0077487 ise = 2.6232e-016 ++ne = 1.4685 br = 0.069974 nr = 0.996 var = 25.201 ++ikr = 0.0038951 nkf = 0.584 isc = 7.3712e-017 nc = 1.284 ++iss=2.62E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 4.56e-005 ++tnr1 = 0.0001 tne1 = 0.00065 tbf1 = 0.0050727 tbr1 = 0.00085272 ++tikf1 = -0.003 tre1 = 0.00336 tbf2 = 7.3496e-006 +.ends npn_00p54x08p00 + +.subckt npn_00p54x04p00 c b e s par=1 dtemp=0 + + +q0 c b e s npn_00p54x04p00 dtemp=dtemp +.model npn_00p54x04p00 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '1.326E-14*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '3.513E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 4.449E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '7.6696e-019*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '167*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '17.058*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '14.542*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.39*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.001 vaf = 37.389 ikf = 0.0048817 ise = 1.3419e-016 ++ne = 1.4801 br = 0.057751 nr = 0.998 var = 25.201 ++ikr = 0.0038951 nkf = 0.584 isc = 6.2655e-017 nc = 1.284 ++iss=1.95E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 ++tnr1 = 0.0001 tne1 = 0.0004 tbf1 = 0.0058206 tbr1 = 0.0009 ++tbf2 = -6.2e-007 +.ends npn_00p54x04p00 +.subckt npn_00p54x02p00 c b e s par=1 dtemp=0 + + +q0 c b e s npn_00p54x02p00 dtemp=dtemp +.model npn_00p54x02p00 npn level = 1 +************************************************************** +* GENERAL PARAMETERS +************************************************************** ++tref = 25 +************************************************************** +* CAPACITANCE PARAMETERS +************************************************************** ++cjc = '9.569E-15*cjc_cor_npn*(1 + mc_xcjc_vnpn*sw_stat_global)' cje = '1.841E-15*cje_cor_npn*(1 + mc_xcje_vnpn*sw_stat_global)' cjs = 3.822E-14 ++mjc = 0.31113 mje = 0.32071 mjs = 0.14716 vjc = 0.63391 vje = 0.70172 ++vjs = 0.35175 xcjc = 1 +************************************************************** +* Noise PARAMETERS +************************************************************** ++af = 1 kf = 0 +************************************************************** +* DC PARAMETERS +************************************************************** ++is = '4.5765e-019*is_cor_npn*(1 + mc_xis_vnpn*sw_stat_global)' subs = 1 rb = '231.74*rb_cor_npn*(1 + mc_xrb_vnpn*sw_stat_global)' re = '25.055*re_cor_npn*(1 + mc_xre_vnpn*sw_stat_global)' ++irb = 0.00045303 rc = '17.312*rc_cor_npn*(1 + mc_xrc_vnpn*sw_stat_global)' rbm = '1*rbm_cor_npn' bf = '8.25*bf_cor_npn*(1 + mc_xbf_vnpn*sw_stat_global)' ++nf = 1.004 vaf = 37.389 ikf = 0.0039054 ise = 8e-017 ++ne = 1.49 br = 0.043698 nr = 1.0005 var = 25.201 ++ikr = 0.0038951 nkf = 0.584 isc = 6.2655e-017 nc = 1.284 ++iss=1.61E-16 +************************************************************** +* TEMPERATURE PARAMETERS +************************************************************** ++xtb = 0 xti = 3 eg = 1.17 tnf1 = 5e-005 ++tnr1 = 0.0001 tne1 = 0.0005 tbf1 = 0.005536 tbr1 = 0.001 ++tbf2 = -1.5876e-006 +.ends npn_00p54x02p00 +.endl bjt_mc + +.lib mimcap_statistical +.param mim_corner_1p5fF=1 +.param mim_corner_1p0fF=1 +.param mim_corner_2p0fF=1 + +.param ++ mc_c_cox_1p0fF2=agauss(0, 0.025, 3) ++ mc_c_cox_1p5fF2=agauss(0, 0.03875, 3) ++ mc_c_cox_2p0fF2=agauss(0, 0.025, 3) ++ mc_c_cox_1p0fF='mc_c_cox_1p0fF2*sw_stat_global*cap_mc_skew' ++ mc_c_cox_1p5fF='mc_c_cox_1p5fF2*sw_stat_global*cap_mc_skew' ++ mc_c_cox_2p0fF='mc_c_cox_2p0fF2*sw_stat_global*cap_mc_skew' + +.lib 'sm141064_mim.ngspice' cap_mim_new +.endl mimcap_statistical + + +* +************************end of file************************* +* + From 198894f18f249fd88e24a145c1da886fbe9b2ace Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 22 Aug 2025 19:15:28 +0100 Subject: [PATCH 624/673] Adding IHP SG13G2 technology Still some short circuits present so CharLib fails, but the cells already look pretty good. --- Tech.SG13G2/Makefile | 14 + .../librecell_tech.cpython-311.pyc | Bin 0 -> 6298 bytes .../librecell_tech.cpython-312.pyc | Bin 0 -> 6042 bytes Tech.SG13G2/design.ngspice | 91 + Tech.SG13G2/librecell_tech.py | 342 + Tech.SG13G2/libresilicon.m | 14 + Tech.SG13G2/libresilicon.tech | 5149 +++++++++++ Tech.SG13G2/magic.layers.out | 500 ++ Tech.SG13G2/nmos.sp | 1 + Tech.SG13G2/pmos.sp | 1 + Tech.SG13G2/transistors.ngspice | 7948 +++++++++++++++++ 11 files changed, 14060 insertions(+) create mode 100644 Tech.SG13G2/Makefile create mode 100644 Tech.SG13G2/__pycache__/librecell_tech.cpython-311.pyc create mode 100644 Tech.SG13G2/__pycache__/librecell_tech.cpython-312.pyc create mode 100644 Tech.SG13G2/design.ngspice create mode 100644 Tech.SG13G2/librecell_tech.py create mode 100644 Tech.SG13G2/libresilicon.m create mode 100644 Tech.SG13G2/libresilicon.tech create mode 100644 Tech.SG13G2/magic.layers.out create mode 100644 Tech.SG13G2/nmos.sp create mode 100644 Tech.SG13G2/pmos.sp create mode 100644 Tech.SG13G2/transistors.ngspice diff --git a/Tech.SG13G2/Makefile b/Tech.SG13G2/Makefile new file mode 100644 index 00000000..d333695f --- /dev/null +++ b/Tech.SG13G2/Makefile @@ -0,0 +1,14 @@ +VOLARE_REVISION=ddb601a4a4473163e1ed6df416b885df18b4ac03 +BASE_PATH=${PDK_ROOT}/volare/ihp_sg13g2/versions/${VOLARE_REVISION}/ihp-sg13g2 + +libresilicon.tech: ${BASE_PATH}/libs.tech/magic/ihp-sg13g2.tech + perl ../Tools/perl/drcexpander.pl ${BASE_PATH}/libs.tech/magic/ihp-sg13g2.tech > libresilicon.tech + +#design.ngspice: ${BASE_PATH}/libs.tech/ngspice/parameters/invariant.spice +design.ngspice: + python ../Tools/python/create_design_spice.py ${BASE_PATH}/libs.tech/ngspice/models + +transistors.ngspice: ${BASE_PATH}/libs.tech/ngspice/models/cornerMOSlv.lib + python ../Tools/python/expandSICElib.py mos_fs ${BASE_PATH}/libs.tech/ngspice/models/cornerMOSlv.lib transistors.ngspice + +all: libresilicon.tech design.ngspice transistors.ngspice diff --git a/Tech.SG13G2/__pycache__/librecell_tech.cpython-311.pyc b/Tech.SG13G2/__pycache__/librecell_tech.cpython-311.pyc new file mode 100644 index 0000000000000000000000000000000000000000..29b78a779452168ea40ebf813e7e2f2470e8b395 GIT binary patch literal 6298 zcmbVONo*VE75+II?mH=o+E;3qwa||3IF6mji|p9W;x(B%CMeA>YSQ6SlCo?gZHXQV zx@`ce=|Z(V&_(Mitq(mkhaOtw)H78W1u-W9y+kMiOp%}ka_W2kEF@*;kV>4#?|uLK zx3>*{=k>Y>`~`pfoAno`3Hc`~#$UekqC;?tPQfF(1h428eDL^1j}Q>OLQwPxA<-{{#emQ* z289kWBy@^lAtJU5U1EpOEp`e$VnpZ_yM#WmTj&>igaNTv7!>=2A+cW=76*h8aZnhQ z2#wOfhZZ`< zbA*n5Xc1n3m8R(oou%`1A!!qi!p`G#9L6W;1ju@i2kAK=mc zfak?=dXyd)C&bAoQ;)4M3XeHzqb~Zwlj&z>IiANSdErGG6=&!eJd^OuinDYtYth(r$C=;vTxO=4vd3W!G7MfAEy(bFPJ*`yJdWm;{J=~ z_$0;soApW1V@>1JpdW71XIk`WisOy@%}^X~=#T|1Iz$=tBiecxZO~rOHOLCk^t)pQ zHCaxvb&PpYdKkv^Q$s!+X=suiV*e6d5KhwBq(yic@@|4ouD1!N#G~3i!f6w|A|BJZ zSH(HRq+rDwzw4!6`wrVxG21(r9)niDL;^+-VUF~1G% z7^@aSkFlyC(5;&HINZFy z3*wn(UE+jm;#uK3?063LIuD&>8RlGoPI3{l;Ko<*H{mUD3;c#P{TjW+)}LiJZfID~ z4GrtSa2V^x%4TVbRW+*#pT@amBTlHfsxShzQ*ql zHraR)>oyE~nEn1-lMVhfFxF&Pw+T1+eOnWDG;l76SYMxEeQxubp(Y!Kb(_Bczt4l1 z;`V)Lqn{sshGyrj40gtUC9T5+5an$(tqG8 z`(Fauzl7rND1M3J_b7e@Lb{H|-$V6ZsB#dITmaD?4*U#}3{KcTi6(EN_!DwJ05189 z&0qa`^v_RDC-duDpS^$j?gM2(O^!ij>>6BODzTl;~#uwaP=`;CFmc4VSL{eIfYf26yrSu#$k?ERYfXVu- zK~l^c2AD+8Zj!+&FibG^3XfrAo>nZf!sGE3ZX=~|>69{9$R*M+p^(YNSJxBiG?n9< zl2}-e=cNZyI;nV4_v50pwziRnxGJG$4Whh4WhKzUWu>&@04BXjWm)kVNVmn+*ht*4 z%Q8DV@YxLHg;xiy9`4fIi7B~0<>&Hv%{En6QCKe0l*viwC^~UTVl1K`1N_s1Y6c5 z9I|mkn5*AMb2aSQbU)aE`Npu1;a+UqxTRsQT@ucyT?r41H)4gC!~TXdTkaod6g?C^ zq^;u987+PDiZ2HQWC%DEYh7`tq;x!=O{`+pI3c{+fa1<(Hfc^*Lg0lowt^Lz8WRJ_ zL;)0SJ|UxmEWQdkKzh;=F@ z*9%I!acEYv0DTjAf+}^aduX%h|+7cpgeCN zivvMp26~H4P+Xf*j_SChf#d6$ob&)QNLCyWbgWJa#iH-1%{7;!=~X$C-^evtq%&|; z$Yt0lk)sKv2iAiZL4tK_Ec_&mXK6Yi7w#*eP3Ek8^QHlVyGwHgX%&v)gk!8hLT7S{ z8&9MgK!I+^1qm}#;bmwniWNFck}7uQ&ii@An$1c0=7G1xN91!zKJsPv+}!+ic;U>; z(h9sOFoO90nake)(s44C5jSLdD)#|c;%hq}auTApSuB=1iPnryoy2ObpE_BnwSJz* z9I26(&z{|1k0C1{JfNrawrWT0(6AG+i&)*IWz~(?L#*NQvg$?b zBi8ovE!B@Wpy43m5V3kok{U+bj`kPT4#b_r8Z1w#5yV~En%#(dH2Yq}eVTng;sMQm z5b=<9o?*lz8Xg5)bg8p2qt0s|Pn~zwd5`L~cXHrIH56{=>YgwhvJKE4Lsmd=Rd2X_ zUbQ23XxNF^MZCQgp>kPuqvj#rj`F(dMeNhCA8`P!E~r7oAq|HSw-ax7Wm4@x+^OLR z;x5FqYB%B@4fi7M!?_pLe#8UB+gEu<9Yj2&;bFuh#M@rJp^hSsYIqDW0Rc&(E)c7) zc(EQDfIGEERj1Wz`!@mc1quuijt1K6y@%@I(R$xd-5XZjHZ%h91qzHEGm6y1{i?MM z$3T360%IR2UV=<|&e%*(h%5TkS1cH(5lkr%uF?`jpd>N@J28_`w;$3ySUy?fhpMC1 zl}F=h8&G?#locqrg)P)selWeOjZQIl!k|C4SEW=2)6Pu@WyTrjQwn!D*_b*M@>(pWXW6MJ;`pcX72 ztMR>+t5t6M28OMnsf)M~0a0|T$Dt&OPKa2btMne|4iXutZ0^`<9rIXx4$>Ye=eCYQ zme#|aIs)rZNBQbjCnS!GM0(IoH4=unh0%Bdx>h(+;kJ%pZo5d=#Ln<;WTr;i>tGw( zx(f)F2#yuK^+2%b1#E5t8}`oZ#CCfQ)kvh?Gi^Yy>F9zb&(}y0Y~Vhl<&~{*%vT+_ zN@vR4!}FN3tL2f0YbJpFWjzME*w>rY4Yk>!HNP{sH8iO5wMuB~W)skNg_8Af(3YWV zdP>*Ip@(4OHh_6V6MW@an`Y_L)vznbfsY^oL*>&oezbZOs)t3iS#y`5UivC4)zCJ@ zocV5zAFPI|OOHC9)xJ~X2dZ2(_Q;B3cd?`_m62_Dq3Ie|iEYD}rzB$rU4u1m9@L;6 zV=;USv(7-C5~RJkagI^h? zXlbLgQGU02rFP`(ZuIOYiQVXO?c%$;{yR_ocXnOzr>;2sejwJOwU{nngOrSH-Tuk# UUEA<38F@-ZYQ`t`L-=j;gSBhaOtw)JrdP(-!QY0R;3Cq6jcXf)vQ9|Nmx&q?F{4%H+@Q z|KInve|!Fm$K&MSFa3kxY&*!1F36U&RkSi}k!@+aXa{T+9mFO&iCuINhv+6w(L-FK7rs8?7X8E{28dS-5}z0% zelbh}ViyUD-6SMNNLcJ4U1Bfk7NaC0_K_a3pY)0YBq|P)K5>Zji^F6 zN*o=d{&!7uoXH6q0z65(K~B*K$Y~k{Im6^ElXJ8WEXSFgXL5n|f@P5of?Q%U#^eb) z0+y3>^j(wq1gtbeXXza97E)I6N!WRUPQdsiodmf+7eG$YDUhegaLNR~b94^mB3%S| zoZ%&g=NXPMJVwW0bexWZoF>OW&M-O41(+`m(w z0zK9-J_GuR4t@5JK0|T5eZN_X<82+HeMpCBw&!D1J2Z$sX!_kTQ#!_`**f|;AX`ts zn0{)A`^mN@*&xQx&_(eKolBX-XCc2P>C}c@(0THNZhMYAsiS3bN=FyS zX&qgp7sylhAX~&st+^}olDJAZ@iKW@XI-J^b@V(vr=u5IJG=;4Vw``xg{E3)u7ws2 z1UdB5|26Anr~<=}vn@2!LJJ0x1o0K94C7oUTj(2~l}?anv^&#D14$0?RfrX4z!`mP zoJG~prdT#VtB=jGv9^Zu+8WkDTf5;8((9;39rE|=#W^`tMnFIe~#U_tzkX4HLSPG8WUpwy2OcZ zK=%{j`z?AI{$0EWt&g}+(v=5htq(phX}{ua;Jrz%fP9PIHmt}su!-A#e)@$b(x**| zX$FMjr9|phUMkW&DlgLYwz5hJEyeXpq9Ez2V!gJybYeA@`q@7wK6cFq&NffMf=%qo z(#LS7CZ1=S&%59!k3HPLXwY#}vp0u2I3C10hhaD4H|IMz__P~qGOTk#ZF>`*c7xNj zw{bpzSYMxEeQxKP!43|?I_HmIZwer$`KA{+`uS}JR_uwj&0?Bnii#~E%gHPNbEZHP zbFM({Ii-!<@j`0pL@GATD~=*fZp1T*G*z70&0=n|7*8j1sh@uGe(k@1{@vN@im;iH ziqjUwM%Lm8m0)(QP|R;8i&8cdmlNAGU&!~v)=FrzK;wCuEG9B3nHJ*NjJ&M~1&Aj& zdJqrCXq>`t+>yKxnC73rD18oBX?_8y`2vc+qWC=yTt~_eQT-39H3+K2Loo7wR1aWO zlHuyjGe~(AZ9f4u^^2`v{%Z6O56`6v8@r#pbMF0fQtd}3PWs?)Aj&2)C)Gt8CvmV^ z^Se0d)fEsQyvB3)+^M`o;)!)gz^8{_o{lTsauO~GZkccYz;%`WmVJw*>wG$ql9F*v z$zy1g=uwJH*9`(@=a&g7QZNXZHDBH&i)n9AFxZNKabvDkOtK>2@fChEt?-$&GE~eb zGBBZ-&Bv1)iA;vd@hyoIH{u29o|H)`?(}w?NbBpH1qh@P?9?EvYgAVJhio}1qu9Zc z*`l(ncnzd;aWys)H+VV5vw=OAg+%b^Kq-#3kipttlc_P$pGp)#p_vq9T`rw1D2FT=w0IeZ-Yrr{R>*=-N7`oB*9){5-&TCs zc;ZROJ1vD3f7`G@rPM}I2^)uIHJp=*(ADmKr4>?5z?gyFViOeSmXxPD?rP)sMm8_q!wixYI|Lo8lR~lRJ8E-V z%h60y&K5TF9gIvCt_ryf8zu5Ip+sRl===%Rp0V&#G@hfGgk0QKf?Ld4h0aa=hV2f` z7o{W|!vV)whlI}N6&IdJCqRL2%0&q?QxRlnEQ$p>Oo}Qt=FaVcV#(ztd}`o%c#r!O zl8^hMcYc21I=nCova|+I14a-ZocY}L7xpvhEZLOl+58SL@trOt;E7btCX?x3+}KB4 z>?3ZGeNGH0>?NULag_Lm#aiOQIyzS8hTzxVU2-?N`s!S; z5$dgT{sUK_WN!p|O5TPKh7Mf8lKsHxFWDNv^c*+?B^y%@+`*Eg5$q}Xv;lVr)m~I1 zz(WM91IgZS`Ac@y8T9fE!C#qE&43OVvH-#Zy34PtHpF%fI}kfLi>thO#%UdAceast2)G!#>1*WL;JRh=UpqAr5n%-s+Uvg}7V8 z5yU-+=hR-rQ4RMY?#H=T)B(hUoTtC~hB}0JSi{E64SZ%XgAO6}cj)SD zG{~2{>Uk5od<3%tgtNR1{wxzFRF{=eg1tV-+(6|_T^O#7*4FM%sAe!795z|N1n05_ zJ9#!V+R=EnE{xPJ?DO|mR0kTJM~p5sx;bmO@>X3Kt0nhG?ysvJGxyWF3Yu{S$TEG&rbKyuOzxyO4TqD%2BVY%+Dpz;A zA!nRiB#IuYb0NSXBu|!n2cbxn-#rDhz}Pdnf9z3Yw$6ncz>V$R0R&3~#!H@tKTz@j zZfyb^_Ra3c9z~DWxkw{AV?f|^^}v!VbuIuLxXNf{ZFd4wRR_-Ug$lp3g4vp^jO?tp z0HiMK6wr&l*{ohDvo5WLUBIoO%~Y;cgS$67fWE8hu()|>uF(739pYkVzs--2Uzu$oNOkv({pbd9gZ_F&9imN9v*!J0RZYS2`%4!(m4XCQYOGQPEP zr=|}0y1bVv0KIXe65Dyb1yrA%c>~@LPkFtP-}!cR`H^=JV@)e-J8xHGJ6Y9Y z)?+aUPis`m7M3X>oaM``pRw$K%+MYR=#PQQ*HKuvg(l+y)3%y zu*TeJsY-i39Ai~GQR{saoq-f#1?M+=_>u#9wad4&S$VVeQvKw`M`IU1NIV)_tzUWb jL*H8;I^!kVKRHV&vwN)?dH41s>#@IcBl#c0e>MIGI20j$ literal 0 HcmV?d00001 diff --git a/Tech.SG13G2/design.ngspice b/Tech.SG13G2/design.ngspice new file mode 100644 index 00000000..1e3c8790 --- /dev/null +++ b/Tech.SG13G2/design.ngspice @@ -0,0 +1,91 @@ +.control +pre_osdi /usr/lib/ngspice/psp103_nqs.osdi +.endc + +*####################################################################### +* +* Copyright 2023 IHP PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. +* +*####################################################################### + +.MODEL darea D (tnom=27 is=2.315E-019 n=1.009 ik=1.434E-007 rs=2.193E+005 cj0=9.371E-016 m=0.3036 vj=0.696 fc=0.5 bv=11.64 ibv=3.458E-007 tcv=0.001 nbv = 48.9 isr=7.413E-019 xti=5.039 trs=0 trs2=0 tt=7.009E-007) +.MODEL dperim D (tnom=27 is=3.851E-021 n=1.022 rs=1.688E+006 ik=7.838E-008 isr=1.011E-017 xti=3 bv=31.86 ibv=6.115E-014 nbv=112.1 cj=1.821E-017 m=0.01923 vj=0.598 tt=7.009E-007) +.MODEL dcorner D (tnom=27 is=6.502E-021 n=1 rs=4.857E+005 ik=1E-006 isr=0 xti=3 bv=11.64 ibv=3.458E-007 cj=0 m =0.5 vj=0.7) +.MODEL dparea D (tnom=27 is=8.569E-020 n=1.003 ik=2.957E-007 rs=1.728E+005 cj=8.252E-016 m=0.3171 vj=0.6628 fc=0.5 bv=12.14 ibv=1E-006 tcv=0.001 nbv=11.85 isr=2.421E-019 xti=4.56 trs=0 trs2=0 tt=1E-012) +.MODEL dpperim D (tnom=27 is=1.186E-020 n=1.006 rs=1.577E+006 ik=2.158E-008 isr=7.211E-018 xti = 4.118 bv=27.26 ibv=1.832E-011 nbv=32.47 cj=3.657E-017 m=0.1512 vj=0.5241 tt=1E-012) +.MODEL dpcorner D (tnom=27 is=6.965E-021 n=1 rs=4.734E+005 ik=1.19E-008 isr=0 xti=3 cj=0 m=0.5 vj=0.7) + +************************************** +* Device: dantenna +* Testfield: T262 +* Technology: IHP SG13S technologies +* Lot: AWL403#A +* Wafer: 19 +* Chip: 4,7 +* Segment: S350B +* DUTs: D1 (area), D2 (perimeter) and D4 (corners) +* Temperature range: -40°C ... +125°C +* DC range: -15V ... 1V +* Date: 05.03.2013 +* 24.03.2015 WW area dependency and temperature dependency +* for dantenna and dpantenna break down updated +* Remarks: model is designed for reverse direction of the diodes +* NO statistics +* initial model +* DEV_A = area of the diode in um*umnew +* DEV_P = perimeter of the diode in um +* DEV_C = number of corners (default=4) +************************************* + +.subckt dantenna 1 2 +*subckt dantenna (bn C) +* (anode cathode) +* (substrate/pWell NSD) +.params l=780n w=780n DEV_A=(l*w)/1p DEV_P=(l+w)/0.5u DEV_C=4 +D1 1 2 darea area=DEV_A +D2 1 2 dperim area=DEV_P +D3 1 2 dcorner area=DEV_C +.ends dantenna + +************************************** +* Device: dpantenna +* Testfield: T262 +* Technology: IHP SG13S technologies +* Lot: AWL403#A +* Wafer: 19 +* Chip: 4,7 +* Segment: S351B +* DUTs: D1 (area), D2 (perimeter) and D4 (corners) +* Temperature range: -40°C ... +125°C +* DC range: -15V ... 1V +* Date: 05.03.2013 +* Remarks: model is designed for reverse direction of the diodes +* bipolar effect in forward direction is NOT modeled +* NO statistics +* initial model +* DEV_A = area of the diode in um*um +* DEV_P = perimeter of the diode in um +* DEV_C = number of corners (default=4) +************************************* + +.subckt dpantenna 1 2 +* (PSD nWell) +* (anode cathode) +.params l=780n w=780n DEV_A=(l*w)/1p DEV_P=(l+w)/0.5u DEV_C=4 +D1 1 2 dparea area=DEV_A +D2 1 2 dpperim area=DEV_P +D3 1 2 dpcorner area=DEV_C +.ends dpantenna + diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py new file mode 100644 index 00000000..75d73f4e --- /dev/null +++ b/Tech.SG13G2/librecell_tech.py @@ -0,0 +1,342 @@ +from lclayout.layout.layers import * +from lclayout.writer.magic_writer import MagWriter +from lclayout.writer.lef_writer import LefWriter +from lclayout.writer.gds_writer import GdsWriter +from lclayout.writer.oasis_writer import OasisWriter + +name = "SG13G2" + +# Physical size of one data base unit in meters. +# BUT GDS2 requires the database units to be in nanometers, and lclayout cannot convert to nanometers automatically yet +db_unit = 1e-9 + +# Lambda - how many db_units is 1 lambda? +l = 55 # unused +grid = 5 # grid basis +um = 1000 +nm = 1 + +# Scale transistor width. +transistor_channel_width_sizing = 1 + +# GDS2 layer numbers for final output. +my_diffusion = (1, 0) # = ndiffusion+pdiffusion +my_diffusion_label = (1, 1) +my_diffusion_pin = (1, 2) + +my_nwell = (31,0) +my_nwell_label = (31, 1) +my_nwell_pin = (31, 2) + +my_pwell = (46, 0) # This layer is only used for resistors, which we dont need here + +my_poly = (5, 0) # poly silicium for gates -> poly + ntransistor + ptransistor +my_poly_label = (5, 2) + +my_via1 = (19, 0) + +my_mcon = (6, 0) # Contact / Metal1 to Active or Poly2 contact + +my_metal1 = (8, 0) # "Local Interconnect" (like the first metal layer) +my_metal1_label = (8, 1) +my_metal1_pin = (8, 2) + +my_metal2 = (10, 0) +my_metal2_label = (10, 1) +my_metal2_pin = (10, 2) + +my_abutment_box = (189,4) # prBndry ??? +#my_outline = (235, 5) # + +my_pplus = (14,0) # PSD +my_nplus = (7,0) # NSD + +# lclayout internally uses its own layer numbering scheme. +# For the final output the layers can be remapped with a mapping +# defined in this dictioinary. +output_map = { + l_ndiffusion: my_diffusion, + l_pdiffusion: my_diffusion, + l_nwell: my_nwell, + l_pwell: my_pwell, + l_poly: my_poly, + l_poly_contact: my_mcon, + l_pdiff_contact: my_mcon, + l_ndiff_contact: my_mcon, + l_metal1: my_metal1, + l_metal1_label: my_metal1, + l_metal1_pin: my_metal1, + l_via1: my_via1, + l_metal2: my_metal2, + l_metal2_label: my_metal2, + l_metal2_pin: my_metal2, + l_pplus: my_pplus, + l_nplus: my_nplus, +} + +# These are only the obstruction layers, only these layers will be generated into the OBS section of the LEF files +obstruction_layers = [ + l_poly_contact, + l_pdiff_contact, + l_ndiff_contact, + l_metal1, + l_metal2, + l_via1, +] + +output_map_magic = { + l_nwell: l_nwell, + l_pwell: l_pwell, + l_via1: l_via1, + l_poly: l_poly, + l_abutment_box: ['abutment'], + l_metal1: l_metal1, + l_metal2: l_metal2, + l_metal1_label: l_metal1, + l_metal2_label: l_metal2, + l_metal1_pin: l_metal1, + l_metal2_pin: l_metal2, + l_ndiffusion: l_ndiffusion, + l_pdiffusion: l_pdiffusion, + l_poly_contact: "allcont", + l_pdiff_contact: "allcont", + l_ndiff_contact: "allcont", + l_nplus: "nsd", + l_pplus: "psd", +} + + +# Define a list of output writers. +output_writers = [ + MagWriter( + tech_name='ihp-sg13g2', + #scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). + #magscale=[1,2], + output_map=output_map_magic + ), + + LefWriter( + db_unit=1e-6, # LEF Fileformat always needs Microns + obstruction_layers=obstruction_layers, + output_map=output_map_magic, # Not supported yet but will be soon + use_rectangles_only=True, + site="unit" + ), + + GdsWriter( + db_unit=db_unit, + output_map=output_map + ), + + OasisWriter( + db_unit=db_unit, + output_map=output_map + ) + +] + +# Define how layers can be used for routing. +# Example for a layer that can be used for horizontal and vertical tracks: {'MyLayer1' : 'hv'} +# Example for a layer that can be contacted but not used for routing: {'MyLayer2' : ''} +routing_layers = { + l_ndiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. + l_pdiffusion: '', # Allow adding shapes on diffusion layer but without using it for routing. This is used to automatically add the necessary enclosure around contacts. + l_poly: '', + l_metal1: 'hv', + l_metal2: 'hv', +} + +# Minimum spacing rules for layer pairs. +min_spacing = { + (l_ndiffusion, l_ndiffusion): 270*nm, + (l_pdiffusion, l_ndiffusion): 270*nm, + (l_pdiffusion, l_pdiffusion): 270*nm, + (l_ndiffusion, l_poly_contact): 190*nm, + (l_pdiffusion, l_poly_contact): 190*nm, + (l_poly_contact, l_poly_contact): 190*nm, + (l_nwell, l_nwell): 1270*nm, + (l_nwell, l_pwell): 250*nm, + (l_pwell, l_pwell): 1270*nm, + (l_poly, l_ndiffusion): 75*nm, + (l_poly, l_pdiffusion): 75*nm, + (l_poly, l_poly): 210*nm, + (l_poly, l_pdiff_contact): 180*nm, + (l_poly, l_ndiff_contact): 180*nm, + (l_pdiff_contact, l_pdiff_contact): 270*nm, + (l_ndiff_contact, l_ndiff_contact): 270*nm, + (l_pdiff_contact, l_ndiff_contact): 270*nm, + (l_metal1, l_metal1): 180*nm, + (l_metal2, l_metal2): 180*nm, + (l_via1, l_via1): 190*nm, + (l_poly_contact, l_pdiff_contact): 180*nm, + (l_poly_contact, l_ndiff_contact): 180*nm, + (l_ndiffusion, l_pplus): 75*nm, + (l_pdiffusion, l_nplus): 75*nm, +} + +# Layer for the pins. +pin_layer = l_metal2 # lclayout.metal2 = sky130.metal1 + +# Power stripe layer +power_layer = [l_metal1] # , l_metal2] # lclayout.metal2 = sky130.metal1 + +# Layers that can be connected/merged without changing the schematic. +# This can be used to resolve spacing/notch violations by just filling the space. +connectable_layers = {l_nwell, l_pwell, l_poly} +# Width of the gate polysilicon stripe. +# is reused as the minimum_width for the l_poly layer +#gate_length_pmos = 280*nm # 140 # 70 +gate_length_pmos = 340*nm +gate_length_nmos = 340*nm + +# Minimum length a polysilicon gate must overlap the silicon. +gate_extension = 130*nm # (poly.8) + +# Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. +#transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 180/2*nm might have to be removed +#transistor_offset_y = 0 +transistor_offset_y = 235*nm + +# Standard cell dimensions. +# A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. +# `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. +unit_cell_width = 1440*nm # 480*3 (unit SITE) # 1380*nm # 920 is 2*0.46um (unithd SITE), 8 * 130*nm +#unit_cell_width = 3330*nm # 480*3 (unit SITE) # 1380*nm # 920 is 2*0.46um (unithd SITE), 8 * 130*nm +unit_cell_height = 3330*nm # (unit SITE) # 2720*nm #270*nm # 32 * 130*nm # minimum 16um due to pwell width + nwell-pwell spacing +#assert unit_cell_height >= 16*um, "minimum 16um due to pwell width + nwell-pwell spacing" +# due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 + +# Routing pitch +routing_grid_pitch_x = unit_cell_width // 6 # unit_cell_width // 8 // 2 +routing_grid_pitch_y = 340*nm #unit_cell_height // 8 // 2 + +# Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) +grid_offset_x = routing_grid_pitch_x +grid_offset_y = 0 # 0 # (routing_grid_pitch_y // 2 ) -10 + +# Width of power rail. +power_rail_width = 480*nm # compatible to SKY130 # 3*130*nm # decided by the standard cell library architect + +# Minimum width of polysilicon gate stripes. +# It increases w and l from the spice netlist, so it must be width from the spice netlist +minimum_gate_width_nfet = gate_length_nmos*nm # (poly.1a) +minimum_gate_width_pfet = gate_length_pmos*nm # (poly.1a) + +# Minimum width for pins. +minimum_pin_width = 130*nm + +# Width of routing wires. +wire_width = { + l_ndiffusion: 180*nm, + l_pdiffusion: 180*nm, + l_poly: 180*nm, + l_metal1: 180*nm, + l_metal2: 180*nm, +} + +# Width of horizontal routing wires (overwrites `wire_width`). +wire_width_horizontal = { + l_ndiffusion: 180*nm, + l_pdiffusion: 180*nm, + l_poly: 180*nm, + l_metal1: 180*nm, + l_metal2: 180*nm, +} + +# Side lengths of vias (square shaped). +via_size = { + l_poly_contact: 190*nm, + l_ndiff_contact: 190*nm, + l_pdiff_contact: 190*nm, + l_via1: 190*nm, +} + +# Minimum width rules. +minimum_width = { + l_pplus: 180*nm, + l_nplus: 180*nm, + l_ndiffusion: 180*nm, + l_pdiffusion: 180*nm, + l_poly: 180*nm, # (poly.1a), + l_metal1: 180*nm, + l_metal2: 180*nm, + l_nwell: 620*nm, + l_pwell: 620*nm +} + +minimum_enclosure = { + (l_ndiffusion, l_ndiff_contact): 60*nm, + (l_pdiffusion, l_pdiff_contact): 60*nm, + (l_poly, l_poly_contact): 80*nm, + (l_metal1, l_pdiff_contact): 80*nm, + (l_metal1, l_ndiff_contact): 80*nm, + (l_metal1, l_poly_contact): 80*nm, + (l_metal1, l_via1): 0*nm, + (l_metal2, l_via1): 60*nm, + (l_pwell, l_ndiffusion): 180*nm, + (l_nwell, l_pdiffusion): 180*nm, + (l_abutment_box, l_nwell): 0, + (l_abutment_box, l_pwell): 0, + (l_nplus, l_ndiff_contact): 80*nm, + (l_pplus, l_pdiff_contact): 80*nm, +} + +# Minimum notch rules. +minimum_notch = { + l_ndiffusion: 130*nm, + l_pdiffusion: 130*nm, + l_poly: 130*nm, + l_metal1: 180*nm, + l_metal2: 180*nm, + l_nwell: 5*130*nm, + l_pwell: 5*130*nm, +} + +# Minimum area rules. +min_area = { + l_metal1: 0.0561 * um * um ,# !!! TEMPORARILY DISABLED, PLEASE ENABLE AGAIN + l_metal2: 0.083 * um * um ,# !!! TEMPORARILY DISABLED, PLEASE ENABLE AGAIN +} + +# ROUTING # + +# Cost for changing routing direction (horizontal/vertical). +# This will avoid creating zig-zag routings. +orientation_change_penalty = 100000 + +# Routing edge weights per data base unit. +weights_horizontal = { + l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" + l_pdiffusion: 197000, # (mohms/square) + l_poly: 48200*10, # (mohms/square) # 10 to avoid routing + l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) + l_metal2: 125, # SKY130_Metal1 +} +weights_vertical = { + l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" + l_pdiffusion: 197000, # (mohms/square) + l_poly: 48200*10, # (mohms/square) # 10 to avoid routing + l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) + l_metal2: 125, # SKY130_Metal1 +} + +viafactor = 1 + +# Via weights. +via_weights = { + (l_metal1, l_ndiffusion): 18000*viafactor, + (l_metal1, l_pdiffusion): 18000*viafactor, + (l_metal1, l_poly): 18000*viafactor, + (l_metal1, l_metal2): 152000*viafactor, +} + +# Enable double vias between layers. +multi_via = { +# (l_metal1, l_poly): 1, +# (l_metal1, l_metal2): 1, +} + + + +grid_ys = list(range(grid_offset_y, grid_offset_y + unit_cell_height +1, routing_grid_pitch_y)) +print("grid_after: "+str(grid_ys)) diff --git a/Tech.SG13G2/libresilicon.m b/Tech.SG13G2/libresilicon.m new file mode 100644 index 00000000..473e6e0a --- /dev/null +++ b/Tech.SG13G2/libresilicon.m @@ -0,0 +1,14 @@ +* This is a template NMOS model that should be further improved + +.model NMOS_VTL nmos level = 54 + +.model PMOS_VTL pmos level = 54 + +.model nmos nmos level = 54 + +.model pmos pmos level = 54 + +.model nfet nmos level = 54 + +.model pfet pmos level = 54 + diff --git a/Tech.SG13G2/libresilicon.tech b/Tech.SG13G2/libresilicon.tech new file mode 100644 index 00000000..166657ba --- /dev/null +++ b/Tech.SG13G2/libresilicon.tech @@ -0,0 +1,5149 @@ +######################################################################## +# +# Copyright 2024 IHP PDK Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# https://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +######################################################################## + +#------------------------------------------------------------------------ +# This file is an Open Source foundry process describing the IHP SG13G2 +# BiCMOS 0.13um fabrication process. +#------------------------------------------------------------------------ + +tech + format 35 + ihp-sg13g2 +end + +version + version 0.2.0 + description "IHP SG13G2: Open Source rules and DRC" + requires magic-8.3.508 +end + +#------------------------------------------------------------------------ +# Status 12/23/24: Version 0.2.0 (alpha): +# Pre-release +#------------------------------------------------------------------------ + +#------------------------------------------------------------------------ +# Known device types +#------------------------------------------------------------------------ +# device name magic ID layer description +#------------------------------------------------------------------------ +# cparasitic ?? +# cap_cmim mimcap MiM cap +# cap_rfcmim RF model for MiM cap +# +# dantenna ndiode ndiff to psub diode (marked device) +# dpantenna pdiode pdiff to nwell diode (marked device) +# schottky schottky Schottky diode +# +# ptap1 substrate tie resistance +# ntap1 well tie resistance +# Rparasitic ?? +# rsil nres Salicided n+ poly +# rppd pres Unsalicided p+ poly +# rhigh xres Unsalicided n poly +# +# npn13g2 npn NPN W=0.07um L=0.9um +# npn13g2l npn NPN W=0.07um L=1.0-2.5um +# npn13g2v npn HVNPN W=0.12um L=1.0-5.0um +# pnpMPA pnp +# +# sg13_hv_nmos hvnfet Thick-oxide nFET +# sg13_hv_pmos hvpfet Thick-oxide pFET +# sg13_lv_nmos nfet Thin-oxide nFET +# sg13_lv_pmos pfet Thin-oxide pFET +# nmosi nfet Thin-oxide nFET in deep nwell pwell +# nmosiHV hvnfet Thick-oxide nFET in deep nwell pwell +# nmoscl_2 hvnfetesd Extended-drain ESD thick-oxide nFET +# nmoscl_4 hvnfetesd Extended-drain ESD thick-oxide nFET +# scr1 hvnfetesd Silicon-controlled rectifier +# +#------------------------------------------------------------------------ + +#----------------------------------------------------- +# Tile planes +#----------------------------------------------------- + +planes + dwell,dw + well,w + active,a + metal1,m1 + metal2,m2 + metal3,m3 + metal4,m4 + metal5,m5 + mimcap,mc + metal6,m6 + metal7,m7 + comment,c +end + +#----------------------------------------------------- +# Tile types +#----------------------------------------------------- + +types +# Deep nwell + dwell dnwell,dnw + dwell isosubstrate,isosub + +# Wells + well nwell,nw + well pwell,pw + -well obswell + well pbase,npn + well nbase,pnp + +# Transistors + active nmos,ntransistor,nfet + active pmos,ptransistor,pfet + active hvnmos,hvntransistor,hvnfet + active hvpmos,hvptransistor,hvpfet + -active hvnmosesd,hvntransistoresd,hvnfetesd + -active hvpmosesd,hvptransistoresd,hvpfetesd + active hvvaractor,hvvaract,hvvar + active hvvarcontact,hvvarc,hvvc + # parasitic varactor formed by poly over HV ptap + active hvpvaractor,hvpvaract,hvpvar + -active fillfet + -active sealcont,sealc + +# Diffusions + -active difffill + active ndiff,ndiffusion,ndif + active pdiff,pdiffusion,pdif + active pbasec,pbcontact,pbc + active nemitter,nemit,ne + active nemitterc,nemitc,necontact,nec + active gemitterc,gemitc,gecontact,gec + active hvnemitter,hvnemit,hvne + active hvnemitterc,hvnemitc,hvnecontact,hvnec + active hvndiff,hvndiffusion,hvndif + active hvpdiff,hvpdiffusion,hvpdif + active ndiffc,ndcontact,ndc + active pdiffc,pdcontact,pdc + active hvndiffc,hvndcontact,hvndc + active hvpdiffc,hvpdcontact,hvpdc + active psubdiff,psubstratepdiff,ppdiff,ppd,psd,ptap + active nsubdiff,nsubstratendiff,nndiff,nnd,nsd,ntap + active hvpsubdiff,hvpsubstratepdiff,hvppdiff,hvppd,hvpsd,hvptap + active hvnsubdiff,hvnsubstratendiff,hvnndiff,hvnnd,hvnsd,hvntap + active psubdiffcont,psubstratepcontact,psc,ptapc + active nsubdiffcont,nsubstratencontact,nsc,ntapc + active hvpsubdiffcont,hvpsubstratepcontact,hvpsc,hvptapc + active hvnsubdiffcont,hvnsubstratencontact,hvnsc,hvntapc + -active obsactive + +# Poly + active poly,p,polysilicon + active polycont,pc,pcontact,polycut,polyc + -active polyfill + -active obspoly + +# Resistors + active npolyres,nres,rsil + active ppolyres,pres,rppd + active xpolyres,xres,rhigh + # Used in scr1, nmoscl_2, and nmoscl_4 + active hvndiffres,hvrnd,hvrndiff + # Used in ptapSB + active isodiffres,risodiff,riso + # Used in scr1 + active hvisodiffres,hvrisodiff,hvriso + +# Diodes + active pdiode,pdi + active ndiode,ndi + active pdiodecont,pdiodec,pdic + active ndiodecont,ndiodec,ndic + active schottky,sdi + active schottkycont,schottkyc,sdic + +# Metal 1 + metal1 metal1,m1,met1 + metal1 rmetal1,rm1,rmet1 + metal1 via1,m2contact,m2cut,m2c,via,v,v1 + -metal1 obsm1 + -metal1 m1fill + -metal1 iprobe + -metal1 diffprobe + -metal1 sealvia1,sealv1 + +# Metal 2 + metal2 metal2,m2,met2 + metal2 rmetal2,rm2,rmet2 + metal2 via2,m3contact,m3cut,m3c,v2 + -metal2 obsm2 + -metal2 m2fill + -metal2 sealvia2,sealv2 + +# Metal 3 + metal3 metal3,m3,met3 + metal3 rmetal3,rm3,rmet3 + -metal3 obsm3 + metal3 via3,v3 + -metal3 m3fill + -metal3 sealvia3,sealv3 + +# Metal 4 + metal4 metal4,m4,met4 + metal4 rmetal4,rm4,rmet4 + -metal4 obsm4 + metal4 via4,v4 + -metal4 m4fill + -metal4 sealvia4,sealv4 + +# Metal 5 + metal5 metal5,m5,met5 + metal5 rm5,rmetal5,rmet5 + -metal5 obsm5 + metal5 via5,v5 + -metal5 m5fill + -metal5 sealvia5,sealv5 + + mimcap mimcap,mim,capm + mimcap mimcapcontact,mimcapc,mimcc,capmc + +# Metal 6 + metal6 metal6,m6,met6 + metal6 rm6,rmetal6,rmet6 + -metal6 obsm6 + metal6 via6,v6 + -metal6 m6fill + -metal6 sealvia6,sealv6 + +# Metal 7 + metal7 metal7,m7,met7 + metal7 rm7,rmetal7,rmet7 + -metal7 obsm7 + -metal7 m7fill + -metal7 pillar,cu + -metal7 solder,sbump + metal7 pad + -metal7 seal + +# Miscellaneous + comment fillblock + comment thruvia,tsv + comment comment + +end + +#----------------------------------------------------- +# Magic contact types +#----------------------------------------------------- + +contact + pc poly metal1 + ndc ndiff metal1 + pdc pdiff metal1 + nsc nsd metal1 + psc psd metal1 + ndic ndiode metal1 + pdic pdiode metal1 + sdic schottky metal1 + nec nemitter metal1 + hvnec hvnemitter metal1 + + hvndc hvndiff metal1 + hvpdc hvpdiff metal1 + hvnsc hvnsd metal1 + hvpsc hvpsd metal1 + hvvc hvvar metal1 + + via1 metal1 metal2 + via2 metal2 metal3 + via3 metal3 metal4 + via4 metal4 metal5 + via5 metal5 metal6 + via6 metal6 metal7 + stackable + + # MiM cap contacts are not stackable! + mimcc mimcap metal6 +end + +#----------------------------------------------------- +# Layer aliases +#----------------------------------------------------- + +aliases + + allwellplane nwell + allnwell nwell,obswell,pnp + + allnfets nfet,hvnfet,hvnfetesd + allpfets pfet,hvpfet,hvpfetesd + allfets allnfets,allpfets,*hvvar,hvpvar + allfetsstd nfet,hvnfet,hvnfetesd,pfet,hvpfet,hvpfetesd + + allnactivenonfet *ndiff,*nsd,*ndiode,*hvndiff,*hvnsd,hvndiffres + allnactive allnactivenonfet,allnfets + allnactivenontap *ndiff,*ndiode,*hvndiff,allnfets + allnactivetap *nsd,*hvnsd,*hvvar + + allpactivenonfet *pdiff,*psd,*pdiode,*hvpdiff,*hvpsd + allpactive allpactivenonfet,allpfets + allpactivenontap *pdiff,*pdiode,*hvpdiff,allpfets + allpactivetap *psd,*hvpsd,hvpvar + + allactivenonfet allnactivenonfet,allpactivenonfet + allactive allactivenonfet,allfets + + allndifflv *ndif,*nsd,*ndiode,nfet + allpdifflv *pdif,*psd,*pdiode,pfet + alldifflv allndifflv,allpdifflv + allndifflvnonfet *ndif,*nsd,*ndiode + allpdifflvnonfet *pdif,*psd,*pdiode + alldifflvnonfet allndifflvnonfet,allpdifflvnonfet + + allndiffhv *hvndif,*hvnsd,hvnfet,hvnfetesd,hvndiffres,*hvvar + allpdiffhv *hvpdif,*hvpsd,hvpfet,hvpfetesd,hvpvar + alldiffhv allndiffhv,allpdiffhv + allndiffhvnontap *hvndif,hvnfet,hvnfetesd + allpdiffhvnontap *hvpdif,hvpfet,hvpfetesd + alldiffhvnontap allndiffhvnontap,allpdiffhvnontap + allndiffhvnonfet *hvndif,*hvnsd,hvndiffres + allpdiffhvnonfet *hvpdif,*hvpsd + alldiffhvnonfet allndiffhvnonfet,allpdiffhvnonfet + + alldiffnonfet alldifflvnonfet,alldiffhvnonfet + alldiff alldifflv,alldiffhv + + allpolyres pres,nres,xres + allpolynonfet *poly,allpolyres + allpolynonres *poly,allfets + + allpoly allpolynonfet,allfets + allpolynoncap *poly,allfets,allpolyres + + allndiffcontlv ndc,nsc,ndic + allpdiffcontlv pdc,psc,pdic + allndiffconthv hvndc,hvnsc + allpdiffconthv hvpdc,hvpsc + allndiffcont allndiffcontlv,allndiffconthv + allpdiffcont allpdiffcontlv,allpdiffconthv + alldiffcontlv allndiffcontlv,allpdiffcontlv + alldiffconthv allndiffconthv,allpdiffconthv + alldiffcont alldiffcontlv,alldiffconthv + + allcont alldiffcont,pc,hvvarc + + allres allpolyres,hvndiffres,isodiffres,hvisodiffres + + allm1 *m1,rm1,iprobe + allm2 *m2,rm2 + allm3 *m3,rm3 + allm4 *m4,rm4 + allm5 *m5,rm5 + allm6 *m6,rm6 + allm7 *m7,rm7 + + psub pwell + + obstypes obswell,obsactive,obspoly,obsm1,obsm2,obsm3,obsm4,obsm5,obsm6,obsm7 + blocktypes fillblock + +end + +#----------------------------------------------------- +# Layer drawing styles +#----------------------------------------------------- + +styles + styletype mos + dnwell cwell + isosub subcircuit + nwell nwell + pwell pwell + ndiff ndiffusion + difffill ndiffusion + pdiff pdiffusion + nsd ndiff_in_nwell + psd pdiff_in_pwell + nfet ntransistor ntransistor_stripes + pfet ptransistor ptransistor_stripes + fillfet ntransistor + ndc ndiffusion metal1 contact_X'es + pdc pdiffusion metal1 contact_X'es + nsc ndiff_in_nwell metal1 contact_X'es + psc pdiff_in_pwell metal1 contact_X'es + sealc pdiff_in_pwell metal1 contact_X'es + + pnp nwell ntransistor_stripes + npn pwell ptransistor_stripes + nemitter emitter + hvnemitter emitter hvndiff_mask + pbc pbase metal1 contact_X'es + nec emitter metal1 contact_X'es + gec emitter metal1 contact_X'es + hvnec emitter hvndiff_mask metal1 contact_X'es + hvndiffres ndiffusion ndop_stripes hvndiff_mask + riso ndiff_in_nwell pdiff_in_pwell substrate_field_implant + hvriso ndiff_in_nwell pdiff_in_pwell substrate_field_implant hvndiff_mask + + hvndiff ndiffusion hvndiff_mask + hvpdiff pdiffusion hvpdiff_mask + hvnsd ndiff_in_nwell hvndiff_mask + hvpsd pdiff_in_pwell hvpdiff_mask + hvnfet ntransistor ntransistor_stripes hvndiff_mask + hvnfetesd ntransistor ntransistor_stripes hvndiff_mask + hvpfet ptransistor ptransistor_stripes + hvpfetesd ptransistor ptransistor_stripes + hvndc ndiffusion metal1 contact_X'es hvndiff_mask + hvpdc pdiffusion metal1 contact_X'es hvpdiff_mask + hvnsc ndiff_in_nwell metal1 contact_X'es hvndiff_mask + hvpsc pdiff_in_pwell metal1 contact_X'es hvpdiff_mask + hvvar polysilicon ndiff_in_nwell hvndiff_mask + hvpvar polysilicon pdiff_in_pwell hvpdiff_mask + hvvarc polysilicon ndiff_in_nwell hvndiff_mask contact_X'es + + poly polysilicon + polyfill polysilicon + pc polysilicon metal1 contact_X'es + nres polysilicon poly_resist_stripes + pres poly_resist silicide_block + xres poly_resist + + pdiode pdiffusion pselect2 + ndiode ndiffusion nselect2 + pdiodec pdiffusion pselect2 metal1 contact_X'es + ndiodec ndiffusion nselect2 metal1 contact_X'es + schottky pdiffusion pselect + sdic pdiffusion pselect contact_X'es + + metal1 metal1 + m1fill metal1 + iprobe metal1 electrode + diffprobe metal1 electrode + rm1 metal1 poly_resist_stripes + obsm1 metal1 + m2c metal1 metal2 via1arrow + sealv1 metal1 metal2 via1arrow + + metal2 metal2 + m2fill metal2 + rm2 metal2 poly_resist_stripes + obsm2 metal2 + m3c metal2 metal3 via2arrow + sealv2 metal2 metal3 via2arrow + + metal3 metal3 + m3fill metal3 + rm3 metal3 poly_resist_stripes + obsm3 metal3 + via3 metal3 metal4 via3alt + sealv3 metal4 metal4 via3alt + + metal4 metal4 + m4fill metal4 + rm4 metal4 poly_resist_stripes + obsm4 metal4 + via4 metal4 metal5 via4 + sealv4 metal4 metal5 via4 + + metal5 metal5 + m5fill metal5 + rm5 metal5 poly_resist_stripes + obsm5 metal5 + via5 metal5 metal6 via5 + sealv5 metal5 metal6 via5 + + mimcap metal5 mems + mimcc metal6 contact_X'es mems + + metal6 metal6 + m6fill metal6 + rm6 metal6 poly_resist_stripes + obsm6 metal6 + via6 metal6 metal7 via6 + sealv6 metal6 metal7 via6 + + metal7 metal7 + m7fill metal7 + rm7 metal7 poly_resist_stripes + obsm7 metal7 + pillar metal7 mems overglass + solder metal7 mems overglass + pad metal7 mems overglass + seal overglass mems + + comment comment + error_p error_waffle + error_s error_waffle + error_ps error_waffle + fillblock cwell + thruvia mems implant1 + + obswell cwell + obsactive implant4 + obspoly implant3 + + magnet substrate_field_implant + rotate via3alt + fence via5 +end + +#----------------------------------------------------- +# Special paint/erase rules +#----------------------------------------------------- + +compose + compose nfet poly ndiff + compose pfet poly pdiff + + compose hvnfet poly hvndiff + compose hvpfet poly hvpdiff + compose hvvar poly hvnsd + compose hvpvar poly hvpsd + + compose fillfet polyfill difffill + + decompose hvnfetesd poly hvndiff + decompose hvpfetesd poly hvpdiff + + paint ndc nwell pdc + paint nfet nwell pfet + paint ndiff nwell pdiff + paint psd nwell nsd + paint psc nwell nsc + + paint pdc pwell ndc + paint pfet pwell nfet + paint pdiff pwell ndiff + paint nsd pwell psd + paint nsc pwell psc + + paint m1 obsm1 m1 + paint m2 obsm2 m2 + paint m3 obsm3 m3 + paint m4 obsm4 m4 + paint m5 obsm5 m5 + paint m6 obsm5 m6 + paint m7 obsm5 m7 + + # Seal ring contacts are not really contacts in + # the database, so use compose rules instead. + paint sealc psd sealc + paint sealv1 m1 sealv1 + paint sealv2 m2 sealv2 + paint sealv3 m3 sealv3 + paint sealv4 m4 sealv4 + paint sealv5 m5 sealv5 + paint sealv6 m6 sealv6 +end + +#----------------------------------------------------- +# Electrical connectivity +#----------------------------------------------------- + +connect + *nwell,*nsd,*hvnsd,dnwell *nwell,*nsd,*hvnsd,dnwell + pwell,*psd,*hvpsd,isosub pwell,*psd,*hvpsd,isosub + npn,pbc npn,pbc + pbc,*m1 pbc,*m1 + *m1,m1fill,iprobe,diffprobe *m1,m1fill,iprobe,diffprobe + *m2,m2fill *m2,m2fill + *m3,m3fill *m3,m3fill + *m4,m4fill *m4,m4fill + *m5,m5fill *m5,m5fill + *m6,m6fill *m6,m6fill + *m7,m7fill,pillar,solder *m7,m7fill,pillar,solder + *mimcap *mimcap + allnactivenonfet allnactivenonfet + # Resistor to isolated pwell tap + isodiffres *ndiff,*psd + # Note: This creates a short through hvndiffres resistors. + allpactivenonfet allpactivenonfet + *poly,allfets,polyfill *poly,allfets,polyfill +end + +#----------------------------------------------------- +# CIF/GDS output layer definitions +#----------------------------------------------------- +# NOTE: All values in this section MUST be multiples of 25 +# or else magic will scale below the allowed layout grid size + +cifoutput + +#---------------------------------------------------------------- +style gdsii +# NOTE: This section is used for actual GDS output +#---------------------------------------------------------------- + scalefactor 10 nanometers + options calma-permissive-labels + gridlimit 5 + +#---------------------------------------------------------------- +# Create a temp layer from the cell bounding box for use in +# generating ID layers. Note that "boundary", unlike "bbox", +# requires the FIXED_BBOX property (abutment box) in the cell. +#---------------------------------------------------------------- + templayer CELLBOUND + boundary + +#---------------------------------------------------------------- +# BOUND (prBoundary) +#---------------------------------------------------------------- + layer BOUND CELLBOUND + calma 189 4 + +#---------------------------------------------------------------- +# DNWELL (nBuLay) +#---------------------------------------------------------------- + + layer DNWELL dnwell,npn + calma 32 0 + +#---------------------------------------------------------------- +# SUBCUT +#---------------------------------------------------------------- + + layer SUBCUT isosub + calma 40 0 + +#---------------------------------------------------------------- +# NWELL +#---------------------------------------------------------------- + +# Identify a schottky diode area, which generates its own nwell. + templayer schottkyarea *schottky + grow 1170 + + layer NWELL allnwell + and-not schottkyarea + calma 31 0 + + layer WELLPIN + labels allnwell port + calma 31 2 + +#---------------------------------------------------------------- +# SUB (text only) +#---------------------------------------------------------------- + + layer SUBTEXT + labels pwell text + calma 40 25 + +#---------------------------------------------------------------- +# DIFF (Activ) +#---------------------------------------------------------------- + + # DIFFMASK is used in the ptapSB structure around isodiffres + # Note that this is not used around hvisodiffres. DIFFMASK + # in bipolar transistors is handled below with other bipolar + # device masks. + + templayer diffmaskarea + bloat-or isodiffres * 0 *ndiff 130 *psd 200 + + layer DIFF allnactive,allpactive,*schottky,hvisodiffres,*hvvar,hvpvar,sealc + and-not diffmaskarea + calma 1 0 + + layer DIFFPIN + labels allnactive,allpactive port + calma 1 2 + + layer DIFFMASK diffmaskarea + calma 1 20 + +#---------------------------------------------------------------- +# BIPOLARID (TRANS), applies to NPN bipolar transistor. Use +# the unique emitter layer and grow to fill the area inside +# PSD +#---------------------------------------------------------------- + + templayer trans + bloat-all gec,nec,hvnec nemitter,hvnemitter,*ndiff,space/a 3430 + shrink 200 + + # Define the guard ring area around bipolar transistors + templayer transhalo trans + grow 700 + and-not trans + + layer BIPOLARID trans + calma 26 0 + +#---------------------------------------------------------------- +# Emitter window and related layers +#---------------------------------------------------------------- + + layer EMITTER nec,gec + calma 33 0 + + layer HEATTRANS nec,hvnec + grow 50 + calma 51 0 + + layer HVEMITTER hvnec + calma 156 0 + +#---------------------------------------------------------------- +# DIFF (Fill) +#---------------------------------------------------------------- + + layer DIFFFILL difffill + labels difffill + calma 1 22 + +# Identify a varactor area, which generates its own thick oxide mask +# and its own PSD mask + + templayer varactorarea hvpvar + grow 580 + bloat-all *hvvar nwell + maxrect external + +#---------------------------------------------------------------- +# PSD, NSD (PPLUS, NPLUS implants) +#---------------------------------------------------------------- + + layer PSD + # PSD covers rppd and righ resistors + bloat-all pres,xres poly + grow 180 + bloat-or allpactivetap * 30 allnactivenontap 0 isodiffres 150 + bloat-or allpactivenontap * 180 allnactivetap 0 + and-not transhalo + and-not varactorarea + or sealc + # fill catecorner abutments satisfying pSD.a, pSD.b + bridge 310 310 + # and-not NSD + grow 185 + shrink 185 + # handles pSD.l, minimum enclosed area = 0.25um^2 + close 250000 + mask-hints PSD + calma 14 0 + + # PSD overlaps P+ guard ring by 0.2um (npnG2.c) + layer PSD allpactivetap + and transhalo + grow 200 + calma 14 0 + + # Varactor (SVaricap) generates its own PSD around the diffusion + # tab passing under the gate on top and bottom. + layer PSD + bloat-or hvpmos,hvpvar,hvpsd * 100 *hvvar 0 + and varactorarea + calma 14 0 + +# NOTE: sg13g2 defines PSD but not (by default) NSD. Although +# GDS layer:purpose pair 7 0 is marked for use as NSD, it is +# not commonly used. It is found coincident with PSD on "rhigh" +# resistors (low n-doped poly). + + layer NSD + bloat-all xres poly + grow 180 + calma 7 0 + +#---------------------------------------------------------------- +# ESDID is a marker layer for ESD devices in the padframe I/O. +# It is coincident with the nwell tap ring around the clamp +# devices. +#---------------------------------------------------------------- + + layer ESDID + bloat-all hvnfetesd dnwell + bloat-all hvpfetesd dnwell + grow 360 + mask-hints ESDID + calma 99 30 + +#---------------------------------------------------------------- +# DEVICE is a marker layer for ESD devices in the padframe I/O. +# It is equal to ESDID. +#---------------------------------------------------------------- + + layer DEVICE + bloat-all hvnfetesd dnwell + bloat-all hvpfetesd dnwell + grow 360 + calma 99 0 + +#---------------------------------------------------------------- +# SBLK (Salicide Block, or SalBlock) +#---------------------------------------------------------------- + + layer SBLK + bloat-or pres,xres * 200 *poly 0 + bloat-or hvndiffres * 280 *hvndiff,*hvpsd 0 + bloat-or isodiffres * 320 *ndiff,*psd 0 + bloat-or hvisodiffres * 330 *hvndiff,*hvpsd 0 + grow 210 + shrink 210 + mask-hints SBLK + calma 28 0 + + # NSDBLOCK is inside SBLK. This satisfies rule (nmosi.g) + # The grow/shrink 160 joins neighboring taps and satisfies rule (nmosi.f) + layer NSDBLOCK + bloat-or isodiffres * 320 *ndiff,*psd 0 + grow 160 + shrink 160 + bloat-or hvisodiffres * 350 *hvndiff 0 *hvpsd 150 + shrink 150 + grow 155 + shrink 155 + mask-hints NSDBLOCK + calma 28 0 + +#---------------------------------------------------------------- +# EXTBLOCK (Tip and halo implant block) (Rsil.e) +#---------------------------------------------------------------- + + layer EXTBLOCK + bloat-all pres,xres,nres *poly + grow 180 + # bloat-or pres,xres * 200 *poly 0 + # Merge EXTBLOCK across resistors if needed + grow 155 + shrink 155 + mask-hints EXTBLOCK + calma 111 0 + +#---------------------------------------------------------------- +# HEATRES (Resistor heat source marker) +#---------------------------------------------------------------- + + layer HEATRES nres,pres,xres + labels nres,pres,xres + mask-hints HEATRES + calma 52 0 + +#---------------------------------------------------------------- +# Thru-via +#---------------------------------------------------------------- + + layer THRUVIA tsv + calma 152 0 + + layer TSVID tsv + maxrect external + grow 2500 + calma 99 32 + +#---------------------------------------------------------------- +# SEAL (define area containing seal ring) +#---------------------------------------------------------------- + templayer sealring seal + maxrect external + grow 22800 + + layer SEALBOUND sealring + calma 39 4 + + layer EDGESEAL seal + grow 7375 + and DIFF + +#---------------------------------------------------------------- +# POLY (GatPoly) +#---------------------------------------------------------------- + + layer POLY allpolynonres + calma 5 0 + + layer POLYPIN + labels allpolynonres port + calma 5 2 + + layer POLYFILL polyfill + labels polyfill + calma 5 22 + +#---------------------------------------------------------------- +# THKOX (ThickGateOx) +#---------------------------------------------------------------- + + layer THKOX schottkyarea,varactorarea + grow 100 + or alldiffhv,hvvar,hvpvar + bloat-or alldiffhv,hvvar,hvpvar * 185 alldifflv 0 + grow-min 860 + bridge 860 860 + grow 430 + shrink 430 + mask-hints THKOX + calma 44 0 + +#---------------------------------------------------------------- +# Schottky diode. All schottky dimensions are relative to the +# contact bar. +#---------------------------------------------------------------- + + # PWell:block surrounds the contact by 0.25um (Sdiod.a) + layer PWELLBLK sdic + grow 250 + calma 46 21 + + # NSD:block surrounds the contact by 0.40nm (Sdiod.b) + layer NSDBLOCK sdic + grow 400 + calma 7 21 + + # Salicide block surrounds the contact by 0.45um (Sdiod.c) + layer SBLK sdic + grow 450 + calma 28 0 + + # (Re-)generate the nwell around the schottky, which forms + # the fence around the buried layer. The drawn nwell is + # "false" and has been made larger to avoid specialty DRC + # rules. + layer NWELL *schottky + grow 650 + and *schottky,*hvnsd + grow 100 + and-not *schottky + grow 150 + calma 31 0 + + # Diode recognition layer is coincident with the nwell + templayer diodeid *schottky + grow 650 + and *schottky,*hvnsd + grow 250 + + layer DIODE diodeid + calma 99 31 + + # PWell:block also surrounds the nwell around the whole + # schottky structure. + layer PWELLBLK diodeid + grow 830 + and-not diodeid + mask-hints PWELLBLK + calma 46 21 + + # There is no layer and no actions associated with + # DEEPNBLK, but it can be generated using mask hints. + + layer DEEPNBLK + mask-hints DEEPNBLK + calma 32 21 + +#---------------------------------------------------------------- +# CONT +#---------------------------------------------------------------- +# For purposes of differentiating square contact vias and bars, +# identify resistor terminals. + + templayer resterm + bloat-all nres,pres,xres *poly + and-not nres,pres,xres + +# NOTE: Contact arrays defined at 200 spacing for large array +# rule (5x5), otherwise spacing is 180. +# The smallest square which would be illegal at 180 spacing is +# (160 * 5) + (180 * 4) = 1520 (divided by 2 is 760) + + layer contlarge allcont + shrink 755 + grow 755 + + layer CONT allcont + and-not contlarge + and-not resterm + and-not sealring + squares-grid 0 160 180 + calma 6 0 + + layer CONT allcont + and contlarge + and-not resterm + and-not sealring + squares-grid 0 160 200 + calma 6 0 + +#---------------------------------------------------------------- +# CONTBAR +#---------------------------------------------------------------- + + # Base contacts are drawn as-is, either bar or square, as + # are schottky diode contacts, and contact in the seal ring. + layer CONT pbc,sdic + calma 6 0 + + layer CONT pc + and resterm + calma 6 0 + + layer CONT sealc + and sealring + calma 6 0 + +#---------------------------------------------------------------- +# Bipolar transistors +#---------------------------------------------------------------- + + # Emitter contacts are derived from the emitter window layer + # NOTE: No CONT found over the "gec" (sg13g2 device model) + # emitter window in the IHP example primitive device GDS. + layer CONT nec,hvnec + grow 200 + and nemitter,hvnemitter,nec,hvnec + shrink 50 + calma 6 0 + + # To make the NPNs extractable, layers have been shuffled + # around and need to be regenerated as the expected types. + + templayer npnarea nec,hvnec + grow 750 + + # NSDBLOCK is used only in the sg13g2 layout. + layer NSDBLOCK pbase + and-not npnarea + shrink 40 + calma 7 21 + + # DIFFMASK is used in the base regions in the sg13g2l and sg13g2v layouts. + layer DIFFMASK pbase + and npnarea + and-not *nemitter,*hvnemitter + calma 1 20 + + # DIFFMASK is used in the emitter region in the sg13g2 layout. + layer DIFFMASK nemitter + and-not npnarea + or gec + calma 1 20 + + # DIFF is used in the emitter region of sg13g2l and sg13g2v + # The collector region DIFF is already handled. + layer DIFF *nemitter,*hvnemitter + and npnarea + calma 1 0 + +#---------------------------------------------------------------- +# Device markers +#---------------------------------------------------------------- + + layer RESDEF nres + calma 24 0 + + layer DIODE *pdi,*ndi + calma 99 31 + +#---------------------------------------------------------------- +# MET1 +#---------------------------------------------------------------- + layer MET1 allm1,sealv1 + calma 8 0 + + layer MET1TXT + labels allm1 + calma 8 25 + + layer MET1PIN + labels allm1 port + calma 8 2 + + layer MET1RES rm1 + labels rm1 + calma 8 29 + + layer MET1FILL m1fill + labels m1fill + calma 8 22 + + layer IPROBE iprobe + labels iprobe + calma 8 33 + + layer DPROBE diffprobe + labels diffprobe + calma 8 34 + + layer MET1 diffprobe + grow 200 + and m1 + grow 300 + shrink 300 + calma 8 0 + +#---------------------------------------------------------------- +# VIA1 +#---------------------------------------------------------------- +# NOTE: Via1 arrays defined at 290 spacing for large array +# rule (4x4), otherwise spacing is 220. +# The smallest square which would be illegal at 220 spacing is +# (5 * 2) + (190 * 4) + (220 * 3) = 1430 (divided by 2 is 715) + + layer via1large via1 + shrink 710 + grow 710 + + layer VIA1 via1 + and-not via1large + and-not sealring + squares-grid 5 190 220 + calma 19 0 + + layer VIA1 via1 + and via1large + and-not sealring + squares-grid 5 190 290 + calma 19 0 + + layer VIA1 sealv1 + and sealring + calma 19 0 + +#---------------------------------------------------------------- +# MET2 +#---------------------------------------------------------------- + layer MET2 allm2,sealv2 + calma 10 0 + + layer MET2TXT + labels allm2 + calma 10 25 + + layer MET2PIN + labels allm2 port + calma 10 2 + + layer MET2RES rm2 + labels rm2 + calma 10 29 + + layer MET2FILL m2fill + labels m2fill + calma 10 22 + +#---------------------------------------------------------------- +# VIA2 +#---------------------------------------------------------------- +# NOTE: Via2 arrays defined at 290 spacing for large array +# rule (4x4), otherwise spacing is 220. +# The smallest square which would be illegal at 220 spacing is +# (5 * 2) + (190 * 4) + (220 * 3) = 1430 (divided by 2 is 715) + + layer via2large via2 + shrink 710 + grow 710 + + layer VIA2 via2 + and-not via2large + and-not sealring + squares-grid 5 190 220 + calma 29 0 + + layer VIA2 via2 + and via2large + and-not sealring + squares-grid 5 190 290 + calma 29 0 + + layer VIA2 sealv2 + and sealring + calma 29 0 + +#---------------------------------------------------------------- +# MET3 +#---------------------------------------------------------------- + layer MET3 allm3,sealv3 + calma 30 0 + + layer MET3TXT + labels allm3 + calma 30 25 + + layer MET3PIN + labels allm3 port + calma 30 2 + + layer MET3RES rm3 + labels rm3 + calma 30 29 + + layer MET3FILL m3fill + labels m3fill + calma 30 22 + +#---------------------------------------------------------------- +# VIA3 +#---------------------------------------------------------------- +# See note above for VIA2 + + layer via3large via3 + shrink 710 + grow 710 + + layer VIA3 via3 + and-not via3large + and-not sealring + squares-grid 5 190 220 + calma 49 0 + + layer VIA3 via3 + and via3large + and-not sealring + squares-grid 5 190 290 + calma 49 0 + + layer VIA3 sealv3 + and sealring + calma 49 0 + +#---------------------------------------------------------------- +# MET4 +#---------------------------------------------------------------- + layer MET4 allm4,sealv4 + calma 50 0 + + layer MET4TXT + labels allm4 + calma 50 25 + + layer MET4PIN + labels allm4 port + calma 50 2 + + layer MET4RES rm4 + labels rm4 + calma 50 29 + + layer MET4FILL m4fill + labels m4fill + calma 50 22 + +#---------------------------------------------------------------- +# VIA4 +#---------------------------------------------------------------- +# See note above for VIA2 + + layer via4large via4 + shrink 710 + grow 710 + + layer VIA4 via4 + and-not via4large + and-not sealring + squares-grid 5 190 220 + calma 66 0 + + layer VIA4 via4 + and via4large + and-not sealring + squares-grid 5 190 290 + calma 66 0 + + layer VIA4 sealv4 + and sealring + calma 66 0 + +#---------------------------------------------------------------- +# MET5 +#---------------------------------------------------------------- + layer MET5 allm5,m5fill,sealv5 + calma 67 0 + + layer MET5TXT + labels allm5 + calma 67 25 + + layer MET5PIN + labels allm5 port + calma 67 2 + + layer MET5RES rm5 + labels rm5 + calma 67 29 + + layer MET5FILL m5fill + labels m5fill + calma 67 22 + +#---------------------------------------------------------------- +# VIA5 (TopVia1) +#---------------------------------------------------------------- + + layer VIA5 via5 + and-not sealring + squares-grid 5 420 420 + calma 125 0 + + layer VIA5 sealv5 + and sealring + calma 125 0 + + layer MIMCC mimcc + squares-grid 360 420 420 + calma 129 0 + +#---------------------------------------------------------------- +# MET6 (TopMetal1) +#---------------------------------------------------------------- + layer MET6 allm6,m6fill,sealv6 + calma 126 0 + + layer MET6TXT + labels allm6 + calma 126 25 + + layer MET6PIN + labels allm6 port + calma 126 2 + + layer MET6RES rm6 + labels rm6 + calma 126 29 + + layer MET6FILL m6fill + labels m6fill + calma 126 22 + +#---------------------------------------------------------------- +# VIA6 (TopVia2) +#---------------------------------------------------------------- + layer VIA6 via6 + and-not sealring + squares-grid 500 900 1060 + calma 133 0 + + layer VIA6 sealv6 + and sealring + calma 133 0 + +#---------------------------------------------------------------- +# MET7 (TopMetal2) +#---------------------------------------------------------------- + layer MET7 allm7,m7fill,pillar,solder,pad + calma 134 0 + + layer MET7TXT + labels allm7 + calma 134 25 + + layer MET7PIN + labels allm7 port + calma 134 2 + + layer MET7RES rm7 + labels rm7 + calma 134 29 + + layer MET7FILL m7fill + labels m7fill + calma 134 22 + +#---------------------------------------------------------------- +# Cu pillar ID +# (note: this is made coincident with the passivation cut) +#---------------------------------------------------------------- + layer PILLAR pillar + calma 41 35 + +#---------------------------------------------------------------- +# Solder bump ID +# (note: this is made coincident with the passivation cut) +#---------------------------------------------------------------- + layer SOLDER solder + calma 41 36 + +#---------------------------------------------------------------- +# Bond pad ID +# (note: this is made coincident with the passivation cut) +#---------------------------------------------------------------- + layer PADID pad + calma 41 0 + +#---------------------------------------------------------------- +# GLASS (i.e., passivation cut) +#---------------------------------------------------------------- + layer GLASS seal,pillar,solder,pad + calma 9 0 + +#---------------------------------------------------------------- +# MIM +#---------------------------------------------------------------- + layer MIM *mimcap + labels mimcap + calma 36 0 + +#---------------------------------------------------------------- +# FILLBLOCK +#---------------------------------------------------------------- +# Note: do not let fill block overwrite the type of material for +# which it is blocking fill. + + layer FILLOBSDIFF obsactive + calma 1 23 + + layer FILLOBSPOLY obspoly + calma 5 23 + + layer FILLOBSM1 obsm1 + calma 8 23 + + layer FILLOBSM2 obsm2 + calma 10 23 + + layer FILLOBSM3 obsm3 + calma 30 23 + + layer FILLOBSM4 obsm4 + calma 50 23 + + layer FILLOBSM5 obsm5 + calma 67 23 + + layer FILLOBSM6 obsm6 + calma 126 23 + + layer FILLOBSM7 obsm7 + calma 134 23 + + layer FILLBLOCK fillblock + calma 160 0 + + render DNWELL cwell -0.1 0.1 + render NWELL nwell 0.0 0.2062 + render DIFF ndiffusion 0.2062 0.12 + render POLY polysilicon 0.3262 0.18 + render CONT via 0.5062 0.43 + render MET1 metal1 1.3761 0.36 + render VIA1 via 1.7361 0.27 + render MET2 metal2 2.0061 0.36 + render VIA2 via 2.3661 0.42 + render MET3 metal3 2.7861 0.845 + render VIA3 via 3.6311 0.39 + render MET4 metal4 4.0211 0.845 + render VIA4 via 4.8661 0.505 + render MET5 metal5 5.3711 1.26 + render MIM metal8 2.4661 0.2 + render VIA5 via 4.8661 0.505 + render MET6 metal6 5.3711 1.26 + render VIA6 via 4.8661 0.505 + render MET7 metal7 5.3711 1.26 + +#---------------------------------------------------------------- +style drc +#---------------------------------------------------------------- +# NOTE: This style is used for DRC only, not for GDS output +#---------------------------------------------------------------- + scalefactor 10 nanometers + options calma-permissive-labels + + templayer pwell_in_dnwell dnwell + and-not nwell + + templayer active_in_pwell alldiff,isodiffres,hvisodiffres + and pwell_in_dnwell + + templayer dwell_overlap_of_active active_in_pwell + and-not nbase + grow 1240 + and-not dnwell + + templayer scr_butting_junction + bloat-or *hvnsubdiff * 0 hvndiffres 390 + + # Find nwell spacing to diffusion in pwell. Exclude butting edge of + # hvndiffres (in SCR layout, for example) + templayer nwell_space_to_pwell_active nwell + grow 390 + and active_in_pwell + and-not scr_butting_junction + + # Ensure that nwell overlaps dnwell at least 0.62um on the inside edge + templayer dnwell_shrink dnwell + shrink 620 + + templayer nwell_missing dnwell + and-not nbase + and-not dnwell_shrink + and-not nwell + + # Find all transistor source/drain regions in pwell + ## templayer nfet_in_pwell allnfets + ## and active_in_pwell + + ## templayer nfet_source_drain_in_pwell + ## bloat-all nfet_in_pwell alldiffnonfet,isodiffres,hvisodiffres,hvndiffres + + # Find all ptap diffusion + ## templayer ptap_in_pwell *psd,*hvpsd + ## and active_in_pwell + + # Any ptap area that is not included in nfet_source_drain_in_pwell + # is an error. + ## templayer unconnected_pwell_ptap + ## bloat-all ptap_in_pwell alldiffnonfet,isodiffres,hvisodiffres + ## and-not nfet_source_drain_in_pwell + + # Check latchup rule (20um minimum from tap diffusion to any + # non-tap diffusion. + + templayer ptap_reach + bloat-all *psd,*hvpsd pwell,space/w 20000 + + templayer ptap_missing *ndiff,*hvndiff + and-not dnwell + and-not ptap_reach + + templayer ntap_reach + bloat-all *nsd,*hvnsd nwell,pnp 20000 + + templayer ntap_missing *pdiff,*hvpdiff + and-not pwell_in_dnwell + and-not ntap_reach + + templayer dptap_reach + bloat-all *psd,*hvpsd pwell_in_dnwell 20000 + + templayer dptap_missing *ndiff,*hvndiff + and dnwell + and-not dptap_reach + + templayer pdiff_crosses_dnwell dnwell + grow 20 + and-not dnwell + and allpdifflv,allpdiffhv + + # hV nwell must be 2um from any other nwell + templayer hvnwell + bloat-all alldiffhv nwell + grow-min 840 + bridge 700 600 + + # Simple spacing checks to lvnwell must use CIF-DRC rule + # Note that THKOX may *abut* lvnwell; this can only be handled + # with mask-hints layers. + + templayer drawn_thkox + mask-hints THKOX + + templayer allhvdiffnowell *hvndiff,*hvpsd + and-not drawn_thkox + + templayer nwell_or_thkox nwell,drawn_thkox + + templayer lvnwell nwell + and-not hvnwell + + # Check for low-voltage diffusion in high-voltage well + templayer lvdiff_in_hvnwell *pdiff,*pdiode,*nsd + or pfet + and hvnwell + + templayer nwell_with_tap + bloat-all nsc,hvnsc nwell,pnp + + templayer nwell_missing_tap nwell,pnp + and-not nwell_with_tap + + templayer tap_with_m1 + bloat-all allpactivetap psd,hvpsd + bloat-all allnactivetap nsd,hvnsd + + templayer tap_missing_m1 allnactivetap,allpactivetap + and-not tap_with_m1 + + # Make sure varactor nwell contains no P diffusion + templayer pdiff_in_varactor_well + bloat-all hvvar nwell + and allpactive + + # Find minimum hole sizes in diffusion + templayer diff_small_hole alldiff + close 150000 + + templayer diff_hole_empty diff_small_hole + and-not alldiff + + # Identify bipolar areas (same as BIPOLARID) + + templayer trans + bloat-all gec,nec,hvnec nemitter,hvnemitter,*ndiff,space/a 3430 + shrink 200 + + # Identify areas that have to be seprated by a specific + # distance from bipolar areas (see "trans" definition, above) + + templayer cifpoly allpoly,polyfill + + templayer psdcont psc + + # Note: Need to include pwell block and nsd block here + templayer transkeepout allnactive,nwell,dnwell + and-not trans + + templayer npngrow npn + grow 10 + + templayer npnactive npn + bloat-all npngrow *ndiff,nemit + + # Nothing may exist on the active plane inside the npn area + # other than the npn itself. + templayer illegal_type_in_npn trans + grow 100 + and-not npnactive + and alldiff,difffill,npn,nwell,dnwell + + # Check for (lack of) TopVia1 over MiM cap. + templayer mimcap_with_via + bloat-all mimcc mimcap + + templayer mimcap_missing_via mimcap + and-not mimcap_with_via + + # Check for mimcap overlapping via4 + templayer via_under_mimcap via4 + and mimcap + + # For metal6 spacing to MiM cap, a regular spacing rule will + # not suffice, because only unrelated metal6 should be + # considered. + templayer metal6_connects_mimcap + bloat-all mimcc/m6 metal6 + + templayer metal6_spacing_to_mimcap metal6 + and-not metal6_connects_mimcap + grow 600 + and mimcap + + # Identify butted p-tap areas + templayer butted_tap + bloat-all ndiff psd + bloat-all hvndiff hvpsd + bloat-all pdiff nsd + bloat-all hvpdiff hvnsd + and-not ndiff,hvndiff,pdiff,hvpdiff + + # No butted tap area should be more than 6um away from a contact. + templayer diff_contact_halo + bloat-all pdc,ndc,hvpdc,hvndc alldiff 6000 + + templayer tap_far_from_contact butted_tap + and-not diff_contact_halo + + templayer tap_contact_halo + bloat-all psc,nsc,hvpsc,hvnsc allnactivetap,allpactivetap 6000 + + templayer tap_far_from_tap_contact psd,nsd,hvpsd,hvnsd + and-not tap_contact_halo + + # Determine if butted tap has at least one area that meets + # the width pSD.e or pSD.f (0.3um). This is a convoluted + # process of finding all butted taps that extend at least + # 0.3um from the diffusion and enumerating all butted taps + # that did not meet this criterion. + + templayer butted_tap_inside *ndiff,*pdiff,*hvndiff,*hvpdiff + grow 295 + and butted_tap + + templayer butted_tap_outside butted_tap + and-not butted_tap_inside + + templayer butted_tap_okay + bloat-all butted_tap_outside butted_tap + + templayer butted_tap_short butted_tap + and-not butted_tap_okay + + templayer res_extblock + bloat-all pres,xres,nres *poly + grow 180 + + templayer res_to_psd_space res_extblock + grow 340 + and *psd + grow 150 + and *pdiff + + # Check for 50nm metal surround of ContBar. ContBar is + # generated only for certain devices such as resistors, + # where it can only be distinguished from Cont by + # detecting the resistor area. + + templayer resistors + bloat-all nres,pres,xres *poly + + templayer contbar_enclosure_error pc + and resistors + grow 50 + and-not *m1 + + # There are no specific rules for seal metal overlap of seal + # vias, so seal metal width rules can only be calculated by + # cifdrc rules. + + templayer seal_active + bloat-all sealc psd + + templayer seal_metal1 + bloat-all sealv1 m1 + + templayer seal_metal2 + bloat-all sealv2 m2 + + templayer seal_metal3 + bloat-all sealv3 m3 + + templayer seal_metal4 + bloat-all sealv4 m4 + + templayer seal_metal5 + bloat-all sealv5 m5 + + templayer seal_metal6 + bloat-all sealv6 m6 + + templayer seal_metal7 sealv6 + grow 1300 + and m7 + +#---------------------------------------------------------------- +style density +#---------------------------------------------------------------- +# Style used by scripts to check for fill density +#---------------------------------------------------------------- + scalefactor 10 nanometers + options calma-permissive-labels + gridlimit 5 + + templayer diff_all alldiff,difffill + + templayer poly_all allpoly,polyfill + + templayer m1_all allm1,m1fill,diffprobe + + templayer m2_all allm2,m2fill + + templayer m3_all allm3,m3fill + + templayer m4_all allm4,m4fill + + templayer m5_all allm5,m5fill + + templayer m6_all allm6,m6fill + + templayer m7_all allm7,m7fill + +#---------------------------------------------------------------- +style patternfill variants (),(tiled) +#---------------------------------------------------------------- +# Style used by scripts for automatically generating fill layers +# NOTE: Be sure to generate output on flattened layout. +#---------------------------------------------------------------- + scalefactor 10 nanometers + options calma-permissive-labels + gridlimit 5 + +#---------------------------------------------------------------- +# Generate and retain a layer representing the bounding box. +# +# For variant (): +# The bounding box is the full extent of geometry on the top level +# cell. +# +# For variant (tiled): +# Use with a script that breaks layout into flattened tiles and runs +# fill individually on each. The tiles should be larger than the +# step size, and each should draw a layer "comment" the size of the +# step box. +#---------------------------------------------------------------- + + variants () + templayer topbox + bbox top + + variants (tiled) + templayer topbox comment + # Each tile imposes the full keepout distance rule of + # 3um on all sides. + shrink 1500 + + variants * + +#---------------------------------------------------------------- +# Generate guard-band around nwells to keep DIFF from crossing +# Spacing from nwell = AFil.d = 1um +# Enclosure by nwell = AFil.d = 1um +#---------------------------------------------------------------- + + templayer well_shrink nwell,dnwell + shrink 1000 + + templayer well_guardband nwell,dnwell + grow 1000 + and-not well_shrink + + # Generate area of TRANS for diffusion fill keep-out + templayer trans + bloat-all gec,nec,hvnec nemitter,hvnemitter,*ndiff,space/a 3430 + shrink 200 + +#------------------------------------------------------------------------ +# Diffusion filler keep-out areas +# Spacing to diffusion: 0.42um (AFil.c1) +# Spacing to poly and contact: 1.10um (AFil.c) +# Space to NPN bipolar: 1um (AFil.e) +# +# To do: Ensure spacing to pwell-block (Afil.i) +# Note: Fill patterns inside pwell-block are not automatically +# generated but can be manually drawn. +#------------------------------------------------------------------------ + + templayer obstruct_diff allpoly,polyfill,allcont + grow 100 + or trans + grow 580 + or alldiff,difffill,obsactive + grow 420 + or well_guardband + +#------------------------------------------------------------------------ +# Poly filler keep-out areas determined by diffusion obstruction areas. +# Spacing to diffusion, poly, contact: 1.1um (GFil.d) +# Spacing to nwell, dnwell: 1.1um (GFil.e) +# Spacing to TRANS: 1.1um (GFil.f) +#------------------------------------------------------------------------ + + templayer obstruct_poly alldiff,allpoly,difffill,polyfill,obsactive + or trans + grow 1000 + or well_guardband + grow 100 + +#--------------------------------------------------- +# DIFF and POLY fill +# Done in three passes at sizes 4600, 2000, and 1000 +# on diffusion. Poly overlaps diffusion with +# extensions, lengths 5000, 2400, and 1400; and +# widths 4300, 1700, and 700 +#--------------------------------------------------- + + templayer difffill_coarse topbox + slots 0 4600 820 0 5000 420 1360 0 + and-not obstruct_diff + and topbox + shrink 2295 + grow 2295 + + templayer polyfill_coarse topbox + slots 0 5000 420 0 3000 2420 1560 1000 + and-not obstruct_diff + and topbox + shrink 2495 + grow 2495 + + templayer obstruct_diff_medium allpoly,polyfill,allcont + grow 100 + or trans + grow 580 + or alldiff,difffill,obsactive,difffill_coarse + grow 420 + or well_guardband + + templayer difffill_medium topbox + slots 0 2000 820 0 2000 420 750 0 + and-not obstruct_diff_medium + and topbox + shrink 995 + grow 995 + + templayer polyfill_medium topbox + slots 0 2400 420 0 1000 1420 550 500 + and-not obstruct_diff + and topbox + shrink 995 + grow 995 + + templayer obstruct_diff_fine allpoly,polyfill,allcont + grow 100 + or trans + grow 580 + or alldiff,difffill,obsactive + or difffill_coarse,difffill_medium + grow 420 + or well_guardband + + templayer difffill_fine topbox + slots 0 1000 820 0 1000 420 360 0 + and-not obstruct_diff_fine + and topbox + shrink 495 + grow 495 + + templayer polyfill_fine topbox + slots 0 1400 420 0 700 720 160 150 + and-not obstruct_diff + and topbox + shrink 995 + grow 995 + +#--------------------------------------------------- + layer DIFFFILL difffill_coarse + or difffill_medium + or difffill_fine + calma 1 22 + + layer POLYFILL polyfill_coarse + or polyfill_medium + or polyfill_fine + calma 5 22 + +#--------------------------------------------------- +# MET1 to MET5 rules: +# Space to other metal1-5: 0.42um (MFil.c) +# Space to TRANS: 1.0 (MFil.d) +# Also: Prohibiting metal1-5 fill under pads. +#--------------------------------------------------- +# MET1 fill +# Three passes in sizes 5000, 2000, and 1000 +#--------------------------------------------------- + + templayer obstruct_m1 trans,pad + grow 580 + or allm1,obsm1,m1fill,fillblock + grow 420 + + templayer met1fill_coarse topbox + slots 0 5000 420 0 5000 420 1700 850 + and-not obstruct_m1 + and topbox + shrink 2495 + grow 2495 + + templayer obstruct_m1_medium trans,pad + grow 580 + or allm1,obsm1,m1fill,fillblock + or met1fill_coarse + grow 420 + + templayer met1fill_medium topbox + slots 0 2000 420 0 2000 420 650 320 + and-not obstruct_m1_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m1_fine trans,pad + grow 580 + or allm1,obsm1,m1fill,fillblock + or met1fill_coarse,met1fill_medium + grow 420 + + templayer met1fill_fine topbox + slots 0 1000 420 0 1000 420 300 0 + and-not obstruct_m1_fine + and topbox + shrink 495 + grow 495 + + layer MET1FILL met1fill_coarse + or met1fill_medium + or met1fill_fine + calma 8 22 + +#--------------------------------------------------- +# MET2 fill +# Three passes in sizes 5000, 2000, and 1000 +#--------------------------------------------------- + + templayer obstruct_m2 trans,pad + grow 580 + or allm2,obsm2,m2fill,fillblock + grow 420 + + templayer met2fill_coarse topbox + slots 0 5000 420 0 5000 420 1700 850 + and-not obstruct_m2 + and topbox + shrink 2495 + grow 2495 + + templayer obstruct_m2_medium trans,pad + grow 580 + or allm2,obsm2,m2fill,fillblock + or met2fill_coarse + grow 420 + + templayer met2fill_medium topbox + slots 0 2000 420 0 2000 420 650 320 + and-not obstruct_m2_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m2_fine trans,pad + grow 580 + or allm2,obsm2,m2fill,fillblock + or met2fill_coarse,met2fill_medium + grow 420 + + templayer met2fill_fine topbox + slots 0 1000 420 0 1000 420 300 0 + and-not obstruct_m2_fine + and topbox + shrink 495 + grow 495 + + layer MET2FILL met2fill_coarse + or met2fill_medium + or met2fill_fine + calma 10 22 + +#--------------------------------------------------- +# MET3 fill +# Three passes in sizes 5000, 2000, and 1000 +#--------------------------------------------------- + + templayer obstruct_m3 trans,pad + grow 580 + or allm3,obsm3,m3fill,fillblock + grow 420 + + templayer met3fill_coarse topbox + slots 0 5000 420 0 5000 420 1700 850 + and-not obstruct_m3 + and topbox + shrink 2495 + grow 2495 + + templayer obstruct_m3_medium trans,pad + grow 580 + or allm3,obsm3,m3fill,fillblock + or met3fill_coarse + grow 420 + + templayer met3fill_medium topbox + slots 0 2000 420 0 2000 420 650 320 + and-not obstruct_m3_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m3_fine trans,pad + grow 580 + or allm3,obsm3,m3fill,fillblock + or met3fill_coarse,met3fill_medium + grow 420 + + templayer met3fill_fine topbox + slots 0 1000 420 0 1000 420 300 0 + and-not obstruct_m3_fine + and topbox + shrink 495 + grow 495 + + layer MET3FILL met3fill_coarse + or met3fill_medium + or met3fill_fine + calma 30 22 + +#--------------------------------------------------- +# MET4 fill +# Three passes in sizes 5000, 2000, and 1000 +#--------------------------------------------------- + + templayer obstruct_m4 trans,pad + grow 580 + or allm4,obsm4,m4fill,fillblock + grow 420 + + templayer met4fill_coarse topbox + slots 0 5000 420 0 5000 420 1700 850 + and-not obstruct_m4 + and topbox + shrink 2495 + grow 2495 + + templayer obstruct_m4_medium trans,pad + grow 580 + or allm4,obsm4,m4fill,fillblock + or met4fill_coarse + grow 420 + + templayer met4fill_medium topbox + slots 0 2000 420 0 2000 420 650 320 + and-not obstruct_m4_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m4_fine trans,pad + grow 580 + or allm4,obsm4,m4fill,fillblock + or met4fill_coarse,met4fill_medium + grow 420 + + templayer met4fill_fine topbox + slots 0 1000 420 0 1000 420 300 0 + and-not obstruct_m4_fine + and topbox + shrink 495 + grow 495 + + layer MET4FILL met4fill_coarse + or met4fill_medium + or met4fill_fine + calma 50 22 + +#--------------------------------------------------- +# MET5 fill +# Three passes in sizes 5000, 2000, and 1000 +#--------------------------------------------------- + + templayer obstruct_m5 trans,pad + grow 580 + or allm5,obsm5,m5fill,fillblock + grow 420 + + templayer met5fill_coarse topbox + slots 0 5000 420 0 5000 420 1700 850 + and-not obstruct_m5 + and topbox + shrink 2495 + grow 2495 + + templayer obstruct_m5_medium trans,pad + grow 580 + or allm5,obsm5,m5fill,fillblock + or met5fill_coarse + grow 420 + + templayer met5fill_medium topbox + slots 0 2000 420 0 2000 420 650 320 + and-not obstruct_m5_medium + and topbox + shrink 495 + grow 495 + + templayer obstruct_m5_fine trans,pad + grow 580 + or allm5,obsm5,m5fill,fillblock + or met5fill_coarse,met5fill_medium + grow 420 + + templayer met5fill_fine topbox + slots 0 1000 420 0 1000 420 300 0 + and-not obstruct_m5_fine + and topbox + shrink 495 + grow 495 + + layer MET5FILL met5fill_coarse + or met5fill_medium + or met5fill_fine + calma 67 22 + +#--------------------------------------------------- +# MET6 (TopMetal1) fill +# Space to other metal6: 3.0um (TM1MFil.c) +# Space to TRANS: 4.9 (TM1Fil.d) +# Also: Prohibiting metal6 fill under pads. +# Two passes in sizes 10000, 5000 +#--------------------------------------------------- + templayer obstruct_m6 trans,pad,solder,pillar + grow 1900 + or allm6,obsm6,m6fill,fillblock + grow 3000 + + templayer met6fill_coarse topbox + slots 0 10000 3000 0 10000 3000 3000 1500 + and-not obstruct_m6 + and topbox + shrink 4995 + grow 4995 + + templayer obstruct_m6_medium trans,pad,solder,pillar + grow 1900 + or allm6,obsm6,m6fill,fillblock + or met6fill_coarse + grow 3000 + + templayer met6fill_medium topbox + slots 0 5000 3000 0 5000 3000 1000 500 + and-not obstruct_m6_medium + and topbox + shrink 2495 + grow 2495 + + layer MET6FILL met6fill_coarse + or met6fill_medium + calma 126 22 + +#--------------------------------------------------- +# MET7 (TopMetal2) fill +# Space to other metal7: 3.0um (TM2MFil.c) +# Space to TRANS: 4.9 (TM2Fil.d) +# Two passes in sizes 10000, 5000 +#--------------------------------------------------- + templayer obstruct_m7 trans,pad,solder,pillar + grow 1900 + or allm7,obsm7,m7fill,fillblock + grow 3000 + + templayer met7fill_coarse topbox + slots 0 10000 3000 0 10000 3000 3000 1500 + and-not obstruct_m7 + and topbox + shrink 4995 + grow 4995 + + templayer obstruct_m7_medium trans,pad,solder,pillar + grow 1900 + or allm7,obsm7,m7fill,fillblock + or met7fill_coarse + grow 3000 + + templayer met7fill_medium topbox + slots 0 5000 3000 0 5000 3000 1000 500 + and-not obstruct_m7_medium + and topbox + shrink 2495 + grow 2495 + + + layer MET7FILL met7fill_coarse + or met7fill_medium + calma 134 22 + +end + +#----------------------------------------------------------------------- +cifinput +#----------------------------------------------------------------------- +# NOTE: All values in this section MUST be multiples of 25 +# or else magic will scale below the allowed layout grid size +#----------------------------------------------------------------------- + +style sg13g2 variants () + scalefactor 10 nanometers + gridlimit 5 + + options ignore-unknown-layer-labels + + # May need to handle these layers---ignoring during tech file development + ignore CAPID + ignore PADPIN + ignore SRAMID + ignore DIGITALID + ignore PWELLBLK + ignore DEEPNBLK + ignore EMITPOLY + ignore RFMEMS + ignore INDBOUND + ignore INDUCTOR + ignore INDPIN + ignore DEVICE + + # These layers are not used by this technology file + ignore HEATRES + ignore HEATTRANS + ignore SEALBOUND + ignore NORCX + ignore DIFFNORCX + ignore POLYNORCX + ignore MET1NORCX + ignore MET2NORCX + ignore MET3NORCX + ignore MET4NORCX + ignore MET5NORCX + ignore MET6NORCX + ignore MET7NORCX + + # Sort of a hack---Identify a PNP area as a small island of pdiff + # in a pwell in a deep nwell in nwell. Only consider "small" deep + # nwell regions (2.28um), as they are too small to hold any other + # device except a diode, which is checked for. + + templayer large_dnwell DNWELL + and NWELL + shrink 1140 + grow 1140 + + templayer small_dnwell DNWELL + and NWELL + and-not large_dnwell + + templayer pnparea DIFF + and PSD + and-not THKOX + and-not SBLK + and-not NSDBLOCK + and-not DIODE + and small_dnwell + grow 1050 + and NWELL + + layer pnp pnparea + + layer nwell NWELL,WELLPIN + and-not pnparea + labels NWELL + labels WELLPIN port + + templayer nwellarea NWELL + and-not pnp + copyup nwelcheck + + # Copy nwell areas up for diffusion checks + templayer xnwelcheck nwelcheck + copyup nwelcheck + + templayer hvarea THKOX + copyup hvcheck + + # Copy high-voltage (THKOX) areas up for diffusion checks + templayer xhvcheck hvcheck + copyup hvcheck + + # Always draw pwell under p-tap and n-diff. This is not always + # necessary but works better with deep nwell for correct extraction. + # Avoid drawing under butted contacts. + + layer pwell DIFF + and-not PWELLBLK + and-not NWELL,nwelcheck + grow 130 + and-not NWELL,nwelcheck + or SUBTXT + grow 420 + shrink 420 + labels SUBTXT text + + layer dnwell DNWELL + labels DNWELL + + layer isosub SUBCUT + # isosub as part of ptap or ntap makes no sense in magic, so + # remove it if it exists only under a contact area. + shrink 400 + grow 400 + labels SUBCUT + + layer tsv THRUVIA + and TSVID + labels THRUVIA + + templayer ndiffarea DIFF,DIFFPIN + and-not POLY + and-not NWELL,nwelcheck + and-not PSD + and-not DIODE + and-not THKOX,hvcheck + and-not NSDBLOCK + copyup ndifcheck + labels DIFF + labels DIFFPIN port + + layer ndiff ndiffarea + + # Copy ndiff areas up for contact checks + templayer xndifcheck ndifcheck + copyup ndifcheck + + templayer hvndiffarea DIFF,DIFFPIN + and-not POLY + and-not NWELL,nwelcheck + and-not PSD + and-not DIODE + and THKOX,hvcheck + and-not NSDBLOCK + copyup hvndifcheck + labels DIFF + labels DIFFPIN port + + layer hvndiff hvndiffarea + + # Copy ndiff areas up for contact checks + templayer hvxndifcheck hvndifcheck + copyup hvndifcheck + + # Identify emitter area of low voltage bipolars (type npn13G2). + templayer lvnpnarea EMITTER + and DIFFMASK + grow 890 + and DIFFMASK + grow 1600 + and DIFFMASK,NSDBLOCK + + # Identify emitter area of low voltage bipolars (type npn13G2L). + templayer npnarea EMITTER + and DIFF + and BIPOLARID + grow 705 + and DIFF,DIFFMASK + + # Identify high voltage bipolars (type npn13G2V). + templayer hvnpnarea HVEMITTER + and DIFF + and BIPOLARID + grow 705 + and DIFF,DIFFMASK + + layer nemitter DIFFMASK + and lvnpnarea + and-not EMITTER + labels DIFFMASK + + layer nemitter DIFF + and npnarea + and-not EMITTER + labels DIFF + + layer hvnemitter DIFF + and hvnpnarea + and-not HVEMITTER + labels DIFF + + # Layer "npn" is the device identifier for the NPN bipolar, + # marks the base area, and extends under collector and + # emitter. The emitter window is defined as the contact, + # although CONT is the real contact and is generated on + # output. This is done so that the emitter window dimensions + # can be preserved for extraction. Note that "npn" is grown + # by 0.04um so that it abuts the collector diffusion so that + # the collector will be identified as a terminal. This is + # done in the proprietary cell and does not get regenerated + # on output. + + layer npn NSDBLOCK + and lvnpnarea + grow 40 + + layer npn DIFFMASK,DIFF + and npnarea,hvnpnarea + + layer gec DIFFMASK + and lvnpnarea + and EMITTER + + layer nec DIFF + and npnarea + and EMITTER + labels DIFF + + layer hvnec DIFF + and hvnpnarea + and HVEMITTER + labels DIFF + + # One type of bipolar has the base over NSDBLOCK only. + # This is a bar contact. + layer pbc NSDBLOCK + and lvnpnarea + and CONT + labels NSDBLOCK + + # The other type of bipolar has the base over diffusion + # which has been defined as "nemitter" from the 1st + # bipolar type, although it is not the emitter here. + # This is *not* a bar contact, so preserve the cuts + # (no grow/shrink operation to merge them). + layer pbc DIFFMASK + and npnarea,hvnpnarea + and CONT + labels DIFFMASK + + layer ndiode DIFF + and-not NSDBLOCK + and DIODE + and-not NWELL,nwelcheck + and-not POLY + and-not PSD + and-not THKOX,hvcheck + + templayer ndiodearea DIODE + and-not NSDBLOCK + and-not THKOX,hvcheck + and-not NWELL,nwelcheck + copyup DIODE + + templayer pdiffarea DIFF,DIFFPIN + and-not POLY + and NWELL,nwelcheck + and-not DIODE + and-not THKOX,hvcheck + and PSD + copyup pdifcheck + + layer pdiff pdiffarea + labels DIFF + labels DIFFPIN port + + templayer hvpdiffarea DIFF,DIFFPIN + and-not POLY + and NWELL,nwelcheck + and THKOX,hvcheck + and-not DIODE + and PSD + and-not SBLK + copyup hvpdifcheck + + layer hvpdiff hvpdiffarea + labels DIFF + labels DIFFPIN port + + # Copy pdiff areas up for contact checks + templayer xpdifcheck pdifcheck + copyup pdifcheck + + layer pdiode DIFF + and PSD + and-not POLY + and-not THKOX,hvcheck + and DIODE + labels DIFF + + templayer pdiodearea DIODE + and PSD + and-not THKOX,hvcheck + copyup DIODE,PSD + + templayer isoarea NSDBLOCK + and-not PSD + grow 150 + + layer hvndiffres DIFF + and SBLK + and THKOX + and-not isoarea + and-not DIODE + and-not BIPOLARID + and-not POLY + labels DIFF + + layer isodiffres DIFF,DIFFMASK + and isoarea + and SBLK + and-not THKOX + and-not BIPOLARID + and-not POLY + labels DIFF,DIFFMASK + + layer hvisodiffres DIFF + and isoarea + and SBLK + and THKOX + and-not BIPOLARID + and-not POLY + labels DIFF + + # Include DIFFMASK on either side of isodiffres in pwell tap + layer ndiff DIFFMASK + and isoarea + and SBLK + and-not NWELL + and-not BIPOLARID + grow 150 + and DIFFMASK + grow 200 + and DIFFMASK + and-not PSD + and-not isoarea + + layer psd DIFFMASK + and isoarea + and SBLK + and-not NWELL + and-not BIPOLARID + grow 200 + and DIFFMASK + and PSD + and-not SBLK + + # Define schottky diode device from the salicide block. + templayer schottkyarea DIODE + and SBLK + + layer schottky schottkyarea + and-not CONT + labels DIODE + + layer sdic DIODE + and SBLK + and CONT + labels DIODE + + # Extend nwell under and around schottky diode to avoid having + # to create special layers and rules. The nwell will be + # generated for the schottky device on output. + + layer nwell schottkyarea + grow 1000 + and DIFF + grow 620 + + # Define pfet areas as known pdiff + + layer pfet DIFF + and POLY + and PSD + and NWELL,nwelcheck + and-not THKOX,hvcheck + + # Copy hvpdiff areas up for contact checks + templayer hvxpdifcheck hvpdifcheck + copyup hvpdifcheck + + # Define pfet areas as known pdiff + + templayer hvpfetarea DIFF + and POLY + and PSD + and NWELL,nwelcheck + and THKOX,hvcheck + + layer hvpfet hvpfetarea + and-not ESDID + labels DIFF + + layer hvpfetesd hvpfetarea + and ESDID + labels DIFF + + layer nfet DIFF + and POLY + and-not PSD + and-not NSDBLOCK + and-not THKOX,hvcheck + labels DIFF + + templayer nsdarea DIFF + and-not NSDBLOCK + and NWELL,nwelcheck + and-not POLY + and-not PSD + and-not THKOX,hvcheck + copyup nsubcheck + + layer nsd nsdarea + labels DIFF + + # Copy nsub areas up for contact checks + templayer xnsubcheck nsubcheck + copyup nsubcheck + + templayer psdarea DIFF + and-not DIODE + and PSD + and-not NWELL,nwelcheck + and-not POLY + and-not THKOX,hvcheck + copyup psubcheck + + layer psd psdarea + labels DIFF + + templayer hvnfetarea DIFF + and POLY + and-not PSD + and-not NSDBLOCK + and THKOX,hvcheck + grow 350 + + layer hvnfetesd DIFF + and POLY + and-not PSD + and-not NSDBLOCK + and THKOX,hvcheck + and ESDID + labels DIFF + + layer hvnfet DIFF + and POLY + and-not PSD + and-not NSDBLOCK + and THKOX,hvcheck + and-not ESDID + labels DIFF + + templayer hvnsdarea DIFF + and-not NSDBLOCK + and-not SBLK + and NWELL,nwelcheck + and-not POLY + and-not PSD + and THKOX,hvcheck + copyup hvnsubcheck + + layer hvnsd hvnsdarea + labels DIFF + + # Copy nsub areas up for contact checks + templayer hvxnsubcheck hvnsubcheck + copyup hvnsubcheck + + templayer hvpsdarea DIFF + and PSD + and-not NWELL,nwelcheck + and-not POLY + and THKOX,hvcheck + copyup hvpsubcheck + + layer hvpsd hvpsdarea + labels DIFF + + # Copy psub areas up for contact checks + templayer xpsubcheck psubcheck + copyup psubcheck + + templayer hvxpsubcheck hvpsubcheck + copyup hvpsubcheck + + layer poly POLY,POLYPIN + and-not POLYRES + and-not RESDEF + and-not DIFF + labels POLY + labels POLYPIN port + + # Resistors. Allow either POLYRES or POLY (pycells are inconsistent) + layer nres RESDEF + and POLYRES,POLY + and EXTBLOCK + and-not PSD + and-not NSD + labels POLYRES + + layer pres POLYRES,POLY + and EXTBLOCK + and SBLK + and PSD + and-not NSD + labels POLYRES + + layer xres POLYRES + and EXTBLOCK + and SBLK + and PSD + and NSD + labels POLYRES + + # Copy (non-resistor) poly areas up for contact checks + templayer xpolycheck polycheck + copyup polycheck + + templayer ndcbase CONT + or barecont + and MET1 + or barecont + and DIFF + and-not NSDBLOCK + and-not npnarea,hvnpnarea + and-not NWELL,nwelcheck + and-not THKOX,hvcheck + + layer ndc ndcbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or ndcbase + labels CONT + + templayer nscbase CONT + or barecont + and MET1 + or barecont + and DIFF + and-not NSDBLOCK + and-not npnarea,hvnpnarea + and NWELL,nwelcheck + and-not THKOX,hvcheck + + layer nsc nscbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or nscbase + labels CONT + + templayer pdcbase CONT + or barecont + and MET1 + or barecont + and DIFF + and PSD + and NWELL,nwelcheck + and-not THKOX,hvcheck + + layer pdc pdcbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or pdcbase + labels CONT + + templayer pdcnowell CONT + or barecont + and MET1 + or barecont + and DIFF + and PSD + and-not THKOX,hvcheck + + layer pdc pdcnowell + grow 85 + shrink 85 + shrink 85 + grow 85 + or pdcnowell + labels CONT + + templayer pscbase CONT + or barecont + and MET1 + or barecont + and DIFF + and PSD + and-not NWELL,nwelcheck + and-not THKOX,hvcheck + + layer psc pscbase + and-not EDGESEAL + grow 85 + shrink 85 + shrink 85 + grow 85 + or pscbase + labels CONT + + layer sealc pscbase + and EDGESEAL + labels CONT + + templayer pcbase CONT + or barecont + and MET1 + or barecont + and POLY + and-not DIFF + + layer pc pcbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or pcbase + labels CONT + + templayer ndicbase CONT + or barecont + and MET1 + or barecont + and DIFF + and-not NSDBLOCK + and DIODE + and-not NWELL,nwelcheck + and-not POLY + and-not PSD + and-not THKOX,hvcheck + + layer ndic ndicbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or ndicbase + labels CONT + + templayer pdicbase CONT + or barecont + and MET1 + or barecont + and DIFF + and PSD + and DIODE + and-not POLY + and-not THKOX,hvcheck + + layer pdic pdicbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or pdicbase + labels CONT + + templayer hvndcbase CONT + or barecont + and MET1 + or barecont + and DIFF + and-not NSDBLOCK + and-not NWELL,nwelcheck + and THKOX,hvcheck + + layer hvndc hvndcbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvndcbase + labels CONT + + templayer hvnscbase CONT + or barecont + and MET1 + or barecont + and DIFF + and-not NSDBLOCK + and-not SBLK + and NWELL,nwelcheck + and THKOX,hvcheck + + layer hvnsc hvnscbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvnscbase + labels CONT + + templayer hvpdcbase CONT + or barecont + and MET1 + or barecont + and DIFF + and PSD + and NWELL,nwelcheck + and THKOX,hvcheck + + layer hvpdc hvpdcbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvpdcbase + labels CONT + + templayer hvpdcnowell CONT + or barecont + and MET1 + or barecont + and DIFF + and PSD + and THKOX,hvcheck + + layer hvpdc hvpdcnowell + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvpdcnowell + labels CONT + + templayer hvpscbase CONT + or barecont + and MET1 + or barecont + and DIFF + and PSD + and-not NWELL,nwelcheck + and THKOX,hvcheck + + layer hvpsc hvpscbase + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvpscbase + labels CONT + + layer difffill DIFFFILL + labels DIFFFILL + + layer polyfill POLYFILL + labels POLYFILL + + # NOTE: There is no device model for iprobe and diffprobe, + # but they have a different GDS number and they should be + # represented by alternative types of metal1 like obsm1, + # instead of being converted to metal1 as done below. + + layer m1 MET1,MET1TXT,MET1PIN + and-not MET1RES + and-not MET1SLIT + labels MET1 + labels MET1PIN port + labels MET1TXT text + + layer iprobe IPROBE + labels IPROBE + + layer diffprobe DPROBE + labels DPROBE + + layer rm1 MET1 + and MET1RES + labels MET1RES + + layer m1fill MET1FILL + labels MET1FILL + + layer mimcap MET5 + and MIM + labels MIM + + layer mimcc VIA5,MIMCC + and MIM + grow 60 + grow 40 + shrink 40 + labels MIM + + layer m2c VIA1 + and-not EDGESEAL + grow 5 + grow 105 + shrink 105 + shrink 95 + grow 95 + + layer sealv1 VIA1 + and EDGESEAL + + layer m2 MET2,MET2TXT,MET2PIN + and-not MET2RES + and-not MET2SLIT + labels MET2 + labels MET2PIN port + labels MET2TXT text + + layer rm2 MET2 + and MET2RES + labels MET2RES + + layer m2fill MET2FILL + labels MET2FILL + + layer m3c VIA2 + and-not EDGESEAL + grow 5 + grow 105 + shrink 105 + shrink 95 + grow 95 + + layer sealv2 VIA2 + and EDGESEAL + + layer m3 MET3,MET3TXT,MET3PIN + and-not MET3RES + and-not MET3SLIT + labels MET3 + labels MET3PIN port + labels MET3TXT text + + layer rm3 MET3 + and MET3RES + labels MET3RES + + layer m3fill MET3FILL + labels MET3FILL + + layer via3 VIA3 + and-not EDGESEAL + grow 5 + grow 105 + shrink 105 + shrink 95 + grow 95 + + layer sealv3 VIA3 + and EDGESEAL + + layer m4 MET4,MET4TXT,MET4PIN + and-not MET4RES + and-not MET4SLIT + labels MET4 + labels MET4PIN port + labels MET4TXT text + + layer rm4 MET4 + and MET4RES + labels MET4RES + + layer m4fill MET4FILL + labels MET4FILL + + layer via4 VIA4 + and-not EDGESEAL + grow 5 + grow 105 + shrink 105 + shrink 95 + grow 95 + + layer sealv4 VIA4 + and EDGESEAL + + layer m5 MET5,MET5TXT,MET5PIN + and-not MET5RES + and-not MET5SLIT + labels MET5 + labels MET5PIN port + labels MET5TXT text + + layer rm5 MET5 + and MET5RES + labels MET5RES + + layer m5fill MET5FILL + labels MET5FILL + + layer via5 VIA5 + and-not EDGESEAL + and-not MIM + grow 100 + grow 110 + shrink 110 + shrink 305 + grow 305 + + layer sealv5 VIA5 + and EDGESEAL + + layer m6 MET6,MET6TXT,MET6PIN + and-not MET6RES + and-not MET6SLIT + labels MET6 + labels MET6PIN port + labels MET6TXT text + + layer rm6 MET6 + and MET6RES + labels MET6RES + + layer m6fill MET6FILL + labels MET6FILL + + layer via6 VIA6 + and-not EDGESEAL + grow 500 + grow 25 + shrink 25 + shrink 945 + grow 945 + + layer sealv6 VIA6 + and EDGESEAL + + layer m7 MET7,MET7TXT,MET7PIN + and-not MET7RES + and-not MET7SLIT + and-not GLASS + labels MET7 + labels MET7PIN port + labels MET7TXT text + + layer rm7 MET7 + and MET7RES + labels MET7RES + + layer m7fill MET7FILL + labels MET7FILL + + # Handle contacts found by copyup + + templayer ndiccopy CONT + and LI + and DIODE + and DIFF + and-not NWELL,nwelcheck + and NSD + and-not THKOX,hvcheck + + layer ndic ndiccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or ndiccopy + labels CONT + + templayer pdiccopy CONT + and LI + and DIODE + and DIFF + and PSD + and-not THKOX,hvcheck + + layer pdic pdiccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or pdiccopy + labels CONT + + templayer ndccopy CONT + and ndifcheck + + layer ndc ndccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or ndccopy + labels CONT + + templayer hvndccopy CONT + and hvndifcheck + + layer hvndc hvndccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvndccopy + labels CONT + + templayer pdccopy CONT + and pdifcheck + + layer pdc pdccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or pdccopy + labels CONT + + templayer hvpdccopy CONT + and hvpdifcheck + + layer hvpdc hvpdccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvpdccopy + labels CONT + + templayer pccopy CONT + and polycheck + + layer pc pccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or pccopy + labels CONT + + templayer nsccopy CONT + and nsubcheck + + layer nsc nsccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or nsccopy + labels CONT + + templayer hvnsccopy CONT + and hvnsubcheck + + layer hvnsc hvnsccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvnsccopy + labels CONT + + templayer psccopy CONT + and psubcheck + + layer psc psccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or psccopy + labels CONT + + templayer hvpsccopy CONT + and hvpsubcheck + + layer hvpsc hvpsccopy + grow 85 + shrink 85 + shrink 85 + grow 85 + or hvpsccopy + labels CONT + + # Find contacts not covered in + # metal and pull them into the + # next layer up + + templayer barecont CONT + and MET1 + and-not DIFF + and-not POLY + and-not DIODE + and-not nsubcheck + and-not psubcheck + and-not hvnsubcheck + and-not hvpsubcheck + copyup barecont + + templayer barecont CONT + and-not MET1 + and-not nsubcheck + and-not psubcheck + and-not hvnsubcheck + and-not hvpsubcheck + copyup barecont + + # The "seal" marker is moved from the diffusion to passivation, + # since the passivation needs a special DRC rule exception. + layer seal EDGESEAL + grow 7200 + and GLASS + labels GLASS + + layer pillar PILLAR + and MET7 + and GLASS + and-not EDGESEAL + labels PILLAR + + layer solder SOLDER + and MET7 + and GLASS + and-not EDGESEAL + labels SOLDER + + layer pad PADID + and MET7 + and GLASS + and-not EDGESEAL + labels PADID + + templayer boundary BOUND + boundary + + layer comment LVSTEXT + labels LVSTEXT text + + layer fillblock FILLBLOCK + labels FILLBLOCK + +# Use the obstruction types for fill block on individual layers + layer obsactive FILLOBSDIFF + and-not DIFF + labels FILLOBSDIFF + + layer obspoly FILLOBSPOLY + and-not POLY + labels FILLOBSPOLY + + layer obsm1 FILLOBSM1 + and-not MET1 + labels FILLOBSM1 + + layer obsm2 FILLOBSM2 + and-not MET2 + labels FILLOBSM2 + + layer obsm3 FILLOBSM3 + and-not MET3 + labels FILLOBSM3 + + layer obsm4 FILLOBSM4 + and-not MET4 + labels FILLOBSM4 + + layer obsm5 FILLOBSM5 + and-not MET5 + labels FILLOBSM5 + + layer obsm6 FILLOBSM6 + and-not MET6 + labels FILLOBSM6 + + layer obsm7 FILLOBSM7 + and-not MET7 + labels FILLOBSM7 + + # MOS Varactor + layer hvvar POLY + and DIFF + and-not NSDBLOCK + and-not PSD + and-not CONT + and NWELL,nwelcheck + and THKOX,hvcheck + labels POLY + + # MOS Varactor contact + layer hvvarc CONT + and POLY + and DIFF + and-not NSDBLOCK + and-not PSD + and NWELL,nwelcheck + and THKOX,hvcheck + labels CONT + + # parasitic p-type varactor + layer hvpvar POLY + and DIFF + and PSD + and-not NWELL,nwelcheck + and THKOX,hvcheck + labels POLY + + calma NWELL 31 0 + calma DIFF 1 0 + calma DIFFMASK 1 20 + calma DNWELL 32 0 + calma SUBCUT 40 0 + # NSD (NPLUS) + calma NSD 7 0 + # PSD (PPLUS) + calma PSD 14 0 + # THKOX (THKOX) + calma THKOX 44 0 + calma SBLK 28 0 + # Poly resistor ID mark + calma RESDEF 24 0 + calma POLY 5 0 + calma NSDBLOCK 7 21 + calma EXTBLOCK 111 0 + calma EMITTER 33 0 + calma HVEMITTER 156 0 + # Diode ID mark + calma DIODE 99 31 + # NPN and PNP poly regions (unused) + calma EMITPOLY 55 0 + # Capacitor ID + calma CAPID 99 39 + # ESD area ID + calma ESDID 99 30 + # SRAM area ID + calma SRAMID 25 0 + # DIGITAL area ID (for DRC rules) + calma DIGITALID 16 0 + calma HEATRES 52 0 + calma HEATTRANS 51 0 + calma BIPOLARID 26 0 + calma PWELLBLK 46 21 + calma DEEPNBLK 32 21 + calma EDGESEAL 39 0 + calma IPROBE 8 33 + calma DPROBE 8 34 + calma THRUVIA 152 0 + calma TSVID 99 32 + + calma CONT 6 0 + calma MET1 8 0 + calma VIA1 19 0 + calma MET2 10 0 + calma VIA2 29 0 + calma MET3 30 0 + calma VIA3 49 0 + calma MET4 50 0 + calma VIA4 66 0 + calma MET5 67 0 + calma VIA5 125 0 + calma MIMCC 129 0 + calma MET6 126 0 + calma VIA6 133 0 + calma MET7 134 0 + + calma GLASS 9 0 + calma PADID 41 0 + calma PILLAR 41 35 + calma SOLDER 41 36 + calma RFMEMS 69 0 + + calma SUBTXT 40 25 + calma MET1TXT 8 25 + calma MET2TXT 10 25 + calma MET3TXT 30 25 + calma MET4TXT 50 25 + calma MET5TXT 67 25 + calma MET6TXT 126 25 + calma MET7TXT 134 25 + + # NOTE: POLYRES includes POLY (or doesn't; layouts are inconsistent) + calma POLYRES 128 0 + + calma MET1RES 8 29 + calma MET2RES 10 29 + calma MET3RES 30 29 + calma MET4RES 50 29 + calma MET5RES 67 29 + calma MET6RES 126 29 + calma MET7RES 134 29 + + calma DIFFFILL 1 22 + calma POLYFILL 5 22 + calma MET1FILL 8 22 + calma MET2FILL 10 22 + calma MET3FILL 30 22 + calma MET4FILL 50 22 + calma MET5FILL 67 22 + calma MET6FILL 126 22 + calma MET7FILL 134 22 + + calma MET1SLIT 8 24 + calma MET2SLIT 10 24 + calma MET3SLIT 30 24 + calma MET4SLIT 50 24 + calma MET5SLIT 67 24 + calma MET6SLIT 126 24 + calma MET7SLIT 134 24 + + calma PADPIN 9 2 + calma DIFFPIN 1 2 + calma POLYPIN 5 2 + calma WELLPIN 31 2 + calma MET1PIN 8 2 + calma MET2PIN 10 2 + calma MET3PIN 30 2 + calma MET4PIN 50 2 + calma MET5PIN 67 2 + calma MET6PIN 126 2 + calma MET7PIN 134 2 + + calma BOUND 189 * + + calma SEALBOUND 39 4 + calma INDBOUND 27 4 + calma INDUCTOR 27 0 + calma INDPIN 27 2 + calma DEVICE 99 0 + + calma LVSTEXT 63 0 + + calma MIM 36 0 + + calma FILLOBSDIFF 1 23 + calma FILLOBSPOLY 5 23 + calma FILLOBSM1 8 23 + calma FILLOBSM2 10 23 + calma FILLOBSM3 30 23 + calma FILLOBSM4 50 23 + calma FILLOBSM5 67 23 + calma FILLOBSM6 126 23 + calma FILLOBSM7 134 23 + calma FILLBLOCK 160 0 + + calma DIFFFILL 1 22 + calma POLYFILL 5 22 + calma MET1FILL 8 22 + calma MET2FILL 10 22 + calma MET3FILL 30 22 + calma MET4FILL 50 22 + calma MET5FILL 67 22 + calma MET6FILL 126 22 + calma MET7FILL 134 22 + + calma NORCX 148 * + calma DIFFNORCX 1 28 + calma POLYNORCX 5 28 + calma MET1NORCX 8 28 + calma MET2NORCX 10 28 + calma MET3NORCX 30 28 + calma MET4NORCX 50 28 + calma MET5NORCX 67 28 + calma MET6NORCX 126 28 + calma MET7NORCX 134 28 + +end + +#----------------------------------------------------- +# Digital flow maze router cost parameters +#----------------------------------------------------- + +mzrouter +end + +#----------------------------------------------------- +# Vendor DRC rules +#----------------------------------------------------- + +drc + + style drc variants (fast),(full),(routing) + scalefactor 10 + cifstyle drc + + variants (fast),(full) + +#----------------------------- +# DNWELL (nBuLay) +#----------------------------- + + # ORIGINAL RULE: width dnwell 1000 "Deep N-well width < %d (NBL.a)" + width dnwell 1000 "Deep N-well width < %d (NBL.a) [paint dnwell]" + spacing dnwell dnwell 1500 touching_ok "Deep N-well spacing, same net < %d (NBL.b) [erase dnwell]" + # spacing dnwell dnwell 3200 touching_illegal "Deep N-well spacing, different net < %d (NBL.c)" + spacing dnwell allnwell 2200 surround_ok "Deep N-well spacing to N-well < %d (NBL.d) [erase dnwell,nbase nwell obswell]" + spacing dnwell allnactive 1000 surround_ok "Deep N-well spacing to N-diffusion < %d (NBL.e) [erase dnwell,hvndcontact hvndiffres hvndiffusion hvnsubstratencontact hvnsubstratendiff hvntransistor hvntransistoresd ndcontact ndiffusion ndiode ndiodecont nsubstratencontact nsubstratendiff ntransistor]" + spacing dnwell allpactive 500 surround_ok "Deep N-well spacing to P-diffusion < %d (NBL.f) [erase dnwell,hvpdcontact hvpdiffusion hvpsubstratepcontact hvpsubstratepdiff hvptransistor hvptransistoresd pdcontact pdiffusion pdiode pdiodecont psubstratepcontact psubstratepdiff ptransistor]" + + # NOTE: Nwell > 3um has generated dnwell implant and must be considered here. + # Also: Illustration shows pwell:block regions connected to a deep nwell as being considered part + # of the nwell for the purpose of checking DRC rules. + + variants (full) + cifmaxwidth dwell_overlap_of_active 0 bend_illegal \ + "Deep N-well enclosure of N-diffusion in P-well < 1.24um (nmosi.b)" + cifmaxwidth nwell_space_to_pwell_active 0 bend_illegal \ + "N-well space to diffusion in P-well < %d (nmosi.c)" + + # Assuming that any nwell overlapping a deep nwell edge must satisfy + # rule nmosi.d is more conservative than the rule is written. May + # warrant revisiting. + cifmaxwidth nwell_missing 0 bend_illegal \ + "N-well overlap of deep N-well < 0.62um inside (nmosi.d)" + + # NOTE: This rule check has been disabled because the nmoscl_* layouts + # violate it; it is not clear why it should be a rule. + ## cifmaxwidth unconnected_pwell_ptap 0 bend_illegal \ + ## "P-well contact unabutted to a MOS device is not allowed (nmosi.e1)" + variants * + +#----------------------------- +# NWELL +#----------------------------- + + # ORIGINAL RULE: width allnwell 620 "N-well width < %d (NW.a)" + width allnwell 620 "N-well width < %d (NW.a) [paint nbase,nwell,obswell]" + # NOTE: This spacing rule should only count areas with pwell block. Areas without pwell + # block should be merged automatically during GDS generation. + spacing allnwell allnwell 620 touching_ok "N-well spacing < %d (NW.b) [erase nbase nwell obswell]" + + surround *pdiff,*pdiode,pfet allnwell 310 absence_illegal "N-well overlap of P-Diffusion < %d (NW.c) [erase pdcontact pdiffusion pdiode pdiodecont ptransistor,nbase nwell obswell]" + surround *hvpdiff,hvpfet,hvpfetesd allnwell 620 absence_illegal "N-well overlap of HV P-Diffusion < %d (NW.c1) [erase hvpdcontact hvpdiffusion hvptransistor hvptransistoresd,nbase nwell obswell]" + spacing *ndiff,*ndiode,nfet allnwell 310 touching_illegal "N-Diffusion spacing to N-well < %d (NW.d) [erase ndcontact ndiffusion ndiode ndiodecont ntransistor,nbase nwell obswell]" + spacing *hvndiff,hvnfet allnwell 620 touching_illegal "HV N-Diffusion spacing to N-well < %d (NW.d1) [erase hvndcontact hvndiffusion hvntransistor,nbase nwell obswell]" + surround *nsd allnwell 240 absence_illegal "N-well overlap of N-tap < %d (NW.e) [erase nsubstratencontact nsubstratendiff,nbase nwell obswell]" + spacing *psd allnwell 240 touching_illegal "P-tap spacing to N-well < %d (NW.f) [erase psubstratepcontact psubstratepdiff,nbase nwell obswell]" + spacing *hvpsd allnwell 620 touching_illegal "HV P-tap spacing to N-well < %d (NW.f1) [erase hvpsubstratepcontact hvpsubstratepdiff,nbase nwell obswell]" + surround *schottky allnwell 620 absence_illegal "N-well overlap of HV N-tap < %d (NW.e1) [erase schottky schottkycont,nbase nwell obswell]" + + # N-well surround rule needs to accommodate the SCR device, + # in which nwell stops at the hvndiffres edge. + # surround *hvnsd allnwell 0 absence_illegal \ + # "N-well overlap of HV N-tap < %d (NW.e1)" + edge4way *hvnsd ~(*hvnsd,hvndiffres)/a 620 allnwell 0 0 \ + "N-well overlap of HV N-tap < %d (NW.e1)" + +#----------------------------- +# DIFF +#----------------------------- + + # ORIGINAL RULE: width alldiff,isodiffres,hvisodiffres,sealc 150 "Diffusion width < %d (Act.a)" + width alldiff,isodiffres,hvisodiffres,sealc 150 "Diffusion width < %d (Act.a) [paint hvisodiffres,hvndcontact,hvndiffres,hvndiffusion,hvnsubstratencontact,hvnsubstratendiff,hvntransistor,hvntransistoresd,hvpdcontact,hvpdiffusion,hvpsubstratepcontact,hvpsubstratepdiff,hvptransistor,hvptransistoresd,hvpvaractor,hvvaractor,hvvarcontact,isodiffres,ndcontact,ndiffusion,ndiode,ndiodecont,nsubstratencontact,nsubstratendiff,ntransistor,pdcontact,pdiffusion,pdiode,pdiodecont,psubstratepcontact,psubstratepdiff,ptransistor,sealcont]" + spacing alldiff,sealc alldiff,sealc 210 touching_illegal "Diffusion spacing < %d (Act.b) [erase hvndcontact hvndiffres hvndiffusion hvnsubstratencontact hvnsubstratendiff hvntransistor hvntransistoresd hvpdcontact hvpdiffusion hvpsubstratepcontact hvpsubstratepdiff hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact ndcontact ndiffusion ndiode ndiodecont nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffusion pdiode pdiodecont psubstratepcontact psubstratepdiff ptransistor sealcont]" + + overhang *ndiff nfet 230 "N-Diffusion overhang of nFET < %d (Act.c)" + overhang *pdiff pfet 230 "P-Diffusion overhang of pFET < %d (Act.c)" + overhang *hvndiff hvnfet,hvnfetesd 230 "N-Diffusion overhang of nFET < %d (Act.c)" + overhang *hvpdiff hvpfet,hvpfetesd 230 "P-Diffusion overhang of pFET < %d (Act.c)" + + area alldiff 122000 150 "Diffusion minimum area < 0.122um^2 (Act.d)" + + variants (full) + cifmaxwidth diff_hole_empty 0 bend_illegal \ + "Minimum area of diffusion holes > 0.15um^2 (Act.e)" + + # Latchup rules + cifmaxwidth ptap_missing 0 bend_illegal \ + "N-diff distance to P-tap must be < 20.0um (LU.b)" + cifmaxwidth dptap_missing 0 bend_illegal \ + "N-diff distance to P-tap in deep nwell must be < 20.0um (LU.b)" + cifmaxwidth ntap_missing 0 bend_illegal \ + "P-diff distance to N-tap must be < 20.0um (LU.a)" + cifmaxwidth tap_far_from_contact 0 bend_illegal \ + "Extension of tie beyond diffusion contact < 6.0um (LU.c, LU.c1)" + cifmaxwidth tap_far_from_tap_contact 0 bend_illegal \ + "Extension of tie diffusion beyond tie contact < 6.0um (LU.d, LU.d1)" + + # ORIGINAL RULE: width difffill,fillfet 1000 "Diff fill width < %d (AFil.a1)" + width difffill,fillfet 1000 "Diff fill width < %d (AFil.a1) [paint difffill,fillfet]" + maxwidth difffill,fillfet 5000 both "Diff fill width > %d (AFil.a)" + spacing difffill,fillfet difffill,fillfet 420 touching_ok "Diff fill spacing < %d (AFil.b) [erase difffill fillfet]" + spacing difffill,fillfet alldiff 420 touching_illegal "Diff fill spacing to diffusion < %d (AFil.c1) [erase difffill fillfet,hvndcontact hvndiffres hvndiffusion hvnsubstratencontact hvnsubstratendiff hvntransistor hvntransistoresd hvpdcontact hvpdiffusion hvpsubstratepcontact hvpsubstratepdiff hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact ndcontact ndiffusion ndiode ndiodecont nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffusion pdiode pdiodecont psubstratepcontact psubstratepdiff ptransistor]" + spacing difffill,fillfet *poly,obspoly 1100 touching_illegal "Diff fill spacing to Poly < %d (AFil.c) [erase difffill fillfet,obspoly pcontact polysilicon]" + spacing difffill,fillfet ndc,pdc,nsc,psc,ndic,pdic,hvndc,hvpdc,hvnsc,hvpsc \ + 1100 touching_illegal "Diff fill spacing to Contact < %d (AFil.c)" + spacing difffill,fillfet nwell 1000 surround_ok "Diff fill spacing to Nwell < %d (AFil.d) [erase difffill fillfet,nwell]" + spacing difffill,fillfet dnwell 1000 touching_illegal "Diff fill spacing to Deep nwell < %d (AFil.d) [erase difffill fillfet,dnwell]" + spacing difffill npn,pnp 1000 touching_illegal "Diff fill spacing to bipolar transistor < %d (AFil.e) [erase difffill,nbase pbase]" + angle difffill,fillfet 90 "Only 90 degree angles permitted on Diff fill (AFil.i)" + + # To be done: Afil.i, and Afil.j + + variants (full) + cifarea butted_tap 90000 250 "Diff area of butted tie < 0.09um^2 (pSD.g)" + cifmaxwidth butted_tap_short 0 bend_illegal "Diff tap length < 0.30um (pSD.e/f) + variants * + + # Length of source/drain areas where butted taps exist + edge4way pfet *pdiff 300 ~(*nsd)/a 0 0 "pSD must overhang pFET by %dum (pSD.i)" + edge4way hvpfet *hvpdiff 400 ~(*hvnsd)/a 0 0 \ + "pSD must overhang HV pFET by %dum (pSD.i1)" + edge4way nfet *ndiff 300 ~(*psd)/a 0 0 "pSD must be %dum from nFET (pSD.j)" + edge4way hvnfet *hvndiff 400 ~(*hvpsd)/a 0 0 "pSD must be %dum from HV nFET (pSD.j1)" + + edge4way hvndiff hvnfet 450 hvnfet 0 0 \ + "HV NMOS minimum length < %d (Gat.a3)" + + # Rules for NSDBLOCK implicitly defined by (hv)isodiffres + + spacing isodiffres *pdiff,*hvpdiff 660 touching_illegal "isodiffres spacing to P-diffusion < %d (nSDB.c + overlaps) [erase isodiffres,hvpdcontact hvpdiffusion pdcontact pdiffusion]" + spacing isodiffres *psd,*hvpsd 510 touching_ok "isodiffres spacing to P+ diffusion < %d (nSDB.c + overlaps) [erase isodiffres,hvpsubstratepcontact hvpsubstratepdiff psubstratepcontact psubstratepdiff]" + spacing hvisodiffres *pdiff,*hvpdiff 690 touching_illegal "isodiffres spacing to P-diffusion < %d (nSDB.c + overlaps) [erase hvisodiffres,hvpdcontact hvpdiffusion pdcontact pdiffusion]" + spacing hvisodiffres *psd,*hvpsd 540 touching_ok "isodiffres spacing to P+ diffusion < %d (nSDB.c + overlaps) [erase hvisodiffres,hvpsubstratepcontact hvpsubstratepdiff psubstratepcontact psubstratepdiff]" + +#----------------------------- +# POLY +#----------------------------- + + variants * + # ORIGINAL RULE: width allpoly 130 "poly width < %d (Gat.a)" + width allpoly 130 "poly width < %d (Gat.a) [paint hvntransistor,hvntransistoresd,hvptransistor,hvptransistoresd,hvpvaractor,hvvaractor,hvvarcontact,npolyres,ntransistor,pcontact,polysilicon,ppolyres,ptransistor,xpolyres]" + spacing allpoly allpoly 180 touching_ok "poly spacing < %d (Gat.b) [erase hvntransistor hvntransistoresd hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact npolyres ntransistor pcontact polysilicon ppolyres ptransistor xpolyres]" + + spacing allpolynonfet alldiff 70 corner_ok allfets \ + "poly spacing to Diffusion < %d (Gat.d)" + + rect_only allnfets,allpfets,hvpvar "No bends in transistors (Gat.f)" + + overhang *poly allfetsstd 180 "Poly overhang of transistor < %d (Gat.c)" + + angles allpoly 45 "Only 45 degree angles permitted on poly (x.2)" + + width allpoly 160 angles "Poly drawn at 45 degrees width < %d (Gat.g)" + + area allpoly 90000 130 "Poly minimum area < 0.09um^2 (Gat.e)" + + # NOTE: Need to implement Gat.b1 as cifspacing rule + + # ORIGINAL RULE: width polyfill,fillfet 700 "Poly fill width < %d (GFil.b)" + width polyfill,fillfet 700 "Poly fill width < %d (GFil.b) [paint fillfet,polyfill]" + maxwidth polyfill,fillfet 5000 both " (GFil.a)" + spacing polyfill,fillfet polyfill,fillfet 800 touching_ok "Poly fill spacing < %d (GFil.c) [erase fillfet polyfill]" + # To do: add spacing requirements to devices with P+, N+-block, and SBLK + spacing polyfill,fillfet alldiff,*poly,obspoly 1100 touching_illegal "Poly fill spacing to Poly and Diffusion < %d (GFil.d) [erase fillfet polyfill,hvndcontact hvndiffres hvndiffusion hvnsubstratencontact hvnsubstratendiff hvntransistor hvntransistoresd hvpdcontact hvpdiffusion hvpsubstratepcontact hvpsubstratepdiff hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact ndcontact ndiffusion ndiode ndiodecont nsubstratencontact nsubstratendiff ntransistor obspoly pcontact pdcontact pdiffusion pdiode pdiodecont polysilicon psubstratepcontact psubstratepdiff ptransistor]" + spacing polyfill,fillfet nwell 1100 touching_illegal "Poly fill spacing to Nwell < %d (GFil.e) [erase fillfet polyfill,nwell]" + spacing polyfill,fillfet dnwell 1100 touching_illegal "Poly fill spacing to Deep nwell < %d (GFil.e) [erase fillfet polyfill,dnwell]" + spacing polyfill npn,pnp 1100 touching_illegal "Poly fill spacing to bipolar transistor < %d (GFil.f) [erase polyfill,nbase pbase]" + overhang *polyfill fillfet 180 "Poly fill overhang of Diff fill < %d (GFil.j)" + angle polyfill,fillfet 90 "Only 90 degree angles permitted on Poly fill (GFil.k)" + + # To do: max area rule GFil.i + +#-------------------------------------------------------------------- +# CONT (contact between poly/diff and M1) +#-------------------------------------------------------------------- + + # ORIGINAL RULE: width ndc/m1 160 "N-diffusion contact width < %d (Cnt.a)" + width ndc/m1 160 "N-diffusion contact width < %d (Cnt.a) [paint ndcontact/m1]" + # ORIGINAL RULE: width nsc/m1 160 "N-tap contact width < %d (Cnt.a)" + width nsc/m1 160 "N-tap contact width < %d (Cnt.a) [paint nsubstratencontact/m1]" + # ORIGINAL RULE: width pdc/m1 160 "P-diffusion contact width < %d (Cnt.a)" + width pdc/m1 160 "P-diffusion contact width < %d (Cnt.a) [paint pdcontact/m1]" + # ORIGINAL RULE: width psc/m1 160 "P-tap contact width < %d (Cnt.a)" + width psc/m1 160 "P-tap contact width < %d (Cnt.a) [paint psubstratepcontact/m1]" + # ORIGINAL RULE: width ndic/m1 160 "N-diode contact width < %d (Cnt.a)" + width ndic/m1 160 "N-diode contact width < %d (Cnt.a) [paint ndiodecont/m1]" + # ORIGINAL RULE: width pdic/m1 160 "P-diode contact width < %d (Cnt.a)" + width pdic/m1 160 "P-diode contact width < %d (Cnt.a) [paint pdiodecont/m1]" + # ORIGINAL RULE: width sdic/m1 160 "Schottky-diode contact width < %d (Cnt.a)" + width sdic/m1 160 "Schottky-diode contact width < %d (Cnt.a) [paint schottkycont/m1]" + # ORIGINAL RULE: width pc/m1 160 "poly contact width < %d (Cnt.a)" + width pc/m1 160 "poly contact width < %d (Cnt.a) [paint pcontact/m1]" + + # ORIGINAL RULE: width hvndc/m1 160 "N-diffusion contact width < %d (Cnt.a)" + width hvndc/m1 160 "N-diffusion contact width < %d (Cnt.a) [paint hvndcontact/m1]" + # ORIGINAL RULE: width hvnsc/m1 160 "N-tap contact width < %d (Cnt.a)" + width hvnsc/m1 160 "N-tap contact width < %d (Cnt.a) [paint hvnsubstratencontact/m1]" + # ORIGINAL RULE: width hvpdc/m1 160 "P-diffusion contact width < %d (Cnt.a)" + width hvpdc/m1 160 "P-diffusion contact width < %d (Cnt.a) [paint hvpdcontact/m1]" + # ORIGINAL RULE: width hvpsc/m1 160 "P-tap contact width < %d (Cnt.a)" + width hvpsc/m1 160 "P-tap contact width < %d (Cnt.a) [paint hvpsubstratepcontact/m1]" + + # ORIGINAL RULE: width sealc 160 "Seal contact width < %d (Seal.c)" + width sealc 160 "Seal contact width < %d (Seal.c) [paint sealcont]" + + surround sealc psd 1300 absence_illegal "Seal diffusion overlap of seal contact < %d (Seal.d) [erase sealcont,psubstratepdiff]" + + # NOTE: All spacing rules should include a "widespacing" to 4+ contact + # areas (Cnt.b1). However, this rule being enforced in only one + # direction, it is not clear that this is usefully implemented in magic. + + spacing allpdiffcont allndiffcont 180 touching_illegal "Diffusion contact spacing < %d (Cnt.b) [erase hvpdcontact hvpsubstratepcontact pdcontact pdiodecont psubstratepcontact,hvndcontact hvnsubstratencontact ndcontact ndiodecont nsubstratencontact]" + spacing allndiffcont allndiffcont 180 touching_ok "Diffusion contact spacing < %d (Cnt.b) [erase hvndcontact hvnsubstratencontact ndcontact ndiodecont nsubstratencontact]" + spacing allpdiffcont allpdiffcont 180 touching_ok "Diffusion contact spacing < %d (Cnt.b) [erase hvpdcontact hvpsubstratepcontact pdcontact pdiodecont psubstratepcontact]" + spacing pc pc 180 touching_ok "Poly1 contact spacing < %d (Cnt.b) [erase pcontact]" + + spacing pc alldiff 140 touching_illegal "Poly contact spacing to diffusion < %d (Cnt.e) [erase pcontact,hvndcontact hvndiffres hvndiffusion hvnsubstratencontact hvnsubstratendiff hvntransistor hvntransistoresd hvpdcontact hvpdiffusion hvpsubstratepcontact hvpsubstratepdiff hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact ndcontact ndiffusion ndiode ndiodecont nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffusion pdiode pdiodecont psubstratepcontact psubstratepdiff ptransistor]" + + spacing (ndc,nsc,pdc,psc,ndic,pdic)/a *poly,allfets 110 touching_illegal "Diffusion contact spacing to poly < %d (Cnt.f) [erase 1 1/a ndiodecont nsubstratencontact pdcontact psubstratepcontact,hvntransistor hvntransistoresd hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact ntransistor pcontact polysilicon ptransistor]" + spacing (hvndc,hvnsc,hvpdc,hvpsc)/a *poly,allfets 110 touching_illegal "Diffusion contact spacing to poly < %d (Cnt.f) [erase 1 1/a hvnsubstratencontact hvpdcontact,hvntransistor hvntransistoresd hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact ntransistor pcontact polysilicon ptransistor]" + + surround ndc/a *ndiff,nfet 70 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (Cnt.c) [erase ndcontact/a,ndcontact ndiffusion ntransistor]" + surround pdc/a *pdiff,pfet 70 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (Cnt.c) [erase pdcontact/a,pdcontact pdiffusion ptransistor]" + surround nsc/a *nsd 70 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (Cnt.c) [erase nsubstratencontact/a,nsubstratencontact nsubstratendiff]" + surround psc/a *psd 70 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (Cnt.c) [erase psubstratepcontact/a,psubstratepcontact psubstratepdiff]" + surround ndic/a *ndi 70 absence_illegal "N-diode overlap of N-diode contact < %d (Cnt.c) [erase ndiodecont/a,ndiode ndiodecont]" + surround pdic/a *pdi 70 absence_illegal "P-diode overlap of P-diode contact < %d (Cnt.c) [erase pdiodecont/a,pdiode pdiodecont]" + + surround hvndc/a *hvndiff,hvnfet,hvnfetesd 70 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (Cnt.c) [erase hvndcontact/a,hvndcontact hvndiffusion hvntransistor hvntransistoresd]" + surround hvpdc/a *hvpdiff,hvpfet,hvpfetesd 70 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (Cnt.c) [erase hvpdcontact/a,hvpdcontact hvpdiffusion hvptransistor hvptransistoresd]" + surround hvnsc/a *hvnsd 70 absence_illegal "N-diffusion overlap of N-diffusion contact < %d (Cnt.c) [erase hvnsubstratencontact/a,hvnsubstratencontact hvnsubstratendiff]" + surround hvpsc/a *hvpsd 70 absence_illegal "P-diffusion overlap of P-diffusion contact < %d (Cnt.c) [erase hvpsubstratepcontact/a,hvpsubstratepcontact hvpsubstratepdiff]" + + surround pc/a *poly 70 absence_illegal "poly overlap of poly contact < %d (Cnd.d) [erase pcontact/a,pcontact polysilicon]" + + surround pc/m1 *m1,rm1 50 directional "Metal1 overlap of poly contact < %d in one direction (M1.c1) [erase pcontact/m1,hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont]" + surround (ndc,nsc)/m1 *m1,rm1 50 directional "Metal1 overlap of N-diffusion contact < %d in one direction (M1.c1) [erase 1 1/m1,hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont]" + surround (pdc,psc)/m1 *m1,rm1 50 directional "Metal1 overlap of P-diffusion contact < %d in one direction (M1.c1) [erase 1 1/m1,hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont]" + surround (hvndc,hvnsc)/m1 *m1,rm1 50 directional "Metal1 overlap of HV N-diffusion contact < %d in one direction (M1.c1) [erase 1 1/m1,hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont]" + surround (hvpdc,hvpsc)/m1 *m1,rm1 50 directional "Metal1 overlap of HV P-diffusion contact < %d in one direction (M1.c1) [erase 1 1/m1,hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont]" + + exact_overlap (allcont)/a + + spacing *psd ndc/a 90 touching_illegal \ + "Min. pSD space to Cont < %d (Cnt.g1) + edge4way ndiff *psd 90 ~(psc)/a 0 0 \ + "Min. pSD overlap of Cont < %d (Cnt.g2) + + variants (full) + cifwidth seal_active 3500 "EdgeSeal-Activ width < 3.5um (Seal.a)" + variants * + +#------------------------------------------------------------- +# CONTBAR +#------------------------------------------------------------- + + # This rule is violated in all example cells. + # surround pbc,sdic m1 50 absence_illegal \ + # "Metal enclosure of ContBar < %d (CntB.h1)" + + variants (full) + cifmaxwidth contbar_enclosure_error 0 bend_illegal \ + "Metal enclosure of ContBar < 0.05um (CntB.h1)" + variants * + +#------------------------------------------------------------- +# METAL1 - +#------------------------------------------------------------- + + # ORIGINAL RULE: width *m1,rm1,iprobe,diffprobe,sealv1 160 "Metal1 width < %d (M1.a)" + width *m1,rm1,iprobe,diffprobe,sealv1 160 "Metal1 width < %d (M1.a) [paint diffprobe,hvndcontact,hvnecontact,hvnsubstratencontact,hvpdcontact,hvpsubstratepcontact,hvvarcontact,iprobe,m2contact,metal1,ndcontact,ndiodecont,necontact,nsubstratencontact,pcontact,pdcontact,pdiodecont,psubstratepcontact,rmetal1,schottkycont,sealvia1]" + spacing allm1,diffprobe,sealv1 allm1,diffprobe,*obsm1,sealv1 180 touching_ok "Metal1 spacing < %d (M1.b) [erase diffprobe hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact iprobe m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont sealvia1,diffprobe hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact iprobe m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact obsm1 pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont sealvia1]" + area allm1,diffprobe,*obsm1 90000 160 "Metal1 minimum area < %a (M1.d)" + + angles allm1 45 "Only 45 and 90 degree angles permitted on metal1 (Grid Rules)" + + width *m1,rm1 200 angles "Metal1 drawn at 45 degrees width < %d (M1.g)" + + # NOTE: M1.i is probably not implementable in magic (would require "spacing ... angles" + # implementation similar to "width ... angles"). + +variants (fast),(full) +widespacing allm1,sealv1 305 1005 allm1,*obsm1 220 touching_ok \ + "Metal1 > 0.3um with runlength > 1.0um spacing to unrelated m1 < %d (M1.e)" +widespacing *obsm1 305 1005 allm1 220 touching_ok \ + "Metal1 > 0.3um with runlength > 1.0um spacing to unrelated m1 < %d (M1.e)" + +widespacing allm1,sealv1 10005 10005 allm1,*obsm1 600 touching_ok \ + "Metal1 > 10.0um with runlength > 10.0um spacing to unrelated m1 < %d (M1.f)" +widespacing *obsm1 10005 10005 allm1 600 touching_ok \ + "Metal1 > 10.0um with runlength > 10.0um spacing to unrelated m1 < %d (M1.f)" +variants * + + # ORIGINAL RULE: width m1fill 1000 "Metal1 fill width < %d (MFil.a1)" + width m1fill 1000 "Metal1 fill width < %d (MFil.a1) [paint m1fill]" + maxwidth m1fill 5000 both "Metal1 fill width > %d (MFil.a2)" + spacing m1fill m1fill 420 touching_ok "Metal1 fill spacing < %d (MFil.b) [erase m1fill]" + spacing m1fill allm1,obsm1 420 touching_illegal "Metal1 fill spacing to Metal1 < %d (MFil.c) [erase m1fill,hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact iprobe m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact obsm1 pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont]" + spacing m1fill npn,pnp 1000 touching_illegal "Metal1 fill spacing to bipolar transistor < %d (MFil.d) [erase m1fill,nbase pbase]" + angle m1fill 90 "Only 90 degree angles permitted on Metal1 fill (MFil.i)" + +variants (full) + maxwidth *m1 30000 bend_ok "Metal1 maximum width without slots < %d (Slt.c)" + cifwidth seal_metal1 3500 "EdgeSeal-Metal1 width < 3.5um (Seal.a)" +variants * + +#-------------------------------------------------- +# VIA1 +#-------------------------------------------------- + + # ORIGINAL RULE: width v1/m1 200 "Via1 width < %d (V1.a + 2 * M2.c)" + width v1/m1 200 "Via1 width < %d (V1.a + 2 * M2.c) [paint m2contact/m1]" + spacing v1 v1 210 touching_ok "Via1 spacing < %d (V1.b - 2 * M2.c) [erase m2contact]" + surround v1/m1 *m1,rm1 5 absence_illegal "Metal1 overlap of Via1 < %d (V1.c - M2.c) [erase m2contact/m1,hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont]" + surround v1/m1 *m1,rm1 45 directional "Metal1 overlap of Via1 < %d in one direction (V1.c1 - M2.c) [erase m2contact/m1,hvndcontact hvnecontact hvnsubstratencontact hvpdcontact hvpsubstratepcontact hvvarcontact m2contact metal1 ndcontact ndiodecont necontact nsubstratencontact pcontact pdcontact pdiodecont psubstratepcontact rmetal1 schottkycont]" + surround v1/m2 *m2,rm2 45 directional "Metal2 overlap of Via1 < %d in one direction (M2.c1 - M2.c) [erase m2contact/m2,m2contact m3contact metal2 rmetal2]" + + exact_overlap v1/m1 + + # ORIGINAL RULE: width sealv1 190 "Seal via1 width < %d (Seal.c1)" + width sealv1 190 "Seal via1 width < %d (Seal.c1) [paint sealvia1]" + +#-------------------------------------------------- +# METAL2 - +#-------------------------------------------------- + + # ORIGINAL RULE: width allm2,sealv2 200 "Metal2 width < %d (M2.a)" + width allm2,sealv2 200 "Metal2 width < %d (M2.a) [paint m2contact,m3contact,metal2,rmetal2,sealvia2]" + spacing allm2,sealv2 allm2,sealv2,obsm2 210 touching_ok "Metal2 spacing < %d (M2.b) [erase m2contact m3contact metal2 rmetal2 sealvia2,m2contact m3contact metal2 obsm2 rmetal2 sealvia2]" + area allm2,obsm2 144000 200 "Metal2 minimum area < %a (M2.d)" + + angles allm2 45 "Only 45 and 90 degree angles permitted on metal2 (Grid Rules)" + + width *m2,rm2 240 angles "Metal2 drawn at 45 degrees width < %d (M2.g)" + + # NOTE: M2.i is probably not implementable in magic (would require "spacing ... angles" + # implementation similar to "width ... angles"). + + variants (fast),(full) + widespacing allm2,sealv2 395 1005 allm2,*obsm2 240 touching_ok \ + "Metal2 > 0.39um with runlength > 1.0um spacing to unrelated m2 < %d (M2.e)" + widespacing *obsm2 395 1005 allm2 240 touching_ok \ + "Metal2 > 0.39um with runlength > 1.0um spacing to unrelated m2 < %d (M2.e)" + + widespacing allm2,sealv2 10005 10005 allm2,*obsm2 600 touching_ok \ + "Metal2 > 10.0um with runlength > 10.0um spacing to unrelated m2 < %d (M2.f)" + widespacing *obsm2 10005 10005 allm2 600 touching_ok \ + "Metal2 > 10.0um with runlength > 10.0um spacing to unrelated m2 < %d (M2.f)" + variants * + + # ORIGINAL RULE: width m2fill 1000 "Metal2 fill width < %d (MFil.a1)" + width m2fill 1000 "Metal2 fill width < %d (MFil.a1) [paint m2fill]" + maxwidth m2fill 5000 both "Metal2 fill width > %d (MFil.a2)" + spacing m2fill m2fill 420 touching_ok "Metal2 fill spacing < %d (MFil.b) [erase m2fill]" + spacing m2fill allm2,obsm2 420 touching_illegal "Metal2 fill spacing to Metal2 < %d (MFil.c) [erase m2fill,m2contact m3contact metal2 obsm2 rmetal2]" + spacing m2fill npn,pnp 1000 touching_illegal "Metal2 fill spacing to bipolar transistor < %d (MFil.d) [erase m2fill,nbase pbase]" + angle m2fill 90 "Only 90 degree angles permitted on Metal2 fill (MFil.i)" + +variants (full) + maxwidth *m2 30000 bend_ok "Metal2 maximum width without slots < %d (Slt.c)" + cifwidth seal_metal2 3500 "EdgeSeal-Metal2 width < 3.5um (Seal.a)" +variants * + +#-------------------------------------------------- +# VIA2 +#-------------------------------------------------- + + # ORIGINAL RULE: width v2/m2 200 "via2 width < %d (V2.a + 2 * V2.c)" + width v2/m2 200 "via2 width < %d (V2.a + 2 * V2.c) [paint m3contact/m2]" + + spacing v2 v2 210 touching_ok "via2 spacing < %d (V2.b - 2 * V2.c) [erase m3contact]" + + surround v2/m2 *m2,rm2 45 directional "Metal2 overlap of via2 < %d in one direction (V2.c1 - V2.c) [erase m3contact/m2,m2contact m3contact metal2 rmetal2]" + surround v2/m3 *m3,rm3 45 directional "Metal3 overlap of via2 < %d in one direction (M3.c1 - V2.c) [erase m3contact/m3,m3contact metal3 rmetal3 via3]" + + exact_overlap v2/m2 + + # ORIGINAL RULE: width sealv2 190 "Seal via2 width < %d (Seal.c1)" + width sealv2 190 "Seal via2 width < %d (Seal.c1) [paint sealvia2]" + +#-------------------------------------------------- +# METAL3 - +#-------------------------------------------------- + + # ORIGINAL RULE: width allm3,sealv3 200 "Metal3 width < %d (M3.a)" + width allm3,sealv3 200 "Metal3 width < %d (M3.a) [paint m3contact,metal3,rmetal3,sealvia3,via3]" + spacing allm3,sealv3 allm3,sealv3,obsm3 210 touching_ok "Metal3 spacing < %d (M3.b) [erase m3contact metal3 rmetal3 sealvia3 via3,m3contact metal3 obsm3 rmetal3 sealvia3 via3]" + area allm3,obsm3 144000 200 "Metal3 minimum area < %a (M3.d)" + + angles allm3 45 "Only 45 and 90 degree angles permitted on metal3 (Grid Rules)" + + width *m3,rm3 240 angles "Metal3 drawn at 45 degrees width < %d (M3.g)" + + # NOTE: M3.i is probably not implementable in magic (would require "spacing ... angles" + # implementation similar to "width ... angles"). + + variants (fast),(full) + widespacing allm3,sealv3 395 1005 allm3,*obsm3 240 touching_ok \ + "Metal3 > 0.39um with runlength > 1.0um spacing to unrelated m3 < %d (M3.e)" + widespacing *obsm3 395 1005 allm3 240 touching_ok \ + "Metal3 > 0.39um with runlength > 1.0um spacing to unrelated m3 < %d (M3.e)" + + widespacing allm3,sealv3 10005 10005 allm3,*obsm3 600 touching_ok \ + "Metal3 > 10.0um with runlength > 10.0um spacing to unrelated m3 < %d (M3.f)" + widespacing *obsm3 10005 10005 allm3 600 touching_ok \ + "Metal3 > 10.0um with runlength > 10.0um spacing to unrelated m3 < %d (M3.f)" + variants * + + # ORIGINAL RULE: width m3fill 1000 "Metal3 fill width < %d (MFil.a1)" + width m3fill 1000 "Metal3 fill width < %d (MFil.a1) [paint m3fill]" + maxwidth m3fill 5000 both "Metal3 fill width > %d (MFil.a2)" + spacing m3fill m3fill 420 touching_ok "Metal3 fill spacing < %d (MFil.b) [erase m3fill]" + spacing m3fill allm3,obsm3 420 touching_illegal "Metal3 fill spacing to Metal3 < %d (MFil.c) [erase m3fill,m3contact metal3 obsm3 rmetal3 via3]" + spacing m3fill npn,pnp 1000 touching_illegal "Metal3 fill spacing to bipolar transistor < %d (MFil.d) [erase m3fill,nbase pbase]" + angle m3fill 90 "Only 90 degree angles permitted on Metal3 fill (MFil.i)" + +variants (full) + maxwidth *m3 30000 bend_ok "Metal3 maximum width without slots < %d (Slt.c)" + cifwidth seal_metal3 3500 "EdgeSeal-Metal3 width < 3.5um (Seal.a)" +variants * + +#-------------------------------------------------- +# VIA3 - +#-------------------------------------------------- + + # ORIGINAL RULE: width v3/m3 200 "via3 width < %d (V3.a + 2 * V3.c)" + width v3/m3 200 "via3 width < %d (V3.a + 2 * V3.c) [paint via3/m3]" + + spacing v3 v3 210 touching_ok "via3 spacing < %d (V3.b - 2 * V3.c) [erase via3]" + + surround v3/m3 *m3,rm3 45 directional "Metal3 overlap of via3 < %d in one direction (V3.c1 - V3.c) [erase via3/m3,m3contact metal3 rmetal3 via3]" + surround v3/m4 *m4,rm4 45 directional "Metal4 overlap of via3 < %d in one direction (M4.c1 - V3.c) [erase via3/m4,metal4 rmetal4 via3 via4]" + + exact_overlap v3/m3 + + # ORIGINAL RULE: width sealv3 190 "Seal via3 width < %d (Seal.c1)" + width sealv3 190 "Seal via3 width < %d (Seal.c1) [paint sealvia3]" + +#-------------------------------------------------- +# METAL4 - +#-------------------------------------------------- + + # ORIGINAL RULE: width allm4,sealv4 200 "Metal4 width < %d (M4.a)" + width allm4,sealv4 200 "Metal4 width < %d (M4.a) [paint metal4,rmetal4,sealvia4,via3,via4]" + spacing allm4,sealv4 allm4,obsm4,sealv4 210 touching_ok "Metal4 spacing < %d (M4.b) [erase metal4 rmetal4 sealvia4 via3 via4,metal4 obsm4 rmetal4 sealvia4 via3 via4]" + area allm4,obsm4 144000 200 "Metal4 minimum area < %a (M4.d)" + + angles allm4 45 "Only 45 and 90 degree angles permitted on metal4 (Grid Rules)" + + width *m4,rm4 240 angles "Metal4 drawn at 45 degrees width < %d (M4.g)" + + # NOTE: M4.i is probably not implementable in magic (would require "spacing ... angles" + # implementation similar to "width ... angles"). + + variants (fast),(full) + widespacing allm4,sealv4 395 1005 allm4,*obsm4 240 touching_ok \ + "Metal4 > 0.39um with runlength > 1.0um spacing to unrelated m4 < %d (M4.e)" + widespacing *obsm4 395 1005 allm4 240 touching_ok \ + "Metal4 > 0.39um with runlength > 1.0um spacing to unrelated m4 < %d (M4.e)" + + widespacing allm4,sealv4 10005 10005 allm4,*obsm4 600 touching_ok \ + "Metal4 > 10.0um with runlength > 10.0um spacing to unrelated m4 < %d (M4.f)" + widespacing *obsm4 10005 10005 allm4 600 touching_ok \ + "Metal4 > 10.0um with runlength > 10.0um spacing to unrelated m4 < %d (M4.f)" + variants * + + # ORIGINAL RULE: width m4fill 1000 "Metal4 fill width < %d (MFil.a1)" + width m4fill 1000 "Metal4 fill width < %d (MFil.a1) [paint m4fill]" + maxwidth m4fill 5000 both "Metal4 fill width > %d (MFil.a2)" + spacing m4fill m4fill 420 touching_ok "Metal4 fill spacing < %d (MFil.b) [erase m4fill]" + spacing m4fill allm4,obsm4 420 touching_illegal "Metal4 fill spacing to Metal4 < %d (MFil.c) [erase m4fill,metal4 obsm4 rmetal4 via3 via4]" + spacing m4fill npn,pnp 1000 touching_illegal "Metal4 fill spacing to bipolar transistor < %d (MFil.d) [erase m4fill,nbase pbase]" + angle m4fill 90 "Only 90 degree angles permitted on Metal4 fill (MFil.i)" + +variants (full) + maxwidth *m4 30000 bend_ok "Metal4 maximum width without slots < %d (Slt.c)" + cifwidth seal_metal4 3500 "EdgeSeal-Metal4 width < 3.5um (Seal.a)" +variants * + +#-------------------------------------------------- +# VIA4 - +#-------------------------------------------------- + + # ORIGINAL RULE: width v4/m4 200 "via4 width < %d (V4.a + 2 * V4.c)" + width v4/m4 200 "via4 width < %d (V4.a + 2 * V4.c) [paint via4/m4]" + + spacing v4 v4 210 touching_ok "via4 spacing < %d (V4.b - 2 * V4.c) [erase via4]" + + surround v4/m4 *m4,rm4 45 directional "Metal4 overlap of via4 < %d in one direction (V4.c1 - V4.c) [erase via4/m4,metal4 rmetal4 via3 via4]" + surround v4/m5 *m5,rm5 45 directional "Metal5 overlap of via4 < %d in one direction (M5.c1 - V4.c) [erase via4/m5,metal5 rmetal5 via4 via5]" + + exact_overlap v4/m4 + + # ORIGINAL RULE: width sealv4 190 "Seal via4 width < %d (Seal.c1)" + width sealv4 190 "Seal via4 width < %d (Seal.c1) [paint sealvia4]" + +#-------------------------------------------------- +# METAL5 - +#-------------------------------------------------- + + # ORIGINAL RULE: width allm5,sealv5 200 "Metal5 width < %d (M5.a)" + width allm5,sealv5 200 "Metal5 width < %d (M5.a) [paint metal5,rmetal5,sealvia5,via4,via5]" + spacing allm5,sealv5 allm5,obsm5,sealv5 210 touching_ok "Metal5 spacing < %d (M5.b) [erase metal5 rmetal5 sealvia5 via4 via5,metal5 obsm5 rmetal5 sealvia5 via4 via5]" + area allm5,obsm5 144000 200 "Metal5 minimum area < %a (M5.d)" + + angles allm5 45 "Only 45 and 90 degree angles permitted on metal5 (Grid Rules)" + + width *m5,rm5 240 angles "Metal5 drawn at 45 degrees width < %d (M5.g)" + + # NOTE: M5.i is probably not implementable in magic (would require "spacing ... angles" + # implementation similar to "width ... angles"). + + variants (fast),(full) + widespacing allm5,sealv5 395 1005 allm5,*obsm5 240 touching_ok \ + "Metal5 > 0.39um with runlength > 1.0um spacing to unrelated m5 < %d (M5.e)" + widespacing *obsm5 395 1005 allm5 240 touching_ok \ + "Metal5 > 0.39um with runlength > 1.0um spacing to unrelated m5 < %d (M5.e)" + + widespacing allm5,sealv5 10005 10005 allm5,*obsm5 600 touching_ok \ + "Metal5 > 10.0um with runlength > 10.0um spacing to unrelated m5 < %d (M5.f)" + widespacing *obsm5 10005 10005 allm5 600 touching_ok \ + "Metal5 > 10.0um with runlength > 10.0um spacing to unrelated m5 < %d (M5.f)" + variants * + + # ORIGINAL RULE: width m5fill 1000 "Metal5 fill width < %d (MFil.a1)" + width m5fill 1000 "Metal5 fill width < %d (MFil.a1) [paint m5fill]" + maxwidth m5fill 5000 both "Metal5 fill width > %d (MFil.a2)" + spacing m5fill m5fill 420 touching_ok "Metal5 fill spacing < %d (MFil.b) [erase m5fill]" + spacing m5fill allm5,obsm5 420 touching_illegal "Metal5 fill spacing to Metal5 < %d (MFil.c) [erase m5fill,metal5 obsm5 rmetal5 via4 via5]" + spacing m5fill npn,pnp 1000 touching_illegal "Metal5 fill spacing to bipolar transistor < %d (MFil.d) [erase m5fill,nbase pbase]" + angle m5fill 90 "Only 90 degree angles permitted on Metal5 fill (MFil.i)" + +variants (full) + # NOTE: This rule needs to exclude MiM cap bottom plates + # maxwidth *m5 30000 bend_ok "Metal5 maximum width without slots < %d (Slt.c)" + cifwidth seal_metal5 3500 "EdgeSeal-Metal5 width < 3.5um (Seal.a)" +variants * + +#-------------------------------------------------- +# VIA5 (TopVia1) - +#-------------------------------------------------- + + # ORIGINAL RULE: width v5/m5 620 "via5 width < %d (TV1.a + 2 * TV1.c)" + width v5/m5 620 "via5 width < %d (TV1.a + 2 * TV1.c) [paint via5/m5]" + + spacing v5 v5 220 touching_ok "via5 spacing < %d (TV1.b - 2 * TV1.c) [erase via5]" + + surround v5/m6 *m6,rm6 320 absence_illegal "Metal6 overlap of via5 < %d (TV1.d - TV1.c) [erase via5/m6,metal6 mimcapcontact rmetal6 via5 via6]" + + exact_overlap v5/m5 + + # ORIGINAL RULE: width sealv5 420 "Seal TopVia1 width < %d (Seal.c2)" + width sealv5 420 "Seal TopVia1 width < %d (Seal.c2) [paint sealvia5]" + +#-------------------------------------------------- +# METAL6 (TopMetal1) - +#-------------------------------------------------- + + # ORIGINAL RULE: width allm6,sealv6 1640 "Metal6 width < %d (TM1.a)" + width allm6,sealv6 1640 "Metal6 width < %d (TM1.a) [paint metal6,mimcapcontact,rmetal6,sealvia6,via5,via6]" + spacing allm6,sealv6 allm6,obsm6,sealv6 1640 touching_ok "Metal6 spacing < %d (TM1.b) [erase metal6 mimcapcontact rmetal6 sealvia6 via5 via6,metal6 mimcapcontact obsm6 rmetal6 sealvia6 via5 via6]" + + angles allm6 45 "Only 45 and 90 degree angles permitted on metal6 (Grid Rules)" + + # ORIGINAL RULE: width m6fill 5000 "Metal6 fill width < %d (TM1Fil.a)" + width m6fill 5000 "Metal6 fill width < %d (TM1Fil.a) [paint m6fill]" + maxwidth m6fill 10000 both "Metal6 fill width > %d (TM1Fil.a1)" + spacing m6fill m6fill 3000 touching_ok "Metal6 fill spacing < %d (TM1Fil.b) [erase m6fill]" + spacing m6fill allm6,obsm6 3000 touching_illegal "Metal6 fill spacing to Metal7 < %d (TM1Fil.c) [erase m6fill,metal6 mimcapcontact obsm6 rmetal6 via5 via6]" + spacing m6fill npn,pnp 4900 touching_illegal "Metal6 fill spacing to bipolar transistor < %d (TM1Fil.d) [erase m6fill,nbase pbase]" + angle m6fill 90 "Only 90 degree angles permitted on Metal6 fill (TM1Fil.g)" + +variants (full) + maxwidth *m6 30000 bend_ok "Metal6 maximum width without slots < %d (Slt.c)" + cifwidth seal_metal6 3500 "EdgeSeal-TopMetal1 width < 3.5um (Seal.a)" +variants * + +#-------------------------------------------------- +# VIA6 (TopVia2) - +#-------------------------------------------------- + + # ORIGINAL RULE: width v6/m6 1900 "via6 width < %d (TV2.a + 2 * TV2.c)" + width v6/m6 1900 "via6 width < %d (TV2.a + 2 * TV2.c) [paint via6/m6]" + + spacing v6 v6 60 touching_ok "via6 spacing < %d (TV2.b - 2 * TV2.c) [erase via6]" + + exact_overlap v6/m6 + + # ORIGINAL RULE: width sealv6 900 "Seal TopVia2 width < %d (Seal.c3)" + width sealv6 900 "Seal TopVia2 width < %d (Seal.c3) [paint sealvia6]" + +#-------------------------------------------------- +# METAL7 (TopMetal2) - +#-------------------------------------------------- + + # ORIGINAL RULE: width allm7,pillar,solder,pad 2000 "Metal7 width < %d (TM2.a)" + width allm7,pillar,solder,pad 2000 "Metal7 width < %d (TM2.a) [paint metal7,pad,pillar,rmetal7,solder,via6]" + + # Note: TopVia2 (via6/m7) is not allowed under bond pad + spacing allm7 allm7,obsm7,pillar,solder 2000 touching_ok "Metal7 spacing < %d (TM2.b) [erase metal7 rmetal7 via6,metal7 obsm7 pillar rmetal7 solder via6]" + spacing m7,rm7 pad 2000 touching_ok "TopVia2 spacing to pad < %d (Pad.kR) [erase metal7 rmetal7,pad]" + + variants (fast),(full) + widespacing allm7 5005 50005 allm7,*obsm7 5000 touching_ok \ + "Metal7 > 5.0um with runlength > 50.0um spacing to unrelated m7 < %d (TM2.bR)" + variants * + + angles allm7 45 "Only 45 and 90 degree angles permitted on metal7 (Grid Rules)" + + # ORIGINAL RULE: width m7fill 5000 "Metal7 fill width < %d (TM2Fil.a)" + width m7fill 5000 "Metal7 fill width < %d (TM2Fil.a) [paint m7fill]" + maxwidth m7fill 10000 both "Metal7 fill width > %d (TM2Fil.a1)" + spacing m7fill m7fill 3000 touching_ok "Metal7 fill spacing < %d (TM2Fil.b) [erase m7fill]" + spacing m7fill allm7,obsm7 3000 touching_illegal "Metal7 fill spacing to Metal7 < %d (TM2Fil.c) [erase m7fill,metal7 obsm7 rmetal7 via6]" + spacing m7fill npn,pnp 4900 touching_illegal "Metal7 fill spacing to bipolar transistor < %d (TM2Fil.d) [erase m7fill,nbase pbase]" + angle m7fill 90 "Only 90 degree angles permitted on Metal7 fill (TM2Fil.g)" + + variants (full) + surround pillar allm7 7500 absence_illegal "Metal 7 must surround Cu pillar pad by %d (Padc.c) [erase pillar,metal7 rmetal7 via6]" + surround solder allm7 10000 absence_illegal "Metal 7 must surround Solder bump pad by %d (Padb.c) [erase solder,metal7 rmetal7 via6]" + surround pad allm7 2100 absence_illegal "Metal 7 must surround bond pad by %d (Pas.c) [erase pad,metal7 rmetal7 via6]" + variants * + + variants (full) + # This rule excludes pads; may need to include inductors? Would any + # inductor wire exceed 30um width? + maxwidth *m7 30000 bend_ok "Metal7 maximum width without slots < %d (Slt.c)" + cifwidth seal_metal7 3500 "EdgeSeal-TopMetal2 width < 3.5um (Seal.a)" + variants * + +#-------------------------------------------------- +# NMOS, PMOS +#-------------------------------------------------- + + # Minimum length of HV FETs. Note that this is larger than the minimum + # width (0.15um), so an edge rule is required + + edge4way hvndiff hvnfet 450 hvnfet 0 0 \ + "HV NMOS minimum length < %d (Gat.a3)" + + extend *hvvaractor hvnsd 300 exclusive \ + "HV Varactor minimum length < %d (Gat.a3 exception)" + + # Minimum length inferred from primitive device layout. Length is 0.36um + # in nmoscl_2 and nmoscl_4, and 0.33um in scr1. The two cases could in + # theory be differentiated and handled separately. + edge4way hvndiff hvnfetesd 330 hvnfetesd 0 0 \ + "HV NMOS ESD minimum length < %d (Gat.a3 exception)" + + edge4way hvpdiff hvpfet,hvpfetesd 400 hvpfet,hvpfetesd 0 0 \ + "HV PMOS minimum length < %d (Gat.a4)" + + # Low voltage and high voltages may exist on the same + # active shape with spacing requirement to THKOX + + edge4way hvnfet,hvnfetesd *hvndiff 340 ~(*ndiff)/a 0 0 \ + "HV NMOS distance to LV diffusion < %d (TGO.c)" + edge4way hvpfet,hvpfetesd *hvpdiff 340 ~(*pdiff)/a 0 0 \ + "HV PMOS distance to LV diffusion < %d (TGO.c)" + edge4way nfet *hvndiff 340 ~(*hvndiff)/a 0 0 \ + "LV NMOS distance to HV diffusion < %d (TGO.d)" + edge4way pfet *hvpdiff 340 ~(*pdiff)/a 0 0 \ + "LV PMOS distance to HV diffusion < %d (TGO.d)" + +#-------------------------------------------------- +# nres (N+ poly resistor, rsil) +#-------------------------------------------------- + + # ORIGINAL RULE: width nres 500 "Rsil resistor width < %d (Rsil.a)" + width nres 500 "Rsil resistor width < %d (Rsil.a) [paint npolyres]" + spacing nres pc 120 touching_illegal "Rsil resistor space to poly contact < %d (Rsil.b) [erase npolyres,pcontact]" + extend nres poly 500 "Rsil length < %d (Rsil.f)" + + spacing *pdiff,*hvpdiff nres 540 touching_illegal "Rsil resistor space to P-diffusion < %d (Rsil.d + Rsil.e + pSD.c) [erase hvpdcontact hvpdiffusion pdcontact pdiffusion,npolyres]" + spacing *hvpsd,*psd nres 390 touching_illegal "Rsil resistor space to P+ diffusion < %d (Rsil.d + Rsil.e + pSD.c1) [erase hvpsubstratepcontact hvpsubstratepdiff psubstratepcontact psubstratepdiff,npolyres]" + +#-------------------------------------------------- +# pres (P+ poly resistor, rppd) +# xres (P+ poly resistor, rhigh) +#-------------------------------------------------- + + # ORIGINAL RULE: width pres 500 "Rppd resistor width < %d (Rppd.a)" + width pres 500 "Rppd resistor width < %d (Rppd.a) [paint ppolyres]" + spacing pres pc 200 touching_illegal "Rppd resistor space to poly contact < %d (Rppd.c) [erase ppolyres,pcontact]" + extend pres *poly 500 "Rppd resistor length < %d (Rppd.e)" + + # ORIGINAL RULE: width xres 500 "Rhigh resistor width < %d (Rhi.a)" + width xres 500 "Rhigh resistor width < %d (Rhi.a) [paint xpolyres]" + spacing xres pc 200 touching_illegal "Rhigh resistor space to poly contact < %d (Rhi.d) [erase xpolyres,pcontact]" + extend xres *poly 500 "Rhigh resistor length < %d (Rhi.f)" + + spacing nres pres,xres 360 touching_illegal "Rhigh or Rppd resistor must be %d from Rsil resistor (pSD.m + pSD.n) [erase npolyres,ppolyres xpolyres]" + edge4way pres,xres poly 420 ~(pres,xres)/a 0 0 \ + "Rhigh or Rppd resistor must be separated by %d across poly (Sal.b)" + + # EXTBLOCK spacing includes resistor terminals so must be determined from + # a cifdrc rule + variants (full) + cifmaxwidth res_to_psd_space 0 bend_illegal \ + "EXTBLOCK space to pSD < 0.31um (EXTB.c)" + variants * + + # SBLK rules. Spacing to diffusion and poly requires multiple rules, + # as some types connect directly to the layer that is overlaid with + # SBLK, while all other types are forbidden. In principle all of + # these rules should be 0.4um but the automatically-generated SBLK + # follows the layout of the pymacro cells, where the SBLK overlaps + # are often greater than the minimum. + + spacing pres,xres *poly 400 touching_ok "Righ or Rppd resistor to unrelated poly < %d (Sal.c + Sal.d) [erase ppolyres xpolyres,pcontact polysilicon]" + spacing pres,xres alldiff 400 touching_illegal "Righ or Rppd resistor to diffusion < %d (Sal.c + Sal.d) [erase ppolyres xpolyres,hvndcontact hvndiffres hvndiffusion hvnsubstratencontact hvnsubstratendiff hvntransistor hvntransistoresd hvpdcontact hvpdiffusion hvpsubstratepcontact hvpsubstratepdiff hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact ndcontact ndiffusion ndiode ndiodecont nsubstratencontact nsubstratendiff ntransistor pdcontact pdiffusion pdiode pdiodecont psubstratepcontact psubstratepdiff ptransistor]" + + spacing hvndiffres *hvndiff,hvnmosesd 480 touching_ok "HV diffusion resistor to unrelated diffusion < %d (Sal.c + Sal.d) [erase hvndiffres,hvndcontact hvndiffusion hvntransistoresd]" + spacing hvndiffres,hvnmosesd alldifflv,allpolynonfet,*hvpdiff,*hvpsd 480 \ + corner_ok *poly \ + "HV diffusion resistor to poly and unrelated diffusion < %d (Sal.c + Sal.d)" + spacing isodiffres *ndiff,*psd 520 touching_ok "Diffusion resistor to poly and unrelated diffusion < %d (Sal.c + Sal.d) [erase isodiffres,ndcontact ndiffusion psubstratepcontact psubstratepdiff]" + edge4way isodiffres ~(*ndiff,*psd,isodiffres)/a 520 \ + ~(alldiffhv,allpoly,*pdiff,*nsd)/a 0 0 \ + "Diffusion resistor to poly and unrelated diffusion < %d (Sal.c + Sal.d)" + edge4way isodiffres (*ndiff,*psd)/a 200 ~(alldiffhv,allpoly,*pdiff,*nsd)/a 0 0 \ + "Diffusion resistor to poly and unrelated diffusion < %d (Sal.d)" + spacing hvisodiffres *hvndiff,*hvpsd 530 touching_ok "HV diffusion resistor to poly and unrelated diffusion < %d (Sal.c + Sal.d) [erase hvisodiffres,hvndcontact hvndiffusion hvpsubstratepcontact hvpsubstratepdiff]" + spacing hvisodiffres alldifflv,allpoly,*hvpdiff,*hvnsc 530 touching_illegal "HV diffusion resistor to poly and unrelated diffusion < %d (Sal.c + Sal.d) [erase hvisodiffres,hvnsubstratencontact hvntransistor hvntransistoresd hvpdcontact hvpdiffusion hvptransistor hvptransistoresd hvpvaractor hvvaractor hvvarcontact ndcontact ndiffusion ndiode ndiodecont npolyres nsubstratencontact nsubstratendiff ntransistor pcontact pdcontact pdiffusion pdiode pdiodecont polysilicon ppolyres psubstratepcontact psubstratepdiff ptransistor xpolyres]" + +#----------------------------------------------------------- +# MiM CAP (MIM) - +#----------------------------------------------------------- + + # ORIGINAL RULE: width *mimcap 1140 "MiM cap width < %d (MIM.a)" + width *mimcap 1140 "MiM cap width < %d (MIM.a) [paint mimcap,mimcapcontact]" + spacing *mimcap *mimcap 600 touching_ok "MiM cap spacing < %d (MIM.b) [erase mimcap mimcapcontact]" + surround *mimcc *mimcap 260 absence_illegal "MiM cap must surround MiM cap contact by %d (MIM.d - TV1.c) [erase mimcapcontact,mimcap mimcapcontact]" + rect_only *mimcap "MiM cap must be rectangular (Grid Rules) + + surround *mimcap *metal5/m5 600 absence_illegal "Metal5 must surround MiM cap by %d (MIM.c) [erase mimcap mimcapcontact,metal5/m5 via4/m5 via5/m5]" + + area *mimcap 1300000 1140 "MiM cap minimum area < %a (MIM.f)" + + # MIM.g: Need a maximum area rule? + + # MiM cap contact rules (Vmim) + + # ORIGINAL RULE: width mimcc/m5 620 "MiM contact width < %d (TV1.a + 2 * TV1.c)" + width mimcc/m5 620 "MiM contact width < %d (TV1.a + 2 * TV1.c) [paint mimcapcontact/m5]" + + spacing mimcc mimcc 220 touching_ok "MiM contact spacing < %d (TV1.b - 2 * TV1.c) [erase mimcapcontact]" + + surround mimcc/m6 *m5,rm5 320 directional "Metal6 overlap of MiM contact < %d in one direction (TV1.d - TV1.c) [erase mimcapcontact/m6,metal5 rmetal5 via4 via5]" + + exact_overlap mimcc/m5 + + variants (full) + cifmaxwidth mimcap_missing_via 0 bend_illegal \ + "TopVia1 must be over MiM (MIM.h)" + + cifmaxwidth via_under_mimcap 0 bend_illegal \ + "Via4 not allowed under MiM cap (MIM.i)" + + cifmaxwidth metal6_spacing_to_mimcap 0 bend_illegal \ + "MiM cap spacing to unrelated metal6 < %d (MIM.e)" + variants * + +#----------------------------------------------------------- +# Bipolar devices +#----------------------------------------------------------- + +# npn13G2 + # ORIGINAL RULE: width gec/a 70 "npn13G2 emitter width < %d (npn13G2)" + width gec/a 70 "npn13G2 emitter width < %d (npn13G2) [paint gecontact/a]" + maxwidth gec/a 70 bend_illegal "npn13G2 emitter width > %d (npn13G2)" + maxwidth gec/a 900 both "npn13G2 emitter length > %d (npn13G2.a)" + area gec/a 63000 70 "npn13G2 emitter length < 0.9um (npn13G2.a)" + +# npn13G2L + # ORIGINAL RULE: width nec/a 70 "npn13g2L emitter width < %d (npn13G2L)" + width nec/a 70 "npn13g2L emitter width < %d (npn13G2L) [paint necontact/a]" + maxwidth nec/a 70 bend_illegal "npn13g2L emitter width > %d (npn13G2L)" + maxwidth nec/a 2500 both "npn13g2l emitter width > %d (npn13G2L.b)" + area nec/a 70000 70 "npn13g2L emitter length < 1.0um (npn13G2L.a)" + +# npn13G2V + # ORIGINAL RULE: width hvnec/a 120 "npn13g2V emitter width < %d (npn13G2V)" + width hvnec/a 120 "npn13g2V emitter width < %d (npn13G2V) [paint hvnecontact/a]" + maxwidth hvnec/a 120 bend_illegal "npn13g2V emitter width > %d (npn13G2V)" + maxwidth hvnec/a 5000 both "npn13g2V emitter width > %d (npn13G2V.b)" + area hvnec/a 120000 120 "npn13g2L emitter length < 1.0um (npn13G2V.a)" + + variants (full) + cifspacing transkeepout trans 1210 touching_illegal \ + "N+ Diffusion, NWell, DNwell spacing to bipolar transistor < 1.21um (npnG2.d)" + cifspacing cifpoly trans 900 touching_illegal \ + "Poly spacing to bipolar transistor < 0.9um (npnG2.d1)" + cifspacing psdcont trans 270 touching_illegal \ + "Contact spacing to bipolar transistor < 0.27um (npnG2.d1)" + cifmaxwidth illegal_type_in_npn 0 bend_illegal \ + "No unrelated diffusion or well may exist in the NPN area ()" + variants * + +#----------------------------------------------------------- +# Schottky diode +#----------------------------------------------------------- + + # ORIGINAL RULE: width sdic/a 300 "Schottky contact width < %d (Sdiod.d)" + width sdic/a 300 "Schottky contact width < %d (Sdiod.d) [paint schottkycont/a]" + maxwidth sdic/a 300 bend_illegal "Schottky contact width > %d (Sdiod.d)" + maxwidth sdic/a 1000 both "Schottky contact length > %d (Sdiod.e)" + area sdic/a 30000 300 "Schottky contact length < 1.0um (Sdiod.e)" + + surround sdic schottky 450 absence_illegal "Schottky diode overlap of contact < %d (Sdiod.c) [erase schottkycont,schottky]" + +#----------------------------------------------------------- +# Glass cut (passivation cut) +#----------------------------------------------------------- + + # ORIGINAL RULE: width seal 4200 "Seal ring passivation width < %d (Seal.e)" + width seal 4200 "Seal ring passivation width < %d (Seal.e) [paint seal]" + spacing seal pdiff,m1,m2,m3,m4,m5,m6 1000 touching_illegal "Seal ring passivation spacing to seal metals < %d (Seal.f) [erase seal,metal1 metal2 metal3 metal4 metal5 metal6 pdiffusion]" + + variants (full) + # ORIGINAL RULE: width pad 30000 "Bond pad width (recommended) < %d (Pad.aR)" + width pad 30000 "Bond pad width (recommended) < %d (Pad.aR) [paint pad]" + maxwidth pad 150000 both "Bond pad width > %d (Pad.a1)" + spacing pad pad 8400 touching_ok "Bond pad spacing (recommended) < %d (Pad.bR) [erase pad]" + spacing pad seal 7500 touching_illegal "Bond pad spacing to EdgeSeal < %d (Pad.d) [erase pad,seal]" + + # ORIGINAL RULE: width solder 60000 "Solder bump pad size < %d (Padb.a)" + width solder 60000 "Solder bump pad size < %d (Padb.a) [paint solder]" + maxwidth solder 60000 bend_illegal "Solder bump pad size > %d (Padb.a)" + spacing solder solder 70000 touching_ok "Solder bump pad spacing < %d (Padb.b) [erase solder]" + spacing solder seal 50000 touching_illegal "Solder bump pad spacing to EdgeSeal < %d (Padb.d) [erase solder,seal]" + + # ORIGINAL RULE: width pillar 35000 "CuPillar pad size < %d (Padc.a)" + width pillar 35000 "CuPillar pad size < %d (Padc.a) [paint pillar]" + maxwidth pillar 45000 both "CuPillar pad width > %d (Padc.a)" + spacing pillar pillar 40000 touching_ok "CuPillar pad spacing < %d (Padc.e) [erase pillar]" + spacing pillar seal 30000 touching_illegal "CuPillar pad spacing to EdgeSeal < %d (Padc.d) [erase pillar,seal]" + variants * + + # NOTE: Verification layout suggests that passivation over metal6 is + # legal and follows the same rules as for metal7. This use is not + # documented. Checking overlap of one OR the other would require a + # cifwidth rule. + +#---------------------------- +# End DRC style +#---------------------------- + +end + +#---------------------------- +# LEF format definitions +#---------------------------- + +lef + +# Vendor standard cells are fully contacted to nwell and substrate +# so masterslice layers for nwell/pwell are not required. + +masterslice poly GatPoly + +routing m1 Metal1 +routing m2 Metal2 +routing m3 Metal3 +routing m4 Metal4 +routing m5 Metal5 +routing m6 TopMetal1 +routing m7 TopMetal2 + +cut via1 Via1 +cut via2 Via2 +cut via3 Via3 +cut via4 Via4 +cut via5 TopVia1 +cut via6 TopVia2 + +obs obsm1 Metal1 +obs obsm2 Metal2 +obs obsm3 Metal3 +obs obsm4 Metal4 +obs obsm5 Metal5 +obs obsm6 TopMetal1 +obs obsm7 TopMetal2 + +end + +#----------------------------------------------------- +# Device and Parasitic extraction +#----------------------------------------------------- + +extract + style ngspice variants (),(lvs),(hrhc),(lrhc),(hrlc),(lrlc) + cscale 1 + lambda 1.0 + units microns + step 7 + sidehalo 8 + + # NOTE: MiM cap layer has been purposely put out of order + + planeorder dwell 0 + planeorder well 1 + planeorder active 2 + planeorder metal1 3 + planeorder metal2 4 + planeorder metal3 5 + planeorder metal4 6 + planeorder metal5 7 + planeorder metal6 8 + planeorder metal7 9 + planeorder comment 10 + planeorder mimcap 11 + + # Antenna check parameters + # Note that checks w/diode diffusion are not modeled + # TBD: add ratio with protection diode + model cumulative + antenna poly surface 200 none + antenna allm1,allm2,allm3,allm4,allm5,allm6,allm7 surface 200 none + antenna allcont surface 20 none + antenna v1,v2,v3,v4,v5,v6 surface 20 none + + tiedown alldiffnonfet + + substrate *ppdiff,*hvppdiff,space/w,pwell well $SUB -dnwell,isosub + +# Resistances are in milliohms per square +# Optional 3rd argument is the corner adjustment fraction +# Device values come from trtc.cor (typical corner) + +# Note: value for pwell is from +# SG13G2 Layout Rules Rev. 0.3 +# Section 6.5 nmosi and nmosiHV +# average sheet resistance of 3 kΩ + +variants () + + # TBD values for nwell, dnwell + + resist (pwell,isosub)/well 3000000 + #resist ndiffres/active 67000 + #resist pdiffres/active 79000 + resist hvndiffres/active 67000 + #resist hvpdiffres/active 79000 + resist (allm1)/metal1 110 + resist (allm2)/metal2 88 + resist (allm3)/metal3 88 + resist (allm4)/metal4 88 + resist (allm5)/metal5 88 + resist (allm6)/metal6 18 + resist (allm7)/metal7 11 + + contact alldiffcont 17000 + contact pc 15000 + contact via1 9000 + contact via2 9000 + contact via3 9000 + contact via4 9000 + contact via5 2200 + contact via6 1100 + +variants (hrhc),(hrlc) + + # High-end corner resistances + + resist (pwell,isosub)/well 3000000 + #resist ndiffres/active 79000 + #resist pdiffres/active 89000 + resist hvndiffres/active 79000 + #resist hvpdiffres/active 89000 + resist (allm1)/metal1 135 + resist (allm2)/metal2 103 + resist (allm3)/metal3 103 + resist (allm4)/metal4 103 + resist (allm5)/metal5 103 + resist (allm6)/metal6 21 + resist (allm7)/metal7 14.5 + + contact alldiffcont 22000 + contact pc 20000 + contact via1 20000 + contact via2 20000 + contact via3 20000 + contact via4 20000 + contact via5 4000 + contact via6 2200 + +variants (lrhc),(lrlc) + + # Low-end corner resistances + + resist (pwell,isosub)/well 3000000 + #resist ndiffres/active 55000 + #resist pdiffres/active 69000 + resist hvndiffres/active 55000 + #resist hvpdiffres/active 69000 + resist (allm1)/metal1 85 + resist (allm2)/metal2 73 + resist (allm3)/metal3 73 + resist (allm4)/metal4 73 + resist (allm5)/metal5 73 + resist (allm6)/metal6 15 + resist (allm7)/metal7 7.5 + + contact alldiffcont 8000 + contact pc 8000 + contact via1 5000 + contact via2 5000 + contact via3 5000 + contact via4 5000 + contact via5 1000 + contact via6 500 + +variants * + + # These types should not be considered as electrical nodes + resist blocktypes None + resist obstypes None + resist comment None + + +#------------------------------------------------------------------------- +# Parasitic capacitance values: Use document (...) +#------------------------------------------------------------------------- +# This uses the new "default" definitions that determine the intervening +# planes from the planeorder stack, take care of the reflexive sideoverlap +# definitions, and generally clean up the section and make it more readable. +# +# Also uses "units microns" statement. All values are taken from the +# document PEX/xRC/cap_models. Fringe capacitance values are approximated. +# Units are aF/um^2 for area caps and aF/um for perimeter and sidewall caps. +#------------------------------------------------------------------------- +# Remember that device capacitances to substrate are taken care of by the +# models. Thus, active and poly definitions ignore all "fet" types. +# fet types are excluded when computing parasitic capacitance to +# active from layers above them because poly is a shield; fet types are +# included for parasitics from layers above to poly. Resistor types +# should be removed from all parasitic capacitance calculations, or else +# they just create floating caps. Technically, the capacitance probably +# should be split between the two terminals. Unsure of the correct model. +#------------------------------------------------------------------------- + +variants () +# Nominal capacitances + +# TBD: get approx. capacitance values for +# nwell, pwell, dnwell when reverse biased +# (A proper solution would be to model as a diode) + +# Note: This section was auto-generated by capiche + +# gatpoly + defaultsidewall allpoly active 11.722 -0.023 + defaultareacap allpoly active 87.433 + defaultperimeter allpoly active 44.537 + +# gatpoly->subs + defaultoverlap allpoly active pwell well 87.433 + defaultsideoverlap allpoly active pwell well 44.537 + +# gatpoly->nwell + defaultoverlap allpoly active nwell well 87.433 + defaultsideoverlap allpoly active nwell well 44.537 + +# metal1 + defaultsidewall allm1 metal1 28.735 -0.057 + defaultareacap allm1 metal1 35.015 + defaultperimeter allm1 metal1 39.585 + +# metal1->subs + defaultoverlap allm1 metal1 pwell well 35.015 + defaultsideoverlap allm1 metal1 pwell well 39.585 + +# metal1->nwell + defaultoverlap allm1 metal1 nwell well 35.015 + defaultsideoverlap allm1 metal1 nwell well 39.585 + +# metal1->diff + defaultoverlap allm1 metal1 alldifflvnonfet active 58.168 + defaultsideoverlap allm1 metal1 alldifflvnonfet active 44.749 + +# metal1->hvdiff + defaultoverlap allm1 metal1 alldiffhvnonfet active 57.702 + defaultsideoverlap allm1 metal1 alldiffhvnonfet active 45.041 + +# metal1->gatpoly + defaultoverlap allm1 metal1 allpoly active 78.653 + defaultsideoverlap allm1 metal1 allpoly active 49.378 + defaultsideoverlap allpoly active allm1 metal1 23.229 + +# metal2 + defaultsidewall allm2 metal2 40.981 -0.033 + defaultareacap allm2 metal2 18.180 + defaultperimeter allm2 metal2 34.798 + +# metal2->subs + defaultoverlap allm2 metal2 pwell well 18.180 + defaultsideoverlap allm2 metal2 pwell well 34.798 + +# metal2->nwell + defaultoverlap allm2 metal2 nwell well 18.180 + defaultsideoverlap allm2 metal2 nwell well 34.798 + +# metal2->diff + defaultoverlap allm2 metal2 alldifflvnonfet active 22.916 + defaultsideoverlap allm2 metal2 alldifflvnonfet active 36.950 + +# metal2->hvdiff + defaultoverlap allm2 metal2 alldiffhvnonfet active 22.844 + defaultsideoverlap allm2 metal2 alldiffhvnonfet active 36.919 + +# metal2->gatpoly + defaultoverlap allm2 metal2 allpoly active 25.537 + defaultsideoverlap allm2 metal2 allpoly active 37.616 + defaultsideoverlap allpoly active allm2 metal2 10.801 + +# metal2->metal1 + defaultoverlap allm2 metal2 allm1 metal1 67.225 + defaultsideoverlap allm2 metal2 allm1 metal1 49.543 + defaultsideoverlap allm1 metal1 allm2 metal2 31.073 + +# metal3 + defaultsidewall allm3 metal3 37.679 -0.045 + defaultareacap allm3 metal3 11.994 + defaultperimeter allm3 metal3 31.352 + +# metal3->subs + defaultoverlap allm3 metal3 pwell well 11.994 + defaultsideoverlap allm3 metal3 pwell well 31.352 + +# metal3->nwell + defaultoverlap allm3 metal3 nwell well 11.994 + defaultsideoverlap allm3 metal3 nwell well 31.352 + +# metal3->diff + defaultoverlap allm3 metal3 alldifflvnonfet active 13.887 + defaultsideoverlap allm3 metal3 alldifflvnonfet active 32.271 + +# metal3->hvdiff + defaultoverlap allm3 metal3 alldiffhvnonfet active 13.860 + defaultsideoverlap allm3 metal3 alldiffhvnonfet active 32.495 + +# metal3->gatpoly + defaultoverlap allm3 metal3 allpoly active 14.808 + defaultsideoverlap allm3 metal3 allpoly active 32.795 + defaultsideoverlap allpoly active allm3 metal3 7.068 + +# metal3->metal1 + defaultoverlap allm3 metal3 allm1 metal1 23.122 + defaultsideoverlap allm3 metal3 allm1 metal1 37.009 + defaultsideoverlap allm1 metal1 allm3 metal3 17.349 + +# metal3->metal2 + defaultoverlap allm3 metal3 allm2 metal2 67.225 + defaultsideoverlap allm3 metal3 allm2 metal2 49.537 + defaultsideoverlap allm2 metal2 allm3 metal3 36.907 + +# metal4 + defaultsidewall allm4 metal4 49.526 0.004 + defaultareacap allm4 metal4 8.948 + defaultperimeter allm4 metal4 29.083 + +# metal4->subs + defaultoverlap allm4 metal4 pwell well 8.948 + defaultsideoverlap allm4 metal4 pwell well 29.083 + +# metal4->nwell + defaultoverlap allm4 metal4 nwell well 8.948 + defaultsideoverlap allm4 metal4 nwell well 29.083 + +# metal4->diff + defaultoverlap allm4 metal4 alldifflvnonfet active 9.962 + defaultsideoverlap allm4 metal4 alldifflvnonfet active 29.755 + +# metal4->hvdiff + defaultoverlap allm4 metal4 alldiffhvnonfet active 9.948 + defaultsideoverlap allm4 metal4 alldiffhvnonfet active 29.942 + +# metal4->gatpoly + defaultoverlap allm4 metal4 allpoly active 10.427 + defaultsideoverlap allm4 metal4 allpoly active 30.101 + defaultsideoverlap allpoly active allm4 metal4 5.240 + +# metal4->metal1 + defaultoverlap allm4 metal4 allm1 metal1 13.962 + defaultsideoverlap allm4 metal4 allm1 metal1 32.162 + defaultsideoverlap allm1 metal1 allm4 metal4 12.398 + +# metal4->metal2 + defaultoverlap allm4 metal4 allm2 metal2 23.122 + defaultsideoverlap allm4 metal4 allm2 metal2 36.335 + defaultsideoverlap allm2 metal2 allm4 metal4 22.327 + +# metal4->metal3 + defaultoverlap allm4 metal4 allm3 metal3 67.225 + defaultsideoverlap allm4 metal4 allm3 metal3 49.537 + defaultsideoverlap allm3 metal3 allm4 metal4 40.019 + +# metal5 + defaultsidewall allm5 metal5 53.129 0.021 + defaultareacap allm5 metal5 7.136 + defaultperimeter allm5 metal5 27.527 + +# metal5->subs + defaultoverlap allm5 metal5 pwell well 7.136 + defaultsideoverlap allm5 metal5 pwell well 27.527 + +# metal5->nwell + defaultoverlap allm5 metal5 nwell well 7.136 + defaultsideoverlap allm5 metal5 nwell well 27.527 + +# metal5->diff + defaultoverlap allm5 metal5 alldifflvnonfet active 7.766 + defaultsideoverlap allm5 metal5 alldifflvnonfet active 28.227 + +# metal5->hvdiff + defaultoverlap allm5 metal5 alldiffhvnonfet active 7.758 + defaultsideoverlap allm5 metal5 alldiffhvnonfet active 28.221 + +# metal5->gatpoly + defaultoverlap allm5 metal5 allpoly active 8.046 + defaultsideoverlap allm5 metal5 allpoly active 28.414 + defaultsideoverlap allpoly active allm5 metal5 4.178 + +# metal5->metal1 + defaultoverlap allm5 metal5 allm1 metal1 10.000 + defaultsideoverlap allm5 metal5 allm1 metal1 29.935 + defaultsideoverlap allm1 metal1 allm5 metal5 9.725 + +# metal5->metal2 + defaultoverlap allm5 metal5 allm2 metal2 13.962 + defaultsideoverlap allm5 metal5 allm2 metal2 32.116 + defaultsideoverlap allm2 metal2 allm5 metal5 16.534 + +# metal5->metal3 + defaultoverlap allm5 metal5 allm3 metal3 23.122 + defaultsideoverlap allm5 metal5 allm3 metal3 36.971 + defaultsideoverlap allm3 metal3 allm5 metal5 24.785 + +# metal5->metal4 + defaultoverlap allm5 metal5 allm4 metal4 67.225 + defaultsideoverlap allm5 metal5 allm4 metal4 49.517 + defaultsideoverlap allm4 metal4 allm5 metal5 41.956 + +# topmetal1 + defaultsidewall allm6 metal6 162.172 0.343 + defaultareacap allm6 metal6 5.649 + defaultperimeter allm6 metal6 37.383 + +# topmetal1->subs + defaultoverlap allm6 metal6 pwell well 5.649 + defaultsideoverlap allm6 metal6 pwell well 37.383 + +# topmetal1->nwell + defaultoverlap allm6 metal6 nwell well 5.649 + defaultsideoverlap allm6 metal6 nwell well 37.383 + +# topmetal1->diff + defaultoverlap allm6 metal6 alldifflvnonfet active 6.036 + defaultsideoverlap allm6 metal6 alldifflvnonfet active 38.084 + +# topmetal1->hvdiff + defaultoverlap allm6 metal6 alldiffhvnonfet active 6.031 + defaultsideoverlap allm6 metal6 alldiffhvnonfet active 38.085 + +# topmetal1->gatpoly + defaultoverlap allm6 metal6 allpoly active 6.204 + defaultsideoverlap allm6 metal6 allpoly active 38.376 + defaultsideoverlap allpoly active allm6 metal6 3.316 + +# topmetal1->metal1 + defaultoverlap allm6 metal6 allm1 metal1 7.304 + defaultsideoverlap allm6 metal6 allm1 metal1 39.678 + defaultsideoverlap allm1 metal1 allm6 metal6 7.669 + +# topmetal1->metal2 + defaultoverlap allm6 metal6 allm2 metal2 9.214 + defaultsideoverlap allm6 metal6 allm2 metal2 42.268 + defaultsideoverlap allm2 metal2 allm6 metal6 12.649 + +# topmetal1->metal3 + defaultoverlap allm6 metal6 allm3 metal3 12.475 + defaultsideoverlap allm6 metal6 allm3 metal3 46.611 + defaultsideoverlap allm3 metal3 allm6 metal6 17.848 + +# topmetal1->metal4 + defaultoverlap allm6 metal6 allm4 metal4 19.309 + defaultsideoverlap allm6 metal6 allm4 metal4 52.657 + defaultsideoverlap allm4 metal4 allm6 metal6 24.526 + +# topmetal1->metal5 + defaultoverlap allm6 metal6 allm5 metal5 42.708 + defaultsideoverlap allm6 metal6 allm5 metal5 65.859 + defaultsideoverlap allm5 metal5 allm6 metal6 36.377 + +# topmetal2 + defaultsidewall allm7 metal7 227.323 1.893 + defaultareacap allm7 metal7 3.233 + defaultperimeter allm7 metal7 31.175 + +# topmetal2->subs + defaultoverlap allm7 metal7 pwell well 3.233 + defaultsideoverlap allm7 metal7 pwell well 31.175 + +# topmetal2->nwell + defaultoverlap allm7 metal7 nwell well 3.233 + defaultsideoverlap allm7 metal7 nwell well 31.175 + +# topmetal2->diff + defaultoverlap allm7 metal7 alldifflvnonfet active 3.357 + defaultsideoverlap allm7 metal7 alldifflvnonfet active 31.484 + +# topmetal2->hvdiff + defaultoverlap allm7 metal7 alldiffhvnonfet active 3.355 + defaultsideoverlap allm7 metal7 alldiffhvnonfet active 30.835 + +# topmetal2->gatpoly + defaultoverlap allm7 metal7 allpoly active 3.408 + defaultsideoverlap allm7 metal7 allpoly active 30.971 + defaultsideoverlap allpoly active allm7 metal7 1.909 + +# topmetal2->metal1 + defaultoverlap allm7 metal7 allm1 metal1 3.716 + defaultsideoverlap allm7 metal7 allm1 metal1 32.318 + defaultsideoverlap allm1 metal1 allm7 metal7 4.344 + +# topmetal2->metal2 + defaultoverlap allm7 metal7 allm2 metal2 4.154 + defaultsideoverlap allm7 metal7 allm2 metal2 33.245 + defaultsideoverlap allm2 metal2 allm7 metal7 6.975 + +# topmetal2->metal3 + defaultoverlap allm7 metal7 allm3 metal3 4.708 + defaultsideoverlap allm7 metal7 allm3 metal3 34.339 + defaultsideoverlap allm3 metal3 allm7 metal7 9.381 + +# topmetal2->metal4 + defaultoverlap allm7 metal7 allm4 metal4 5.434 + defaultsideoverlap allm7 metal7 allm4 metal4 35.630 + defaultsideoverlap allm4 metal4 allm7 metal7 11.825 + +# topmetal2->metal5 + defaultoverlap allm7 metal7 allm5 metal5 6.425 + defaultsideoverlap allm7 metal7 allm5 metal5 37.206 + defaultsideoverlap allm5 metal5 allm7 metal7 14.415 + +# topmetal2->topmetal1 + defaultoverlap allm7 metal7 allm6 metal6 12.965 + defaultsideoverlap allm7 metal7 allm6 metal6 44.735 + defaultsideoverlap allm6 metal6 allm7 metal7 33.071 + +#--------------------------------------------------------- + +variants * + + +# Devices: Base models (not subcircuit wrappers) + +variants (),(hrhc),(lrhc),(hrlc),(lrlc) + + # MOSFETs + device msubcircuit sg13_lv_pmos pfet *pdiff *pdiff nwell error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + + device msubcircuit sg13_lv_nmos nfet *ndiff *ndiff pwell,space/w error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + + device msubcircuit sg13_hv_pmos hvpfet *hvpdiff *hvpdiff nwell error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + + device msubcircuit sg13_hv_nmos hvnfet *hvndiff *hvndiff pwell,space/w error \ + l=l w=w a1=as p1=ps a2=ad p2=pd + + # Devices in nmoscl_2, nmoscl_4, and scr1 are high-voltage nMOS FETs + # with salicided drains. These are best extracted as either special + # FET devices or a combination of a FET device and a diffusion + # resistor. Currently extracting all of them as plain high-voltage + # MOSFETs, which is probably not the best way to handle them. + + device msubcircuit sg13_hv_nmos hvnmosesd hvndiffres hvndiffres \ + pwell,space/w error l=l w=w a1=as p1=ps a2=ad p2=pd + + # Bipolars + device msubcircuit npn13g2 npn gec *ndiff space/w error w1=we l1=le + + # 2nd layout type of low-voltage HBT bipolar + device msubcircuit npn13g2l npn nec *ndiff space/w error w1=we l1=le + + # High voltage NPN bipolar + device msubcircuit npn13g2v npn hvnec *ndiff space/w error w1=we l1=le + + # Lateral PNP bipolar + device msubcircuit pnpMPA pnp *pdiff pwell,space/w w1=we l1=le + + # Capacitors + device csubcircuit cap_cmim *mimcap *m5 w=w l=l + # Placeholder for SVaricap (for which there is no device model) + device csubcircuit SVaricap *hvvaractor *hvnsd w=w l=l + + # Diodes + device subcircuit dpantenna *pdiode nwell w=w l=l + device msubcircuit dantenna *ndiode pwell,space/w w=w l=l + device subcircuit schottky *schottky *hvnsd nwell error w=w l=l + + # Resistors + + device rsubcircuit rsil nres *poly w=w l=l + device rsubcircuit rppd pres *poly w=w l=l + device rsubcircuit rhigh xres *poly w=w l=l + + # Metal resistors. There are no device models for these, so they + # are extracted as ideal (non-semiconductor) resistors. + device resistor None rm1 *metal1 + device resistor None rm2 *metal2 + device resistor None rm3 *metal3 + device resistor None rm4 *metal4 + device resistor None rm5 *metal5 + device resistor None rm6 *metal6 + device resistor None rm7 *metal7 + + # TBD + # device rsubcircuit ptap1 + + # TBD + # device rsubcircuit ntap1 + +variants (lvs) + + # MOSFETs + device mosfet sg13_lv_pmos pfet *pdiff *pdiff nwell error + device mosfet sg13_lv_nmos nfet *ndiff *ndiff pwell,space/w error + device mosfet sg13_hv_pmos hvpfet *hvpdiff *hvpdiff nwell error + device mosfet sg13_hv_nmos hvnfet *hvndiff *hvndiff pwell,space/w error + + # TBD + # device mosfet nmoscl_2 + + # TBD + # device mosfet nmoscl_4 + + # TBD + # device mosfet scr1 + + # Bipolars + device bjt npn13g2 npn gec *ndiff space/w error w1=we l1=le + + # 2nd layout type of low-voltage HBT bipolar + device bjt npn13g2l npn nec *ndiff space/w error w1=we l1=le + + # High voltage NPN bipolar + device bjt npn13g2v npn hvnec *ndiff space/w error w1=we l1=le + + # Currently no way to identify a pnpMPA device. + # device bjt pnpMPA pnp *pdiff pwell,space/w + + # Capacitors + device capacitor cap_cmim *mimcap *m5 + + # Diodes + device pdiode dpantenna *pdiode nwell + device ndiode dantenna *ndiode pwell,space/w + device pdiode schottky *schottky *hvnsd pwell + + # Resistors + + device resistor rsil nres *poly + device resistor rppd pres *poly + device resistor rhigh xres *poly + + # Metal resistors. There are no device models for these, so they + # are extracted as ideal (non-semiconductor) resistors. + device resistor None rm1 *metal1 + device resistor None rm2 *metal2 + device resistor None rm3 *metal3 + device resistor None rm4 *metal4 + device resistor None rm5 *metal5 + device resistor None rm6 *metal6 + device resistor None rm7 *metal7 + + # TBD + # device resistor ptap1 + + # TBD + # device resistor ntap1 +end + +#----------------------------------------------------- +# Wiring tool definitions +#----------------------------------------------------- + +wiring + # All wiring values are in nanometers + scalefactor 10 + + contact v1 200 m1 5 45 m2 0 45 + contact v2 200 m2 0 45 m3 0 45 + contact v3 200 m3 0 45 m4 0 45 + contact v4 200 m4 0 45 m5 0 45 + contact v5 620 m5 0 m6 320 + contact v6 1900 m6 0 m7 0 + + contact pc 160 poly 70 70 m1 0 50 + contact pdc 160 pdiff 70 70 m1 0 50 + contact ndc 160 ndiff 70 70 m1 0 50 + contact psc 160 psd 70 70 m1 0 50 + contact nsc 160 nsd 70 70 m1 0 50 + +end + +#----------------------------------------------------- +# Plain old router. . . +#----------------------------------------------------- + +router +end + +#------------------------------------------------------------ +# Plowing (restored in magic 8.2, need to fill this section) +#------------------------------------------------------------ + +plowing +end + +#----------------------------------------------------------------- +# No special plot layers defined (use default PNM color choices) +#----------------------------------------------------------------- + +plot + style pnm + default + draw fillblock no_color_at_all + draw obsactive no_color_at_all + draw obsm1 no_color_at_all + draw obsm2 no_color_at_all + draw obsm3 no_color_at_all + draw obsm4 no_color_at_all + draw obsm5 no_color_at_all + draw obsm6 no_color_at_all + draw obsm7 no_color_at_all + draw difffill no_color_at_all + draw polyfill no_color_at_all + draw m1fill no_color_at_all + draw m2fill no_color_at_all + draw m3fill no_color_at_all + draw m4fill no_color_at_all + draw m5fill no_color_at_all + draw m6fill no_color_at_all + draw m7fill no_color_at_all + draw isosub no_color_at_all + draw nwell cwell +end + diff --git a/Tech.SG13G2/magic.layers.out b/Tech.SG13G2/magic.layers.out new file mode 100644 index 00000000..a04fd9d2 --- /dev/null +++ b/Tech.SG13G2/magic.layers.out @@ -0,0 +1,500 @@ + +Magic 8.3 revision 525 - Compiled on Sun Aug 10 18:44:26 UTC 2025. +Starting magic under Tcl interpreter +Using the terminal as the console. +Using NULL graphics device. +Input style sg13g2(): scaleFactor=2, multiplier=2 +The following types are not handled by extraction and will be treated as non-electrical types: + fillfet sealcont difffill nemitter hvnemitter hvisodiffres sealvia1 sealvia2 sealvia3 sealvia4 sealvia5 sealvia6 pad seal thruvia +Processing system .magicrc file +Using technology "ihp-sg13g2", version 0.2.0 +CUT HERE -------- CUT HERE +EXPANDING: hvndc +hvndcontact +EXPANDING: (hvndc,hvnsc)/m1 +hvndcontact hvnsubstratencontact +EXPANDING: (hvndc,hvnsc,hvpdc,hvpsc)/a +hvndcontact hvpdcontact hvpsubstratepcontact hvnsubstratencontact +EXPANDING: hvpdc +hvpdcontact +EXPANDING: (hvpdc,hvpsc)/m1 +hvpdcontact hvpsubstratepcontact +EXPANDING: ndc +ndcontact +EXPANDING: (ndc,nsc)/m1 +ndcontact nsubstratencontact +EXPANDING: (ndc,nsc,pdc,psc,ndic,pdic)/a +ndcontact pdcontact psubstratepcontact nsubstratencontact pdiodecont ndiodecont +EXPANDING: pdc +pdcontact +EXPANDING: (pdc,psc)/m1 +pdcontact psubstratepcontact +EXPANDING: *hvndiff +hvndiffusion hvndcontact +EXPANDING: *hvndiff,*hvpsd +hvndiffusion hvndcontact hvpsubstratepdiff hvpsubstratepcontact +EXPANDING: *hvndiff,hvnfet +hvntransistor hvndiffusion hvndcontact +EXPANDING: *hvndiff,hvnfet,hvnfetesd +hvntransistor hvntransistoresd hvndiffusion hvndcontact +EXPANDING: *hvndiff,hvnmosesd +hvntransistoresd hvndiffusion hvndcontact +EXPANDING: *hvnsc +hvnsubstratencontact +EXPANDING: *hvnsd +hvnsubstratendiff hvnsubstratencontact +EXPANDING: *hvpdiff +hvpdiffusion hvpdcontact +EXPANDING: *hvpdiff,hvpfet,hvpfetesd +hvptransistor hvptransistoresd hvpdiffusion hvpdcontact +EXPANDING: *hvpsd +hvpsubstratepdiff hvpsubstratepcontact +EXPANDING: *hvpsd,*psd +psubstratepdiff hvpsubstratepdiff psubstratepcontact hvpsubstratepcontact +EXPANDING: *m1 +hvvarcontact necontact hvnecontact ndcontact pdcontact hvndcontact hvpdcontact psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact pcontact pdiodecont ndiodecont schottkycont metal1 m2contact +EXPANDING: *m1,rm1 +hvvarcontact necontact hvnecontact ndcontact pdcontact hvndcontact hvpdcontact psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact pcontact pdiodecont ndiodecont schottkycont metal1 rmetal1 m2contact +EXPANDING: *m1,rm1,iprobe,diffprobe,sealv1 +hvvarcontact necontact hvnecontact ndcontact pdcontact hvndcontact hvpdcontact psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact pcontact pdiodecont ndiodecont schottkycont metal1 rmetal1 m2contact iprobe diffprobe sealvia1 +EXPANDING: *m2 +m2contact metal2 m3contact +EXPANDING: *m2,rm2 +m2contact metal2 rmetal2 m3contact +EXPANDING: *m3 +m3contact metal3 via3 +EXPANDING: *m3,rm3 +m3contact metal3 rmetal3 via3 +EXPANDING: *m4 +via3 metal4 via4 +EXPANDING: *m4,rm4 +via3 metal4 rmetal4 via4 +EXPANDING: *m5 +via4 metal5 via5 +EXPANDING: *m5,rm5 +via4 metal5 rmetal5 via5 +EXPANDING: *m6 +via5 mimcapcontact metal6 via6 +EXPANDING: *m6,rm6 +via5 mimcapcontact metal6 rmetal6 via6 +EXPANDING: *metal5 +via4 metal5 via5 +EXPANDING: *metal5/m5 +via4 metal5 via5 +EXPANDING: *mimcap +mimcap mimcapcontact +EXPANDING: *mimcc +mimcapcontact +EXPANDING: *ndi +ndiode ndiodecont +EXPANDING: *ndiff +ndiffusion ndcontact +EXPANDING: *ndiff,*ndiode,nfet +ntransistor ndiffusion ndcontact ndiode ndiodecont +EXPANDING: *ndiff,*psd +ndiffusion ndcontact psubstratepdiff psubstratepcontact +EXPANDING: *ndiff,nfet +ntransistor ndiffusion ndcontact +EXPANDING: *ndiode +ndiode ndiodecont +EXPANDING: *nsd +nsubstratendiff nsubstratencontact +EXPANDING: *obsm1 +obsm1 +EXPANDING: *pdi +pdiode pdiodecont +EXPANDING: *pdiff +pdiffusion pdcontact +EXPANDING: *pdiff,*hvpdiff +pdiffusion hvpdiffusion pdcontact hvpdcontact +EXPANDING: *pdiff,*pdiode,pfet +ptransistor pdiffusion pdcontact pdiode pdiodecont +EXPANDING: *pdiff,pfet +ptransistor pdiffusion pdcontact +EXPANDING: *pdiode +pdiode pdiodecont +EXPANDING: *poly +polysilicon pcontact +EXPANDING: *poly,allfets +ntransistor ptransistor hvntransistor hvptransistor hvntransistoresd hvptransistoresd hvvaractor hvvarcontact hvpvaractor polysilicon pcontact +EXPANDING: *poly,obspoly +polysilicon pcontact obspoly +EXPANDING: *psd +psubstratepdiff psubstratepcontact +EXPANDING: *psd,*hvpsd +psubstratepdiff hvpsubstratepdiff psubstratepcontact hvpsubstratepcontact +EXPANDING: *schottky +schottky schottkycont +EXPANDING: alldiff +ntransistor ptransistor hvntransistor hvptransistor hvntransistoresd hvptransistoresd hvvaractor hvvarcontact hvpvaractor ndiffusion pdiffusion hvndiffusion hvpdiffusion ndcontact pdcontact hvndcontact hvpdcontact psubstratepdiff nsubstratendiff hvpsubstratepdiff hvnsubstratendiff psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact hvndiffres pdiode ndiode pdiodecont ndiodecont +EXPANDING: alldiff,*poly,obspoly +ntransistor ptransistor hvntransistor hvptransistor hvntransistoresd hvptransistoresd hvvaractor hvvarcontact hvpvaractor ndiffusion pdiffusion hvndiffusion hvpdiffusion ndcontact pdcontact hvndcontact hvpdcontact psubstratepdiff nsubstratendiff hvpsubstratepdiff hvnsubstratendiff psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact polysilicon pcontact obspoly hvndiffres pdiode ndiode pdiodecont ndiodecont +EXPANDING: alldiff,isodiffres,hvisodiffres,sealc +ntransistor ptransistor hvntransistor hvptransistor hvntransistoresd hvptransistoresd hvvaractor hvvarcontact hvpvaractor sealcont ndiffusion pdiffusion hvndiffusion hvpdiffusion ndcontact pdcontact hvndcontact hvpdcontact psubstratepdiff nsubstratendiff hvpsubstratepdiff hvnsubstratendiff psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact hvndiffres isodiffres hvisodiffres pdiode ndiode pdiodecont ndiodecont +EXPANDING: alldiff,sealc +ntransistor ptransistor hvntransistor hvptransistor hvntransistoresd hvptransistoresd hvvaractor hvvarcontact hvpvaractor sealcont ndiffusion pdiffusion hvndiffusion hvpdiffusion ndcontact pdcontact hvndcontact hvpdcontact psubstratepdiff nsubstratendiff hvpsubstratepdiff hvnsubstratendiff psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact hvndiffres pdiode ndiode pdiodecont ndiodecont +EXPANDING: alldifflv +ntransistor ptransistor ndiffusion pdiffusion ndcontact pdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact pdiode ndiode pdiodecont ndiodecont +EXPANDING: alldifflv,allpoly,*hvpdiff,*hvnsc +ntransistor ptransistor hvntransistor hvptransistor hvntransistoresd hvptransistoresd hvvaractor hvvarcontact hvpvaractor ndiffusion pdiffusion hvpdiffusion ndcontact pdcontact hvpdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact hvnsubstratencontact polysilicon pcontact npolyres ppolyres xpolyres pdiode ndiode pdiodecont ndiodecont +EXPANDING: alldifflv,allpolynonfet,*hvpdiff,*hvpsd +ntransistor ptransistor ndiffusion pdiffusion hvpdiffusion ndcontact pdcontact hvpdcontact psubstratepdiff nsubstratendiff hvpsubstratepdiff psubstratepcontact nsubstratencontact hvpsubstratepcontact polysilicon pcontact npolyres ppolyres xpolyres pdiode ndiode pdiodecont ndiodecont +EXPANDING: allfets +ntransistor ptransistor hvntransistor hvptransistor hvntransistoresd hvptransistoresd hvvaractor hvvarcontact hvpvaractor +EXPANDING: allm1 +hvvarcontact necontact hvnecontact ndcontact pdcontact hvndcontact hvpdcontact psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact pcontact pdiodecont ndiodecont schottkycont metal1 rmetal1 m2contact iprobe +EXPANDING: allm1,diffprobe,*obsm1,sealv1 +hvvarcontact necontact hvnecontact ndcontact pdcontact hvndcontact hvpdcontact psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact pcontact pdiodecont ndiodecont schottkycont metal1 rmetal1 m2contact obsm1 iprobe diffprobe sealvia1 +EXPANDING: allm1,diffprobe,sealv1 +hvvarcontact necontact hvnecontact ndcontact pdcontact hvndcontact hvpdcontact psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact pcontact pdiodecont ndiodecont schottkycont metal1 rmetal1 m2contact iprobe diffprobe sealvia1 +EXPANDING: allm1,obsm1 +hvvarcontact necontact hvnecontact ndcontact pdcontact hvndcontact hvpdcontact psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact pcontact pdiodecont ndiodecont schottkycont metal1 rmetal1 m2contact obsm1 iprobe +EXPANDING: allm2 +m2contact metal2 rmetal2 m3contact +EXPANDING: allm2,obsm2 +m2contact metal2 rmetal2 m3contact obsm2 +EXPANDING: allm2,sealv2 +m2contact metal2 rmetal2 m3contact sealvia2 +EXPANDING: allm2,sealv2,obsm2 +m2contact metal2 rmetal2 m3contact obsm2 sealvia2 +EXPANDING: allm3 +m3contact metal3 rmetal3 via3 +EXPANDING: allm3,obsm3 +m3contact metal3 rmetal3 obsm3 via3 +EXPANDING: allm3,sealv3 +m3contact metal3 rmetal3 via3 sealvia3 +EXPANDING: allm3,sealv3,obsm3 +m3contact metal3 rmetal3 obsm3 via3 sealvia3 +EXPANDING: allm4 +via3 metal4 rmetal4 via4 +EXPANDING: allm4,obsm4 +via3 metal4 rmetal4 obsm4 via4 +EXPANDING: allm4,obsm4,sealv4 +via3 metal4 rmetal4 obsm4 via4 sealvia4 +EXPANDING: allm4,sealv4 +via3 metal4 rmetal4 via4 sealvia4 +EXPANDING: allm5 +via4 metal5 rmetal5 via5 +EXPANDING: allm5,obsm5 +via4 metal5 rmetal5 obsm5 via5 +EXPANDING: allm5,obsm5,sealv5 +via4 metal5 rmetal5 obsm5 via5 sealvia5 +EXPANDING: allm5,sealv5 +via4 metal5 rmetal5 via5 sealvia5 +EXPANDING: allm6 +via5 mimcapcontact metal6 rmetal6 via6 +EXPANDING: allm6,obsm6 +via5 mimcapcontact metal6 rmetal6 obsm6 via6 +EXPANDING: allm6,obsm6,sealv6 +via5 mimcapcontact metal6 rmetal6 obsm6 via6 sealvia6 +EXPANDING: allm6,sealv6 +via5 mimcapcontact metal6 rmetal6 via6 sealvia6 +EXPANDING: allm7 +via6 metal7 rmetal7 +EXPANDING: allm7,obsm7 +via6 metal7 rmetal7 obsm7 +EXPANDING: allm7,obsm7,pillar,solder +via6 metal7 rmetal7 obsm7 pillar solder +EXPANDING: allm7,pillar,solder,pad +via6 metal7 rmetal7 pillar solder pad +EXPANDING: allnactive +ntransistor hvntransistor hvntransistoresd ndiffusion hvndiffusion ndcontact hvndcontact nsubstratendiff hvnsubstratendiff nsubstratencontact hvnsubstratencontact hvndiffres ndiode ndiodecont +EXPANDING: allndiffcont +ndcontact hvndcontact nsubstratencontact hvnsubstratencontact ndiodecont +EXPANDING: allnwell +nwell obswell nbase +EXPANDING: allpactive +ptransistor hvptransistor hvptransistoresd pdiffusion hvpdiffusion pdcontact hvpdcontact psubstratepdiff hvpsubstratepdiff psubstratepcontact hvpsubstratepcontact pdiode pdiodecont +EXPANDING: allpdiffcont +pdcontact hvpdcontact psubstratepcontact hvpsubstratepcontact pdiodecont +EXPANDING: allpoly +ntransistor ptransistor hvntransistor hvptransistor hvntransistoresd hvptransistoresd hvvaractor hvvarcontact hvpvaractor polysilicon pcontact npolyres ppolyres xpolyres +EXPANDING: allpolynonfet +polysilicon pcontact npolyres ppolyres xpolyres +EXPANDING: difffill +difffill +EXPANDING: difffill,fillfet +fillfet difffill +EXPANDING: diffprobe +diffprobe +EXPANDING: dnwell +dnwell +EXPANDING: fillfet +fillfet +EXPANDING: gec/a +gecontact +EXPANDING: hvisodiffres +hvisodiffres +EXPANDING: hvndc +hvndcontact +EXPANDING: hvndc/a +hvndcontact +EXPANDING: hvndc/m1 +hvndcontact +EXPANDING: hvndiffres +hvndiffres +EXPANDING: hvndiffres,hvnmosesd +hvntransistoresd hvndiffres +EXPANDING: hvnec/a +hvnecontact +EXPANDING: hvnfet +hvntransistor +EXPANDING: hvnfetesd +hvntransistoresd +EXPANDING: hvnmosesd +hvntransistoresd +EXPANDING: hvnsc +hvnsubstratencontact +EXPANDING: hvnsc +hvnsubstratencontact +EXPANDING: hvnsc/m1 +hvnsubstratencontact +EXPANDING: hvnsc/a +hvnsubstratencontact +EXPANDING: hvnsc/m1 +hvnsubstratencontact +EXPANDING: hvpdc +hvpdcontact +EXPANDING: hvpdc/a +hvpdcontact +EXPANDING: hvpdc/m1 +hvpdcontact +EXPANDING: hvpfet +hvptransistor +EXPANDING: hvpfetesd +hvptransistoresd +EXPANDING: hvpsc +hvpsubstratepcontact +EXPANDING: hvpsc +hvpsubstratepcontact +EXPANDING: hvpsc/a +hvpsubstratepcontact +EXPANDING: hvpsc/m1 +hvpsubstratepcontact +EXPANDING: hvpsc/a +hvpsubstratepcontact +EXPANDING: hvpsc/m1 +hvpsubstratepcontact +EXPANDING: iprobe +iprobe +EXPANDING: isodiffres +isodiffres +EXPANDING: m1 +metal1 +EXPANDING: m1fill +m1fill +EXPANDING: m2 +metal2 +EXPANDING: m2fill +m2fill +EXPANDING: m3 +metal3 +EXPANDING: m3fill +m3fill +EXPANDING: m4 +metal4 +EXPANDING: m4fill +m4fill +EXPANDING: m5 +metal5 +EXPANDING: m5fill +m5fill +EXPANDING: m6 +metal6 +EXPANDING: m6fill +m6fill +EXPANDING: m7 +metal7 +EXPANDING: m7,rm7 +metal7 rmetal7 +EXPANDING: m7fill +m7fill +EXPANDING: mimcc +mimcapcontact +EXPANDING: mimcc/m5 + +EXPANDING: mimcc/m6 +mimcapcontact +EXPANDING: ndc +ndcontact +EXPANDING: ndc,pdc,nsc,psc,ndic,pdic,hvndc,hvpdc,hvnsc,hvpsc +ndcontact pdcontact hvndcontact hvpdcontact psubstratepcontact nsubstratencontact hvpsubstratepcontact hvnsubstratencontact pdiodecont ndiodecont +EXPANDING: ndc/a +ndcontact +EXPANDING: ndc/m1 +ndcontact +EXPANDING: ndic +ndiodecont +EXPANDING: ndic/a +ndiodecont +EXPANDING: ndic/m1 +ndiodecont +EXPANDING: nec/a +necontact +EXPANDING: nfet +ntransistor +EXPANDING: npn +pbase +EXPANDING: npn,pnp +pbase nbase +EXPANDING: nres +npolyres +EXPANDING: nsc +nsubstratencontact +EXPANDING: nsc +nsubstratencontact +EXPANDING: nsc/m1 +nsubstratencontact +EXPANDING: nsc/a +nsubstratencontact +EXPANDING: nsc/m1 +nsubstratencontact +EXPANDING: nwell +nwell +EXPANDING: obsm1 +obsm1 +EXPANDING: obsm2 +obsm2 +EXPANDING: obsm3 +obsm3 +EXPANDING: obsm4 +obsm4 +EXPANDING: obsm5 +obsm5 +EXPANDING: obsm6 +obsm6 +EXPANDING: obsm7 +obsm7 +EXPANDING: obspoly +obspoly +EXPANDING: pad +pad +EXPANDING: pc +pcontact +EXPANDING: pc/a +pcontact +EXPANDING: pc/m1 +pcontact +EXPANDING: pdc +pdcontact +EXPANDING: pdc/a +pdcontact +EXPANDING: pdc/m1 +pdcontact +EXPANDING: pdic +pdiodecont +EXPANDING: pdic +pdiodecont +EXPANDING: pdic/a +pdiodecont +EXPANDING: pdic/a +pdiodecont +EXPANDING: pdic/m1 +pdiodecont +EXPANDING: pdiff +pdiffusion +EXPANDING: pdiff,m1,m2,m3,m4,m5,m6 +pdiffusion metal1 metal2 metal3 metal4 metal5 metal6 +EXPANDING: pfet +ptransistor +EXPANDING: pillar +pillar +EXPANDING: pnp +nbase +EXPANDING: polyfill +polyfill +EXPANDING: polyfill,fillfet +fillfet polyfill +EXPANDING: pres +ppolyres +EXPANDING: pres,xres +ppolyres xpolyres +EXPANDING: psc +psubstratepcontact +EXPANDING: psc +psubstratepcontact +EXPANDING: psc/m1 +psubstratepcontact +EXPANDING: psc/a +psubstratepcontact +EXPANDING: psc/m1 +psubstratepcontact +EXPANDING: psd +psubstratepdiff +EXPANDING: rm1 +rmetal1 +EXPANDING: rm2 +rmetal2 +EXPANDING: rm3 +rmetal3 +EXPANDING: rm4 +rmetal4 +EXPANDING: rm5 +rmetal5 +EXPANDING: rm6 +rmetal6 +EXPANDING: rm7 +rmetal7 +EXPANDING: schottky +schottky +EXPANDING: sdic +schottkycont +EXPANDING: sdic/a +schottkycont +EXPANDING: sdic/m1 +schottkycont +EXPANDING: seal +seal +EXPANDING: sealc +sealcont +EXPANDING: sealv1 +sealvia1 +EXPANDING: sealv2 +sealvia2 +EXPANDING: sealv3 +sealvia3 +EXPANDING: sealv4 +sealvia4 +EXPANDING: sealv5 +sealvia5 +EXPANDING: sealv6 +sealvia6 +EXPANDING: solder +solder +EXPANDING: v1 +m2contact +EXPANDING: v1/m1 +m2contact +EXPANDING: v1/m2 +m2contact +EXPANDING: v2 +m3contact +EXPANDING: v2/m2 +m3contact +EXPANDING: v2/m3 +m3contact +EXPANDING: v3 +via3 +EXPANDING: v3/m3 +via3 +EXPANDING: v3/m4 +via3 +EXPANDING: v4 +via4 +EXPANDING: v4/m4 +via4 +EXPANDING: v4/m5 +via4 +EXPANDING: v5 +via5 +EXPANDING: v5/m5 +via5 +EXPANDING: v5/m6 +via5 +EXPANDING: v6 +via6 +EXPANDING: v6/m6 +via6 +EXPANDING: xres +xpolyres +CUT HERE -------- CUT HERE diff --git a/Tech.SG13G2/nmos.sp b/Tech.SG13G2/nmos.sp new file mode 100644 index 00000000..5e7be924 --- /dev/null +++ b/Tech.SG13G2/nmos.sp @@ -0,0 +1 @@ +w=0.350u l=0.340u diff --git a/Tech.SG13G2/pmos.sp b/Tech.SG13G2/pmos.sp new file mode 100644 index 00000000..d2fd6a65 --- /dev/null +++ b/Tech.SG13G2/pmos.sp @@ -0,0 +1 @@ +w=0.350u l=0.280u diff --git a/Tech.SG13G2/transistors.ngspice b/Tech.SG13G2/transistors.ngspice new file mode 100644 index 00000000..ca3e0b09 --- /dev/null +++ b/Tech.SG13G2/transistors.ngspice @@ -0,0 +1,7948 @@ +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* Component: Spectre model file for Spectre 18 * +* * +* Simulator: Spectre 20.1 * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* M O S C O R N E R S * +* * +* * +******************************************************************************* +* +* NOTE: use only typical mean file (this file) for Monte Carlo simulations of process tolerance! +* +* hint: using spectre, add this file as a model file in analog artist; +* using spectreS, add it under Environment/Include with syntax=spectre +* +* Corner naming scheme: typical mean=tt, worst case=ss, best case=ff, combinations sf, fs, ... +* Digit Devices + + +* Monte-Carlo begin --------------------------------------------- +* +* NOTE: default of all .param should be 1.0 +* NOTE: deviations from 1.0 are used to fit statistical results +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +**************** CORNER_LIB OF sg13g2_lv TT MODEL **************** +* Typical +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm= 1.0 + .param sg13g2_lv_nmos_ctl = 1.2080 + .param sg13g2_lv_nmos_rsw1 = 0.7200 + .param sg13g2_lv_nmos_muew = 0.8500 + .param sg13g2_lv_nmos_dphibo = 0.9915 + .param sg13g2_lv_nmos_dphibl = 0.9693 + .param sg13g2_lv_nmos_dphibw = 0.9749 + .param sg13g2_lv_nmos_dphiblw= 0.9754 + .param sg13g2_lv_nmos_themuo = 0.8757 + .param sg13g2_lv_nmos_thesatl= 0.7850 + .param sg13g2_lv_nmos_thesatw= 1.5000 + .param sg13g2_lv_nmos_thesatlw= 0.6127 + .param sg13g2_lv_nmos_toxo = 1.0000 + .param sg13g2_lv_nmos_toxovo = 1.0000 + .param sg13g2_lv_nmos_cjorbot= 1.0000 + .param sg13g2_lv_nmos_cjorsti= 1.0000 + .param sg13g2_lv_nmos_cjorgat= 1.0000 + + .param sg13g2_lv_pmos_vfbo_mm= 1.0 + .param sg13g2_lv_pmos_ctl = 1.9570 + .param sg13g2_lv_pmos_rsw1 = 0.7720 + .param sg13g2_lv_pmos_muew = 1.0520 + .param sg13g2_lv_pmos_dphibo = 0.9050 + .param sg13g2_lv_pmos_dphibl = 0.8550 + .param sg13g2_lv_pmos_dphibw = -1.5800 + .param sg13g2_lv_pmos_dphiblw= 1.0000 + .param sg13g2_lv_pmos_themuo = 0.9580 + .param sg13g2_lv_pmos_thesatl= 0.5510 + .param sg13g2_lv_pmos_thesatw= 1.0800 + .param sg13g2_lv_pmos_thesatlw= 1.0000 + .param sg13g2_lv_pmos_toxo = 1.0000 + .param sg13g2_lv_pmos_toxovo = 1.0000 + .param sg13g2_lv_pmos_cjorbot= 1.0000 + .param sg13g2_lv_pmos_cjorsti= 1.0000 + .param sg13g2_lv_pmos_cjorgat= 1.0000 + + .param sg13g2_lv_svaricap_lap = 1.082 + .param sg13g2_lv_svaricap_toxo = 1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL mos_tt + +* Typical with statistical modeling +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm_norm= 1.0 + .param sg13g2_lv_nmos_ctl_norm = 1.2080 + .param sg13g2_lv_nmos_rsw1_norm = 0.7200 + .param sg13g2_lv_nmos_muew_norm = 0.8500 + .param sg13g2_lv_nmos_dphibo_norm = 0.9915 + .param sg13g2_lv_nmos_dphibl_norm = 0.9693 + .param sg13g2_lv_nmos_dphibw_norm = 0.9749 + .param sg13g2_lv_nmos_dphiblw_norm= 0.9754 + .param sg13g2_lv_nmos_themuo_norm = 0.8757 + .param sg13g2_lv_nmos_thesatl_norm= 0.7850 + .param sg13g2_lv_nmos_thesatw_norm= 1.5000 + .param sg13g2_lv_nmos_thesatlw_norm= 0.6127 + .param sg13g2_lv_nmos_toxo_norm = 1.0000 + .param sg13g2_lv_nmos_toxovo_norm = 1.0000 + .param sg13g2_lv_nmos_cjorbot_norm= 1.0000 + .param sg13g2_lv_nmos_cjorsti_norm= 1.0000 + .param sg13g2_lv_nmos_cjorgat_norm= 1.0000 + + .param sg13g2_lv_pmos_vfbo_mm_norm= 1.0 + .param sg13g2_lv_pmos_ctl_norm = 1.2080 + .param sg13g2_lv_pmos_rsw1_norm = 0.7200 + .param sg13g2_lv_pmos_muew_norm = 0.8500 + .param sg13g2_lv_pmos_dphibo_norm = 0.9915 + .param sg13g2_lv_pmos_dphibl_norm = 0.9693 + .param sg13g2_lv_pmos_dphibw_norm = 0.9749 + .param sg13g2_lv_pmos_dphiblw_norm= 0.9754 + .param sg13g2_lv_pmos_themuo_norm = 0.8757 + .param sg13g2_lv_pmos_thesatl_norm= 0.7850 + .param sg13g2_lv_pmos_thesatw_norm= 1.5000 + .param sg13g2_lv_pmos_thesatlw_norm= 0.6127 + .param sg13g2_lv_pmos_toxo_norm = 1.0000 + .param sg13g2_lv_pmos_toxovo_norm = 1.0000 + .param sg13g2_lv_pmos_cjorbot_norm= 1.0000 + .param sg13g2_lv_pmos_cjorsti_norm= 1.0000 + .param sg13g2_lv_pmos_cjorgat_norm= 1.0000 + + .param sg13g2_lv_svaricap_lap = 1.082 + .param sg13g2_lv_svaricap_toxo = 1 + +*####################################################################### +* +* Copyright 2023 IHP PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. +* +*####################################################################### + +* ngspice statistical parameters +.param sg13g2_lv_nmos_vfbo_mm ='gauss(sg13g2_lv_nmos_vfbo_mm_norm , 0.004, mc_ok)' +.param sg13g2_lv_nmos_toxo ='gauss(sg13g2_lv_nmos_toxo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_nmos_dphibo ='gauss(sg13g2_lv_nmos_dphibo_norm, 0.0656, mc_ok)' +.param sg13g2_lv_nmos_dphibl ='gauss(sg13g2_lv_nmos_dphibl_norm, 0.1135, mc_ok)' +.param sg13g2_lv_nmos_dphibw ='gauss(sg13g2_lv_nmos_dphibw_norm, 0.1197, mc_ok)' +.param sg13g2_lv_nmos_dphiblw ='gauss(sg13g2_lv_nmos_dphiblw_norm, 0.0135, mc_ok)' +.param sg13g2_lv_nmos_toxovo ='gauss(sg13g2_lv_nmos_toxovo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_nmos_ctl ='gauss(sg13g2_lv_nmos_ctl_norm, 0.1562, mc_ok)' +.param sg13g2_lv_nmos_muew ='gauss(sg13g2_lv_nmos_muew_norm, 0.032, mc_ok)' +.param sg13g2_lv_nmos_themuo ='gauss(sg13g2_lv_nmos_themuo_norm, 0.0026, mc_ok)' +.param sg13g2_lv_nmos_rsw1 ='gauss(sg13g2_lv_nmos_rsw1_norm, 0.0407, mc_ok)' +.param sg13g2_lv_nmos_thesatl ='gauss(sg13g2_lv_nmos_thesatl_norm, 0.0908, mc_ok)' +.param sg13g2_lv_nmos_thesatw ='gauss(sg13g2_lv_nmos_thesatw_norm, 0.0272, mc_ok)' +.param sg13g2_lv_nmos_thesatlw ='gauss(sg13g2_lv_nmos_thesatlw_norm, 0.1503, mc_ok)' +.param sg13g2_lv_nmos_cjorbot ='gauss(sg13g2_lv_nmos_cjorbot_norm, 0.0267, mc_ok)' +.param sg13g2_lv_nmos_cjorsti ='gauss(sg13g2_lv_nmos_cjorsti_norm, 0.0267, mc_ok)' +.param sg13g2_lv_nmos_cjorgat ='gauss(sg13g2_lv_nmos_cjorgat_norm, 0.0267, mc_ok)' + +.param sg13g2_lv_pmos_vfbo_mm ='gauss(sg13g2_lv_pmos_vfbo_mm_norm, 0.004, mc_ok)' +.param sg13g2_lv_pmos_toxo ='gauss(sg13g2_lv_pmos_toxo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_pmos_dphibo ='gauss(sg13g2_lv_pmos_dphibo_norm, 0.0656, mc_ok)' +.param sg13g2_lv_pmos_dphibl ='gauss(sg13g2_lv_pmos_dphibl_norm, 0.1135, mc_ok)' +.param sg13g2_lv_pmos_dphibw ='gauss(sg13g2_lv_pmos_dphibw_norm, 0.1197, mc_ok)' +.param sg13g2_lv_pmos_dphiblw ='gauss(sg13g2_lv_pmos_dphiblw_norm, 0.0135, mc_ok)' +.param sg13g2_lv_pmos_toxovo ='gauss(sg13g2_lv_pmos_toxovo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_pmos_ctl ='gauss(sg13g2_lv_pmos_ctl_norm, 0.1562, mc_ok)' +.param sg13g2_lv_pmos_muew ='gauss(sg13g2_lv_pmos_muew_norm, 0.032, mc_ok)' +.param sg13g2_lv_pmos_themuo ='gauss(sg13g2_lv_pmos_themuo_norm, 0.0026, mc_ok)' +.param sg13g2_lv_pmos_rsw1 ='gauss(sg13g2_lv_pmos_rsw1_norm, 0.0407, mc_ok)' +.param sg13g2_lv_pmos_thesatl ='gauss(sg13g2_lv_pmos_thesatl_norm, 0.0908, mc_ok)' +.param sg13g2_lv_pmos_thesatw ='gauss(sg13g2_lv_pmos_thesatw_norm, 0.0272, mc_ok)' +.param sg13g2_lv_pmos_thesatlw ='gauss(sg13g2_lv_pmos_thesatlw_norm, 0.1503, mc_ok)' +.param sg13g2_lv_pmos_cjorbot ='gauss(sg13g2_lv_pmos_cjorbot_norm, 0.0267, mc_ok)' +.param sg13g2_lv_pmos_cjorsti ='gauss(sg13g2_lv_pmos_cjorsti_norm, 0.0267, mc_ok)' +.param sg13g2_lv_pmos_cjorgat ='gauss(sg13g2_lv_pmos_cjorgat_norm, 0.0267, mc_ok)' + + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL mos_tt_stat + +**************** CORNER_LIB OF sg13g2_lv SS MODEL **************** +* Slow n, Slow p without statistical +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm= 1.0 + .param sg13g2_lv_nmos_ctl = 0.4939 + .param sg13g2_lv_nmos_rsw1 = 0.6560 + .param sg13g2_lv_nmos_muew = 0.9700 + .param sg13g2_lv_nmos_dphibo = 1.2070 + .param sg13g2_lv_nmos_dphibl = 1.3360 + .param sg13g2_lv_nmos_dphibw = 1.3290 + .param sg13g2_lv_nmos_dphiblw= 1.0110 + .param sg13g2_lv_nmos_themuo = 0.8866 + .param sg13g2_lv_nmos_thesatl= 1.0960 + .param sg13g2_lv_nmos_thesatw= 1.5930 + .param sg13g2_lv_nmos_thesatlw= 0.9875 + .param sg13g2_lv_nmos_toxo = 1.0400 + .param sg13g2_lv_nmos_toxovo = 1.0400 + .param sg13g2_lv_nmos_cjorbot= 1.0800 + .param sg13g2_lv_nmos_cjorsti= 1.0800 + .param sg13g2_lv_nmos_cjorgat= 1.0800 + + .param sg13g2_lv_pmos_vfbo_mm= 1.0 + .param sg13g2_lv_pmos_ctl = 1.3520 + .param sg13g2_lv_pmos_rsw1 = 0.9365 + .param sg13g2_lv_pmos_muew = 1.1030 + .param sg13g2_lv_pmos_dphibo = 0.5883 + .param sg13g2_lv_pmos_dphibl = 1.3540 + .param sg13g2_lv_pmos_dphibw = -4.9920 + .param sg13g2_lv_pmos_dphiblw= 1.0000 + .param sg13g2_lv_pmos_themuo = 0.8720 + .param sg13g2_lv_pmos_thesatl= 1.2190 + .param sg13g2_lv_pmos_thesatw= 0.9875 + .param sg13g2_lv_pmos_thesatlw= 1.0000 + .param sg13g2_lv_pmos_toxo = 1.0400 + .param sg13g2_lv_pmos_toxovo = 1.0400 + .param sg13g2_lv_pmos_cjorbot= 1.0800 + .param sg13g2_lv_pmos_cjorsti= 1.0800 + .param sg13g2_lv_pmos_cjorgat= 1.0800 + + .param sg13g2_lv_svaricap_lap = 1 + .param sg13g2_lv_svaricap_toxo = 1.04 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL mos_ss + +* Slow n, Slow p with statistical +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm_norm= 1.0 + .param sg13g2_lv_nmos_ctl_norm = 0.4939 + .param sg13g2_lv_nmos_rsw1_norm = 0.6560 + .param sg13g2_lv_nmos_muew_norm = 0.9700 + .param sg13g2_lv_nmos_dphibo_norm = 1.2070 + .param sg13g2_lv_nmos_dphibl_norm = 1.3360 + .param sg13g2_lv_nmos_dphibw_norm = 1.3290 + .param sg13g2_lv_nmos_dphiblw_norm= 1.0110 + .param sg13g2_lv_nmos_themuo_norm = 0.8866 + .param sg13g2_lv_nmos_thesatl_norm= 1.0960 + .param sg13g2_lv_nmos_thesatw_norm= 1.5930 + .param sg13g2_lv_nmos_thesatlw_norm= 0.9875 + .param sg13g2_lv_nmos_toxo_norm = 1.0400 + .param sg13g2_lv_nmos_toxovo_norm = 1.0400 + .param sg13g2_lv_nmos_cjorbot_norm= 1.0800 + .param sg13g2_lv_nmos_cjorsti_norm= 1.0800 + .param sg13g2_lv_nmos_cjorgat_norm= 1.0800 + + .param sg13g2_lv_pmos_vfbo_mm_norm= 1.0 + .param sg13g2_lv_pmos_ctl_norm = 1.3520 + .param sg13g2_lv_pmos_rsw1_norm = 0.9365 + .param sg13g2_lv_pmos_muew_norm = 1.1030 + .param sg13g2_lv_pmos_dphibo_norm = 0.5883 + .param sg13g2_lv_pmos_dphibl_norm = 1.3540 + .param sg13g2_lv_pmos_dphibw_norm = -4.9920 + .param sg13g2_lv_pmos_dphiblw_norm= 1.0000 + .param sg13g2_lv_pmos_themuo_norm = 0.8720 + .param sg13g2_lv_pmos_thesatl_norm= 1.2190 + .param sg13g2_lv_pmos_thesatw_norm= 0.9875 + .param sg13g2_lv_pmos_thesatlw_norm= 1.0000 + .param sg13g2_lv_pmos_toxo_norm = 1.0400 + .param sg13g2_lv_pmos_toxovo_norm = 1.0400 + .param sg13g2_lv_pmos_cjorbot_norm= 1.0800 + .param sg13g2_lv_pmos_cjorsti_norm= 1.0800 + .param sg13g2_lv_pmos_cjorgat_norm= 1.0800 + + .param sg13g2_lv_svaricap_lap = 1 + .param sg13g2_lv_svaricap_toxo = 1.04 + +*####################################################################### +* +* Copyright 2023 IHP PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. +* +*####################################################################### + +* ngspice statistical parameters +.param sg13g2_lv_nmos_vfbo_mm ='gauss(sg13g2_lv_nmos_vfbo_mm_norm , 0.004, mc_ok)' +.param sg13g2_lv_nmos_toxo ='gauss(sg13g2_lv_nmos_toxo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_nmos_dphibo ='gauss(sg13g2_lv_nmos_dphibo_norm, 0.0656, mc_ok)' +.param sg13g2_lv_nmos_dphibl ='gauss(sg13g2_lv_nmos_dphibl_norm, 0.1135, mc_ok)' +.param sg13g2_lv_nmos_dphibw ='gauss(sg13g2_lv_nmos_dphibw_norm, 0.1197, mc_ok)' +.param sg13g2_lv_nmos_dphiblw ='gauss(sg13g2_lv_nmos_dphiblw_norm, 0.0135, mc_ok)' +.param sg13g2_lv_nmos_toxovo ='gauss(sg13g2_lv_nmos_toxovo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_nmos_ctl ='gauss(sg13g2_lv_nmos_ctl_norm, 0.1562, mc_ok)' +.param sg13g2_lv_nmos_muew ='gauss(sg13g2_lv_nmos_muew_norm, 0.032, mc_ok)' +.param sg13g2_lv_nmos_themuo ='gauss(sg13g2_lv_nmos_themuo_norm, 0.0026, mc_ok)' +.param sg13g2_lv_nmos_rsw1 ='gauss(sg13g2_lv_nmos_rsw1_norm, 0.0407, mc_ok)' +.param sg13g2_lv_nmos_thesatl ='gauss(sg13g2_lv_nmos_thesatl_norm, 0.0908, mc_ok)' +.param sg13g2_lv_nmos_thesatw ='gauss(sg13g2_lv_nmos_thesatw_norm, 0.0272, mc_ok)' +.param sg13g2_lv_nmos_thesatlw ='gauss(sg13g2_lv_nmos_thesatlw_norm, 0.1503, mc_ok)' +.param sg13g2_lv_nmos_cjorbot ='gauss(sg13g2_lv_nmos_cjorbot_norm, 0.0267, mc_ok)' +.param sg13g2_lv_nmos_cjorsti ='gauss(sg13g2_lv_nmos_cjorsti_norm, 0.0267, mc_ok)' +.param sg13g2_lv_nmos_cjorgat ='gauss(sg13g2_lv_nmos_cjorgat_norm, 0.0267, mc_ok)' + +.param sg13g2_lv_pmos_vfbo_mm ='gauss(sg13g2_lv_pmos_vfbo_mm_norm, 0.004, mc_ok)' +.param sg13g2_lv_pmos_toxo ='gauss(sg13g2_lv_pmos_toxo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_pmos_dphibo ='gauss(sg13g2_lv_pmos_dphibo_norm, 0.0656, mc_ok)' +.param sg13g2_lv_pmos_dphibl ='gauss(sg13g2_lv_pmos_dphibl_norm, 0.1135, mc_ok)' +.param sg13g2_lv_pmos_dphibw ='gauss(sg13g2_lv_pmos_dphibw_norm, 0.1197, mc_ok)' +.param sg13g2_lv_pmos_dphiblw ='gauss(sg13g2_lv_pmos_dphiblw_norm, 0.0135, mc_ok)' +.param sg13g2_lv_pmos_toxovo ='gauss(sg13g2_lv_pmos_toxovo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_pmos_ctl ='gauss(sg13g2_lv_pmos_ctl_norm, 0.1562, mc_ok)' +.param sg13g2_lv_pmos_muew ='gauss(sg13g2_lv_pmos_muew_norm, 0.032, mc_ok)' +.param sg13g2_lv_pmos_themuo ='gauss(sg13g2_lv_pmos_themuo_norm, 0.0026, mc_ok)' +.param sg13g2_lv_pmos_rsw1 ='gauss(sg13g2_lv_pmos_rsw1_norm, 0.0407, mc_ok)' +.param sg13g2_lv_pmos_thesatl ='gauss(sg13g2_lv_pmos_thesatl_norm, 0.0908, mc_ok)' +.param sg13g2_lv_pmos_thesatw ='gauss(sg13g2_lv_pmos_thesatw_norm, 0.0272, mc_ok)' +.param sg13g2_lv_pmos_thesatlw ='gauss(sg13g2_lv_pmos_thesatlw_norm, 0.1503, mc_ok)' +.param sg13g2_lv_pmos_cjorbot ='gauss(sg13g2_lv_pmos_cjorbot_norm, 0.0267, mc_ok)' +.param sg13g2_lv_pmos_cjorsti ='gauss(sg13g2_lv_pmos_cjorsti_norm, 0.0267, mc_ok)' +.param sg13g2_lv_pmos_cjorgat ='gauss(sg13g2_lv_pmos_cjorgat_norm, 0.0267, mc_ok)' + + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL mos_ss_stat + +**************** CORNER_LIB OF sg13g2_lv FF MODEL **************** +* Fast n, Fast p +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm= 1.0 + .param sg13g2_lv_nmos_ctl = 1.4310 + .param sg13g2_lv_nmos_rsw1 = 0.9000 + .param sg13g2_lv_nmos_muew = 0.7780 + .param sg13g2_lv_nmos_dphibo = 0.8137 + .param sg13g2_lv_nmos_dphibl = 0.6550 + .param sg13g2_lv_nmos_dphibw = 0.6105 + .param sg13g2_lv_nmos_dphiblw= 0.9300 + .param sg13g2_lv_nmos_themuo = 0.8708 + .param sg13g2_lv_nmos_thesatl= 0.5510 + .param sg13g2_lv_nmos_thesatw= 1.4300 + .param sg13g2_lv_nmos_thesatlw= 0.0860 + .param sg13g2_lv_nmos_toxo = 0.9600 + .param sg13g2_lv_nmos_toxovo = 0.9600 + .param sg13g2_lv_nmos_cjorbot= 0.9200 + .param sg13g2_lv_nmos_cjorsti= 0.9200 + .param sg13g2_lv_nmos_cjorgat= 0.9200 + + .param sg13g2_lv_pmos_vfbo_mm= 1.0 + .param sg13g2_lv_pmos_ctl = 2.4800 + .param sg13g2_lv_pmos_rsw1 = 0.5000 + .param sg13g2_lv_pmos_muew = 0.9620 + .param sg13g2_lv_pmos_dphibo = 1.2350 + .param sg13g2_lv_pmos_dphibl = 0.5066 + .param sg13g2_lv_pmos_dphibw = 3.9230 + .param sg13g2_lv_pmos_dphiblw= 1.0000 + .param sg13g2_lv_pmos_themuo = 1.0200 + .param sg13g2_lv_pmos_thesatl= 0.1880 + .param sg13g2_lv_pmos_thesatw= 1.2440 + .param sg13g2_lv_pmos_thesatlw= 2.0000 + .param sg13g2_lv_pmos_toxo = 0.9600 + .param sg13g2_lv_pmos_toxovo = 0.9600 + .param sg13g2_lv_pmos_cjorbot= 0.9200 + .param sg13g2_lv_pmos_cjorsti= 0.9200 + .param sg13g2_lv_pmos_cjorgat= 0.9200 + + .param sg13g2_lv_svaricap_lap = 1.24 + .param sg13g2_lv_svaricap_toxo = 0.96 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL mos_ff + +* Fast n, Fast p +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm_norm= 1.0 + .param sg13g2_lv_nmos_ctl_norm = 1.4310 + .param sg13g2_lv_nmos_rsw1_norm = 0.9000 + .param sg13g2_lv_nmos_muew_norm = 0.7780 + .param sg13g2_lv_nmos_dphibo_norm = 0.8137 + .param sg13g2_lv_nmos_dphibl_norm = 0.6550 + .param sg13g2_lv_nmos_dphibw_norm = 0.6105 + .param sg13g2_lv_nmos_dphiblw_norm= 0.9300 + .param sg13g2_lv_nmos_themuo_norm = 0.8708 + .param sg13g2_lv_nmos_thesatl_norm= 0.5510 + .param sg13g2_lv_nmos_thesatw_norm= 1.4300 + .param sg13g2_lv_nmos_thesatlw_norm= 0.0860 + .param sg13g2_lv_nmos_toxo_norm = 0.9600 + .param sg13g2_lv_nmos_toxovo_norm = 0.9600 + .param sg13g2_lv_nmos_cjorbot_norm= 0.9200 + .param sg13g2_lv_nmos_cjorsti_norm= 0.9200 + .param sg13g2_lv_nmos_cjorgat_norm= 0.9200 + + .param sg13g2_lv_pmos_vfbo_mm_norm= 1.0 + .param sg13g2_lv_pmos_ctl_norm = 2.4800 + .param sg13g2_lv_pmos_rsw1_norm = 0.5000 + .param sg13g2_lv_pmos_muew_norm = 0.9620 + .param sg13g2_lv_pmos_dphibo_norm = 1.2350 + .param sg13g2_lv_pmos_dphibl_norm = 0.5066 + .param sg13g2_lv_pmos_dphibw_norm = 3.9230 + .param sg13g2_lv_pmos_dphiblw_norm= 1.0000 + .param sg13g2_lv_pmos_themuo_norm = 1.0200 + .param sg13g2_lv_pmos_thesatl_norm= 0.1880 + .param sg13g2_lv_pmos_thesatw_norm= 1.2440 + .param sg13g2_lv_pmos_thesatlw_norm= 2.0000 + .param sg13g2_lv_pmos_toxo_norm = 0.9600 + .param sg13g2_lv_pmos_toxovo_norm = 0.9600 + .param sg13g2_lv_pmos_cjorbot_norm= 0.9200 + .param sg13g2_lv_pmos_cjorsti_norm= 0.9200 + .param sg13g2_lv_pmos_cjorgat_norm= 0.9200 + + .param sg13g2_lv_svaricap_lap = 1.24 + .param sg13g2_lv_svaricap_toxo = 0.96 + +*####################################################################### +* +* Copyright 2023 IHP PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. +* +*####################################################################### + +* ngspice statistical parameters +.param sg13g2_lv_nmos_vfbo_mm ='gauss(sg13g2_lv_nmos_vfbo_mm_norm , 0.004, mc_ok)' +.param sg13g2_lv_nmos_toxo ='gauss(sg13g2_lv_nmos_toxo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_nmos_dphibo ='gauss(sg13g2_lv_nmos_dphibo_norm, 0.0656, mc_ok)' +.param sg13g2_lv_nmos_dphibl ='gauss(sg13g2_lv_nmos_dphibl_norm, 0.1135, mc_ok)' +.param sg13g2_lv_nmos_dphibw ='gauss(sg13g2_lv_nmos_dphibw_norm, 0.1197, mc_ok)' +.param sg13g2_lv_nmos_dphiblw ='gauss(sg13g2_lv_nmos_dphiblw_norm, 0.0135, mc_ok)' +.param sg13g2_lv_nmos_toxovo ='gauss(sg13g2_lv_nmos_toxovo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_nmos_ctl ='gauss(sg13g2_lv_nmos_ctl_norm, 0.1562, mc_ok)' +.param sg13g2_lv_nmos_muew ='gauss(sg13g2_lv_nmos_muew_norm, 0.032, mc_ok)' +.param sg13g2_lv_nmos_themuo ='gauss(sg13g2_lv_nmos_themuo_norm, 0.0026, mc_ok)' +.param sg13g2_lv_nmos_rsw1 ='gauss(sg13g2_lv_nmos_rsw1_norm, 0.0407, mc_ok)' +.param sg13g2_lv_nmos_thesatl ='gauss(sg13g2_lv_nmos_thesatl_norm, 0.0908, mc_ok)' +.param sg13g2_lv_nmos_thesatw ='gauss(sg13g2_lv_nmos_thesatw_norm, 0.0272, mc_ok)' +.param sg13g2_lv_nmos_thesatlw ='gauss(sg13g2_lv_nmos_thesatlw_norm, 0.1503, mc_ok)' +.param sg13g2_lv_nmos_cjorbot ='gauss(sg13g2_lv_nmos_cjorbot_norm, 0.0267, mc_ok)' +.param sg13g2_lv_nmos_cjorsti ='gauss(sg13g2_lv_nmos_cjorsti_norm, 0.0267, mc_ok)' +.param sg13g2_lv_nmos_cjorgat ='gauss(sg13g2_lv_nmos_cjorgat_norm, 0.0267, mc_ok)' + +.param sg13g2_lv_pmos_vfbo_mm ='gauss(sg13g2_lv_pmos_vfbo_mm_norm, 0.004, mc_ok)' +.param sg13g2_lv_pmos_toxo ='gauss(sg13g2_lv_pmos_toxo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_pmos_dphibo ='gauss(sg13g2_lv_pmos_dphibo_norm, 0.0656, mc_ok)' +.param sg13g2_lv_pmos_dphibl ='gauss(sg13g2_lv_pmos_dphibl_norm, 0.1135, mc_ok)' +.param sg13g2_lv_pmos_dphibw ='gauss(sg13g2_lv_pmos_dphibw_norm, 0.1197, mc_ok)' +.param sg13g2_lv_pmos_dphiblw ='gauss(sg13g2_lv_pmos_dphiblw_norm, 0.0135, mc_ok)' +.param sg13g2_lv_pmos_toxovo ='gauss(sg13g2_lv_pmos_toxovo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_pmos_ctl ='gauss(sg13g2_lv_pmos_ctl_norm, 0.1562, mc_ok)' +.param sg13g2_lv_pmos_muew ='gauss(sg13g2_lv_pmos_muew_norm, 0.032, mc_ok)' +.param sg13g2_lv_pmos_themuo ='gauss(sg13g2_lv_pmos_themuo_norm, 0.0026, mc_ok)' +.param sg13g2_lv_pmos_rsw1 ='gauss(sg13g2_lv_pmos_rsw1_norm, 0.0407, mc_ok)' +.param sg13g2_lv_pmos_thesatl ='gauss(sg13g2_lv_pmos_thesatl_norm, 0.0908, mc_ok)' +.param sg13g2_lv_pmos_thesatw ='gauss(sg13g2_lv_pmos_thesatw_norm, 0.0272, mc_ok)' +.param sg13g2_lv_pmos_thesatlw ='gauss(sg13g2_lv_pmos_thesatlw_norm, 0.1503, mc_ok)' +.param sg13g2_lv_pmos_cjorbot ='gauss(sg13g2_lv_pmos_cjorbot_norm, 0.0267, mc_ok)' +.param sg13g2_lv_pmos_cjorsti ='gauss(sg13g2_lv_pmos_cjorsti_norm, 0.0267, mc_ok)' +.param sg13g2_lv_pmos_cjorgat ='gauss(sg13g2_lv_pmos_cjorgat_norm, 0.0267, mc_ok)' + + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL mos_ff_stat + +**************** CORNER_LIB OF sg13g2_lv SF MODEL **************** +* Slow n, Fast p +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm= 1.0 + .param sg13g2_lv_nmos_ctl = 0.8509 + .param sg13g2_lv_nmos_rsw1 = 0.6880 + .param sg13g2_lv_nmos_muew = 0.9100 + .param sg13g2_lv_nmos_dphibo = 1.0993 + .param sg13g2_lv_nmos_dphibl = 1.1526 + .param sg13g2_lv_nmos_dphibw = 1.1520 + .param sg13g2_lv_nmos_dphiblw= 0.9932 + .param sg13g2_lv_nmos_themuo = 0.8812 + .param sg13g2_lv_nmos_thesatl= 0.9405 + .param sg13g2_lv_nmos_thesatw= 1.5465 + .param sg13g2_lv_nmos_thesatlw= 0.8001 + .param sg13g2_lv_nmos_toxo = 1.0200 + .param sg13g2_lv_nmos_toxovo = 1.0200 + .param sg13g2_lv_nmos_cjorbot= 1.0400 + .param sg13g2_lv_nmos_cjorsti= 1.0400 + .param sg13g2_lv_nmos_cjorgat= 1.0400 + + .param sg13g2_lv_pmos_vfbo_mm= 1.0 + .param sg13g2_lv_pmos_ctl = 2.2185 + .param sg13g2_lv_pmos_rsw1 = 0.6360 + .param sg13g2_lv_pmos_muew = 1.0070 + .param sg13g2_lv_pmos_dphibo = 1.0700 + .param sg13g2_lv_pmos_dphibl = 0.6808 + .param sg13g2_lv_pmos_dphibw = 1.1715 + .param sg13g2_lv_pmos_dphiblw= 1.0000 + .param sg13g2_lv_pmos_themuo = 0.9890 + .param sg13g2_lv_pmos_thesatl= 0.3695 + .param sg13g2_lv_pmos_thesatw= 1.1620 + .param sg13g2_lv_pmos_thesatlw= 1.5000 + .param sg13g2_lv_pmos_toxo = 0.9800 + .param sg13g2_lv_pmos_toxovo = 0.9800 + .param sg13g2_lv_pmos_cjorbot= 0.9600 + .param sg13g2_lv_pmos_cjorsti= 0.9600 + .param sg13g2_lv_pmos_cjorgat= 0.9600 + + .param sg13g2_lv_svaricap_lap = 1.161 + .param sg13g2_lv_svaricap_toxo = 0.98 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL mos_sf + +* Slow n, Fast p with statistical modeling +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm_norm= 1.0 + .param sg13g2_lv_nmos_ctl_norm = 0.8509 + .param sg13g2_lv_nmos_rsw1_norm = 0.6880 + .param sg13g2_lv_nmos_muew_norm = 0.9100 + .param sg13g2_lv_nmos_dphibo_norm = 1.0993 + .param sg13g2_lv_nmos_dphibl_norm = 1.1526 + .param sg13g2_lv_nmos_dphibw_norm = 1.1520 + .param sg13g2_lv_nmos_dphiblw_norm= 0.9932 + .param sg13g2_lv_nmos_themuo_norm = 0.8812 + .param sg13g2_lv_nmos_thesatl_norm= 0.9405 + .param sg13g2_lv_nmos_thesatw_norm= 1.5465 + .param sg13g2_lv_nmos_thesatlw_norm= 0.8001 + .param sg13g2_lv_nmos_toxo_norm = 1.0200 + .param sg13g2_lv_nmos_toxovo_norm = 1.0200 + .param sg13g2_lv_nmos_cjorbot_norm= 1.0400 + .param sg13g2_lv_nmos_cjorsti_norm= 1.0400 + .param sg13g2_lv_nmos_cjorgat_norm= 1.0400 + + .param sg13g2_lv_pmos_vfbo_mm_norm= 1.0 + .param sg13g2_lv_pmos_ctl_norm = 2.2185 + .param sg13g2_lv_pmos_rsw1_norm = 0.6360 + .param sg13g2_lv_pmos_muew_norm = 1.0070 + .param sg13g2_lv_pmos_dphibo_norm = 1.0700 + .param sg13g2_lv_pmos_dphibl_norm = 0.6808 + .param sg13g2_lv_pmos_dphibw_norm = 1.1715 + .param sg13g2_lv_pmos_dphiblw_norm= 1.0000 + .param sg13g2_lv_pmos_themuo_norm = 0.9890 + .param sg13g2_lv_pmos_thesatl_norm= 0.3695 + .param sg13g2_lv_pmos_thesatw_norm= 1.1620 + .param sg13g2_lv_pmos_thesatlw_norm= 1.5000 + .param sg13g2_lv_pmos_toxo_norm = 0.9800 + .param sg13g2_lv_pmos_toxovo_norm = 0.9800 + .param sg13g2_lv_pmos_cjorbot_norm= 0.9600 + .param sg13g2_lv_pmos_cjorsti_norm= 0.9600 + .param sg13g2_lv_pmos_cjorgat_norm= 0.9600 + + .param sg13g2_lv_svaricap_lap = 1.161 + .param sg13g2_lv_svaricap_toxo = 0.98 + +*####################################################################### +* +* Copyright 2023 IHP PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. +* +*####################################################################### + +* ngspice statistical parameters +.param sg13g2_lv_nmos_vfbo_mm ='gauss(sg13g2_lv_nmos_vfbo_mm_norm , 0.004, mc_ok)' +.param sg13g2_lv_nmos_toxo ='gauss(sg13g2_lv_nmos_toxo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_nmos_dphibo ='gauss(sg13g2_lv_nmos_dphibo_norm, 0.0656, mc_ok)' +.param sg13g2_lv_nmos_dphibl ='gauss(sg13g2_lv_nmos_dphibl_norm, 0.1135, mc_ok)' +.param sg13g2_lv_nmos_dphibw ='gauss(sg13g2_lv_nmos_dphibw_norm, 0.1197, mc_ok)' +.param sg13g2_lv_nmos_dphiblw ='gauss(sg13g2_lv_nmos_dphiblw_norm, 0.0135, mc_ok)' +.param sg13g2_lv_nmos_toxovo ='gauss(sg13g2_lv_nmos_toxovo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_nmos_ctl ='gauss(sg13g2_lv_nmos_ctl_norm, 0.1562, mc_ok)' +.param sg13g2_lv_nmos_muew ='gauss(sg13g2_lv_nmos_muew_norm, 0.032, mc_ok)' +.param sg13g2_lv_nmos_themuo ='gauss(sg13g2_lv_nmos_themuo_norm, 0.0026, mc_ok)' +.param sg13g2_lv_nmos_rsw1 ='gauss(sg13g2_lv_nmos_rsw1_norm, 0.0407, mc_ok)' +.param sg13g2_lv_nmos_thesatl ='gauss(sg13g2_lv_nmos_thesatl_norm, 0.0908, mc_ok)' +.param sg13g2_lv_nmos_thesatw ='gauss(sg13g2_lv_nmos_thesatw_norm, 0.0272, mc_ok)' +.param sg13g2_lv_nmos_thesatlw ='gauss(sg13g2_lv_nmos_thesatlw_norm, 0.1503, mc_ok)' +.param sg13g2_lv_nmos_cjorbot ='gauss(sg13g2_lv_nmos_cjorbot_norm, 0.0267, mc_ok)' +.param sg13g2_lv_nmos_cjorsti ='gauss(sg13g2_lv_nmos_cjorsti_norm, 0.0267, mc_ok)' +.param sg13g2_lv_nmos_cjorgat ='gauss(sg13g2_lv_nmos_cjorgat_norm, 0.0267, mc_ok)' + +.param sg13g2_lv_pmos_vfbo_mm ='gauss(sg13g2_lv_pmos_vfbo_mm_norm, 0.004, mc_ok)' +.param sg13g2_lv_pmos_toxo ='gauss(sg13g2_lv_pmos_toxo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_pmos_dphibo ='gauss(sg13g2_lv_pmos_dphibo_norm, 0.0656, mc_ok)' +.param sg13g2_lv_pmos_dphibl ='gauss(sg13g2_lv_pmos_dphibl_norm, 0.1135, mc_ok)' +.param sg13g2_lv_pmos_dphibw ='gauss(sg13g2_lv_pmos_dphibw_norm, 0.1197, mc_ok)' +.param sg13g2_lv_pmos_dphiblw ='gauss(sg13g2_lv_pmos_dphiblw_norm, 0.0135, mc_ok)' +.param sg13g2_lv_pmos_toxovo ='gauss(sg13g2_lv_pmos_toxovo_norm, 0.0133, mc_ok)' +.param sg13g2_lv_pmos_ctl ='gauss(sg13g2_lv_pmos_ctl_norm, 0.1562, mc_ok)' +.param sg13g2_lv_pmos_muew ='gauss(sg13g2_lv_pmos_muew_norm, 0.032, mc_ok)' +.param sg13g2_lv_pmos_themuo ='gauss(sg13g2_lv_pmos_themuo_norm, 0.0026, mc_ok)' +.param sg13g2_lv_pmos_rsw1 ='gauss(sg13g2_lv_pmos_rsw1_norm, 0.0407, mc_ok)' +.param sg13g2_lv_pmos_thesatl ='gauss(sg13g2_lv_pmos_thesatl_norm, 0.0908, mc_ok)' +.param sg13g2_lv_pmos_thesatw ='gauss(sg13g2_lv_pmos_thesatw_norm, 0.0272, mc_ok)' +.param sg13g2_lv_pmos_thesatlw ='gauss(sg13g2_lv_pmos_thesatlw_norm, 0.1503, mc_ok)' +.param sg13g2_lv_pmos_cjorbot ='gauss(sg13g2_lv_pmos_cjorbot_norm, 0.0267, mc_ok)' +.param sg13g2_lv_pmos_cjorsti ='gauss(sg13g2_lv_pmos_cjorsti_norm, 0.0267, mc_ok)' +.param sg13g2_lv_pmos_cjorgat ='gauss(sg13g2_lv_pmos_cjorgat_norm, 0.0267, mc_ok)' + + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL mos_sf_stat +**************** CORNER_LIB OF sg13g2_lv FS MODEL **************** +* Fast n, Slow p without statistical +.LIB typical + .param sg13g2_lv_nmos_vfbo_mm= 1.0 + .param sg13g2_lv_nmos_ctl = 1.3195 + .param sg13g2_lv_nmos_rsw1 = 0.8100 + .param sg13g2_lv_nmos_muew = 0.8140 + .param sg13g2_lv_nmos_dphibo = 0.9026 + .param sg13g2_lv_nmos_dphibl = 0.8122 + .param sg13g2_lv_nmos_dphibw = 0.7927 + .param sg13g2_lv_nmos_dphiblw= 0.9527 + .param sg13g2_lv_nmos_themuo = 0.8733 + .param sg13g2_lv_nmos_thesatl= 0.6680 + .param sg13g2_lv_nmos_thesatw= 1.4650 + .param sg13g2_lv_nmos_thesatlw= 0.3493 + .param sg13g2_lv_nmos_toxo = 0.9800 + .param sg13g2_lv_nmos_toxovo = 0.9800 + .param sg13g2_lv_nmos_cjorbot= 0.9600 + .param sg13g2_lv_nmos_cjorsti= 0.9600 + .param sg13g2_lv_nmos_cjorgat= 0.9600 + + .param sg13g2_lv_pmos_vfbo_mm= 1.0 + .param sg13g2_lv_pmos_ctl = 1.6545 + .param sg13g2_lv_pmos_rsw1 = 0.8542 + .param sg13g2_lv_pmos_muew = 1.0775 + .param sg13g2_lv_pmos_dphibo = 0.7467 + .param sg13g2_lv_pmos_dphibl = 1.1045 + .param sg13g2_lv_pmos_dphibw = -3.2860 + .param sg13g2_lv_pmos_dphiblw= 1.0000 + .param sg13g2_lv_pmos_themuo = 0.9150 + .param sg13g2_lv_pmos_thesatl= 0.8850 + .param sg13g2_lv_pmos_thesatw= 1.0337 + .param sg13g2_lv_pmos_thesatlw= 1.0000 + .param sg13g2_lv_pmos_toxo = 1.0200 + .param sg13g2_lv_pmos_toxovo = 1.0200 + .param sg13g2_lv_pmos_cjorbot= 1.0400 + .param sg13g2_lv_pmos_cjorsti= 1.0400 + .param sg13g2_lv_pmos_cjorgat= 1.0400 + + .param sg13g2_lv_svaricap_lap = 1.041 + .param sg13g2_lv_svaricap_toxo = 1.02 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +******************************************************************************* +* * +* * +* C O M M O N SG 13 M O S M O D E L S * +* * +* * +******************************************************************************* +* +* NOTE: do not include this file directly in the netlist, use models.typ, .bcs or .wcs only +* +* +* +******************************************************************************* +* variable C section +******************************************************************************* + + +******************************************************************************* +* MOS transistor section +******************************************************************************* + + + +* MOS-Subckt with D/S-overlap possibility +* +* z1 z2 z1 +* ---------------------------------------- +* | | | | | | +* | S | G | D | G | S | w/ng, >=0.15u +* | | | | | | +* ---------------------------------------- +* +* z1=0.34u, z2=0.38u +* +* Parameters as,ad,ps,pd are calculated automatically, if <= 0 +* +* + +.subckt sg13_lv_nmos d g s b ++ w=0.35u l=0.34u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 +* if as = 0, calculate value, else take it +* if as is given externally, no adjustment for ng is done! -> must be done in the extractor +* if ng>1 and as=0 (in schematic) recalculate! + +* include the model parameters +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_nmos d g s b sg13g2_lv_nmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.subckt sg13_lv_pmos d g s b ++ w=0.35u l=0.28u ng=1 m=1 as=0 ad=0 pd=0 ps=0 trise=0 z1=0.34e-6 z2=0.38e-6 wmin=0.15e-6 rfmode=0 pre_layout=1 + +******************************************************************************* +* * +* Library: SG13G2_dev * +* Technologies: SG13G2 * +* * +* Model: PSP 103.6 * +* Revision: 200310 * +* * +******************************************************************************* +* * +* Copyright 2023 IHP PDK Authors * +* * +* Licensed under the Apache License, Version 2.0 (the "License"); * +* you may not use this file except in compliance with the License. * +* You may obtain a copy of the License at * +* * +* https://www.apache.org/licenses/LICENSE-2.0 * +* * +* Unless required by applicable law or agreed to in writing, software * +* distributed under the License is distributed on an "AS IS" BASIS, * +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * +* See the License for the specific language governing permissions and * +* limitations under the License. * +* * +******************************************************************************* +* +* +******************************************************************************* +* * +* * +* M O D E L P A R A M E T E R S * +* * +* * +******************************************************************************* +* +* +* +* +* +* +* +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +******************************************************************************* + +.model sg13g2_lv_nmos_psp pspnqs103va type = +1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 0.0 ++ lvarl = 0.0 lvarw = 0.0 lap = 2.9423e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = -1e-08 dlq = '-1.3721e-08 -((1-pre_layout)*2e-08 )+rfmode*(-1.5368e-08 +(ng<3 ? 4e-08 : 0) )' dwq = '-1e-08 +rfmode*(4.8062e-07 )' ++ vfbo = '-0.94312*(1+(sg13g2_lv_nmos_vfbo_mm-1)/sqrt(m*l*w*1e12)) ' vfbl = 0.013965 vfbw = -0.027122 ++ vfblw = 0.0044814 stvfbo = 0.00068785 stvfbl = 2.8624e-05 ++ stvfbw = -1.8689e-05 stvfblw = 5.1435e-07 st2vfbo = 0.0 ++ toxo = '2.2404e-09*sg13g2_lv_nmos_toxo' epsroxo = 3.9 nsubo = 1.0483e+23 ++ nsubw = 7.5708 wseg = 5.3426e-06 npck = 1.743e+21 ++ npckw = -1.484 wsegp = 1e-08 lpck = 3.171e-07 ++ lpckw = 0.0 fol1 = -0.0091066 fol2 = 0.0021139 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 4.4409e-16 dphibo = '-0.25737*sg13g2_lv_nmos_dphibo' ++ dphibl = '0.24027*sg13g2_lv_nmos_dphibl' dphiblexp = 0.068979 dphibw = '0.0168*sg13g2_lv_nmos_dphibw' ++ dphiblw = '-0.0036959*sg13g2_lv_nmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 4.6457e+26 npl = 0.0 toxovo = '2.2404e-09*sg13g2_lv_nmos_toxovo' ++ toxovdo = 2e-09 lov = '2.9423e-08 -((1-pre_layout)*9e-09 )' lovd = 0.0 ++ novo = 3.5714e+25 novdo = 5e+25 cto = 0.054556 ++ ctl = '0.015058*sg13g2_lv_nmos_ctl' ctlexp = 0.85719 ctw = -0.096878 ++ ctlw = 0.008767 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 8.9001e-08 cflexp = 3.9688 ++ cfw = -0.17956 cfbo = 0.6952 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.045582 ++ fbet1 = 12.168 fbet1w = 0.38931 lp1 = 5.1674e-09 ++ lp1w = -0.1544 fbet2 = -2.302 lp2 = 1.9441e-08 ++ betw1 = -0.020925 betw2 = 0.0087681 wbet = 5.9171e-08 ++ stbeto = 2.4165 stbetl = -0.036997 stbetw = 0.0046613 ++ stbetlw = 0.0062828 mueo = 0.77874 muew = '0.030943*sg13g2_lv_nmos_muew ' ++ stmueo = 0.98971 themuo = '2.0546*sg13g2_lv_nmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.3164 csl = 0.12341 cslexp = 1.1398 ++ csw = 0.19805 cslw = -0.00044184 stcso = 2.9406 ++ thecso = 1.1822 stthecso = 0.0 xcoro = 0.053934 ++ xcorl = -0.11292 xcorw = -0.10913 xcorlw = -0.014959 ++ stxcoro = 2.0 fetao = 1.0 rsw1 = '130.0*sg13g2_lv_nmos_rsw1' ++ rsw2 = 0.0 strso = -0.49693 rsbo = -0.099725 ++ rsgo = 0.074518 thesato = 0.39843 thesatl = '0.43388*sg13g2_lv_nmos_thesatl' ++ thesatlexp = 1.0316 thesatw = '0.12825*sg13g2_lv_nmos_thesatw' thesatlw = '-0.0044*sg13g2_lv_nmos_thesatlw' ++ stthesato = 2.7784 stthesatl = -0.091893 stthesatw = -0.065908 ++ stthesatlw = 0.01292 thesatbo = 0.08213 thesatgo = 0.1146 ++ axo = 13.547 axl = 1.0186 alpl = 0.0088345 ++ alplexp = 0.68143 alpw = 1.0825 alp1l1 = 0.021138 ++ alp1lexp = 0.25 alp1l2 = 0.04044 alp1w = -0.077622 ++ alp2l1 = 2.6817 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = -0.13012 vpo = 0.32224 a1o = 6.239 ++ a1l = 0.052176 a1w = -0.052179 a2o = 17.75 ++ sta2o = 0.068723 a3o = 0.708 a3l = -0.045201 ++ a3w = -0.041992 a4o = 0.04649 a4l = 0.0 ++ a4w = 1.581e-16 gcoo = 10.0 iginvlw = '121290.0 *(1+2.4761e-07 /l)*(1+-2.1167e-08 /w)' ++ igovw = 3026.8 igovdw = 0.0 stigo = 2.9949 ++ gc2o = 0.8413 gc3o = -0.4698 chibo = 3.1 ++ agidlw = 0.001262 agidldw = 0.0 bgidlo = 19.92 ++ bgidldo = 41.0 stbgidlo = 0.0 stbgidldo = 0.0 ++ cgidlo = 0.06641 cgidldo = 0.0 cgbovl = 4.4409e-28 ++ cfrdw = 0.0 fnto = 1.0 ++ fntexcl = 0.0 nfalw = 7.616e+25 nfblw = 1026000000.0 ++ nfclw = -5e-08 efo = 1.0 lintnoi = -3.7e-08 ++ alpnoi = 1.869 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0015358 frev = 1000.0 cjorbot = '0.00097636*sg13g2_lv_nmos_cjorbot' ++ cjorsti = '2.5279e-11*sg13g2_lv_nmos_cjorsti' cjorgat = '3e-11*sg13g2_lv_nmos_cjorgat' vbirbot = 0.70829 ++ vbirsti = 0.79368 vbirgat = 2.0 pbot = 0.31309 ++ psti = 0.27362 pgat = 0.5424 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.1136 ++ phigsti = 1.3844 phiggat = 1.16 idsatrbot = 6.3087e-08 ++ idsatrsti = 1.9278e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 6.682e-06 xjunsti = 1.5783e-07 ++ xjungat = 0.0001 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 5.204 mefftatsti = 3.364 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1.3025e-11 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '((1-rfmode)*2e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 3.8525e-17 : 0)))/ng' ++ rshg = 'rfmode * 3.0' rgo = 'rfmode * 40.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.002 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + +******************************************************************************* +* +* Low Voltage (lv) MOS Transistors +* +* Model: PSP 103.6 +* Date: 10.03.2020 +* Lot: EDJ809 +* WAFER: 06 +* CHIP Nr: x=3, y=9 +* Device: SG13G2 +* Maximum drain-source voltage: 1.5 +* Measurement data: +* Nom. Temperature (TNOM): 27 grd C +* Meas. Temperature (TEMP): 27 grd C +* Valid range for model: L = (0.13 - 10)um +* W = (0.15 - 10)um +* +* semimod changes: +* - change parameter rint from 1.3323e-26 to 1e-12 +******************************************************************************* + +.model sg13g2_lv_pmos_psp pspnqs103va type = -1 ++ level = 103.60 tr = 27.0 dta = 0.0 ++ swgeo = 1.0 swigate = 1.0 swimpact = 1.0 ++ swgidl = 1.0 swjuncap = 3.0 swjunasym = 0.0 ++ swnud = 0.0 swedge = 0.0 swdelvtac = 0.0 ++ swign = 1.0 qmc = 1.0 lvaro = 9.695e-08 ++ lvarl = -0.03438 lvarw = 0.0 lap = 2.5254e-08 ++ wvaro = 0.0 wvarl = 0.0 wvarw = 0.0 ++ wot = 1.5e-08 dlq = '-9.5922e-08 -((1-pre_layout)*3e-08 )+rfmode*(-2e-08 +(ng<3 ? 3.3917e-08 : 0) )' dwq = '1.5e-08 +rfmode*(4.7599e-07 )' ++ vfbo = '-0.88703*(1+(sg13g2_lv_pmos_vfbo_mm-1)/sqrt(m*l*w*1e12))' vfbl = 0.0089886 vfbw = 0.0071805 ++ vfblw = 0.004075 stvfbo = 0.00075111 stvfbl = 2.4487e-06 ++ stvfbw = 6.217e-06 stvfblw = 2.2668e-07 st2vfbo = 0.0 ++ toxo = '1.9704e-09*sg13g2_lv_pmos_toxo' epsroxo = 3.9 nsubo = 4.6011e+23 ++ nsubw = -0.013639 wseg = 1.058e-08 npck = 5.7416e+24 ++ npckw = -1.0 wsegp = 1e-10 lpck = 1.1576e-10 ++ lpckw = -0.022414 fol1 = -0.0081173 fol2 = 0.0081347 ++ facneffaco = 1.0 facneffacl = 0.0 facneffacw = 0.0 ++ facneffaclw = 0.0 gfacnudo = 1.0 gfacnudl = 0.0 ++ gfacnudlexp = 1.0 gfacnudw = 0.0 gfacnudlw = 0.0 ++ vsbnudo = 0.0 dvsbnudo = 1.0 vnsubo = 0.0 ++ nslpo = 0.05 dnsubo = 0.039707 dphibo = '-0.099209*sg13g2_lv_pmos_dphibo' ++ dphibl = '0.00020745*sg13g2_lv_pmos_dphibl' dphiblexp = 2.9957 dphibw = '-0.00069395*sg13g2_lv_pmos_dphibw' ++ dphiblw = '-0.0030829*sg13g2_lv_pmos_dphiblw' delvtaco = 0.0 delvtacl = 0.0 ++ delvtaclexp = 1.0 delvtacw = 0.0 delvtaclw = 0.0 ++ npo = 1.2699e+26 npl = -0.095923 toxovo = '1.9704e-09*sg13g2_lv_pmos_toxovo' ++ toxovdo = 2e-09 lov = '2.5254e-08 -((1-pre_layout)*8.85e-09 ) ' lovd = 0.0 ++ novo = 3.104e+25 novdo = 5e+25 cto = 1.1814e-05 ++ ctl = '0.0069387*sg13g2_lv_pmos_ctl' ctlexp = 1.4316 ctw = 0.36122 ++ ctlw = -0.014902 ctgo = 0.0 ctbo = 0.0 ++ stcto = 1.0 cfl = 0.00011247 cflexp = 3.0355 ++ cfw = -0.012199 cfbo = 0.57877 cfdo = 0.0 ++ pscel = 0.0 pscelexp = 2.0 pscew = 0.0 ++ pscebo = 0.0 pscedo = 0.0 uo = 0.017232 ++ fbet1 = -0.2152 fbet1w = -0.065541 lp1 = 0.00019766 ++ lp1w = 0.0 fbet2 = -6.171 lp2 = 1.2564e-08 ++ betw1 = -0.3268 betw2 = 0.060181 wbet = 5.424e-10 ++ stbeto = 1.6974 stbetl = -0.037605 stbetw = -0.0083384 ++ stbetlw = 0.0013663 mueo = 2.3326 muew = '-0.067414*sg13g2_lv_pmos_muew' ++ stmueo = 0.84805 themuo = '1.3169*sg13g2_lv_pmos_themuo' stthemuo = 4.441e-15 ++ cso = 0.94214 csl = 0.34682 cslexp = 1.5813 ++ csw = -0.11045 cslw = 0.014762 stcso = 1.0269 ++ thecso = 1.4566 stthecso = 0.0 xcoro = 0.092591 ++ xcorl = 0.11698 xcorw = -0.095907 xcorlw = 0.029574 ++ stxcoro = 2.7756e-17 fetao = 1.0 rsw1 = '697.38*sg13g2_lv_pmos_rsw1' ++ rsw2 = -0.088444 strso = -0.3508 rsbo = 0.06 ++ rsgo = 0.495 thesato = 0.099164 thesatl = '0.010142*sg13g2_lv_pmos_thesatl' ++ thesatlexp = 2.4434 thesatw = '-0.13745*sg13g2_lv_pmos_thesatw' thesatlw = '-0.103*sg13g2_lv_pmos_thesatlw' ++ stthesato = 12.733 stthesatl = -1.9651 stthesatw = -0.047465 ++ stthesatlw = 0.07117 thesatbo = 0.0 thesatgo = 0.0 ++ axo = 8.1825 axl = 0.58095 alpl = 0.0047346 ++ alplexp = 0.8468 alpw = -0.21042 alp1l1 = 0.0040221 ++ alp1lexp = 0.6408 alp1l2 = 1.611e-08 alp1w = -0.057981 ++ alp2l1 = 0.005286 alp2lexp = 0.25 alp2l2 = 0.0 ++ alp2w = 0.063581 vpo = 7.3803e-06 a1o = 0.0001107 ++ a1l = 5.741 a1w = 5.78 a2o = 13.33 ++ sta2o = 2.0 a3o = 1.526 a3l = -0.08391 ++ a3w = -0.004911 a4o = -0.005545 a4l = 0.2771 ++ a4w = 0.7101 gcoo = 0.01231 iginvlw = '4880.4 *(1+-5.803e-09 /l)*(1+5.1659e-08 /w)' ++ igovw = 1327.0 igovdw = 0.0 stigo = 2.3506 ++ gc2o = 0.54762 gc3o = -0.29543 chibo = 3.1 ++ agidlw = 7.371e-05 agidldw = 0.0 bgidlo = 15.12 ++ bgidldo = 41.0 stbgidlo = -0.0014941 stbgidldo = 0.0 ++ cgidlo = 0.02068 cgidldo = 0.0 cgbovl = 2.186e-17 ++ cfrdw = 0.0 fnto = 1.85 ++ fntexcl = 0.0 nfalw = 2.209e+26 nfblw = 572300000.0 ++ nfclw = 5.641e-07 efo = 1.0 lintnoi = 1e-08 ++ alpnoi = 2.118 wedge = 1e-08 wedgew = 0.0 ++ vfbedgeo = -1.0 stvfbedgeo = 0.0005 stvfbedgel = 0.0 ++ stvfbedgew = 0.0 stvfbedgelw = 0.0 dphibedgeo = 0.0 ++ dphibedgel = 0.0 dphibedgelexp = 1.0 dphibedgew = 0.0 ++ dphibedgelw = 0.0 nsubedgeo = 5e+23 nsubedgel = 0.0 ++ nsubedgelexp = 1.0 nsubedgew = 0.0 nsubedgelw = 0.0 ++ ctedgeo = 0.0 ctedgel = 0.0 ctedgelexp = 1.0 ++ fbetedge = 0.0 lpedge = 1e-08 betedgew = 0.0 ++ stbetedgeo = 1.0 stbetedgel = 0.0 stbetedgew = 0.0 ++ stbetedgelw = 0.0 psceedgel = 0.0 psceedgelexp = 2.0 ++ psceedgew = 0.0 pscebedgeo = 0.0 pscededgeo = 0.0 ++ cfedgel = 0.0 cfedgelexp = 2.0 cfedgew = 0.0 ++ cfdedgeo = 0.0 cfbedgeo = 0.0 fntedgeo = 1.0 ++ nfaedgelw = 8e+22 nfbedgelw = 30000000.0 nfcedgelw = 0.0 ++ efedgeo = 1.0 saref = 1e-06 sbref = 1e-06 ++ wlod = 0.0 kuo = 0.0 kvsat = 0.0 ++ tkuo = 0.0 lkuo = 0.0 wkuo = 0.0 ++ pkuo = 0.0 llodkuo = 0.0 wlodkuo = 0.0 ++ kvtho = 0.0 lkvtho = 0.0 wkvtho = 0.0 ++ pkvtho = 0.0 llodvth = 0.0 wlodvth = 0.0 ++ stetao = 0.0 lodetao = 1.0 scref = 1e-06 ++ web = 0.0 wec = 0.0 kvthoweo = 0.0 ++ kvthowel = 0.0 kvthowew = 0.0 kvthowelw = 0.0 ++ kuoweo = 0.0 kuowel = 0.0 kuowew = 0.0 ++ kuowelw = 0.0 trj = 21.0 swjunexp = 0.0 ++ imax = 0.0016551 frev = 1000.0 cjorbot = '0.00086306*sg13g2_lv_pmos_cjorbot' ++ cjorsti = '3.1915e-11*sg13g2_lv_pmos_cjorsti' cjorgat = '2.7474e-11*sg13g2_lv_pmos_cjorgat' vbirbot = 0.7686 ++ vbirsti = 1.7036 vbirgat = 1.399 pbot = 0.3618 ++ psti = 0.2548 pgat = 0.6475 cjorbotd = 0.001 ++ cjorstid = 1e-09 cjorgatd = 1e-09 vbirbotd = 1.0 ++ vbirstid = 1.0 vbirgatd = 1.0 pbotd = 0.5 ++ pstid = 0.5 pgatd = 0.5 phigbot = 1.204 ++ phigsti = 0.8186 phiggat = 1.65 idsatrbot = 2.6746e-08 ++ idsatrsti = 1.1115e-15 idsatrgat = 0.0 csrhbot = 100.0 ++ csrhsti = 0.0001 csrhgat = 0.0001 xjunsti = 6.292e-08 ++ xjungat = 9.105e-05 phigbotd = 1.16 phigstid = 1.16 ++ phiggatd = 1.16 idsatrbotd = 1e-12 idsatrstid = 1e-18 ++ idsatrgatd = 1e-18 csrhbotd = 100.0 csrhstid = 0.0001 ++ csrhgatd = 0.0001 xjunstid = 1e-07 xjungatd = 1e-07 ++ ctatbot = 100.0 ctatsti = 0.0001 ctatgat = 0.0001 ++ mefftatbot = 10.0 mefftatsti = 4.363 mefftatgat = 0.25 ++ ctatbotd = 100.0 ctatstid = 0.0001 ctatgatd = 0.0001 ++ mefftatbotd = 0.25 mefftatstid = 0.25 mefftatgatd = 0.25 ++ cbbtbot = 1e-12 cbbtsti = 1e-21 cbbtgat = 1e-18 ++ fbbtrbot = 1000000000.0 fbbtrsti = 1000000000.0 fbbtrgat = 1000000000.0 ++ stfbbtbot = -0.001 stfbbtsti = -0.001 stfbbtgat = -0.001 ++ cbbtbotd = 1e-12 cbbtstid = 1e-18 cbbtgatd = 1e-18 ++ fbbtrbotd = 1000000000.0 fbbtrstid = 1000000000.0 fbbtrgatd = 1000000000.0 ++ stfbbtbotd = -0.001 stfbbtstid = -0.001 stfbbtgatd = -0.001 ++ vbrbot = 10.0 vbrsti = 10.0 vbrgat = 10.0 ++ pbrbot = 4.0 pbrsti = 4.0 pbrgat = 4.0 ++ vbrbotd = 10.0 vbrstid = 10.0 vbrgatd = 10.0 ++ pbrbotd = 4.0 pbrstid = 4.0 pbrgatd = 4.0 ++ vjunref = 2.5 fjunq = 0.03 vjunrefd = 2.5 ++ fjunqd = 0.03 rint = 1e-12 ++ rvpoly = 0.0 dlsil = 0.0 ++ rsh = 0.0 rshd = 0.0 ++ munqso = 1.0 ++ swnqs = 'rfmode * 5.0' cfrw = '(1e-16 + rfmode * (1e-18 + pre_layout * (ng>0 ? 1.2382e-16 : 0)))/ng' ++ rshg = 'rfmode * 20.0' rgo = 'rfmode * 22.0' ++ rbulko = 'rfmode * 0.002 * ng/w' rwello = 'rfmode * 0.001 * ng/w' ++ rjunso = 'rfmode * 5000.0 * l/w' rjundo = 'rfmode * 5000.0 * l/w' + + + + +.if (as <= 1e-50) + .if (floor(floor(ng/2+0.501)*2+0.001) != ng) + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ad='max(w/ng,wmin)*(z1+((ng-1)/2)*z2)' + + ps='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + pd='2*(max(w/ng,wmin)*((ng-1)/2+1)+z1+(ng-1)/2*z2)' + + dta=trise + + ngcon=2 + .else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l nf='ng' mult='m' + + as='max(w/ng,wmin)*(2*z1+max(0,(ng-2)/2)*z2)' + + ad='max(w/ng,wmin)*z2/2*ng' + + ps='2*(max(w/ng,wmin)*(2+max(ng-2,0)/2)+2*z1+max(ng-2,0)/2*z2)' + + pd='(max(w/ng,wmin)+z2)*ng' + + dta=trise + + ngcon=2 + .endif +.else + Nsg13_lv_pmos d g s b sg13g2_lv_pmos_psp w='w' l=l as='as' ad='ad' pd='pd' ps='ps' nf='ng' mult='m' + + dta=trise + + ngcon=2 +.endif +.ends + +.ENDL typical From 787955eccebc909e574692e807f421932293664f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 22 Aug 2025 19:22:00 +0100 Subject: [PATCH 625/673] Adding lib targets to Makefile Also updating the CI/CD pipeline accordingly --- .gitlab-ci.yml | 83 ++++++-------------------------------------------- GNUmakefile | 25 +++++++++++++++ 2 files changed, 35 insertions(+), 73 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index e9afcc0a..8801643c 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -6,89 +6,26 @@ default: stages: - build -gf180_lib_3v3: - stage: build - script: - - | - rm -f Tech - ln -s Tech.GF180MCU Tech - . /root/env/bin/activate - cd Catalog - export PDK="gf180mcu3v3" - export TARGETVOLTAGE="3.3V" - make layout - cd .. - cp -r Catalog gf180_lib_3v3 - artifacts: - paths: - - gf180_lib_3v3 - -gf180_lib_5v: - stage: build - script: - - | - rm -f Tech - ln -s Tech.GF180MCU Tech - . /root/env/bin/activate - cd Catalog - export PDK="gf180mcu5v" - export TARGETVOLTAGE="5V" - make layout - cd .. - cp -r Catalog gf180_lib_5v - artifacts: - paths: - - gf180_lib_5v +# Standard Cell Lib Targets: -gf180_lib_6v: +ls1u_lib_5v: stage: build - script: - - | - rm -f Tech - ln -s Tech.GF180MCU Tech - . /root/env/bin/activate - cd Catalog - export PDK="gf180mcu6v" - export TARGETVOLTAGE="6V" - make layout - cd .. - cp -r Catalog gf180_lib_6v + script: make ls1u_lib_5v artifacts: paths: - - gf180_lib_6v + - ls1u_lib_5v -gf180_lib_10v: +gf180_lib_3v3: stage: build - script: - - | - rm -f Tech - ln -s Tech.GF180MCU Tech - . /root/env/bin/activate - cd Catalog - export PDK="gf180mcu10v" - export TARGETVOLTAGE="10V" - make layout - cd .. - cp -r Catalog gf180_lib_10v + script: make gf180_lib_3v3 artifacts: paths: - - gf180_lib_10v + - gf180_lib_3v3 -sky130_lib_1v8: +ihp_sg13g2_lib: stage: build - script: - - | - rm -f Tech - ln -s Tech.SKY130 Tech - . /root/env/bin/activate - cd Catalog - export PDK="sky130a1v8" - export TARGETVOLTAGE="1.8V" - make layout - cd .. - cp -r Catalog sky130_lib_1v8 - + script: make ihp_sg13g2_lib artifacts: paths: - - sky130_lib_1v8 + - ihp_sg13g2_lib diff --git a/GNUmakefile b/GNUmakefile index c1612fbb..e80ec5ee 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -153,3 +153,28 @@ record: doc: $(MAKE) -C $(DOCUMENTSDIR)/LaTeX -f GNUmakefile $@ + +.PHONY: ls1u_lib_5v +ls1u_lib_5v: + rm -f Tech + ln -s Tech.LS1UM Tech + PDK="ls1u5v" TARGETVOLTAGE="5V" $(MAKE) -C $(CATALOGDIR) -f GNUmakefile layout + cd .. + cp -r Catalog ls1u_lib_5v + +.PHONY: gf180_lib_3v3 +gf180_lib_3v3: + rm -f Tech + ln -s Tech.GF180MCU Tech + PDK="gf180mcu3v3" TARGETVOLTAGE="3.3V" $(MAKE) -C $(CATALOGDIR) -f GNUmakefile layout + cd .. + cp -r Catalog gf180_lib_3v3 + +.PHONY: ihp_sg13g2_lib +ihp_sg13g2_lib: + rm -f Tech + ln -s Tech.SG13G2 Tech + PDK="ihp-sg13g2" TARGETVOLTAGE="3.3V" $(MAKE) -C $(CATALOGDIR) -f GNUmakefile layout + cd .. + cp -r Catalog ihp_sg13g2_lib + From c4b6fa5709ca672d483cf2164fee3f972336db39 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 22 Aug 2025 19:26:46 +0100 Subject: [PATCH 626/673] Updating to most recent SKY130 tech from volare --- Tech.SKY130/Makefile | 12 + Tech.SKY130/libresilicon.tech | 4 +- Tech.SKY130/magic.layers.out | 558 ++++++++++++++++++++++++++++++++++ 3 files changed, 572 insertions(+), 2 deletions(-) create mode 100644 Tech.SKY130/Makefile create mode 100644 Tech.SKY130/magic.layers.out diff --git a/Tech.SKY130/Makefile b/Tech.SKY130/Makefile new file mode 100644 index 00000000..f0281560 --- /dev/null +++ b/Tech.SKY130/Makefile @@ -0,0 +1,12 @@ +BASE_PATH=${PDK_ROOT}/volare/sky130/versions/${VOLARE_REVISION}/sky130A + +libresilicon.tech: ${BASE_PATH}/libs.tech/magic/sky130A.tech + perl ../Tools/perl/drcexpander.pl ${BASE_PATH}/libs.tech/magic/sky130A.tech | grep -v "lambda 1E6" > libresilicon.tech + +design.ngspice: ${BASE_PATH}/libs.tech/ngspice/parameters/invariant.spice + cp ${BASE_PATH}/libs.tech/ngspice/parameters/invariant.spice design.ngspice + +transistors.ngspice: ${BASE_PATH}/libs.tech/ngspice/sky130.lib.spice + python ../Tools/python/expandSICElib.py tt ${BASE_PATH}/libs.tech/ngspice/sky130.lib.spice transistors.ngspice + +all: libresilicon.tech design.ngspice transistors.ngspice diff --git a/Tech.SKY130/libresilicon.tech b/Tech.SKY130/libresilicon.tech index 4b6a55b2..8961f7cd 100644 --- a/Tech.SKY130/libresilicon.tech +++ b/Tech.SKY130/libresilicon.tech @@ -14,7 +14,7 @@ tech end version - version 1.0.530-1-g9d590c9 + version 1.0.532-2-gc6d73a3 description "SkyWater SKY130: Open Source rules and DRC" requires magic-8.3.411 end @@ -695,7 +695,7 @@ end cifoutput #---------------------------------------------------------------- -style gdsii +style gdsii variants (),(origfill) # NOTE: This section is used for actual GDS output #---------------------------------------------------------------- scalefactor 10 nanometers diff --git a/Tech.SKY130/magic.layers.out b/Tech.SKY130/magic.layers.out new file mode 100644 index 00000000..c5dd80bf --- /dev/null +++ b/Tech.SKY130/magic.layers.out @@ -0,0 +1,558 @@ + +Magic 8.3 revision 525 - Compiled on Wed Aug 6 04:36:58 UTC 2025. +Starting magic under Tcl interpreter +Using the terminal as the console. +Using NULL graphics device. +Input style sky130(): scaleFactor=2, multiplier=2 +The following types are not handled by extraction and will be treated as non-electrical types: + ubm +Processing system .magicrc file +Using technology "sky130A", version 1.0.532-2-gc6d73a3 +CUT HERE -------- CUT HERE +EXPANDING: *li +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali viali +EXPANDING: *li,coreli +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali corelocali viali +EXPANDING: *li,rli,coreli +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali corelocali rlocali viali +EXPANDING: *locali +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali viali +EXPANDING: *locali,rli +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali rlocali viali +EXPANDING: *locali,rli,*obsli +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali rlocali viali obsli1 obsli1c +EXPANDING: *m1 +viali obsli1c metal1 m2contact +EXPANDING: *m1,rm1 +viali obsli1c metal1 rmetal1 m2contact +EXPANDING: *m2 +m2contact metal2 m3contact +EXPANDING: *m2,rm2 +m2contact metal2 rmetal2 m3contact +EXPANDING: *m3 +m3contact metal3 via3 +EXPANDING: *m3,rm3 +m3contact metal3 rmetal3 via3 +EXPANDING: *m4 +via3 mimcapcontact metal4 via4 +EXPANDING: *m4,rm4 +via3 mimcapcontact metal4 rmetal4 via4 +EXPANDING: *m5 +via4 mimcap2contact metal5 mrdlcontact +EXPANDING: *m5,rm5 +via4 mimcap2contact metal5 rmetal5 mrdlcontact +EXPANDING: *met1 +viali obsli1c metal1 m2contact +EXPANDING: *metal3 +m3contact metal3 via3 +EXPANDING: *metal3/m3 +m3contact metal3 via3 +EXPANDING: *metal4 +via3 mimcapcontact metal4 via4 +EXPANDING: *metal4/m4 +via3 mimcapcontact metal4 via4 +EXPANDING: *mim2cc +mimcap2contact +EXPANDING: *mimcap +mimcap mimcapcontact +EXPANDING: *mimcap2 +mimcap2 mimcap2contact +EXPANDING: *mimcc +mimcapcontact +EXPANDING: *mvndi +mvndiode mvndiodec +EXPANDING: *mvndiff +mvndiffusion mvndcontact +EXPANDING: *mvndiff,*mvndiode,mvnfet,mvnnfet,nnfet +nntransistor mvntransistor mvnntransistor mvndiffusion mvndcontact mvndiode mvndiodec +EXPANDING: *mvndiff,mvnfet,mvnfetesd,mvnnfet,nnfet,*mvndiode,*nndiode,mvndiffres,*mvpdiff,mvpfet,mvpfetesd,*mvpdiode,mvpdiffres +nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd mvndiffusion mvpdiffusion mvndcontact mvpdcontact mvndiffres mvpdiffres nndiode nndiodec mvpdiode mvndiode mvpdiodec mvndiodec +EXPANDING: *mvndiff,mvnfet,mvnfetesd,mvnnfet,nnfet,*mvndiode,*nndiode,mvndiffres,mvvar +nntransistor mvntransistor mvnntransistor mvntransistoresd mvvaractor mvndiffusion mvndcontact mvndiffres nndiode nndiodec mvndiode mvndiodec +EXPANDING: *mvndiff,mvnfet,mvnfetesd,mvrnd +mvntransistor mvntransistoresd mvndiffusion mvndcontact mvndiffres +EXPANDING: *mvndiode +mvndiode mvndiodec +EXPANDING: *mvnsd +mvnsubstratendiff mvnsubstratencontact +EXPANDING: *mvnsd,*mvpdiff,mvpfet,mvpfetesd,mvvar,*mvpdiode +mvptransistor mvptransistoresd mvvaractor mvpdiffusion mvpdcontact mvnsubstratendiff mvnsubstratencontact mvpdiode mvpdiodec +EXPANDING: *mvnsd,*mvpsd +mvpsubstratepdiff mvnsubstratendiff mvpsubstratepcontact mvnsubstratencontact +EXPANDING: *mvpdi +mvpdiode mvpdiodec +EXPANDING: *mvpdiff +mvpdiffusion mvpdcontact +EXPANDING: *mvpdiff,*mvpdiode,mvpfet,mvpfetesd +mvptransistor mvptransistoresd mvpdiffusion mvpdcontact mvpdiode mvpdiodec +EXPANDING: *mvpdiff,mvpfet,mvpfetesd,mvrpd +mvptransistor mvptransistoresd mvpdiffusion mvpdcontact mvpdiffres +EXPANDING: *mvpdiode +mvpdiode mvpdiodec +EXPANDING: *mvpsd +mvpsubstratepdiff mvpsubstratepcontact +EXPANDING: *mvpsd,*psd +psubstratepdiff mvpsubstratepdiff psubstratepcontact mvpsubstratepcontact +EXPANDING: *ndi +ndiode ndiodec +EXPANDING: *ndiff +ndiffusion ndcontact +EXPANDING: *ndiff,*mvndiff,*ndiode,*nndiode,ndiffres,*ndiodelvt,*pdiff,*mvpdiff,*pdiode,pdiffres,*pdiodelvt,*pdiodehvt +ndiffusion pdiffusion mvndiffusion mvpdiffusion ndcontact pdcontact mvndcontact mvpdcontact ndiffres pdiffres pdiode ndiode nndiode pdiodec ndiodec nndiodec pdiodelvt pdiodehvt ndiodelvt pdiodelvtc pdiodehvtc ndiodelvtc +EXPANDING: *ndiff,*ndiode,nfet +ntransistor ndiffusion ndcontact ndiode ndiodec +EXPANDING: *ndiff,nfet,scnfet,scnfetlvt,npd,npass,*nsd,*ndiode,ndiffres,*pdiff,pfet,scpfet,scpfethvt,ppu,*psd,*pdiode,pdiffres,fomfill +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet scpfethvt scnfetlvt fomfill ndiffusion pdiffusion ndcontact pdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact ndiffres pdiffres pdiode ndiode pdiodec ndiodec +EXPANDING: *ndiff,nfet,scnfet,scnfetlvt,npd,npass,nfetlvt,rnd +ntransistor scntransistor sramnfet srampassfet nfetlvt scnfetlvt ndiffusion ndcontact ndiffres +EXPANDING: *ndiode +ndiode ndiodec +EXPANDING: *ndiodelvt +ndiodelvt ndiodelvtc +EXPANDING: *nndiode +nndiode nndiodec +EXPANDING: *nsd +nsubstratendiff nsubstratencontact +EXPANDING: *nsd,pdiff,corenvar +corenvaractor pdiffusion nsubstratendiff nsubstratencontact +EXPANDING: *obsli +obsli1 obsli1c +EXPANDING: *obsm1 +obsm1 +EXPANDING: *pdi +pdiode pdiodec +EXPANDING: *pdiff +pdiffusion pdcontact +EXPANDING: *pdiff,*pdiode,pfet,scpfet,ppu +ptransistor scptransistor srampfet pdiffusion pdcontact pdiode pdiodec +EXPANDING: *pdiff,pfet,scpfet,scpfethvt,ppu,pfethvt,pfetmvt,pfetlvt,rpd +ptransistor scptransistor srampfet pfetlvt pfetmvt pfethvt scpfethvt pdiffusion pdcontact pdiffres +EXPANDING: *pdiode +pdiode pdiodec +EXPANDING: *pdiodehvt +pdiodehvt pdiodehvtc +EXPANDING: *pdiodelvt +pdiodelvt pdiodelvtc +EXPANDING: *poly +polysilicon pcontact +EXPANDING: *poly,allfets,mrp1,rmp +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd varactor mvvaractor pfetlvt pfetmvt pfethvt scpfethvt nfetlvt scnfetlvt varactorhvt nsonos corenvaractor corepvaractor polysilicon pcontact npolyres rmp +EXPANDING: *poly,mrp1,xhrpoly,uhrpoly +polysilicon pcontact npolyres ppolyres xpolyres +EXPANDING: *psd +psubstratepdiff psubstratepcontact +EXPANDING: *psd,ndiff,corepvar +corepvaractor ndiffusion psubstratepdiff psubstratepcontact +EXPANDING: alldiff +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd pfetlvt pfetmvt pfethvt scpfethvt nfetlvt scnfetlvt nsonos fomfill ndiffusion pdiffusion mvndiffusion mvpdiffusion ndcontact pdcontact mvndcontact mvpdcontact psubstratepdiff nsubstratendiff mvpsubstratepdiff mvnsubstratendiff psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact ndiffres pdiffres mvndiffres mvpdiffres pdiode ndiode nndiode pdiodec ndiodec nndiodec mvpdiode mvndiode mvpdiodec mvndiodec +EXPANDING: alldifflv +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet pfetlvt pfetmvt pfethvt scpfethvt nfetlvt scnfetlvt nsonos ndiffusion pdiffusion ndcontact pdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact ndiffres pdiffres pdiode ndiode pdiodec ndiodec +EXPANDING: alldifflv,var,varhvt +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet varactor pfetlvt pfetmvt pfethvt scpfethvt nfetlvt scnfetlvt varactorhvt nsonos ndiffusion pdiffusion ndcontact pdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact ndiffres pdiffres pdiode ndiode pdiodec ndiodec +EXPANDING: alldifflv,var,varhvt,corenvar,corepvar,fomfill +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet varactor pfetlvt pfetmvt pfethvt scpfethvt nfetlvt scnfetlvt varactorhvt nsonos corenvaractor corepvaractor fomfill ndiffusion pdiffusion ndcontact pdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact ndiffres pdiffres pdiode ndiode pdiodec ndiodec +EXPANDING: alldiffmv +nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd mvndiffusion mvpdiffusion mvndcontact mvpdcontact mvpsubstratepdiff mvnsubstratendiff mvpsubstratepcontact mvnsubstratencontact mvndiffres mvpdiffres nndiode nndiodec mvpdiode mvndiode mvpdiodec mvndiodec +EXPANDING: alldiffmv,mvvar +nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd mvvaractor mvndiffusion mvpdiffusion mvndcontact mvpdcontact mvpsubstratepdiff mvnsubstratendiff mvpsubstratepcontact mvnsubstratencontact mvndiffres mvpdiffres nndiode nndiodec mvpdiode mvndiode mvpdiodec mvndiodec +EXPANDING: alldiffmvnontap +nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd mvndiffusion mvpdiffusion mvndcontact mvpdcontact mvndiffres mvpdiffres nndiode nndiodec mvpdiode mvndiode mvpdiodec mvndiodec +EXPANDING: alldiffmvnontap,mvvar +nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd mvvaractor mvndiffusion mvpdiffusion mvndcontact mvpdcontact mvndiffres mvpdiffres nndiode nndiodec mvpdiode mvndiode mvpdiodec mvndiodec +EXPANDING: allfets +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd varactor mvvaractor pfetlvt pfetmvt pfethvt scpfethvt nfetlvt scnfetlvt varactorhvt nsonos corenvaractor corepvaractor +EXPANDING: allfetsnolvt +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd varactor mvvaractor pfetmvt pfethvt scpfethvt varactorhvt nsonos corenvaractor +EXPANDING: allli +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali corelocali rlocali viali +EXPANDING: allli,*obsli +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali corelocali rlocali viali obsli1 obsli1c +EXPANDING: allm1 +viali obsli1c metal1 rmetal1 m2contact +EXPANDING: allm1,*obsm1,m1fill +viali obsli1c metal1 rmetal1 m2contact obsm1 m1fill +EXPANDING: allm1,m1fill +viali obsli1c metal1 rmetal1 m2contact m1fill +EXPANDING: allm2 +m2contact metal2 rmetal2 m3contact +EXPANDING: allm2,m2fill +m2contact metal2 rmetal2 m3contact m2fill +EXPANDING: allm2,obsm2,m2fill +m2contact metal2 rmetal2 m3contact obsm2 m2fill +EXPANDING: allm3 +m3contact metal3 rmetal3 via3 +EXPANDING: allm3,m3fill +m3contact metal3 rmetal3 via3 m3fill +EXPANDING: allm3,obsm3,m3fill +m3contact metal3 rmetal3 obsm3 via3 m3fill +EXPANDING: allm4 +via3 mimcapcontact metal4 rmetal4 via4 +EXPANDING: allm4,m4fill +via3 mimcapcontact metal4 rmetal4 via4 m4fill +EXPANDING: allm4,obsm4,m4fill +via3 mimcapcontact metal4 rmetal4 obsm4 via4 m4fill +EXPANDING: allm5 +via4 mimcap2contact metal5 rmetal5 mrdlcontact +EXPANDING: allm5,m5fill +via4 mimcap2contact metal5 rmetal5 m5fill mrdlcontact +EXPANDING: allm5,obsm5,m5fill +via4 mimcap2contact metal5 rmetal5 obsm5 m5fill mrdlcontact +EXPANDING: allnactivenonfet +ndiffusion mvndiffusion ndcontact mvndcontact nsubstratendiff mvnsubstratendiff nsubstratencontact mvnsubstratencontact ndiode nndiode ndiodec nndiodec mvndiode mvndiodec ndiodelvt ndiodelvtc +EXPANDING: allnactivenontap +ntransistor scntransistor sramnfet srampassfet nntransistor mvntransistor mvnntransistor mvntransistoresd nfetlvt scnfetlvt nsonos ndiffusion mvndiffusion ndcontact mvndcontact ndiode nndiode ndiodec nndiodec mvndiode mvndiodec ndiodelvt ndiodelvtc +EXPANDING: allndiffcont +ndcontact mvndcontact nsubstratencontact mvnsubstratencontact ndiodec nndiodec mvndiodec ndiodelvtc +EXPANDING: allndifflv +ntransistor scntransistor sramnfet srampassfet nfetlvt scnfetlvt nsonos ndiffusion ndcontact nsubstratendiff nsubstratencontact ndiffres ndiode ndiodec +EXPANDING: allndifflv,allndiffmv +ntransistor scntransistor sramnfet srampassfet nntransistor mvntransistor mvnntransistor mvntransistoresd nfetlvt scnfetlvt nsonos ndiffusion mvndiffusion ndcontact mvndcontact nsubstratendiff mvnsubstratendiff nsubstratencontact mvnsubstratencontact ndiffres mvndiffres ndiode nndiode ndiodec nndiodec mvndiode mvndiodec +EXPANDING: allndiffmv +nntransistor mvntransistor mvnntransistor mvntransistoresd mvndiffusion mvndcontact mvnsubstratendiff mvnsubstratencontact mvndiffres nndiode nndiodec mvndiode mvndiodec +EXPANDING: allndiffmvnontap +nntransistor mvntransistor mvnntransistor mvntransistoresd mvndiffusion mvndcontact mvndiffres nndiode nndiodec mvndiode mvndiodec +EXPANDING: allnfets +ntransistor scntransistor sramnfet srampassfet nntransistor mvntransistor mvnntransistor mvntransistoresd nfetlvt scnfetlvt nsonos +EXPANDING: allnwell +nwell obswell nbase +EXPANDING: allpactivenonfet +pdiffusion mvpdiffusion pdcontact mvpdcontact psubstratepdiff mvpsubstratepdiff psubstratepcontact mvpsubstratepcontact pdiode pdiodec mvpdiode mvpdiodec pdiodelvt pdiodehvt pdiodelvtc pdiodehvtc +EXPANDING: allpactivenontap +ptransistor scptransistor srampfet mvptransistor mvptransistoresd pfetlvt pfetmvt pfethvt scpfethvt pdiffusion mvpdiffusion pdcontact mvpdcontact pdiode pdiodec mvpdiode mvpdiodec pdiodelvt pdiodehvt pdiodelvtc pdiodehvtc +EXPANDING: allpdiffcont +pdcontact mvpdcontact psubstratepcontact mvpsubstratepcontact pdiodec mvpdiodec pdiodelvtc pdiodehvtc +EXPANDING: allpdifflv +ptransistor scptransistor srampfet pfetlvt pfetmvt pfethvt scpfethvt pdiffusion pdcontact psubstratepdiff psubstratepcontact pdiffres pdiode pdiodec +EXPANDING: allpdifflv,allpdiffmv +ptransistor scptransistor srampfet mvptransistor mvptransistoresd pfetlvt pfetmvt pfethvt scpfethvt pdiffusion mvpdiffusion pdcontact mvpdcontact psubstratepdiff mvpsubstratepdiff psubstratepcontact mvpsubstratepcontact pdiffres mvpdiffres pdiode pdiodec mvpdiode mvpdiodec +EXPANDING: allpdiffmv +mvptransistor mvptransistoresd mvpdiffusion mvpdcontact mvpsubstratepdiff mvpsubstratepcontact mvpdiffres mvpdiode mvpdiodec +EXPANDING: allpdiffmvnontap +mvptransistor mvptransistoresd mvpdiffusion mvpdcontact mvpdiffres mvpdiode mvpdiodec +EXPANDING: allpfets +ptransistor scptransistor srampfet mvptransistor mvptransistoresd pfetlvt pfetmvt pfethvt scpfethvt +EXPANDING: allpoly +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd varactor mvvaractor pfetlvt pfetmvt pfethvt scpfethvt nfetlvt scnfetlvt varactorhvt nsonos corenvaractor corepvaractor polysilicon pcontact xpolycontact npolyres ppolyres xpolyres rmp +EXPANDING: allpoly,polyfill +ntransistor scntransistor sramnfet srampassfet ptransistor scptransistor srampfet nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd varactor mvvaractor pfetlvt pfetmvt pfethvt scpfethvt nfetlvt scnfetlvt varactorhvt nsonos corenvaractor corepvaractor polysilicon pcontact xpolycontact polyfill npolyres ppolyres xpolyres rmp +EXPANDING: allpolynonfet +polysilicon pcontact xpolycontact npolyres ppolyres xpolyres rmp +EXPANDING: allpolynonfet,polyfill +polysilicon pcontact xpolycontact polyfill npolyres ppolyres xpolyres rmp +EXPANDING: coreli +corelocali +EXPANDING: coreli,pc,ndc,nsc,pdc,psc,allli,*obsli +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pcontact xpolycontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec pdiodelvtc pdiodehvtc ndiodelvtc locali corelocali rlocali viali obsli1 obsli1c +EXPANDING: coreli,pc,ndc,nsc,pdc,psc,mcon +ndcontact pdcontact psubstratepcontact nsubstratencontact pcontact corelocali viali +EXPANDING: corenvar +corenvaractor +EXPANDING: corepvar +corepvaractor +EXPANDING: dnwell +dnwell +EXPANDING: fomfill +fomfill +EXPANDING: glass +glass +EXPANDING: m1fill +m1fill +EXPANDING: m2fill +m2fill +EXPANDING: m3fill +m3fill +EXPANDING: m4fill +m4fill +EXPANDING: m5fill +m5fill +EXPANDING: mcon +viali +EXPANDING: mcon/m1 +viali +EXPANDING: mcon/m1,obsmcon/m1 +viali obsli1c +EXPANDING: metrdl +metalrdl +EXPANDING: mim2cc +mimcap2contact +EXPANDING: mim2cc/c2 +mimcap2contact +EXPANDING: mim2cc/m5 +mimcap2contact +EXPANDING: mimcc +mimcapcontact +EXPANDING: mimcc/c1 +mimcapcontact +EXPANDING: mimcc/m4 +mimcapcontact +EXPANDING: mrp1 +npolyres +EXPANDING: mrp1,xhrpoly,uhrpoly,xpc +xpolycontact npolyres ppolyres xpolyres +EXPANDING: mvndc +mvndcontact +EXPANDING: mvndc,mvpdc +mvndcontact mvpdcontact +EXPANDING: mvndc/a +mvndcontact +EXPANDING: mvndc/li +mvndcontact +EXPANDING: mvndic +mvndiodec +EXPANDING: mvndic/a +mvndiodec +EXPANDING: mvndic/li +mvndiodec +EXPANDING: mvndiffres +mvndiffres +EXPANDING: mvnfet +mvntransistor +EXPANDING: mvnfet,mvnfetesd,mvnnfet,nnfet,mvpfet,mvpfetesd +nntransistor mvntransistor mvptransistor mvnntransistor mvntransistoresd mvptransistoresd +EXPANDING: mvnfet,mvnfetesd,mvvaractor,*mvndiff +mvntransistor mvntransistoresd mvvaractor mvndiffusion mvndcontact +EXPANDING: mvnfetesd +mvntransistoresd +EXPANDING: mvnnfet +mvnntransistor +EXPANDING: mvnsc +mvnsubstratencontact +EXPANDING: mvnsc/a +mvnsubstratencontact +EXPANDING: mvnsc/li +mvnsubstratencontact +EXPANDING: mvpdc +mvpdcontact +EXPANDING: mvpdc/a +mvpdcontact +EXPANDING: mvpdc/li +mvpdcontact +EXPANDING: mvpdic +mvpdiodec +EXPANDING: mvpdic/a +mvpdiodec +EXPANDING: mvpdic/li +mvpdiodec +EXPANDING: mvpdiffres +mvpdiffres +EXPANDING: mvpfet +mvptransistor +EXPANDING: mvpfet,mvpfetesd,*mvpdiff +mvptransistor mvptransistoresd mvpdiffusion mvpdcontact +EXPANDING: mvpfetesd +mvptransistoresd +EXPANDING: mvpsc +mvpsubstratepcontact +EXPANDING: mvpsc/a +mvpsubstratepcontact +EXPANDING: mvpsc/li +mvpsubstratepcontact +EXPANDING: mvrnd +mvndiffres +EXPANDING: mvrpd +mvpdiffres +EXPANDING: mvvar +mvvaractor +EXPANDING: mvvaractor +mvvaractor +EXPANDING: ndc +ndcontact +EXPANDING: ndc,pdc +ndcontact pdcontact +EXPANDING: ndc/a +ndcontact +EXPANDING: ndc/li +ndcontact +EXPANDING: ndc/li,nsc/li,pdc/li,psc/li,ndic/li,pdic/li,mvndc/li,mvnsc/li,mvpdc/li,mvpsc/li,mvndic/li,mvpdic/li +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pdiodec ndiodec mvpdiodec mvndiodec +EXPANDING: ndic +ndiodec +EXPANDING: ndic/a +ndiodec +EXPANDING: ndic/li +ndiodec +EXPANDING: ndiff +ndiffusion +EXPANDING: ndiffres +ndiffres +EXPANDING: ndiodelvt +ndiodelvt +EXPANDING: nfet +ntransistor +EXPANDING: nfet,nfetlvt,pfet,pfethvt,pfetlvt,pfetmvt +ntransistor ptransistor pfetlvt pfetmvt pfethvt nfetlvt +EXPANDING: nfet,scnfet,scnfetlvt,npd,npass,nfetlvt,varactor,varhvt,*ndiff +ntransistor scntransistor sramnfet srampassfet varactor nfetlvt scnfetlvt varactorhvt ndiffusion ndcontact +EXPANDING: nfetlvt +nfetlvt +EXPANDING: nnfet +nntransistor +EXPANDING: npass +srampassfet +EXPANDING: npd +sramnfet +EXPANDING: npd,npass,ppu +sramnfet srampassfet srampfet +EXPANDING: npres +npolyres +EXPANDING: nsc +nsubstratencontact +EXPANDING: nsc/a +nsubstratencontact +EXPANDING: nsc/li +nsubstratencontact +EXPANDING: nsonos +nsonos +EXPANDING: obsm2 +obsm2 +EXPANDING: obsm3 +obsm3 +EXPANDING: obsm4 +obsm4 +EXPANDING: obsm5 +obsm5 +EXPANDING: obsmcon +obsli1c +EXPANDING: obsmcon/m1 +obsli1c +EXPANDING: pc +pcontact +EXPANDING: pc/a +pcontact +EXPANDING: pc/li +pcontact +EXPANDING: pdc +pdcontact +EXPANDING: pdc/a +pdcontact +EXPANDING: pdc/li +pdcontact +EXPANDING: pdic +pdiodec +EXPANDING: pdic/a +pdiodec +EXPANDING: pdic/li +pdiodec +EXPANDING: pdiff +pdiffusion +EXPANDING: pdiffres +pdiffres +EXPANDING: pdiodehvt +pdiodehvt +EXPANDING: pdiodelvt +pdiodelvt +EXPANDING: pfet +ptransistor +EXPANDING: pfet,ppu,scpfet,mvpfet,mvpfetesd,pfetlvt,pfetmvt +ptransistor scptransistor srampfet mvptransistor mvptransistoresd pfetlvt pfetmvt +EXPANDING: pfet,scpfet,scpfethvt,ppu,pfetlvt,pfetmvt,pfethvt,*pdiff +ptransistor scptransistor srampfet pfetlvt pfetmvt pfethvt scpfethvt pdiffusion pdcontact +EXPANDING: pfethvt +pfethvt +EXPANDING: pfethvt,pdiodehvt,varactorhvt +pfethvt varactorhvt pdiodehvt +EXPANDING: pfetlvt +pfetlvt +EXPANDING: pfetlvt,nfetlvt,pdiodelvt,ndiodelvt +pfetlvt nfetlvt pdiodelvt ndiodelvt +EXPANDING: pfetmvt +pfetmvt +EXPANDING: photo +photodiode +EXPANDING: polyfill +polyfill +EXPANDING: ppu +srampfet +EXPANDING: psc +psubstratepcontact +EXPANDING: psc/a +psubstratepcontact +EXPANDING: psc/li +psubstratepcontact +EXPANDING: rli +rlocali +EXPANDING: rm1 +rmetal1 +EXPANDING: rm2 +rmetal2 +EXPANDING: rm3 +rmetal3 +EXPANDING: rm4 +rmetal4 +EXPANDING: rm5 +rmetal5 +EXPANDING: rmp +rmp +EXPANDING: rnd +ndiffres +EXPANDING: rpd +pdiffres +EXPANDING: scnfet +scntransistor +EXPANDING: scnfet,scpfet,scnfetlvt,scpfethvt +scntransistor scptransistor scpfethvt scnfetlvt +EXPANDING: scnfetlvt +scnfetlvt +EXPANDING: scpfet +scptransistor +EXPANDING: scpfethvt +scpfethvt +EXPANDING: scpfethvt,pfethvt,pdiodehvt,varactorhvt +pfethvt scpfethvt varactorhvt pdiodehvt +EXPANDING: uhrpoly +xpolyres +EXPANDING: v1 +m2contact +EXPANDING: v1/m1 +m2contact +EXPANDING: v1/m2 +m2contact +EXPANDING: v2 +m3contact +EXPANDING: v2/m2 +m3contact +EXPANDING: v2/m3 +m3contact +EXPANDING: v3 +via3 +EXPANDING: v3/m3 +via3 +EXPANDING: v3/m4 +via3 +EXPANDING: v4 +via4 +EXPANDING: v4/m4 +via4 +EXPANDING: v4/m5 +via4 +EXPANDING: var +varactor +EXPANDING: var,varhvt,mvvar +varactor mvvaractor varactorhvt +EXPANDING: varactor +varactor +EXPANDING: varactor,varhvt +varactor varactorhvt +EXPANDING: varactorhvt +varactorhvt +EXPANDING: varhvt +varactorhvt +EXPANDING: via2 +m3contact +EXPANDING: via3 +via3 +EXPANDING: via3/m3 +via3 +EXPANDING: via4 +via4 +EXPANDING: via4/m4 +via4 +EXPANDING: xhrpoly +ppolyres +EXPANDING: xhrpoly,uhrpoly,xpc +xpolycontact ppolyres xpolyres +EXPANDING: xpc +xpolycontact +EXPANDING: xpc/li +xpolycontact +CUT HERE -------- CUT HERE From d048ae5c859741844270dff4d6ea55627215ba0a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 22 Aug 2025 19:36:43 +0100 Subject: [PATCH 627/673] Remove more hardcoded stuff A lot of it is based on the voltage and node --- Tools/perl/gencharlibyml.pl | 10 ++++++++-- 1 file changed, 8 insertions(+), 2 deletions(-) diff --git a/Tools/perl/gencharlibyml.pl b/Tools/perl/gencharlibyml.pl index 39e07d4e..c6d04bbf 100755 --- a/Tools/perl/gencharlibyml.pl +++ b/Tools/perl/gencharlibyml.pl @@ -11,6 +11,12 @@ () $target_voltage=$1; } + # TODO: Load this from config files + #my $slews="[0.2, 0.4]"; + #my $loads="[0.6, 1.2]"; + my $slews="[0.015, 0.04, 0.08, 0.2, 0.4]"; + my $loads="[0.06, 0.18, 0.42, 0.6, 1.2]"; + print OUT < Date: Fri, 22 Aug 2025 19:37:21 +0100 Subject: [PATCH 628/673] Bug fix: Leading space Remove leading space before .include --- Tools/python/expandSICElib.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/python/expandSICElib.py b/Tools/python/expandSICElib.py index e668e27e..2ee382fe 100644 --- a/Tools/python/expandSICElib.py +++ b/Tools/python/expandSICElib.py @@ -21,7 +21,7 @@ def expand_lib(ifpath,line): file.close() lines = lines.split('\n') for line in lines: - if line.lower().startswith(".include"): + if line.lower().strip().startswith(".include"): ret+=expand_lib(_ifpath,line) else: ret+=line+'\n' @@ -49,7 +49,7 @@ def expand_lib(ifpath,line): elif has_begun and line.lower().startswith(".endl"): ret+=".ENDL typical\n" break - elif line.lower().startswith(".include"): + elif line.lower().strip().startswith(".include"): ret+=expand_lib(ifpath,line) else: ret+=line+'\n' From 97dd705eda20b1c762cb30a6d6889b6628ba0145 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 22 Aug 2025 19:39:47 +0100 Subject: [PATCH 629/673] Add Python requirements --- requirements.txt | 5 +++++ 1 file changed, 5 insertions(+) create mode 100644 requirements.txt diff --git a/requirements.txt b/requirements.txt new file mode 100644 index 00000000..1635ccd8 --- /dev/null +++ b/requirements.txt @@ -0,0 +1,5 @@ +gdspy +charlib +kiwisolver +sphinxcontrib-verilog-diagrams +PySpice From eeff9d9c0a08c09618358dc50c554afe96c7b889 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 22 Aug 2025 19:41:05 +0100 Subject: [PATCH 630/673] Update LS1U node (still not routing) --- Tech.LS1UM/design.ngspice | 0 Tech.LS1UM/librecell_tech.py | 90 ++++++++++++++++++++-------------- Tech.LS1UM/transistors.ngspice | 0 3 files changed, 53 insertions(+), 37 deletions(-) create mode 100644 Tech.LS1UM/design.ngspice create mode 100644 Tech.LS1UM/transistors.ngspice diff --git a/Tech.LS1UM/design.ngspice b/Tech.LS1UM/design.ngspice new file mode 100644 index 00000000..e69de29b diff --git a/Tech.LS1UM/librecell_tech.py b/Tech.LS1UM/librecell_tech.py index 79174eef..059cdb2d 100644 --- a/Tech.LS1UM/librecell_tech.py +++ b/Tech.LS1UM/librecell_tech.py @@ -12,7 +12,6 @@ This is a 1 micron process, which means one lamba is 500nm. The dbunit dictated by KLayout is 0.001 micron which equals 1 nm. ''' - db_unit = 1e-9 # Lambda - how many db_units is 1 lambda? @@ -68,7 +67,7 @@ l_metal2: my_metal2, l_metal2_label: my_metal2_label, l_metal2_pin: my_metal2_pin, - l_abutment_box: my_abutment_box, + l_abutment_box: [my_abutment_box], l_pad: my_pad } @@ -82,26 +81,30 @@ l_metal2, ] +output_map_magic = { + l_nwell: l_nwell, + l_pwell: l_pwell, + l_via1: l_via1, + l_poly: l_poly, + l_metal1: l_metal1, + l_metal2: l_metal2, + l_metal1_label: l_metal1_label, + l_metal2_label: l_metal2_label, + l_metal1_pin: l_metal1_pin, + l_metal2_pin: l_metal2_pin, + l_ndiffusion: l_ndiffusion, + l_pdiffusion: l_pdiffusion, + l_poly_contact: l_poly_contact, + l_pdiff_contact: l_pdiff_contact, + l_ndiff_contact: l_ndiff_contact, +} + # Define a list of output writers. output_writers = [ MagWriter( tech_name='scmos', - scale_factor=0.002, # Scale all coordinates by this factor (rounded down to next integer). - output_map={ - l_via1: 'm2contact', - l_poly: 'polysilicon', - l_abutment_box: ['fence'], - l_metal1: 'metal1', - l_metal2: 'metal2', - l_metal1_label: 'metal1', - l_metal2_label: 'metal2', - l_ndiffusion: 'ndiffusion', - l_pdiffusion: 'pdiffusion', - l_metal2_pin: 'metal2', - l_poly_contact: 'polycontact', - l_pdiff_contact: 'pdcontact', - l_ndiff_contact: 'ndcontact' - } + #scale_factor=0.002, # Scale all coordinates by this factor (rounded down to next integer). + output_map=output_map_magic ), LefWriter( @@ -168,8 +171,8 @@ # This can be used to resolve spacing/notch violations by just filling the space. connectable_layers = {l_nwell, l_pwell} # Width of the gate polysilicon stripe. -# is reused as the minimum_width for the l_poly layer -gate_length = 2*l # 2.4.1 -> 2l +gate_length_pmos = 2*l # 2.4.1 -> 2l +gate_length_nmos = 2*l # 2.4.1 -> 2l # Minimum length a polysilicon gate must overlap the silicon. gate_extension = 2*l # 2.4.4 -> 2l @@ -186,12 +189,16 @@ # due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 # Routing pitch -routing_grid_pitch_x = unit_cell_width // 2 // 1 -routing_grid_pitch_y = 2*l # unit_cell_height // 8 // 2 +#routing_grid_pitch_x = unit_cell_width // 8 +#routing_grid_pitch_y = unit_cell_height // 8 +routing_grid_pitch_x = 4*l +routing_grid_pitch_y = 16*l # Translate routing grid such that the bottom left grid point is at (grid_offset_x, grid_offset_y) grid_offset_x = routing_grid_pitch_x -grid_offset_y = (routing_grid_pitch_y // 2 ) -0 +#grid_offset_y = (routing_grid_pitch_y // 2 ) -0 +grid_offset_y = routing_grid_pitch_y + # Width of power rail. power_rail_width = 6*l @@ -238,12 +245,11 @@ minimum_width = { l_ndiffusion: 2*l, # 4 l l_pdiffusion: 2*l, # 4 l - l_poly: gate_length, # 2.4.1-> 2l + l_poly: 2*l, # 2.4.1-> 2l l_metal1: 4*l, # 2.7.1 -> 4l l_metal2: 4*l, # 2.9.1 -> 4l l_nwell: 10*l, # 4.1 -> 10l l_pwell: 10*l, # 4.2 -> 10l - } # Minimum enclosure rules. @@ -288,44 +294,51 @@ # Cost for changing routing direction (horizontal/vertical). # This will avoid creating zig-zag routings. orientation_change_penalty = 100 +#orientation_change_penalty = 100000 # Metal 1 and 2 are made from Aluminum and each 300nm thick # rho = 0.0265 x 1e-6 x Ohm*m = 0.0265 x 1e-3 x mOhm*m # t_met = 300 nm = 300 x 1e-9 m = 3 x 1e-7 m # Rm = rho / t_met Rm = (0.0265*1e-3)/(3*1e-7) +#Rm *= 1e-3 +print("Weight based on resistance, Rm:",Rm) # Polysilicon is 500nm thick # t_poly = 500nm Rpoly = 1000*1e3 # mOhm/square -> 1kOhm +#Rpoly *= 1e-3 + +weights_diffusions = 10000 +#weights_diffusions *= 1e-3 # Routing edge weights per data base unit. # unit: mohms/square weights_horizontal = { - l_ndiffusion: 10000, - l_pdiffusion: 10000, + l_ndiffusion: weights_diffusions, + l_pdiffusion: weights_diffusions, l_poly: Rpoly, l_metal1: Rm, l_metal2: Rm, - l_nwell: 100*1e3, - l_pwell: 100*1e3, } weights_vertical = { - l_ndiffusion: 10000, - l_pdiffusion: 10000, + l_ndiffusion: weights_diffusions, + l_pdiffusion: weights_diffusions, l_poly: Rpoly, l_metal1: Rm, l_metal2: Rm, - l_nwell: 100*1e3, - l_pwell: 100*1e3, } # Via weights. +#via_weight_scale=1e-2 +via_weight_scale=1 via_weights = { - (l_metal1, l_ndiffusion): 500, - (l_metal1, l_pdiffusion): 500, - (l_metal1, l_poly): 500, - (l_metal1, l_metal2): 400 + (l_metal1, l_ndiffusion): 500*via_weight_scale, + (l_metal1, l_pdiffusion): 500*via_weight_scale, + (l_metal1, l_poly): 500*via_weight_scale, + (l_metal1, l_metal2): 400*via_weight_scale, + (l_metal1, l_pplus): 400*via_weight_scale, + (l_metal1, l_nplus): 400*via_weight_scale, } # Enable double vias between layers. @@ -333,3 +346,6 @@ (l_metal1, l_poly): 1, (l_metal1, l_metal2): 1, } + +grid_ys = list(range(grid_offset_y, grid_offset_y + unit_cell_height +1, routing_grid_pitch_y)) +print("grid_after: "+str(grid_ys)) diff --git a/Tech.LS1UM/transistors.ngspice b/Tech.LS1UM/transistors.ngspice new file mode 100644 index 00000000..e69de29b From b9489a99f2c1da439e02a0f5068772ede11814b2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 22 Aug 2025 19:42:04 +0100 Subject: [PATCH 631/673] Stage magic layers output --- Tech.GF180MCU/magic.layers.out | 318 +++++++++++++++++++++++++++++++++ 1 file changed, 318 insertions(+) create mode 100644 Tech.GF180MCU/magic.layers.out diff --git a/Tech.GF180MCU/magic.layers.out b/Tech.GF180MCU/magic.layers.out new file mode 100644 index 00000000..d8a713b0 --- /dev/null +++ b/Tech.GF180MCU/magic.layers.out @@ -0,0 +1,318 @@ + +Magic 8.3 revision 525 - Compiled on Wed Aug 6 04:36:58 UTC 2025. +Starting magic under Tcl interpreter +Using the terminal as the console. +Using NULL graphics device. +Input style import: scaleFactor=10, multiplier=2 +The following types are not handled by extraction and will be treated as non-electrical types: + obsactive mvobsactive filldiff fillpoly m1hole obsm1 fillm1 obsv1 m2hole obsm2 fillm2 obsv2 m3hole obsm3 fillm3 m4hole obsm4 fillm4 m5hole obsm5 fillm5 glass fillblock lvstext obscomment +Processing system .magicrc file +Using technology "gf180mcuD", version 1.0.532-2-gc6d73a3 +CUT HERE -------- CUT HERE +EXPANDING: mvndc +mvndcontact +EXPANDING: (mvndc,mvnsc,mvpdc,mvpsc,mvndic,mvnndic,mvpdic)/m1 +mvndcontact mvpdcontact mvpsubstratepcontact mvnsubstratencontact mvpdiodec mvndiodec mvnndiodec +EXPANDING: ndc +ndcontact +EXPANDING: (ndc,nsc,pdc,psc,ndic,pdic,pc)/m1 +ndcontact pdcontact psubstratepcontact nsubstratencontact polycontact pdiodec ndiodec +EXPANDING: *m1 +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact ldndcontact ldpdcontact polycontact schottkyc pdiodec ndiodec nndiodec mvpdiodec mvndiodec mvnndiodec metal1 m2contact padl +EXPANDING: *m1,rm1 +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact ldndcontact ldpdcontact polycontact schottkyc pdiodec ndiodec nndiodec mvpdiodec mvndiodec mvnndiodec metal1 rmetal1 m2contact padl +EXPANDING: *m2 +m2contact padl metal2 m3contact +EXPANDING: *m2,rm2 +m2contact padl metal2 rmetal2 m3contact +EXPANDING: *m3 +padl m3contact metal3 via3 +EXPANDING: *m3,rm3 +padl m3contact metal3 rmetal3 via3 +EXPANDING: *m4 +padl via3 metal4 via4 +EXPANDING: *m4,rm4 +padl via3 metal4 rmetal4 via4 +EXPANDING: *m5 +padl mimcapcontact via4 metal5 +EXPANDING: *m5,rm5 +padl mimcapcontact via4 metal5 rmetal5 +EXPANDING: *mimcap +mimcap mimcapcontact +EXPANDING: *mvndiff +mvndiffusion mvndcontact +EXPANDING: *mvndiff,*mvndiode,mvnfet,mvncap,mvnnfet +mvntransistor mvnntransistor mvndiffusion mvndcontact mvnmoscap mvndiode mvndiodec +EXPANDING: *mvndiode +mvndiode mvndiodec +EXPANDING: *mvnndiode +mvnndiode mvnndiodec +EXPANDING: *mvnsd +mvnsubstratendiff mvnsubstratencontact +EXPANDING: *mvpdiff +mvpdiffusion mvpdcontact +EXPANDING: *mvpdiff,*mvpdiode,mvpfet,mvpcap +mvptransistor mvpdiffusion mvpdcontact mvpmoscap mvpdiode mvpdiodec +EXPANDING: *mvpdiode +mvpdiode mvpdiodec +EXPANDING: *mvpsd +mvpsubstratepdiff mvpsubstratepcontact +EXPANDING: *ndiff +ndiffusion ndcontact +EXPANDING: *ndiff,*ndiode,nfet,nnfet,ncap +ntransistor nntransistor ndiffusion ndcontact nmoscap ndiode ndiodec +EXPANDING: *ndiode +ndiode ndiodec +EXPANDING: *nndiode +nndiode nndiodec +EXPANDING: *nndiode,*mvnndiode +nndiode nndiodec mvnndiode mvnndiodec +EXPANDING: *nsd +nsubstratendiff nsubstratencontact +EXPANDING: *pdiff +pdiffusion pdcontact +EXPANDING: *pdiff,*pdiode,pfet,pcap +ptransistor pdiffusion pdcontact pmoscap pdiode pdiodec +EXPANDING: *pdiode +pdiode pdiodec +EXPANDING: *poly +polysilicon polycontact +EXPANDING: *psd +psubstratepdiff psubstratepcontact +EXPANDING: *psd,*mvpsd +psubstratepdiff mvpsubstratepdiff psubstratepcontact mvpsubstratepcontact +EXPANDING: allactive +ntransistor ptransistor nntransistor mvntransistor mvptransistor mvnntransistor ndiffusion pdiffusion mvndiffusion mvpdiffusion ndcontact pdcontact mvndcontact mvpdcontact psubstratepdiff nsubstratendiff mvpsubstratepdiff mvnsubstratendiff psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact ldndiffusion ldpdiffusion ldndcontact ldpdcontact nvaractor pvaractor mvnvaractor mvpvaractor nmoscap pmoscap mvnmoscap mvpmoscap pdiode ndiode nndiode pdiodec ndiodec nndiodec mvpdiode mvndiode mvnndiode mvpdiodec mvndiodec mvnndiodec +EXPANDING: allactive,allactiveres +ntransistor ptransistor nntransistor mvntransistor mvptransistor mvnntransistor ndiffusion pdiffusion mvndiffusion mvpdiffusion ndcontact pdcontact mvndcontact mvpdcontact psubstratepdiff nsubstratendiff mvpsubstratepdiff mvnsubstratendiff psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact ldndiffusion ldpdiffusion ldndcontact ldpdcontact nvaractor pvaractor mvnvaractor mvpvaractor nmoscap pmoscap mvnmoscap mvpmoscap ndiffres pdiffres mvndiffres mvpdiffres pdiode ndiode nndiode pdiodec ndiodec nndiodec mvpdiode mvndiode mvnndiode mvpdiodec mvndiodec mvnndiodec +EXPANDING: allactiveres +ndiffres pdiffres mvndiffres mvpdiffres +EXPANDING: alldiff +ntransistor ptransistor nntransistor mvntransistor mvptransistor mvnntransistor ndiffusion pdiffusion mvndiffusion mvpdiffusion ndcontact pdcontact mvndcontact mvpdcontact psubstratepdiff nsubstratendiff mvpsubstratepdiff mvnsubstratendiff psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact ldndiffusion ldpdiffusion ldndcontact ldpdcontact mvnvaractor mvpvaractor nmoscap pmoscap mvnmoscap mvpmoscap ndiffres pdiffres mvndiffres mvpdiffres pdiode ndiode nndiode pdiodec ndiodec nndiodec mvpdiode mvndiode mvnndiode mvpdiodec mvndiodec mvnndiodec +EXPANDING: alldifflv +ntransistor ptransistor nntransistor ndiffusion pdiffusion ndcontact pdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact nmoscap pmoscap ndiffres pdiffres pdiode ndiode nndiode pdiodec ndiodec nndiodec +EXPANDING: alldifflv,nvar,pvar +ntransistor ptransistor nntransistor ndiffusion pdiffusion ndcontact pdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact nvaractor pvaractor nmoscap pmoscap ndiffres pdiffres pdiode ndiode nndiode pdiodec ndiodec nndiodec +EXPANDING: alldifflvnonfet +ndiffusion pdiffusion ndcontact pdcontact psubstratepdiff nsubstratendiff psubstratepcontact nsubstratencontact ndiffres pdiffres pdiode ndiode nndiode pdiodec ndiodec nndiodec +EXPANDING: alldiffmv +mvntransistor mvptransistor mvnntransistor mvndiffusion mvpdiffusion mvndcontact mvpdcontact mvpsubstratepdiff mvnsubstratendiff mvpsubstratepcontact mvnsubstratencontact ldndiffusion ldpdiffusion ldndcontact ldpdcontact mvnvaractor mvpvaractor mvnmoscap mvpmoscap mvndiffres mvpdiffres mvpdiode mvndiode mvnndiode mvpdiodec mvndiodec mvnndiodec +EXPANDING: alldiffmv,mvnvar,mvpvar +mvntransistor mvptransistor mvnntransistor mvndiffusion mvpdiffusion mvndcontact mvpdcontact mvpsubstratepdiff mvnsubstratendiff mvpsubstratepcontact mvnsubstratencontact ldndiffusion ldpdiffusion ldndcontact ldpdcontact mvnvaractor mvpvaractor mvnmoscap mvpmoscap mvndiffres mvpdiffres mvpdiode mvndiode mvnndiode mvpdiodec mvndiodec mvnndiodec +EXPANDING: alldiffmvnonfet +mvndiffusion mvpdiffusion mvndcontact mvpdcontact mvpsubstratepdiff mvnsubstratendiff mvpsubstratepcontact mvnsubstratencontact ldndiffusion ldpdiffusion ldndcontact ldpdcontact mvndiffres mvpdiffres mvpdiode mvndiode mvnndiode mvpdiodec mvndiodec mvnndiodec +EXPANDING: allm1 +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact ldndcontact ldpdcontact polycontact schottkyc pdiodec ndiodec nndiodec mvpdiodec mvndiodec mvnndiodec metal1 rmetal1 m2contact padl +EXPANDING: allm1,obsm1 +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact ldndcontact ldpdcontact polycontact schottkyc pdiodec ndiodec nndiodec mvpdiodec mvndiodec mvnndiodec metal1 rmetal1 m2contact obsm1 padl +EXPANDING: allm2 +m2contact padl metal2 rmetal2 m3contact +EXPANDING: allm2,obsm2 +m2contact padl metal2 rmetal2 m3contact obsm2 +EXPANDING: allm3 +padl m3contact metal3 rmetal3 via3 +EXPANDING: allm3,obsm3 +padl m3contact metal3 rmetal3 obsm3 via3 +EXPANDING: allm4 +padl mimcap mimcapcontact via3 metal4 rmetal4 via4 +EXPANDING: allm4,obsm4 +padl mimcap mimcapcontact via3 metal4 rmetal4 obsm4 via4 +EXPANDING: allm5 +padl mimcapcontact via4 metal5 rmetal5 +EXPANDING: allm5,obsm5 +padl mimcapcontact via4 metal5 rmetal5 obsm5 +EXPANDING: allndiffcont +ndcontact mvndcontact nsubstratencontact mvnsubstratencontact ndiodec nndiodec mvndiodec mvnndiodec +EXPANDING: allnwell +nwell rnwell nbase +EXPANDING: allpdiffcont +pdcontact mvpdcontact psubstratepcontact mvpsubstratepcontact pdiodec mvpdiodec +EXPANDING: allpdiffcont,allndiffcont +ndcontact pdcontact mvndcontact mvpdcontact psubstratepcontact nsubstratencontact mvpsubstratepcontact mvnsubstratencontact pdiodec ndiodec nndiodec mvpdiodec mvndiodec mvnndiodec +EXPANDING: allpoly +ntransistor ptransistor nntransistor mvntransistor mvptransistor mvnntransistor nvaractor pvaractor mvnvaractor mvpvaractor nmoscap pmoscap mvnmoscap mvpmoscap polysilicon polycontact npolyres ppolyres npolysilicide ppolysilicide nhighres mvnhighres +EXPANDING: allpolynonfet +polysilicon polycontact npolyres ppolyres npolysilicide ppolysilicide nhighres mvnhighres +EXPANDING: allpolynonres +ntransistor ptransistor nntransistor mvntransistor mvptransistor mvnntransistor nvaractor pvaractor mvnvaractor mvpvaractor nmoscap pmoscap mvnmoscap mvpmoscap polysilicon polycontact +EXPANDING: allpolysblkres +npolyres ppolyres nhighres mvnhighres +EXPANDING: dnwell +deepnwell +EXPANDING: hires +nhighres +EXPANDING: hires,mvhires +nhighres mvnhighres +EXPANDING: m4 +metal4 +EXPANDING: mimcap +mimcap +EXPANDING: mimcapc/m5 +mimcapcontact +EXPANDING: mimcc +mimcapcontact +EXPANDING: mvhires +mvnhighres +EXPANDING: mvncap +mvnmoscap +EXPANDING: mvndc +mvndcontact +EXPANDING: mvndc/a +mvndcontact +EXPANDING: mvndic +mvndiodec +EXPANDING: mvndic/a +mvndiodec +EXPANDING: mvnfet +mvntransistor +EXPANDING: mvnndic +mvnndiodec +EXPANDING: mvnndic/a +mvnndiodec +EXPANDING: mvnnfet +mvnntransistor +EXPANDING: mvnsc +mvnsubstratencontact +EXPANDING: mvnsc/a +mvnsubstratencontact +EXPANDING: mvnvar +mvnvaractor +EXPANDING: mvpcap +mvpmoscap +EXPANDING: mvpdc +mvpdcontact +EXPANDING: mvpdc/a +mvpdcontact +EXPANDING: mvpdic +mvpdiodec +EXPANDING: mvpdic +mvpdiodec +EXPANDING: mvpdic/m1 +mvpdiodec +EXPANDING: mvpdic/a +mvpdiodec +EXPANDING: mvpfet +mvptransistor +EXPANDING: mvpsc +mvpsubstratepcontact +EXPANDING: mvpsc/a +mvpsubstratepcontact +EXPANDING: mvpvar +mvpvaractor +EXPANDING: ncap +nmoscap +EXPANDING: ndc +ndcontact +EXPANDING: ndc/a +ndcontact +EXPANDING: ndic +ndiodec +EXPANDING: ndic/a +ndiodec +EXPANDING: nfet +ntransistor +EXPANDING: nnfet +nntransistor +EXPANDING: nsc +nsubstratencontact +EXPANDING: nsc/a +nsubstratencontact +EXPANDING: nvar +nvaractor +EXPANDING: nvar,mvnvar +nvaractor mvnvaractor +EXPANDING: nwell +nwell +EXPANDING: obsm1 +obsm1 +EXPANDING: obsm2 +obsm2 +EXPANDING: obsm3 +obsm3 +EXPANDING: obsm4 +obsm4 +EXPANDING: obsm5 +obsm5 +EXPANDING: pad +padl +EXPANDING: pc +polycontact +EXPANDING: pc +polycontact +EXPANDING: pc/m1 +polycontact +EXPANDING: pc/a +polycontact +EXPANDING: pcap +pmoscap +EXPANDING: pdc +pdcontact +EXPANDING: pdc/a +pdcontact +EXPANDING: pdic +pdiodec +EXPANDING: pdic/a +pdiodec +EXPANDING: pfet +ptransistor +EXPANDING: psc +psubstratepcontact +EXPANDING: psc/a +psubstratepcontact +EXPANDING: pvar +pvaractor +EXPANDING: pvar,mvpvar +pvaractor mvpvaractor +EXPANDING: pwell +pwell +EXPANDING: rdn +ndiffres +EXPANDING: rdp +pdiffres +EXPANDING: rm1 +rmetal1 +EXPANDING: rm2 +rmetal2 +EXPANDING: rm3 +rmetal3 +EXPANDING: rm4 +rmetal4 +EXPANDING: rm5 +rmetal5 +EXPANDING: rnp +npolyres +EXPANDING: rnw +rnwell +EXPANDING: rpp +ppolyres +EXPANDING: v1 +m2contact +EXPANDING: v1/m1 +m2contact +EXPANDING: v1/m2 +m2contact +EXPANDING: v2 +m3contact +EXPANDING: v2/m2 +m3contact +EXPANDING: v2/m3 +m3contact +EXPANDING: v3 +via3 +EXPANDING: v3/m3 +via3 +EXPANDING: v3/m4 +via3 +EXPANDING: v4 +via4 +EXPANDING: v4/m4 +via4 +EXPANDING: v4/m5 +via4 +EXPANDING: via3 +via3 +EXPANDING: via4 +via4 +EXPANDING: via4/m5 +via4 +CUT HERE -------- CUT HERE From 28f8681057682293293b28ff5e58fad10f459bc6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 23 Aug 2025 14:03:32 +0100 Subject: [PATCH 632/673] Load the environment file Before running make, we have to switch into the Python venv, because the CI/CD system on GitLab doesn't do so by itself. --- .gitlab-ci.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 8801643c..a05ee6b4 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -10,21 +10,21 @@ stages: ls1u_lib_5v: stage: build - script: make ls1u_lib_5v + script: . /root/env/bin/activate && make ls1u_lib_5v artifacts: paths: - ls1u_lib_5v gf180_lib_3v3: stage: build - script: make gf180_lib_3v3 + script: . /root/env/bin/activate && make gf180_lib_3v3 artifacts: paths: - gf180_lib_3v3 ihp_sg13g2_lib: stage: build - script: make ihp_sg13g2_lib + script: . /root/env/bin/activate && make ihp_sg13g2_lib artifacts: paths: - ihp_sg13g2_lib From b7e36a4720ef515ab081b490fc6c2404aa1138e3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Thu, 28 Aug 2025 18:13:05 +0100 Subject: [PATCH 633/673] Fixing design rules No more DRC violations in the routed cell in the Magic file anymore but still when opening the cell as GDS in KLayout... BUG --- Tech.SG13G2/librecell_tech.py | 123 +++++++++++++++++++--------------- 1 file changed, 68 insertions(+), 55 deletions(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 75d73f4e..83ad29bb 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -89,7 +89,6 @@ l_pwell: l_pwell, l_via1: l_via1, l_poly: l_poly, - l_abutment_box: ['abutment'], l_metal1: l_metal1, l_metal2: l_metal2, l_metal1_label: l_metal1, @@ -148,30 +147,32 @@ # Minimum spacing rules for layer pairs. min_spacing = { - (l_ndiffusion, l_ndiffusion): 270*nm, - (l_pdiffusion, l_ndiffusion): 270*nm, - (l_pdiffusion, l_pdiffusion): 270*nm, - (l_ndiffusion, l_poly_contact): 190*nm, - (l_pdiffusion, l_poly_contact): 190*nm, - (l_poly_contact, l_poly_contact): 190*nm, - (l_nwell, l_nwell): 1270*nm, - (l_nwell, l_pwell): 250*nm, - (l_pwell, l_pwell): 1270*nm, - (l_poly, l_ndiffusion): 75*nm, - (l_poly, l_pdiffusion): 75*nm, - (l_poly, l_poly): 210*nm, - (l_poly, l_pdiff_contact): 180*nm, - (l_poly, l_ndiff_contact): 180*nm, - (l_pdiff_contact, l_pdiff_contact): 270*nm, - (l_ndiff_contact, l_ndiff_contact): 270*nm, - (l_pdiff_contact, l_ndiff_contact): 270*nm, + (l_ndiffusion, l_ndiffusion): 50*nm, + (l_pdiffusion, l_ndiffusion): 50*nm, + (l_pdiffusion, l_pdiffusion): 50*nm, + (l_ndiffusion, l_poly_contact): 50*nm, + (l_pdiffusion, l_poly_contact): 50*nm, + (l_poly_contact, l_poly_contact): 50*nm, + (l_nwell, l_nwell): 50*nm, + (l_nwell, l_pwell): 50*nm, + (l_pwell, l_pwell): 50*nm, + (l_poly, l_ndiffusion): 70*nm, + (l_poly, l_pdiffusion): 70*nm, + (l_poly, l_poly): 50*nm, + (l_poly, l_pdiff_contact): 70*nm, + (l_poly, l_ndiff_contact): 70*nm, + (l_pdiff_contact, l_pdiff_contact): 50*nm, + (l_ndiff_contact, l_ndiff_contact): 50*nm, + (l_pdiff_contact, l_ndiff_contact): 50*nm, (l_metal1, l_metal1): 180*nm, - (l_metal2, l_metal2): 180*nm, - (l_via1, l_via1): 190*nm, - (l_poly_contact, l_pdiff_contact): 180*nm, - (l_poly_contact, l_ndiff_contact): 180*nm, - (l_ndiffusion, l_pplus): 75*nm, - (l_pdiffusion, l_nplus): 75*nm, + (l_metal2, l_metal2): 210*nm, + (l_via1, l_via1): 50*nm, + (l_poly_contact, l_pdiff_contact): 70*nm, + (l_poly_contact, l_ndiff_contact): 70*nm, + (l_nplus, l_pdiffusion): 300*nm, + (l_nplus, l_ndiffusion): 300*nm, + (l_pplus, l_pdiffusion): 300*nm, + (l_pplus, l_ndiffusion): 300*nm, } # Layer for the pins. @@ -190,7 +191,7 @@ gate_length_nmos = 340*nm # Minimum length a polysilicon gate must overlap the silicon. -gate_extension = 130*nm # (poly.8) +gate_extension = 180*nm # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. #transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 180/2*nm might have to be removed @@ -229,15 +230,15 @@ wire_width = { l_ndiffusion: 180*nm, l_pdiffusion: 180*nm, - l_poly: 180*nm, - l_metal1: 180*nm, - l_metal2: 180*nm, + l_poly: 130*nm, + l_metal1: 160*nm, + l_metal2: 200*nm, } # Width of horizontal routing wires (overwrites `wire_width`). wire_width_horizontal = { - l_ndiffusion: 180*nm, - l_pdiffusion: 180*nm, + l_ndiffusion: 150*nm, + l_pdiffusion: 150*nm, l_poly: 180*nm, l_metal1: 180*nm, l_metal2: 180*nm, @@ -253,32 +254,40 @@ # Minimum width rules. minimum_width = { - l_pplus: 180*nm, - l_nplus: 180*nm, - l_ndiffusion: 180*nm, - l_pdiffusion: 180*nm, - l_poly: 180*nm, # (poly.1a), - l_metal1: 180*nm, - l_metal2: 180*nm, + #l_pplus: 150*nm, + #l_nplus: 150*nm, + l_ndiffusion: 150*nm, + l_pdiffusion: 150*nm, + l_poly: 130*nm, + l_metal1: 160*nm, + l_metal2: 200*nm, l_nwell: 620*nm, - l_pwell: 620*nm + l_pwell: 620*nm } minimum_enclosure = { (l_ndiffusion, l_ndiff_contact): 60*nm, (l_pdiffusion, l_pdiff_contact): 60*nm, - (l_poly, l_poly_contact): 80*nm, - (l_metal1, l_pdiff_contact): 80*nm, - (l_metal1, l_ndiff_contact): 80*nm, - (l_metal1, l_poly_contact): 80*nm, - (l_metal1, l_via1): 0*nm, - (l_metal2, l_via1): 60*nm, - (l_pwell, l_ndiffusion): 180*nm, - (l_nwell, l_pdiffusion): 180*nm, + (l_poly, l_poly_contact): 70*nm, + (l_poly, l_pdiff_contact): 70*nm, + (l_poly, l_pdiff_contact): 70*nm, + (l_metal1, l_pdiff_contact): 70*nm, + (l_metal1, l_ndiff_contact): 70*nm, + (l_metal1, l_poly_contact): 70*nm, + (l_metal1, l_via1): 50*nm, + (l_metal2, l_via1): 50*nm, + (l_pwell, l_ndiffusion): 240*nm, + (l_nwell, l_pdiffusion): 240*nm, (l_abutment_box, l_nwell): 0, (l_abutment_box, l_pwell): 0, - (l_nplus, l_ndiff_contact): 80*nm, - (l_pplus, l_pdiff_contact): 80*nm, + (l_nplus, l_ndiff_contact): 300*nm, + (l_pplus, l_pdiff_contact): 300*nm, + #(l_ndiffusion,l_nplus): 300*nm, + #(l_ndiffusion,l_pplus): 300*nm, + #(l_pdiffusion,l_nplus): 300*nm, + #(l_pdiffusion,l_pplus): 300*nm, + #(l_pwell, l_pplus): 300*nm, + #(l_nwell, l_nplus): 300*nm, } # Minimum notch rules. @@ -287,7 +296,7 @@ l_pdiffusion: 130*nm, l_poly: 130*nm, l_metal1: 180*nm, - l_metal2: 180*nm, + l_metal2: 210*nm, l_nwell: 5*130*nm, l_pwell: 5*130*nm, } @@ -306,18 +315,22 @@ # Routing edge weights per data base unit. weights_horizontal = { - l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" - l_pdiffusion: 197000, # (mohms/square) + l_nwell: 3000000, # (mohms/square) + l_pwell: 3000000, # (mohms/square) + l_ndiffusion: 67000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" + l_pdiffusion: 79000, # (mohms/square) l_poly: 48200*10, # (mohms/square) # 10 to avoid routing - l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) - l_metal2: 125, # SKY130_Metal1 + l_metal1: 110, + l_metal2: 88, } weights_vertical = { + l_nwell: 3000000, # (mohms/square) + l_pwell: 3000000, # (mohms/square) l_ndiffusion: 120000, # (mohms/square) taken from spreadsheet "Layer resistances and capacitances" l_pdiffusion: 197000, # (mohms/square) l_poly: 48200*10, # (mohms/square) # 10 to avoid routing - l_metal1: 1280, # SKY130_Li1 Local Interconnect! (mohms/square) - l_metal2: 125, # SKY130_Metal1 + l_metal1: 110, + l_metal2: 88, } viafactor = 1 From 68d9a6b58ec9c40aa3d2f75ea0e0a82809e0a1eb Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Thu, 28 Aug 2025 18:14:11 +0100 Subject: [PATCH 634/673] Remove pyc file --- .../__pycache__/librecell_tech.cpython-312.pyc | Bin 6042 -> 0 bytes 1 file changed, 0 insertions(+), 0 deletions(-) delete mode 100644 Tech.SG13G2/__pycache__/librecell_tech.cpython-312.pyc diff --git a/Tech.SG13G2/__pycache__/librecell_tech.cpython-312.pyc b/Tech.SG13G2/__pycache__/librecell_tech.cpython-312.pyc deleted file mode 100644 index ea934ea3595c15994b51520c6ca7a6707491c795..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6042 zcmbVONo*U}86FOY`@Tq&sMXpxYoVRkNgUgeZOP6augTO2LGe9NlMa`Xlw}!d%l6Px z1qx6Iic&=nbb+D@>;gSBhaOtw)JrdP(-!QY0R;3Cq6jcXf)vQ9|Nmx&q?F{4%H+@Q z|KInve|!Fm$K&MSFa3kxY&*!1F36U&RkSi}k!@+aXa{T+9mFO&iCuINhv+6w(L-FK7rs8?7X8E{28dS-5}z0% zelbh}ViyUD-6SMNNLcJ4U1Bfk7NaC0_K_a3pY)0YBq|P)K5>Zji^F6 zN*o=d{&!7uoXH6q0z65(K~B*K$Y~k{Im6^ElXJ8WEXSFgXL5n|f@P5of?Q%U#^eb) z0+y3>^j(wq1gtbeXXza97E)I6N!WRUPQdsiodmf+7eG$YDUhegaLNR~b94^mB3%S| zoZ%&g=NXPMJVwW0bexWZoF>OW&M-O41(+`m(w z0zK9-J_GuR4t@5JK0|T5eZN_X<82+HeMpCBw&!D1J2Z$sX!_kTQ#!_`**f|;AX`ts zn0{)A`^mN@*&xQx&_(eKolBX-XCc2P>C}c@(0THNZhMYAsiS3bN=FyS zX&qgp7sylhAX~&st+^}olDJAZ@iKW@XI-J^b@V(vr=u5IJG=;4Vw``xg{E3)u7ws2 z1UdB5|26Anr~<=}vn@2!LJJ0x1o0K94C7oUTj(2~l}?anv^&#D14$0?RfrX4z!`mP zoJG~prdT#VtB=jGv9^Zu+8WkDTf5;8((9;39rE|=#W^`tMnFIe~#U_tzkX4HLSPG8WUpwy2OcZ zK=%{j`z?AI{$0EWt&g}+(v=5htq(phX}{ua;Jrz%fP9PIHmt}su!-A#e)@$b(x**| zX$FMjr9|phUMkW&DlgLYwz5hJEyeXpq9Ez2V!gJybYeA@`q@7wK6cFq&NffMf=%qo z(#LS7CZ1=S&%59!k3HPLXwY#}vp0u2I3C10hhaD4H|IMz__P~qGOTk#ZF>`*c7xNj zw{bpzSYMxEeQxKP!43|?I_HmIZwer$`KA{+`uS}JR_uwj&0?Bnii#~E%gHPNbEZHP zbFM({Ii-!<@j`0pL@GATD~=*fZp1T*G*z70&0=n|7*8j1sh@uGe(k@1{@vN@im;iH ziqjUwM%Lm8m0)(QP|R;8i&8cdmlNAGU&!~v)=FrzK;wCuEG9B3nHJ*NjJ&M~1&Aj& zdJqrCXq>`t+>yKxnC73rD18oBX?_8y`2vc+qWC=yTt~_eQT-39H3+K2Loo7wR1aWO zlHuyjGe~(AZ9f4u^^2`v{%Z6O56`6v8@r#pbMF0fQtd}3PWs?)Aj&2)C)Gt8CvmV^ z^Se0d)fEsQyvB3)+^M`o;)!)gz^8{_o{lTsauO~GZkccYz;%`WmVJw*>wG$ql9F*v z$zy1g=uwJH*9`(@=a&g7QZNXZHDBH&i)n9AFxZNKabvDkOtK>2@fChEt?-$&GE~eb zGBBZ-&Bv1)iA;vd@hyoIH{u29o|H)`?(}w?NbBpH1qh@P?9?EvYgAVJhio}1qu9Zc z*`l(ncnzd;aWys)H+VV5vw=OAg+%b^Kq-#3kipttlc_P$pGp)#p_vq9T`rw1D2FT=w0IeZ-Yrr{R>*=-N7`oB*9){5-&TCs zc;ZROJ1vD3f7`G@rPM}I2^)uIHJp=*(ADmKr4>?5z?gyFViOeSmXxPD?rP)sMm8_q!wixYI|Lo8lR~lRJ8E-V z%h60y&K5TF9gIvCt_ryf8zu5Ip+sRl===%Rp0V&#G@hfGgk0QKf?Ld4h0aa=hV2f` z7o{W|!vV)whlI}N6&IdJCqRL2%0&q?QxRlnEQ$p>Oo}Qt=FaVcV#(ztd}`o%c#r!O zl8^hMcYc21I=nCova|+I14a-ZocY}L7xpvhEZLOl+58SL@trOt;E7btCX?x3+}KB4 z>?3ZGeNGH0>?NULag_Lm#aiOQIyzS8hTzxVU2-?N`s!S; z5$dgT{sUK_WN!p|O5TPKh7Mf8lKsHxFWDNv^c*+?B^y%@+`*Eg5$q}Xv;lVr)m~I1 zz(WM91IgZS`Ac@y8T9fE!C#qE&43OVvH-#Zy34PtHpF%fI}kfLi>thO#%UdAceast2)G!#>1*WL;JRh=UpqAr5n%-s+Uvg}7V8 z5yU-+=hR-rQ4RMY?#H=T)B(hUoTtC~hB}0JSi{E64SZ%XgAO6}cj)SD zG{~2{>Uk5od<3%tgtNR1{wxzFRF{=eg1tV-+(6|_T^O#7*4FM%sAe!795z|N1n05_ zJ9#!V+R=EnE{xPJ?DO|mR0kTJM~p5sx;bmO@>X3Kt0nhG?ysvJGxyWF3Yu{S$TEG&rbKyuOzxyO4TqD%2BVY%+Dpz;A zA!nRiB#IuYb0NSXBu|!n2cbxn-#rDhz}Pdnf9z3Yw$6ncz>V$R0R&3~#!H@tKTz@j zZfyb^_Ra3c9z~DWxkw{AV?f|^^}v!VbuIuLxXNf{ZFd4wRR_-Ug$lp3g4vp^jO?tp z0HiMK6wr&l*{ohDvo5WLUBIoO%~Y;cgS$67fWE8hu()|>uF(739pYkVzs--2Uzu$oNOkv({pbd9gZ_F&9imN9v*!J0RZYS2`%4!(m4XCQYOGQPEP zr=|}0y1bVv0KIXe65Dyb1yrA%c>~@LPkFtP-}!cR`H^=JV@)e-J8xHGJ6Y9Y z)?+aUPis`m7M3X>oaM``pRw$K%+MYR=#PQQ*HKuvg(l+y)3%y zu*TeJsY-i39Ai~GQR{saoq-f#1?M+=_>u#9wad4&S$VVeQvKw`M`IU1NIV)_tzUWb jL*H8;I^!kVKRHV&vwN)?dH41s>#@IcBl#c0e>MIGI20j$ From 27ee57607e38fd2ca09bac261168da3e549784ce Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Thu, 28 Aug 2025 18:14:49 +0100 Subject: [PATCH 635/673] Ignore pycaches --- .gitignore | 1 + 1 file changed, 1 insertion(+) diff --git a/.gitignore b/.gitignore index e7fc1a2b..f476f743 100644 --- a/.gitignore +++ b/.gitignore @@ -1 +1,2 @@ StdCellLib*.tgz +*/__pycache__ From d8a7a69e25525d628e38d968f2e265e49686d2a0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Thu, 28 Aug 2025 18:15:11 +0100 Subject: [PATCH 636/673] Update CI/CD and build targets Add IHP as a target --- .gitlab-ci.yml | 6 +++--- GNUmakefile | 8 ++++++++ 2 files changed, 11 insertions(+), 3 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index a05ee6b4..e75166f7 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -8,12 +8,12 @@ stages: # Standard Cell Lib Targets: -ls1u_lib_5v: +sky130_lib_3v3: stage: build - script: . /root/env/bin/activate && make ls1u_lib_5v + script: . /root/env/bin/activate && make sky130_lib_3v3 artifacts: paths: - - ls1u_lib_5v + - sky130_lib_3v3 gf180_lib_3v3: stage: build diff --git a/GNUmakefile b/GNUmakefile index e80ec5ee..21780209 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -178,3 +178,11 @@ ihp_sg13g2_lib: cd .. cp -r Catalog ihp_sg13g2_lib +.PHONY: sky130_lib_3v3 +sky130_lib_3v3: + rm -f Tech + ln -s Tech.SKY130 Tech + PDK="sky130A" TARGETVOLTAGE="3.3V" $(MAKE) -C $(CATALOGDIR) -f GNUmakefile layout + cd .. + cp -r Catalog sky130_lib_3v3 + From a83b383d3f9c08c43e12b7473b34563ce7603c7d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Thu, 28 Aug 2025 20:08:05 +0100 Subject: [PATCH 637/673] IHP: Prepare support for multiple voltages --- .gitlab-ci.yml | 6 +++--- GNUmakefile | 8 ++++---- 2 files changed, 7 insertions(+), 7 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index e75166f7..2070ac74 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -22,10 +22,10 @@ gf180_lib_3v3: paths: - gf180_lib_3v3 -ihp_sg13g2_lib: +ihp_sg13g2_lib_1v8: stage: build - script: . /root/env/bin/activate && make ihp_sg13g2_lib + script: . /root/env/bin/activate && make ihp_sg13g2_lib_1v8 artifacts: paths: - - ihp_sg13g2_lib + - ihp_sg13g2_lib_1v8 diff --git a/GNUmakefile b/GNUmakefile index 21780209..b4b74b45 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -170,13 +170,13 @@ gf180_lib_3v3: cd .. cp -r Catalog gf180_lib_3v3 -.PHONY: ihp_sg13g2_lib -ihp_sg13g2_lib: +.PHONY: ihp_sg13g2_lib_1v8 +ihp_sg13g2_lib_1v8: rm -f Tech ln -s Tech.SG13G2 Tech - PDK="ihp-sg13g2" TARGETVOLTAGE="3.3V" $(MAKE) -C $(CATALOGDIR) -f GNUmakefile layout + PDK="ihp-sg13g2" TARGETVOLTAGE="1.8V" $(MAKE) -C $(CATALOGDIR) -f GNUmakefile layout cd .. - cp -r Catalog ihp_sg13g2_lib + cp -r Catalog ihp_sg13g2_lib_1v8 .PHONY: sky130_lib_3v3 sky130_lib_3v3: From a5784bd263624f1d7c3afa94d49125c37d750073 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Mon, 15 Sep 2025 15:53:57 +0100 Subject: [PATCH 638/673] Updating some values, trying to fix DRC issues --- Tech.SG13G2/librecell_tech.py | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 83ad29bb..f2384237 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -98,8 +98,8 @@ l_ndiffusion: l_ndiffusion, l_pdiffusion: l_pdiffusion, l_poly_contact: "allcont", - l_pdiff_contact: "allcont", - l_ndiff_contact: "allcont", + l_pdiff_contact: "pdc", + l_ndiff_contact: "ndc", l_nplus: "nsd", l_pplus: "psd", } @@ -196,7 +196,7 @@ # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. #transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 180/2*nm might have to be removed #transistor_offset_y = 0 -transistor_offset_y = 235*nm +transistor_offset_y = 400*nm # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. @@ -303,8 +303,8 @@ # Minimum area rules. min_area = { - l_metal1: 0.0561 * um * um ,# !!! TEMPORARILY DISABLED, PLEASE ENABLE AGAIN - l_metal2: 0.083 * um * um ,# !!! TEMPORARILY DISABLED, PLEASE ENABLE AGAIN +# l_metal1: 0.0561 * um * um ,# !!! TEMPORARILY DISABLED, PLEASE ENABLE AGAIN +# l_metal2: 0.083 * um * um ,# !!! TEMPORARILY DISABLED, PLEASE ENABLE AGAIN } # ROUTING # From af32d4ecb1030c8addce5b8310a37b5b557fb3c2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Mon, 15 Sep 2025 17:04:42 +0100 Subject: [PATCH 639/673] Update README --- README.md | 33 +++++++++++++++++++++++++++++++++ 1 file changed, 33 insertions(+) diff --git a/README.md b/README.md index 1d09335d..624ebca1 100644 --- a/README.md +++ b/README.md @@ -8,6 +8,39 @@ The second step is the automatic generation (layouting, characterization) of all In the final step, all the files are collected into the library files together that can then be used by e.g. qflow, yosys to go from RTL to GDS. +## Run with Docker + +We have prepared a Docker image which can be pulled from Docker hub by simply runnin + + +``` +docker pull leviathanch/libresilicon-tools +``` + +The Docker image provides a build of the most recent KLayout as well as ngspice and all our LibreSilicon tools. By enabling connections from the Docker container and mounting the X authority into the container, you can launch KLayout, Magic VLSI, etc. + +### Direct building + +For simply generating the cells you can run the following command + +``` +sudo rm -rf Catalog +git checkout Catalog +xhost + +docker run -it -e DISPLAY=$DISPLAY -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools -l -c ". /root/env/bin/activate && cd /work/standard-cell-generator && make ihp_sg13g2_lib_1v8" +``` + +### Interactive shell + +For entering the BASH shell, for instance when wanting to update the preinstalled libs of which the GIT repos can be found in the /root folder, you can enable X connections from the Docker and then simply run the default entry point. + +``` +xhost + +docker run -it -e DISPLAY=$DISPLAY -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools +``` + +NOTE: There will be an automatic update script in /root soon + ## Requirements ### Linux (Debian/Ubuntu or derivative) From efe2279228374fd1b16807d4a93b493e2d778e1f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Mon, 15 Sep 2025 19:51:48 +0100 Subject: [PATCH 640/673] Fixing README --- README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index 624ebca1..85304b22 100644 --- a/README.md +++ b/README.md @@ -27,7 +27,8 @@ For simply generating the cells you can run the following command sudo rm -rf Catalog git checkout Catalog xhost + -docker run -it -e DISPLAY=$DISPLAY -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools -l -c ". /root/env/bin/activate && cd /work/standard-cell-generator && make ihp_sg13g2_lib_1v8" +docker run -it -e DISPLAY=$DISPLAY -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools -l -c \ +". /root/env/bin/activate && make ihp_sg13g2_lib_1v8" ``` ### Interactive shell From debbc171b79df2b1b491f8e8a222123b7c8e1fe6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 16 Sep 2025 11:10:05 +0200 Subject: [PATCH 641/673] Cleaning up OASIS files --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 6c86bf64..4228890e 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -96,7 +96,7 @@ clean: # $(RM) *.aux *.idx *.log *.toc *.out $(RM) $(CELLS) #$(RM) AND4.cell NAND2.cell NAND3.cell NOR2.cell NOR3.cell OR4.cell - $(RM) *.usage *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc *.done *.lef *_debug.oas *_debug.gds *.drc.tcl + $(RM) *.usage *.mag *.svg *.ext *.res.ext *.spice *.nodes *.sp *.sim *.al *.res.lump *.png *.err *.log *.libtemplate *.lib *.truthtable.html *.truthtable.v *.truthtable.txt outputlib/*.gds outputlib/*.lef outputlib/*.mag debuglib/* *.running *.mag.drc *.drc *.predrc *.done *.lef *_debug.oas *_debug.gds *.drc.tcl outputlib/*.oas # ---------------------------------------------------------------- # CELL TARGETS From ff13ac0ffcf9a2a9269d56ee435440c5cb156827 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 16 Sep 2025 16:00:13 +0200 Subject: [PATCH 642/673] Adding dlayout target (docker layout) --- GNUmakefile | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/GNUmakefile b/GNUmakefile index b4b74b45..1b60bdfa 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -186,3 +186,7 @@ sky130_lib_3v3: cd .. cp -r Catalog sky130_lib_3v3 +.PHONY: dlayout +dlayout: + docker run -it -e DISPLAY=$(DISPLAY) -e CELL=$(CELL) -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools -l -c ". /root/env/bin/activate && make ihp_sg13g2_lib_1v8" + From f8313b77a9d78fb2cb06f9a1487715f2225d5302 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 16 Sep 2025 21:09:35 +0200 Subject: [PATCH 643/673] Re-Enabled correct scaling --- Tech.SG13G2/librecell_tech.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index f2384237..284c8dba 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -109,8 +109,8 @@ output_writers = [ MagWriter( tech_name='ihp-sg13g2', - #scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). - #magscale=[1,2], + scale_factor=0.2, # Scale all coordinates by this factor (rounded down to next integer). + magscale=[1,2], output_map=output_map_magic ), From 9eb00b39af8051ef687151241d6f82145cdbaf2c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 17 Sep 2025 01:18:25 +0200 Subject: [PATCH 644/673] Correcting many DRC rules, still some TODO --- Tech.SG13G2/librecell_tech.py | 145 +++++++++++++++++----------------- 1 file changed, 74 insertions(+), 71 deletions(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 284c8dba..5e37f33c 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -4,6 +4,9 @@ from lclayout.writer.gds_writer import GdsWriter from lclayout.writer.oasis_writer import OasisWriter +# DRC rules are available on: +# https://github.com/IHP-GmbH/IHP-Open-PDK/blob/main/ihp-sg13g2/libs.doc/doc/SG13G2_os_layout_rules.pdf + name = "SG13G2" # Physical size of one data base unit in meters. @@ -20,7 +23,7 @@ transistor_channel_width_sizing = 1 # GDS2 layer numbers for final output. -my_diffusion = (1, 0) # = ndiffusion+pdiffusion +my_diffusion = (1, 0) # Activ = ndiffusion+pdiffusion my_diffusion_label = (1, 1) my_diffusion_pin = (1, 2) @@ -28,7 +31,7 @@ my_nwell_label = (31, 1) my_nwell_pin = (31, 2) -my_pwell = (46, 0) # This layer is only used for resistors, which we dont need here +my_pwell = (46, 0) # This layer is reserved for internal use of IHP! We must not draw on it, since Pwell is automatically generated by everything that is not NWell or PWell:Block my_poly = (5, 0) # poly silicium for gates -> poly + ntransistor + ptransistor my_poly_label = (5, 2) @@ -37,7 +40,7 @@ my_mcon = (6, 0) # Contact / Metal1 to Active or Poly2 contact -my_metal1 = (8, 0) # "Local Interconnect" (like the first metal layer) +my_metal1 = (8, 0) # Metal1 my_metal1_label = (8, 1) my_metal1_pin = (8, 2) @@ -45,7 +48,7 @@ my_metal2_label = (10, 1) my_metal2_pin = (10, 2) -my_abutment_box = (189,4) # prBndry ??? +my_abutment_box = (189,4) # prBoundary #my_outline = (235, 5) # my_pplus = (14,0) # PSD @@ -58,7 +61,7 @@ l_ndiffusion: my_diffusion, l_pdiffusion: my_diffusion, l_nwell: my_nwell, - l_pwell: my_pwell, + #l_pwell: my_pwell, # We must not draw on pwell, since it is reserved for internal use of IHP! l_poly: my_poly, l_poly_contact: my_mcon, l_pdiff_contact: my_mcon, @@ -147,28 +150,28 @@ # Minimum spacing rules for layer pairs. min_spacing = { - (l_ndiffusion, l_ndiffusion): 50*nm, - (l_pdiffusion, l_ndiffusion): 50*nm, - (l_pdiffusion, l_pdiffusion): 50*nm, - (l_ndiffusion, l_poly_contact): 50*nm, - (l_pdiffusion, l_poly_contact): 50*nm, - (l_poly_contact, l_poly_contact): 50*nm, - (l_nwell, l_nwell): 50*nm, - (l_nwell, l_pwell): 50*nm, - (l_pwell, l_pwell): 50*nm, - (l_poly, l_ndiffusion): 70*nm, - (l_poly, l_pdiffusion): 70*nm, - (l_poly, l_poly): 50*nm, - (l_poly, l_pdiff_contact): 70*nm, - (l_poly, l_ndiff_contact): 70*nm, - (l_pdiff_contact, l_pdiff_contact): 50*nm, - (l_ndiff_contact, l_ndiff_contact): 50*nm, - (l_pdiff_contact, l_ndiff_contact): 50*nm, - (l_metal1, l_metal1): 180*nm, - (l_metal2, l_metal2): 210*nm, - (l_via1, l_via1): 50*nm, - (l_poly_contact, l_pdiff_contact): 70*nm, - (l_poly_contact, l_ndiff_contact): 70*nm, + (l_ndiffusion, l_ndiffusion): 210*nm, # Act.b + (l_pdiffusion, l_ndiffusion): 210*nm, # Act.b + (l_pdiffusion, l_pdiffusion): 210*nm, # Act.b + (l_ndiffusion, l_poly_contact): 140*nm, # Cnt.e + (l_pdiffusion, l_poly_contact): 140*nm, # Cnt.e + (l_poly_contact, l_poly_contact): 180*nm, # Cnt.b + (l_nwell, l_nwell): 620*nm, # NW.b + (l_nwell, l_pwell): 0*nm, + (l_pwell, l_pwell): 620*nm, # PWB.b + (l_poly, l_ndiffusion): 70*nm, # Gat.d + (l_poly, l_pdiffusion): 70*nm, # Gat.d + (l_poly, l_poly): 180*nm, # Gat.b + (l_poly, l_pdiff_contact): 110*nm, # Cnt.f + (l_poly, l_ndiff_contact): 110*nm, # Cnt.f + (l_pdiff_contact, l_pdiff_contact): 180*nm, # Cnt.b + (l_ndiff_contact, l_ndiff_contact): 180*nm, # Cnt.b + (l_pdiff_contact, l_ndiff_contact): 180*nm, # Cnt.b + (l_metal1, l_metal1): 180*nm, # M1.b + (l_metal2, l_metal2): 210*nm, # M2.b + (l_via1, l_via1): 220*nm, # V1.b + (l_poly_contact, l_pdiff_contact): 180*nm, # Cnt.b + (l_poly_contact, l_ndiff_contact): 180*nm, # Cnt.b (l_nplus, l_pdiffusion): 300*nm, (l_nplus, l_ndiffusion): 300*nm, (l_pplus, l_pdiffusion): 300*nm, @@ -191,12 +194,12 @@ gate_length_nmos = 340*nm # Minimum length a polysilicon gate must overlap the silicon. -gate_extension = 180*nm +gate_extension = 180*nm # Gat.c # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. #transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 180/2*nm might have to be removed #transistor_offset_y = 0 -transistor_offset_y = 400*nm +transistor_offset_y = 270*nm # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. @@ -228,60 +231,60 @@ # Width of routing wires. wire_width = { - l_ndiffusion: 180*nm, - l_pdiffusion: 180*nm, - l_poly: 130*nm, - l_metal1: 160*nm, - l_metal2: 200*nm, + l_ndiffusion: 150*nm, # Act.a + l_pdiffusion: 150*nm, # Act.a + l_poly: 130*nm, # Gat.a + l_metal1: 160*nm, # M1.a + l_metal2: 200*nm, # Mn.a } # Width of horizontal routing wires (overwrites `wire_width`). wire_width_horizontal = { - l_ndiffusion: 150*nm, - l_pdiffusion: 150*nm, - l_poly: 180*nm, - l_metal1: 180*nm, - l_metal2: 180*nm, + l_ndiffusion: 150*nm, # Act.a + l_pdiffusion: 150*nm, # Act.a + l_poly: 130*nm, # Gat.a + l_metal1: 160*nm, # M1.a + l_metal2: 200*nm, # Mn.a } # Side lengths of vias (square shaped). via_size = { - l_poly_contact: 190*nm, - l_ndiff_contact: 190*nm, - l_pdiff_contact: 190*nm, - l_via1: 190*nm, + l_poly_contact: 160*nm, # Cnt.a + l_ndiff_contact: 160*nm, # Cnt.a + l_pdiff_contact: 160*nm, # Cnt.a + l_via1: 190*nm, # V1.a } # Minimum width rules. minimum_width = { - #l_pplus: 150*nm, + l_pplus: 310*nm, # pSD.a #l_nplus: 150*nm, - l_ndiffusion: 150*nm, - l_pdiffusion: 150*nm, - l_poly: 130*nm, - l_metal1: 160*nm, - l_metal2: 200*nm, - l_nwell: 620*nm, + l_ndiffusion: 150*nm, # Act.a + l_pdiffusion: 150*nm, # Act.a + l_poly: 130*nm, # Gat.a + l_metal1: 160*nm, # M1.a + l_metal2: 200*nm, # Mn.a + l_nwell: 620*nm, # NW.a l_pwell: 620*nm } minimum_enclosure = { - (l_ndiffusion, l_ndiff_contact): 60*nm, - (l_pdiffusion, l_pdiff_contact): 60*nm, - (l_poly, l_poly_contact): 70*nm, - (l_poly, l_pdiff_contact): 70*nm, - (l_poly, l_pdiff_contact): 70*nm, - (l_metal1, l_pdiff_contact): 70*nm, - (l_metal1, l_ndiff_contact): 70*nm, - (l_metal1, l_poly_contact): 70*nm, - (l_metal1, l_via1): 50*nm, - (l_metal2, l_via1): 50*nm, - (l_pwell, l_ndiffusion): 240*nm, - (l_nwell, l_pdiffusion): 240*nm, + (l_ndiffusion, l_ndiff_contact): 70*nm, # Cnt.c + (l_pdiffusion, l_pdiff_contact): 70*nm, # Cnt.c + (l_poly, l_poly_contact): 70*nm, # Cnt.d + (l_poly, l_pdiff_contact): 70*nm, # Cnt.d + (l_poly, l_pdiff_contact): 70*nm, # Cnt.d + (l_metal1, l_pdiff_contact): 50*nm, # M1.c1 + (l_metal1, l_ndiff_contact): 50*nm, # M1.c1 + (l_metal1, l_poly_contact): 50*nm, # M1.c1 + (l_metal1, l_via1): 50*nm, # V1.c1 + (l_metal2, l_via1): 50*nm, # Vn.c1 + #(l_pwell, l_ndiffusion): 240*nm, # seems not to be defined + #(l_nwell, l_pdiffusion): 240*nm, (l_abutment_box, l_nwell): 0, (l_abutment_box, l_pwell): 0, - (l_nplus, l_ndiff_contact): 300*nm, - (l_pplus, l_pdiff_contact): 300*nm, + (l_nplus, l_ndiff_contact): 90*nm, # Cnt.g1 + (l_pplus, l_pdiff_contact): 90*nm, # Cnt.g2 #(l_ndiffusion,l_nplus): 300*nm, #(l_ndiffusion,l_pplus): 300*nm, #(l_pdiffusion,l_nplus): 300*nm, @@ -292,13 +295,13 @@ # Minimum notch rules. minimum_notch = { - l_ndiffusion: 130*nm, - l_pdiffusion: 130*nm, - l_poly: 130*nm, - l_metal1: 180*nm, - l_metal2: 210*nm, - l_nwell: 5*130*nm, - l_pwell: 5*130*nm, + l_ndiffusion: 210*nm, # Act.b + l_pdiffusion: 210*nm, # Act.b + l_poly: 130*nm, # Gat.b + l_metal1: 180*nm, # M1.b + l_metal2: 210*nm, # Mn.b + l_nwell: 620*nm, # NW.b + l_pwell: 620*nm, # NW.b } # Minimum area rules. From 101fea4574d4d64d9542438b98d0ed955c212588 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 17 Sep 2025 21:34:35 +0200 Subject: [PATCH 645/673] Making it compatible to sg13g2 cells --- Tech.SG13G2/librecell_tech.py | 11 ++++------- 1 file changed, 4 insertions(+), 7 deletions(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 5e37f33c..c43617d3 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -199,16 +199,13 @@ # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. #transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 180/2*nm might have to be removed #transistor_offset_y = 0 -transistor_offset_y = 270*nm +transistor_offset_y = 330*nm # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. # `unit_cell_width` also corresponds to the pitch of the gates because gates are spaced on a regular grid. -unit_cell_width = 1440*nm # 480*3 (unit SITE) # 1380*nm # 920 is 2*0.46um (unithd SITE), 8 * 130*nm -#unit_cell_width = 3330*nm # 480*3 (unit SITE) # 1380*nm # 920 is 2*0.46um (unithd SITE), 8 * 130*nm -unit_cell_height = 3330*nm # (unit SITE) # 2720*nm #270*nm # 32 * 130*nm # minimum 16um due to pwell width + nwell-pwell spacing -#assert unit_cell_height >= 16*um, "minimum 16um due to pwell width + nwell-pwell spacing" -# due to nwell size and spacing requirements routing_grid_pitch_y * 8 # * 8 +unit_cell_width = 1440*nm # sg13g2_and2_1 is 2400nm wide -> 2400nm/2 +unit_cell_height = 3780*nm # sg13g2_and2_1 is 3780nm high # Routing pitch routing_grid_pitch_x = unit_cell_width // 6 # unit_cell_width // 8 // 2 @@ -219,7 +216,7 @@ grid_offset_y = 0 # 0 # (routing_grid_pitch_y // 2 ) -10 # Width of power rail. -power_rail_width = 480*nm # compatible to SKY130 # 3*130*nm # decided by the standard cell library architect +power_rail_width = 440*nm # compatible to sg13g2_inv_1 # Minimum width of polysilicon gate stripes. # It increases w and l from the spice netlist, so it must be width from the spice netlist From 83a4089f646af0c4ecc20530da53e1e7ed1abf27 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 17 Sep 2025 21:35:12 +0200 Subject: [PATCH 646/673] Adding LibreCell parameter documentation --- Documents/LibreCell.png | Bin 0 -> 259364 bytes Documents/LibreCell.svg | 703 ++++++++++++++++++++++++++++++++++++++++ 2 files changed, 703 insertions(+) create mode 100644 Documents/LibreCell.png create mode 100644 Documents/LibreCell.svg diff --git a/Documents/LibreCell.png b/Documents/LibreCell.png new file mode 100644 index 0000000000000000000000000000000000000000..bf8b9025aa68d1ed3b4ec356eb41efe37fe4621a GIT binary patch literal 259364 zcmYg%1ymbdxNQg;yg;$y5{g@KrxcgsUMO1J-GUT~yA>^v0>#}SxVslE?(X{X-*xX= zZ`MgNdTh>Q_SxUw;h&Xdu+T}-0RRA&oUD`@001O_f9lat;Cl$mk>TF}Bo|3J4K(=T zi)Qu%zD?r#Nyk;)!NS$!tFt-4(%!+&oYlqD+1%XT<(q@+DPo5x{3QDSPWtF<{?*mW z!JbOP%FZ01WJSfvN2PA=NX5m$#Y4r(FZh8=kduEA%Nm|56+li(Lc=rjsLjK3xp!8? z-(A~}e$lyM>kG_P@*A~3b)Yy5*E*LU(S5|+!a{uh)u5AZxlV5jRJyp5&deW(P7tL8@yv=TM*@=>7gmTz+^ZsADp-j8c z(KcQPwfo=na=OHkOI-i;-T$W7g;0dvO01>-P0#(m%gV}-0Z2fEQvHVVd3Le8_29j6 zFE6ieHa3WWg=2TyrFzzfmT}QXmIoJA)i*TbNPzswAAXNFpI)E;g;7Rig8-BPPfl06 za2bmAZvEPOQxqT2{%}yT zH@>~ST~t(rD{?syPdmBh)%L}9xzPz`nUb2?|3nU8F=`inxQwTjnV6Ws_1l48EHz%M z!?MuivKyBJ0w|=ggui5FWMJe^5?`i^ES2TvQavaF;8pMbuM)2(i~Wx`$M4S4#ZS*0 z?Ur~Kj&G<%@B!8~HmVvLAGRz*C8vk>ByS z5y@@>Z=Il!P?hC)HnkW&K=ffRe{a0S<5b$x(sIO-O}`%AmHz(zSLp!wg;7sWPiZ+T zHJ0O$@uSB!UWZl8)M1_iOQ5)>=399Mg-_$cu6v)aJp4N-59cdlUwp0)W`9g9{rU6f zvzi*-Wjf$&zc5ESfQ^MkN+pNQ@Ary*|IP8zr}3w?7<>`GhgW8&yj||VSC5SlFfQ8r zEM-(E0Wc7NhmW7%adq!2D=!a(1s2R&|C+Ua^sC?94eF!%91^b%H6}gEeQpHh3t7J>e=$5fyu2Lpy>!3fYdf5M?#KGDtMsaekNJ~5 zwws;V#YvJJ#8HcV!6MvWC>62=W}K!k)BVJj8ls__za4yJb4W^}X>-Vr(yRW`UM&6+ zRu|-ZWgod6unZGiqtA)vS$lmIwMWUwcunmaef`cqc?myp^VK?Y0yF30{~yvbp#lW| z|0A%}f&6~i-lM{A$<)s8b|$9oc&+1AN1NKZuC8uxZ;yX&769PSQYIiGqCB*gI_|Jr z-rCurIAoG4Yi@2P;#=4Gxnh6XtH3{3Z`vPYYWV%jTq=e$OIdX_o}r;(e={kdv12VU z)v?rWz8t=Z-gdN9q$2qg+w(pt4pL>>??3H!-(YvKHTd5#+l`)Z_^;|aP7|br#Kc%P z9)RO=6bbi^jyA3JtKBA8Na*pus?o~CNXg3&Rh6Rvs5m*_e8kl(#^Zm6{xY}FZId$vr zgwSj@^@C_@XpHON(89C)O2)YN%fq+VHPm2b;c z`c-pbhkfK}O7gD#T)Z7EZ^tt*B=9W%+%8+JhkD3Z^;x#qmwV|XSsofu))sJj?wPc9 zda6CPqka(cQJ$yiPB|P|lB|Mqj`=!_W{f1Ra(-$b((q9d><%fah|z?~-94zmmMR>wzsZ z!u2aotqFW{it$!nb1<}}`XT9I5h13qKhoU%Nn)&IJDRLx?ByfFZ#ORiu^Tt0x=LxS zzIO#33ns+At*AhR?Q_q(S6(lHQ|-F<*P4+>hUI`~a-Ht;uClF5-*UdZ(_WlTKc zwR+Eye_ytHgW4@+N*e<){80YSYg=OBE2+@~^@EEtcg?Tr2d7=c`#4jtegd*f&r1a( z&O#g_So}U3PviAIklVv>>+A}^D!<`P%nI1JgG5#VP@aaSs2us+l)bzE&H&b>x89i! zvmAxx?DPbv$RcE5iiZ(>y9C5ipzeJ*AJ$w5Br1UzDWl5U!j7l!UiBO7$t$Cn0j0Lc zTzHVSESS$)^eY8`-x}dd5fU2->U+!}T%WW>RNrN!OkUt!<(s=Z+r^fP5!fy(tkiYA zM{)fs{(QK$Ik5K{#v@>xn5uI8O472jdUzxPI64BLvp}>Em*Wtlh|CrbGhv53Fo#&g zxmv_na-t4JDkNV!z!5_37_zVz&BH!NPc_%OrRVx$@iP3o`=q;5XCw%_eqhxgOpEp` zymICB{@$Wh3oBC$MRpQ_C??XVZwonv2Vpk`xLS;ul1c9~@6TOXPd*@r+@67)nY8$L z3xz`4Tm=BRy;v-NQ2hl-baW7fJ*tlkm!ibe$9!!$#g9qL>*gO9d9QDsq# zzl#RmnOG1x44b{O;LTm9&U&4-@gzxGz?wEH*u+OD(2g9oXVz}1<^ANr(*y~aMetBY zTrEL;@mG7|0#x=6c$uG1Q~n0=PM46um}g-Q7L&WnVzmAwD!4i;(g98jz*hIaupFL@ zQGV~M_ki31bYXSnS2G-dHikP!l(cM`t195C1CbzNE3(B3gv{6mjgdh$MLBSwGwZOUXmz z!sln)NT(GShr&7?FU^9|JnP%Zzqy_pCaMKef`ari!nQxGd$f3X!~5yX3ZMLQ9w9$H zKEDD$nfcf@_O&2(HwGnU{D%>^17o1U5%b^!j1|c7M$K27YPnxq5ebYYK6Qib-#|bf zmyBk>=;~=yTNxW1ajh`h%ihrZ_S-dJP|vtIJCG@xrzJ2t)R8g_;`9CZMU}SSB#-cJ zO!g(*(wtXtD1`dv92KiHLkscA7?VRCR~#oT5Xihlqlz&?G(r1BZLCYXWDwYCRSMy) z?3JZVE=BAEBcx&!X<1MbQN@e4+cEW<3P1iymSEDw7*R&uL5C;=<1g5nJz_y)Q$OIc zfWJYwYar?}J?!eX$T#VWJAt^4sS}n`4?2kJ8|N?$3Bbxch+uJnu(t*3Sew%T$odi!CO`taMdGZUlY>-D<9aGQ z@^6UQkVW;*W3K14&zk19<1!}{{Y!24O2AZPu|v87iMOPdn1a$cJR5;nOjO+QSu|Df zC*z0!rM@ZO+&FKhPka+|B3`z$ClP9p>?sMO-!wNii47lHKB9d{f`9-zpON>vJGT#P zNz!y?(LIAlct-}~0zuliI;&`VfP!yQxipSwJgHE7Fg2_NP3`2s6j>&HjLiI3ZmD{8O6Nl;8A;k*ZF-Kw zB}bzIEMyV2)OK@0RweGSw!u2^NFzneG6_>rLkMEPy^amcPuw!z*mpKo#Pb{bv}tBc zGmfbt6v#&TT!y3wfL$hErBegM(%&QE8#Qo0PM%QzNQ8g_&^$X3WYPNvGQfkdo@(i_ zTX!7O0whJ^HdwPe6&e-on?_ST`0%eWSuBS15_OQbYl=I`h^ki^`lHm1^xT_O4;X0x`?8JYAn} z7ab@J5Oxgl+d>Cwn@^KqnOW43yyLU)nUeN@9oOS8Qo-l{l}jT_nlbYBsTEWmeyc|B zc+OwWYoFc~PEqw8gQ$;XdgHgtS;!t0I|b#d2;fZTP5+TS{`77l5`?n@qsW!xd8x?? z#D;rw&WajH8EXk>XA6rF$o^CYGCCS9e=e#gb@t{nEE(T?|LFE0aC0jC zPlp|oV?=JOp~UYcZhX)M?UJ{2zSD$VkLA$*RmZn~DM?6*GeV2lykd^-DVV~Dux=TI z`FV#;aiXk%`rO#tj6g!12CeeJ6gw9HY}5kl*z8MBH2;>HPthDrvAMPRb_NKMTa=K-WLPpE*J9tS6S03;K7F+p zLdxWehzz%>25D?AMpyt#X3Jn%)8?FbfNVBIaG|rRV=vNrum@2u>!mkV=g{Nza(UD{ zT65Q^)wv^@;%`)!NXgCDRD;?$Sb>nH-rSiMH##2@5vR!aP}!(v&r~%iFEpDqH5n8l z%ci^5=Pf__ma59BM537fx|-`HS8ZH7ZozZV{=NnCtI1|HN_}Kcs|1vS(O8!eft$c& zh2IhW?6I zHAILBzqXV2n|jZ@iIIS-X<{w{o!i^e38+w39=k+IZhl4EO>sR6m6Rvn)3BC!D>uXw z?9!dL1B&}GM_}20TWkEIpke%{Y?nK#+4eF5w0vRMyZs9*^Ck|{wN|uRi%?RtvaGLW zgw(bWPF1$ihvA{SAo*vgRIzo7TIy+hN6BAh=T&{4m919K9V{j{57F>6kKO*)R<)ze z6>|AD-TawE_+Qe(qh7&^4Vk=%CKEG0T2(-Ywdz5(?@d#W!N2p`eksY0o+kM?kR#Oo&`R9L_iCJDWPE?xxSH!^F zH{io?J3%mnWZ2!+@l&}9fQ=Y2lWO6MwJSUiAfMvK?L+tZ`S6|O2#2d@dPJKGX}HyS zsh}cW3ul;>+F*S;Ex>oNOJq7zf2K3mMwOd9A9=TB zJ$tZ={?eIVJ!=Rh_iXxEvO>tGZFoLHxbr7U)(qWniV(mZHB6d*tS~wFW9Ci5F=P5j zNIN~T3=Ae2KGwGu}&o;TE4`fqlzx-3kP!L$!6aUm3E zJi@4te2f1UDbSnfY-zFjNT(@_`H-2x`Q=rkGT9u<;V@bWETeERja~+0P#VEAqaWll zlxDB24P4A;*MrP|zx0d^ms;W+-1;NS)iP>tpJAA&l--xuMcgzfyLN~U6w5+V#I)RK zx87bubb8;eD*pA%G2!sTQLYgP1q;?`aB9NjoYtVFX#rlpT#!4L*H2zd4DFh;ZB(EL=|n!$=D9+qg0wYtL;R7#xctb7mp<9NCLc zM|-&&bgia(xBlJ=3K&yUQzq!Gwp@T--V>2nm3XC4ExsnEa8i47IWk08?#$M2by3Dd ze41>{za+WRba*{a-t|X@IlKs2{wE?iV!v;BWmJSb-(BR6+`e7B=q4D0aId%C@o zBvUz|T6$?FTl9ah#}AzCAvnp9_T-d_rRcRP?3E5O6b({`^8Y@`Vq2PfY|g@Vx8(|s zHd`tkL}nRt=vs&;K`V&rq3v>iZA}-aqm3U^PKaP_a5Vrt3hsoE za5t+y^}ms<2+fsA0E*4@ej4u4$gWR-fK=iz103R(voaVEc)o`@?xb5{>)Feos!*GS zHGB=ASyxP>L2}13zQw1rLR#{+SwahyVMq+7T~sT^!RJtSy9%3Xs>>tc@EjZ$C(;fnB0mvOV^mL!2-#M;vTNqxC*MmlQW@sH9Pc53nKW!}%qS~n z@Y+pqjR6o_jGUHkQwGVp&uuf}muT?S_wsjo5u(CyD5b~3EuZu`o!yvKCe7&a3UV0e zjO{tAD=@#T^Z7m8o&D?P-;CmZNIoQ?9Y1!FrKZTe>*FUF185Hb-YLl6>C6pu_)%*a z0Kqg{!pP-!ltK~{kAN=~zY%em1k&Eu1H)Px12RjK-yq=Q;hjk$ll#lYXVN0zwrFh?x=bQCnnx-!Px7 zDZr4#vo#B1E6@`!y;m2Ahj~fRAZ^qaopi=sL?#xJ`CrA>1T|5>qIMgt7oh{X@eq({ z8mt_4db18VUY%e41I57%Nka(ljokMN28+YeEO&err-nLvYTjnvQsZ*S!+P44EtH?A zgBo*`Peklds`+eM?seuZhG9Jq?iUoo5<3~2c9Y?ZMw+MQtP&x) z-1D$B;J6|tWkm*B=6TkgK)!YfNQ;-`M>(Bw-XfMQ#{^?eTxTwI6|@uI(VRDUhNmlO z{U4ihs34KP?{zGWLU_oG4=z=6y$Pd#x9|>t>)((@f zMKMz!j{ynr`krCZ@u0x=>FG}Kjevs_xuXF}gMaxbiZcF{leXt0SfacBU1{v})D(vs zQ`;%`wn5p+@zydaCWmw>4cUyM=mYV-u>alr$D*N5(HL9~)FB##5i@tsCa7C4QhEv+ zKv)N6huxBMsZ;HD`3WOue7@cOOSZm+Fm;>= zR+5xPVaT2w9x;=BGa?vA!rHG;EQn;aG$85 z*FkaHt=pFpl(E5$mhw>w!8E|xXdG9?2y|+>8~MVr)It3q5sYVPE2+r?O=>n~&?JK% z{E$~Iv(-jD6d-t9M1Z#27d~Xnyr@ZjZ^CdNf^#j&4~B0BdDWb=)iiD{k&_?xab?3$ zN9EhJlz>`gahZh#aw2y2PzvUxR9zDA*>bW9UcwC9>Zo_S9zFY|be$UNh`ga7Qdg!} z!OU@(jtZlI3S-Q(1<@g6oj1dvmxIRepqD)3^(7*m>53@QY`+K?x>~Mz5ZhZ?IOAWL zDDR_^G%)NtRWS3HIw2#OrqjM6-0V|vn?bQg;HP4YFmYcul%$94uYZi>;rYaWv%SDp zLa6Cdp6yI!-ae?7S7n_i`F!x@mtdZnydAgNn=3w*G1_xmL8 zZ)ltshwe~OYr3XICb~*y=*;Vpu}BW3WR!t{Oz&vPX3u~_a?<^tEzP_aeq&xzLeEXe zTMqSZYX}2cj7_~)+Ci%i>7cyI6;*0&LxX8o$cutPzz-4>QyqlM7ZN!7$dB{}dMGhe z$vYFSzFq%fV*#wJ?h3(SPhx$fH5*sx<0KcFSk+Le35orZ#T$gQaEr1y=EhG3=kUel z<~(x0E=OUf zq{5h*oP5*fS}}~;sy|-4x#7JY+}UuH@7@?`mzu~#gu(6IB$zIizIkx3US$0+Wf?G>h8%+*;yiaiiI+6!X|dzfgPhs3LdAw~p2l86RzvyN ze|^(}qC$TfrIjR@z>0&I!{7Imzyunc{^s3#jt+YHcjW%FDvy zUmxB1z@b#mo6SC~Y;;b~#!nvo9}b>m(b58OYbdXkA2N*;qdS5c7Hv6-354|%Ls|+a z#}zz*CseVm2VLlCul#cEEUj~cBU?O+Gjff^GLl`pmz|sER~s&X5otCXBn!(pd>Xr3 zIFopi^u`u-k}!|Rvm{2hZWo8NY6DMq$l3u1c?6?xpc>hZ!un*HCu@y)l^PiiEmTeW zP4ffEBHeK`l~HLc18m45+;LFhB&VP@W17y|7>HB!`@Re)t;mTZOVHy(xRuJ4RZ(ke zYuff)J-6fgPdn{MiUhhKo$}$Yq)I@rFZJ&X zivHTz{V$dEdI*wY(h}%ffH1|vEF&U5;BjG#Hn+vH8B>w09(Ua+St*wZ0e?*VR!cP* z(eo>0nhX_(;^_KR1blP8nBVxyf`Cu{rT%tH<3hGT!Y)5>Nk?5xfL-OCob!sY)@cF3 zldi5UJ}3>qA3J=E)T!1xUlB=q_9Y!lTNw%46;9iHcCy!fCVTAo2`?M9g+G@4-8jro zJ!b_gtUC}MAo}uZdFx^iJ!oX*Nptm3Sz7Gm751a^t3Xn$_1P1%+-p*D_F4b@B)Oh) z)vjEByH9IooHsW}?6vyM*gW#6$JF0nbgtedgM2+t+?mv#~}jLiT1l zl`lcc>IzR+;RM0TG5!`;6_)g8u}$|QFu9jq##4sXRcee%`2U950Hlfu|U&B9-Z9aag7PzO0gF^4N> zH`af>QgqX_=xGV2UjW*(rU^|OaQc|SFWU0nPTHM~hY) z3(S59Rvo(xg?Jn;gz914p2(ozPCrwZe`ps{>x<;{EQ%pkg|&Jm9O+#8TqEIu*<-O4 zPP~h25nF`dl?@*ul|4#k8v7&zSyTjBZa|v!5nR-mZlCCH^xPVNJHpiQg3hRMlf%;r zcpU#Q&+M~)0DmW;5ywyQdGc2jLqLWX0@Q~PuL<3D2|S#Q;7@Q z2mf2v``qhwgpQXf&yU}fHS(nUgjADYIuD3Vw4lRXHsXvoWzH^h*!98ux;+^gf^@U3 zw8AvGK}@pqmZXbQtt-;X_02bI9_jYCJGweu=v{uNm*M7XdZBsU3Sl0}ahVOJ@mmR5 zU~E!Qnj?}9o9ZxvN15Y9t6DF2y9|pWj~q-YD-nB~f~x5X@9d^2)6$x3#b43qvE7LqOwgc1JZVc9X@c&r^ciPhRX|SD;bKe7hnpcd6xb#o6^F0 zlm~)u+?~IxZRUPVfq)=eJ67I;+sq6@u%00;ltM&9S9d%(QN27w8ZXY_(SVE=5U z=-M*`7s9DOVS{^ANrQNW$Gddra-`v7I+#36sw!FLUa)Ij7adF?Ff{JrDfc@G5`Iih z*0JqArw#-I*4d%9Vd7~pHUxY)J&)!hv@b>v$HE)#x<6x{eU;!vwCZ-RJLg7X(1Fsv zf!3}!K+aEJ*llk+Qk+`n_|vT7AmOxxz&smz_d{)Z4{pT13ua!4L_)PH6%=$^YQ8uW* zzU(Ky@K5EDZMSC=1N8G-YR`6GdEQQ=RPSc{usyg)#h(lr+`nyJrK*TDoRo6TzB;(* zk4*mKQkgCC7Gce6Hw$5SMlk8YM@N%&Uh2D0_=?l}(iitM^iaGdQ?&yk1^422?WLdH zK2jNfY1S|1%1{enrQcUK$@xC$ufet_OF<(TO^^PMZ1p$=rK4%?`Qx0yG^({k7REz ziePCad|Gs_&!4ehR@t8H4_z1It7X1+%2(@P`k@5#eZHPIP045oliG#@tINAKK|Dr> z1@_wjCqgXRxLOf(Lv7$c!}8C& zOFU5`+BnqG4?(3%4AH@fTpe%skAEP46s|jyPgY~s`W&idLQav5`JS@N@VK3-V{Zy& zPU+z6@3Js`RpH0tPm%&U+7QHtL*Awj#* z`MZKd>Gd&A(E}BYO}k;pyfE2hx#^Z;J6HR|f3f$Z!*L!bRjNAYcL<$f*9J54rNf0Y zl#xk)YF+*@RDJ$YwYx1pS7QywxF^0)rmpHWyh~&fpOg=k**DZZ0~wcS25d{09V~4t z)AhuIf;n+rcBpdbtz&}(Cz+VPOQZi5*HwP=O z2?Cc}I{cV8)GDtXi%K31PjaJjzG6pW(%USu1>n{9!a4e?eoGE4u-tTAqukAivbiC& zr%VZDXgo#^94MOrtZ+XwWQbi~JYNG9q;uX6`*M|W}P~TW?LK$edC&oNfs!ae#KZk8;*`W2{ZMwSi?2ymHY1_0S^m-U zqtG?4G}zh5g9s7WO96pH23cP>RW2&KJNU63|T|#)Imzb%F-)mJ9lTUP0d=4$o8&1rKD zNH!*Cp8j;7T_hcA!zf+qUh>V4_~aOSlatqn>+Kxg=|FROr&D!waJ}1Ekz$Js zHkfdBlC#jdiIM>UADK{ju4+&`L*HNNVoDl?4C2#S1(RA+XJ}C*GgF`1gTYx4e7Z@t zB3Z3wBb|;Pn5Q8G5%VLCbHDQQO((dd+0%RF^n!@@&2(0K!b#(&V^G1F$_!fD$;O4@ zTfej#FcksI7`%@<{gg3qg!#nA)!AR@=zE2XztrFmt9z0UuJ=NtV}f%eH`s!+j8s6-tyg1zR-UWu`muQ5y&z z>P8wVm3jccQ6S`&R2DaK84(Ww-@S$0+}xaVn2ck(SXuEa08ZRMf+SXP1Bcmv!y|Nr zw6`Y=(2Gm>+Y`&0>W7!&0-;guXC4nlJh`yo!06xCT7!aQ6UYOeNoMeKikp8DagY$86 z@3(1koB~t#kk<{z$2-Db_};A{#?WDzsej@UWrbjAdj?dc5>$T9;4QxJ=enpwR>|cA zGl{v{HxLcMfvXNjvbgm0@R^ywRIfl>k`+e5mnLc%-t*N8hT`HWiJ&y8&NA*ah~LX3 zTFBiBoy<}sL+8}Q2EojfO6BwpW)x2>tE`HJ&d>|-Id zPHJ|Zu1@#5+AhtsQJ%Bj>DCHaITfOV3ND}X)&N4C!i!d4FLZF2rTXe6Sss;0q`z=@ zFgt(+;dhLz^;SW* zhqVBk{Q~>0q9>3nASc9&ZA$dThOz(gNmyXNa;&rJ+?&S>6TWZz>)57D%#atb3H%Vr zAg`lRY?!R@dKJsn^!ikt{_H!BYr18Jx{pXWKPb0^QL?{QK_MAcd%uT~${V*k#Vy^Z zKJ?TF_ykwa#c&9mIzZ|CU298YVx<27DEYowp`%IMFr=p($pk_wKn9V2r!Hhg-goGj{+m8&!FZof`W5|BQyI(4_@1LJ7lUK%Jizndl z&nAI!Y8hB$6DmoSr9CX7*n2>i&(Ft2rE%;7>c}Pf$;}>UboI*YptKCEOx)n~l`*GH z>^OqD3hwqRTNNAE1hVE*3FR@DI}m{l`SV~lwu~(uF?xK`qa?BlVG?@q&runMnXL^W zdP21LtDCPPyLZM2t3*dA9}Pv}(M|=6ry%}>{WtwPSZXQvhrH186`A#^Te!Rr8LmNd z_lV6X1+QL#OmY!N^fjbM&QW5LOS;v1!WlKcjB2Z z#bzSVE#VTCVw-v)jk4hCSG>!xL9gL=x+;td`xk1zy^VHZ3HubWGRVM{_}O=K=^l}R zmul8v_CSfPklY>vguZMV43*XYia+m(UD)QuQ(Y@g9^P1brdxO?>*hMBo!o?f8Lp2;;k zO~Sl406Q0LVZNS3x1qFh%b|1$?))&t|x$d!(E*Z((# zC(0d>?#zV~e&2m|Ydgg{eD9qNyf%GH(JzTf4Ypim*%Sm#{)s-__B&=M!@0sO^C7N0 z$YSbDtMj(Jf#3D)^U(33*^r-w;57yvpL~7epsAo65_0{&>+#eCVDn7v-#i$R9Q`<)Eal>IZc zXlG}g*iV8DH)%za-1O0c{CHSFV4`Qs=^J(q=M%TPM_k$ZWbBwrg^;aQCe(&%b`qJf zp>9N64jgj9kikR-)2F-hGo)fITMT%eM3m~?^v%pEjf7*i=`ul)Ia{5zmB1Y%m4YNc zLqqa0(Z?f%Ve%uI{_U&yEk)USfxjYTMBG=I`s|>$A(Y-W$j)r72>9xdMDF5b0=PtN z#-HEeLxa}iAF2N8I2s1FJji`wcvZ5kcBxL_JNriJhf~?8r*qGPre(@a!oQwfT5D*z zcX{7^3>fuJW@T@JX%2pX^ziHo=Kr!;t?%O3T^wp+un<_uVH1jbrG8c zH|+6IU4O(Xp&qJ3@zBRNy~3#JDPWq*Qb{*3W?PGBBb62Y7!J!_F0EXv_c6iO)F zrpOH8R^6tWP<-NhSis-#KYNYZQA#;y>4=PBqsK&{^YN$d1#RJ+uZg`G!Z_WdI_FU8 z_xlV=t9%epAz0`a%b6IZ#lT=n8{+`;XwUbTf@i1tQ;WW7gYaBaq+eZ_V?2JHAhS; z;rJ^?j;cNCoS)ds?OzKdCNg0^ZYDWt*oQ~r83MG3(=;$*A+tUxTiuNSD-qBa%7LI> zNTNr{ZfEumY)vwujL(7|(Y@75$GYYgyIw#b{<@FlME3HHOyy5cjb9dBW#NIWZ}OR+ zums9VuE~;7PWLAj;UzOFBO0ZC{R(=d5p4EdI#`w4?bQOG*G(O}{s4~r3mmbQg-L}+2llipQaP3~(OB{G6Y#b$NGcE{w2&onXd{ zfbV62;u)D^rc&&Bdzno4DO4QTf~4rXq8#w`EDiu?YhQ5LWfdnX9UQV*5b=jeK`~MP zh#3*^L(jU{(U^+mO}A`jg~`pa&P3oPSkvCmzNPbWqvD{hdPV!}`&SCJy*hc{e2^Da z+}%eRo#9~{-wgkB);j11TzRTr$nitk_Fva`&SBy8(Q36gzQ2n_AOMV@?nDrO&zC#1w{6vczBc~JjnVK5*SC8$Ig1<}D z8JPr)zMndk_u?_&Z%-iDNiq=k`o15`EjyYaUTDReQRTGRhcMix0~gTrDk&r zWd$=xkw-;-A30hh1ZH1jR4qyMQz1m%8@g6%V#nwYf-nG$+f))(ZHdMvR{(5>!36rr zocRX)JG;|$CRyhKOaKKd%>?#)LG3}p6`yOx8g{EKWE+N9fU}Fs=9THM1`pz6&iRLt(VzK-o9pT(E(Y#QA=}5TG;jDU; z9dE4cG3IQeUy}Lt#LJ|xtPD%Y{b*0yLK{^=*Pp1S6VDF^(0GjNxcVMgZByMe5Mi0a zGmTnqk6dY9#V;+Y-f36!fgHL{&v{X2&9=8zv8%f0S@@5TRSzjK6@v&;_|2asDjxA? z)W+n}PeXaX0HN^c4(13})OW+e67u^gdc`mAtHgh7HDeurq`huL)R0~>q3TpLd7(Ou zV@FeXksrkc^Rn5kKmG^ZKofiM_#7Xpxgv~;)^pUT-z~nQo9z25jN5}(Wx^c)WkFPL7Nmo@o!dok9@9b3Cp+B!Q7HQdDSd$!8d9bSCO>NOdh)DhX@C_0b!k&usU(=^@*@Lz^Z1D3ZeF z)iuFA?b$c|CiM<1E~v7$2D4r2$T;LAX=N1D`VYZ$@8|f7j);btj_CTXYkzW7anh`@ za~j26N6x65{K8dXh4Zhsa-gGCs-?C*3A|rDLDV;=+N`BHR|O#q6Rd|%?7xB>sd}6; z<_EpyS)HMB>$4Tg0gntkACau>A5Fo_IDFCUz zn6Dgx$Jck&&8+tSS4LK)RNt|O>00t9`TOhO>zWKiFLkTkLAP17e&-#TDt8Y%;wBagtHuWrZtK z3V`~dF5}nH)8?mw3%xgK<(8oql}GA5fB#1}=K1#i5=fJ)Mlirw@04jI*xqo{Ev|LC zHP?Ne*fWlJ!vRtYq!2ut^PqJe+PBqUvO6%5eZ3HG`f<+1WGEoOuKgF)hC4ttXo38$!IMPV= z4z_cq&qtQm1*iYfmYqHfO4&Ea*^}0X!Xvrg*)x(JY9*N)(v&F&kKYaQR!w5C*yVC; z!p#x{0W$IU5$csQBTt0d0L`TaUcxs_cX zZKIFQ1xFbOW;%9FD2$?drwbP-opL=cQp|6|37a<VmNCTBA4hkMqZ_m2ebT3lB^Zv^RTNlYak3XACr+u)E|q%jT6t z0T0>2u_FosDt}+@_;5?Wx_p1SiG&Yz_gwTJ~ex30v%c8ZJEx$QZ!#*86 z_-aa=I8v>~QS~QzUR+V}U!FJ+Y@M|{Br2N4sRjf?Ryi+%KyWS9H>lC$%QvtSnuxYa2A@OBOMsgj58U^;u&*CQ zhr3@3E4^44cNNUy_dGMc@^F{|==0f$%btsj4f(?TqJZT{b{3W+?Qt6BN>>#SCKw;D zZrR7)#^&RvPoJ)8-tpT0c*)Eew|uEdc#M~i&%_tVTTuTgz{f`fcQ^uan)n-l*UETq zH+Cx%7>ZXc+D1leHtY{8-gw#{0ARjtNusMyIg2&fqB%H^H>wd{n#`5Xm+p6xuIM!e z*F_Z-vG?r*9<>iGBu#=?OYi_aH6Nb`%zJKrezP0JzkS#utU^$XDSfqOg^7u2Vs4JG zY`0(ExvE=AO(O^;O|y#kVG(ZkEF!2_v6uPJqUnM0XCL+vop?zXp{HR3(@i-G{Swz7$Ml7FPkZMUA6pB1MJIi@^{|Teg zdzug~dR11eo6jo__dsTbR@%&$?^)g+Ee4BI0cvnOg*uQmXEi6R_lSilRM_`#S@r9s z0?NzEKAD=*u9h*FiscRng&!T3>o>4HEKW_S5l2e{e0MI&9;+G>*Bs_=$~?bWoLa7O zCNIB_>JyUmosaF0U`oZ4Y+O57z*+baF~o0f>B_CZJ-4@ zURRo27?RXDJRu&~JbAzC2|=WAt63Y|0Ss3@M!XnkYJN{iA$%%HabYe(11pnEK9A^J z!$+u2{WI>xNM`?1gM9?b&jD`JS$yLThLGJkPg71c!zw@T@$>ez5f)YPc!o1mKieE^ z06jhZB>%Z{6@D1bdHVczTqW^vA>xYD#-V$Htsga;JbT8(W4d_2>*H)Q7TlX5MnpE& zk7ar{QeIyENRadKP9RxALGc;B}B{q%Yj>Ux*MWT5v9-v=rQ3}LR4fTQ#KhyL*I zJS%>_WAE9XOzy9;uUYE3F3B{aD&8d68Re|cfh4zFbu6+`&Y zS`B*faUPJAFH~tw#MDb>X+%WGi;Igrp%HsliL8jRnVB)V`{LHtOjq6_wR)_sg5>ZO zH8r)o>sqjxu_kj|!q1@7D3$KF0-y=&_e&-7hxyKxBqSu0&A)&D-q;ruvHzLZn}VH? z?44I}b>+HuZAJjMzEQKXVv$Cpd>WIQLA~ZcNpzajS-&7z89&lzyLjp8ky=h3H`e+` zMVcebh2`BwK^pzrNQCyeYB~kQ2<`m^baPd}J2QUvd8DAA!2dMz>CxeOpWl?OhHZW) z4tb>^_HphqQdz{p4E*nsaJ^kuFH=B*GWi&8_J&(!|7*FdEQGnJ6BR||cZ}jkEj;y9 zU0SY(>ni2KV6BqxU&bxlKZ6YTQ&I(2c(BqEXP*@TlcF)TG8PtK!CI09GbGnRMt%=D-105DKe1U*D&@P} zbF`94u~;}VGLq(Ji}md+oRJ)#OP!M%xzS5YkZZanr+EI5)5na)-w1P=yT->}RDJCH zMOmbOE!ZW26P!3c1DCkox7P(TggHx_=YE2krh?8G3O0hsMA)*54*AwnKe*?73BOv( zrw74jw#h3lHnqFHr;Gi9S=g-dv2Uyxi`=*_T_=-XE)C%Wi<2#P-B=sjwrx9kZ-3{! z=j@-m_uRcRcV?cCo_yuq)S7;SBHi#g6Q0`Jl9V{a)gN4hsowp9MQ@I4hyY=ARLJK0n7arp4KxQ`_{cGPiT};L_d;KQ9(a4N#U5X(BPN zv5m`$iAFrFH%4b!ix1F8%^24C3s2kz+IauT&k#F56O)?4|U}GTApi{hv3EEy7ls3RGusOuoZqk z#I0Ss&T%d6+bYMWQ+Y3ZhZCP}-HB-%C5l(HU2xz<5|)lI#yL0Q$)b+!Jei@4Tesn0 z_}lEfrxSOxUP<_>{f?T*k$9XW9X)$95ulYv_{Es>watY~al(JyS)Z8ctSVZu`|OB2pIFPTwcC!*{7rK3o^b=-SL64zJfZlR%+~gwgn-P50;#S0t?*6gWas2T z;q2~>^QkoxDc=6TCK)ipQs8-;H)T${^4JCD51u>>ZK&Wz@t{pt-)f_!9_wNaj#!zQ zp1NO#q=PFl4drrzf+6xRG_?j69mfFt{*@b@jn5*c^Q5XEf(PexvvoU`sWWk;YndBt zi`abdw-oE~%wGBf+2kGdft=;@`Iq(w?IpYoQ5?Ia7ME8C*hV!UPLB6hEr`MbIsC40 z?S?FRPqj!Ww}xM@5j8{H>zF6nJWA%+e9`jnUWj%Z`g%tiS0`|GS(euLECfE^+M?Qf zq^yGu!C2Z`-H9j)~y@=C_Tx_4V~Ct$M)658PRv!$CyY zMj{2r?Ol%!Z&gcU8blO#>v5crGkJKd{&!!_@D~?g9_3R;)jN@N6ttWRP#_u=*RmZ(m()7~eRi>n0+-Ho&Xf zSDtz%E{S_hNbJR)U@-4=md!}5#Q`4c=;XL>^hZ1G`i#IsY9wTa+k_Ws*awY=iv8!$ zCU-D@dlAU!VC|;nR)nDL^qX6B-5%aekFuHYrsz@|Hb5WDR0)Eql^x%mACCIZ=;FB_ zLL@RkxV1SC0W}|bwBA~u!&*e!PeCwre&VO!(3m@Q!?3WL*Oxd_?=Nxf@8skt=WI%q zod2qWiX6b50t1F_EKvD9-f`tpq_^gDfayKKA8JUfH9dccz=IipbA50 zVZ0?$+9(SkBNLG~!&MvlD7Va!{k&H{C(Qs3-v_=O4gVmB;}!zW{FS0U`W@uj=)~=m z=M~Tb`$M}4Z)5y$w4)f*B!f2b1SzQy8pqiK9Q)DaLIduTsVXPoO{xZN3>9jPC=SGu zIoYG^R|rRMt~um8eWqn22o76EbF%gC^_$aI;7ZvrL5cD%5r@jPiKmqEok%cBZ_L%1 z`N?ug8lbrF{jXx?=(K(V1(l)FW@p{I0Lo2Z5xZ4pmuYl}B-Qy(_IJ)UtBa8I`?aos ztN;8bHjE()^C78>2W_ukYZHI+(`p()kM;zk7;2+%Sbz0zpA|hHPsC`3xsp%kg@l(~ z_*>)4;A3sXTvkq_9J2X?1JRM2w`{m-VgI!U)bQ1Id1L3@8~G0_*v z7_XPBRmCQR5WSmC(ZYMhxzr}btHc?rqjyZV%nT$xrb70+SH$Cy`-X^Xm8w6ABF$kELLdrC zRFd$m!eB0|n`kjbvrC-bSjb3tL|4b666wnbq^;Tuw%TCN}<)VL4=DlLmW&@3jG~$;REr;L4GZZw9lwZqJ{G1rR!Y6(NhK+5*v?-*>R2g4J*aQXYWVIEW} zGg!q<5zuij6QstSg_%4H#7A4xp~+d;GxL9qDSl)YXu#5Ipy2U;KWdw*Re~vCrHkVR z1f3-xUiE*n9sn^sMe59|tjT-1&#$E}Y(pMveIx-p@u>sJTCS6o<{0Lurj`zIyFWeq zru`JTe(pk1r+=R022+0ziEVu4NSwpvO~m?a5aHFD#5=ZM1Pvbgg{y%}srTN>IE|l6 zASjnOW2^1KW#Ga$y@tORJLb#A9J>q5H2|)-qp&B2N~l(ELyy-I15E;rxP- z!mdY|V&=qrC~55Gt1XVjFXksKf{>!VBKrFh?LUS3f6i*D#PnSW&CNf6SKF;KGu~5< z3N8{_I>p;50fhE+*Ujsb6Bl36+s~Wi7mCOgv(V?7neqh=BN}VUztJ;=HQ6tehq92w zlF;cDutGu&A@V9B?5Gm2?wQ984Wnn1=5!Ka4Dsq(x6LBf@3={|lHhG!5>i#J; z34;AmS7et5CK7HQbvp`v3PEimx@F&gYk-7N)w6o6VrD~aPj-<38&u1q0mWw-HE zC#>ln-|0_=$5Q!F9Cm^KohSxqQtM1$!?l=02mZ+_6irE027EeLf5K8?&)cbZRfqrN z13-~&6O${Kw*0URT#gGvLPAA(e?_rdOQQJ(*lXdBqdBlIm6 z20P>S(k~0yrp^S&he(zjyOZN4V*-eGa$GWCThj-)3ETEN)lrA!bBM|j6WGf_38taj z=+6i)K(I(AhXkb|ay63NF!c5PDVRX5Lj3w6EAdl9C%r-GOcblPdsnEWpm&es$rv1i0g8bLdQ`Z zCY{3On`npgc0LWn*Yihz|L3IdKXV6mS5~FZ;JU>=C|uP+;5RXL6F!^V%~XKRLLAvn zUR+cwirjU%&{O;X+1>G86CwYko}rr(*I1L>Y^=p{yC+|QL;%_F38vLTmrExLF>i%SMKlZHF^zVkg=mY8tJ zZ~!e;iXG$q@@}>glre<5 zrkHFQ$|@P4(<5K5z>WL&wWPEUuapH$ceKr3Bim5#sf&&VN}G!LzfZd_ld;d`+O0_*Fs!gAf+t|TgXV+GfH{l4pSJBV;eC+t(a=ps-vjhEB2+XrKkK;f zCF*=E?47u5R;i(kr(QmJ0ZLkkQcH`;?R|A8xS^8NBmk!3xkc@dI@4a_Cjm3f&Dp_W z-n%d@HnbQ*EsGJkL(de+4g7-nPhL$;4C3pW`0I0tY0Y|eUwF~==&Ka57i`%~qzQ`M zRxkM|&xL0SpRdm?#~IP)y(=5!3ZJmO!k2VpxWWTzu=_!Jko(QP{>6HG)6F;+qxZAZ z>3Tcv$%$-s>h_8Gb~uDRgLaul@MTlvv}QYz;p8RrpQ+s}+p`KOJO62NV0? z)|n27N{Z6k%}HemHSTyPBqka-S&*8H{Rskw2a7Tu;oo?-x!-ssUO^AheopK?3v3L8 z)UmeNEMbC`HI+{~a)3=){&+W|$@g&q5^@r^EE}W$yNM-tZC8K0y>OL*(kYGerY}fw z=ER*pejF0UlfSry@TG<&N*g=b&%*2B95+ALB#nZbd}=ZWSJRcFG*e@hZu4 z|Nc2Ki9^x_oSLrp+{MR++YVB_`;AGxK_8+s z@Rj?QcF{Zq7cP1V4ztVBc>30_=dDCumjeGJ=GOuq?DBP%ZQ2-d${_Z^=O?UB7 z33#%6_K*oV^Ai-0=OUjhc6)~yvog}t%hv%bfsga|`rosu3u}yKE0Kg;4QhC{@+DX@ zZ6iB#G(=;Gi(7O=raJf?|A`x56JKa)E`xW9A+~Dz+bM~zbf$XAV$m%fnSXmtjkYT1 zH!Ok4iiziM7F&BQJbMQ_Tn9dxl>Kzy7+hhwe(?&ch~WA8DWU@15lDN$Uif+^S)iy5 zwHl96<2E4bEXQ*Prjz3w?(918fAQO7|_yS zyAgFX^CDF9fZz0hz>x7>BKn+(@g+7^+#tayYXoB#jl!(>7h4ezN?l>^#$U~q{=CW( z1T8|T`&UwjYEGn3@90sYS9Uo-b^|01s=6HOUolJB9mA*Y+SUggJ&}J`LqS1Q-vm_` zXSP9B{HA>rr zi0i^uGYy1`b(U02qR~AieCffCvOEYC-^VUJ+W$G=8C=h`06RUBii!%zPxs?_fqgGQ zN#6WEJFgyrHyWQ=jvI^bx$BAjC_g^e7jWrM&dvq`>v+2F`%?|$nh1`1iFGp49$<2aoWm)p{{x0`~BTzz{?q_=bf^qWL%xOv* z*=w3M5^++b0rDw7Et`;2Q0O1emf*745M;XV;|YsA?K=W|MK;soWI40o!m3|&G43j} z_vh!kli-MmPq!xvC{S?7!a%Mku3-v~Cu@HsJ{&*baY%$FV-X_caycijG$f913rtianK%nTM6X&X350ZMF3DWe;%#=lucn;{6h%fQ1 zb7Y6_<27J(RGPjkwp&lg(HjYrz3)Lsl@#&c8B=){lDCbZOYc!D!tdxK;H^a^R*@hcW zCD%V&qND&&4uFw`fZYzXw6ui#Bcwa&iBoTFzslb3Ee+)NRjE+#Xu6>3qT_`mQL_C8 z;KkbRmo3*h8dawpLGY`i7?6Awg-=Gg4cHt0y<53D^q=yph$Zr_{C82XL>PO7^H*#y z{N~K9twjLtZF_rJ5c{+1o_36%(`+}9*VioK<6=$`(){8Lv#48{#8{e4ZdQkoYiK4W zNZJPaHC9FH>>mom6*>u8TiBGdlCg z4I|qB$my)$?9EQq)z&g?ro16?_R&w|g}_HIX6*W(mlqNfiuD#WH>Z04sWSB~HLGmH z?r2sv(X65$K3ca*H&U|G)! zq2~_y{3&nA-N`~UgdUI)WfZ}vs-Uoik+Y~Ic9PC*_X7$%U#>B88Pl7@@$(#Jr=pc_(S@x6WBJXTbDG9oaJg{0gQ(GA?5p`v(@RpG+!K5M%$M%6G#F zYo5)w*IbcsugU@d2O2eUtr@LR!UeH<+?q82xw;MTvZ}1pd0F=JDGHu?3!|;XoBHz{}E(;3FAE)BuJBCeSw;nlMJuUNMYJ^a7+1yw`4Gqw6;M78bhIT5g=O8DJ@sQTedp>s$14CA>k79{S*Fi#6?|sWhriVT$?m>-YWHF=_w1%`Scqt~1hFRrbm5 z+3-_vnAODaYb96DA8E=$#V4ACM#Q7`=h84fgR`UECkHw-mg|sZ$!9Am7-xlgc3fIo z+W**X+E+w7?)Vl5(Rq%JqNSelenc?d57HN$%3*0!*5)iW;Ov=#PI92lW=<{P^z4`JG;)7W!e; z5e2jfxb!ZcqBIUx{%lhGcGCX%ZU#5PmxB%Nf)81W#USD1BX(VL#*xkB4xLpFGb$)5 zA_JaW9sTsWWxY~n9Nf=aXO_L_Mpd+s7&z!t1L4^O0>KL$ekw=5OI+Z5-U9?JgFpZy zg$fTXE+y6D*>^jx>!g(CR@~+L@#cEER0jua8@)g#B}3C1ZyB4C_RAI_J_IPpJw|;^tstpi;Y)1P!s;e2nH3AuGYw))p>zQ{*QSomG~YmN!RYyzl%D@DO|4W7VR4 zsGBxcRts1-%o7UrLN%GxGkL8LOZTS|TZ>SVVWip)jn}B5!tlt*qQ=G)I=*jrbOU^j ziPa>awuH3}ubaqZGc(|p?br#{J0bq|PyZQd@;Jr?=`BQ6O%MXGRF z?&Kc(4`TC(w%7Q|6~lkTJ$KM__HR*TlYc^9OL29KWP!M}6)jMfeQJN0qb&8m@O84F4TrUjL`P1|JDB2<)V0d#{5@l;paa^M|k{m z1Q)B*7_AlvAwx6lmBMmCm}^6w4%DTz!#VGItUm5Ou%#nXt#9Q$W9iAH1<3{zhv&Mg z(SH|1yR0qHgVxRy;OG+TIL#H#6J~zd5U;aXYIvGg%WLSACZL{^aV(;Z$?6$HILmp} z`>B6*Pw=&&RdF&qgAUtu*FZw{z)lN}yYkLa+qCha6Lo%|q)PnV!RHqZFJcOJ&MAZ6 zA}=SaNWxICCIVg{z_Sl~<^Ek2SlcIh^5aX?{-!{>=X1}fG{pMj6DCM+Fy;#`d-X|D zCLrzuACGZeIkVX7M}$ybZZ&TB{0GDxR97>MX7bvj4VRfc5X5%n`D`-j#T*zEFET zAiccehwPv`#>0gqFa+#F3{j6suhEK3GKaYwbRBXip&opy5|wHx{mKN8Yyqs0Zw@6DVmys}=0 zjyP@BwNM+QO^UV@&$?NVUOMnzViiSN)uNXHNIWNQ0fpnIKCxwP+aJ-qEm{Le*tY4 z2u~1jVs|bpGSX(~Xs##Si{VRjX=>d@U9#Kn!EWX;kvcuMPBrq}CDN*)VqgRSDdZki z(TuR}ny`PEM0>j6Io4~IjqXpE;E|Am6ia%6^S}4Is(!u&L%|eseCA;tk6Y0{zye6( z04$2#a(r*lel1=^TrQs!6cpCaBY^qV1uW++?NwvG>0PTl%_%8lVr`4b8-{=~2v|C= zp01qgzRk|~JXR-kLY^l4S=Jwb#t<#`cE1k8qMdAYwPhzj{#VogZ;f8kn*DKHcHAHt z2rLCvWG}$c&Jb9?&CJdHm1*wYiL&E;3sb9kiL3&}05sP7J!3YQpeB3YLTgf9V>gaM zios!5oT0xOK#NwL7qmm7qXz)!;0nOd@~ZO*I$6R<9K^I(9aJ56>mhA%&{X`^kt2|7 zel1y@yGVy;FqpRYlrY&{;C3|AnH_C1k;KW)lC_3NyY*VJl65v9tdy0IyE-#|cxRXu zIwNDnUhRcCsHGk1wG>kkzH+K^yJ%7Q5hM#CDK}L9l}|&=G_$K&5v4m7NJ*q(f{JG3 z@b|vUhmtGxUofPBM1foydb4yiT({BTRFrb_P(vssS$hSBzUa1^c-1Pe(b}D4l*Qxk8>BI&` z!t24t`ra%w0_fG)MV^O%F%uz2M`k+nP}Ke3cFV-v^a0_q%=!+BPG&lkAklUwE=cOm zmvb)e*UO%N$Qk8255^5qM(7SLaaWFQgPUCZ!9>;rq{}gAVZGs4rd#XNkj^NHQ|zJYn-j6 zzXMqYNw8SF12Xx7Gct4eAjW|$|7&-;@p z$ZyE#7@FFeUhK2~@#K#P{8^lBk}&z}FnNB@OKs#ZOTQ+#YbQ+mF~k!B8x#bDE&y8s z`a{_54P$xTPKlY-PH#Yy2wkee6?p>Qf*5gf`La3nW(Nw8!}K`kGV5e9EUS%!}+qy&?buTVyUq;81h z&YZL^n8j!``Ek}dZ_P!S1Y^PolRx|6^r{#Xh1nGZWgGdPp?%@TY%Rp$0ue)@BZIB3 zG->_!9F6jsGxw`wLB@zqHuxO0gz~f&P*EI54Y2{F5*O>l+4GyaoS4=FbiOj4pxg`T zPhZG<4Zjh0N##Vf8O8@JgLyNDTzRN=UAF66$}|B8nb0M+!B)$UP&GQKNup18T<2c-@qcfZEi)x2{HYisy31bGnHa+T|z z#{H-u`4Ye^b_R%jduw!kdiMhOwomCdw#wL&{hH0yfZiIXZ?CUUH;i77cAtoOSHrUy zjx_DA7KrV1nX(*PiZV$ZX#V?>{dR}qwwI_TucH$M#0EoxgumoGmLON--pOO>bX$#^ z))d60i&R8Tvk7r{3KQ7EIz)d2CHI?bFE5*NdYb?U2sJ(ZKvw`9#N>^4r?4M8qutxJ zd&DOeXHvN^U{^S6+=zO9_UP^HokVauXp}!1DrNu?dtE~O3J7R-`>#Uvzf|ep!9*VL zuIuhpyl=NkxSwEOA9tbwy)6mwd%Lq`7Tb5vtL;AR^#$F?zYA#<3B0!l(xa)&a6o|X z?b8wC9|FK!603ccj_6xV2AAI@STa@lh}<7d9Q|~200mliyODYIiIn{XDSvVuMVdU) z&P@ow;Q&Y%`tV&qiz{!P4^mu~(zdC(deZb&hHVWRM9mU&UG<#~1ll!vwD1iT{#78A zyba`tm;tKRs?PT?0C>fkD$%gxBzQcJ3_3I{*_Z6eoRJrH2aG#tA{9txpWSB-zb{nyTJ>OOezg8=UArAl5d+<4$PuwY8LfF z@S!;eBmKgfS`oha0qX*M{aqLdZwKIO`go`hTlNXh-HD2 zXk;oVrHvmvK#wkD6zZRgcST?x*!h`y)?HI(VW>_3VsnYsT4!r*$m^*ugT?8i^+eqM zDqop^?)dj-+QKZZ_(z>K6v+@CCs#rS+DQ6jje~O)J(ubBt7&5Tv4R(libAFza`__| zoD&lh!6S1nknh(c^jDj&BDf!yUbk6HGY1dA7y$ivbQnxr<6dSc&tbU*vVY99jaCX6 zFfuX@j*s`Jb2!?~$gt%&-;y2TLs)rV@wwfNZ?V5G5JMa+tBPFAjDM!7*wa^L;RtnC zriA>I_@oyBXrLQs4#?3FYU}D4?YD)2gCi7~2~RK(7rv&pv9t3crvFyekH!-@w1U*mj+J)Z2mA zpbp*)&{W8Czns=l)6tz@cN~vmY7Z`;S-Yz7gY)yrffMuS%T0$N?)1*k;T`-=zO>rp z*K1cSky*&ti3(tS2E>~TY0{53bt)k0&NVE*w;&vy%U@D2YhG}LpU!qD(5?sbB?1GQ zJnm@dv@WgX=PinOzEuCTbN@gFfq|12g#4lJ^X-w_P9$4fRy0t~Ww@?~Zi;PmZQZDS z2@Ux@qc3)K)B}+f1P5L>qiBPnN4-3vHA-;!i2&6%13qm^V|uGi0@rfqtAH-E!f%Nz z);3Lu(!uAP)BZ1sM#aGnzxFo1Q$i6^l2U~ZaJ=P5NXm6q7KV7}BAez;Nh0llvZEuA zRk^P%cp-G6e_XE&zN&@=&Zd5C1xp#eR}mn_iU)3@wv^tZAyVa`%4XSl0F>RP(3xiX zY|CT6I%M@~CN8elwjEmGX6z5Wbz?}CE9wu?|E`n*!^OW|DyuJQgX1hQnLMikTY8*v z&{zMOW8mi9j?Jkaqdo{@^VL5F9KZ`dwIaF|is@AsjUbKx2bpuUfMOxkOU&ofCRJeN z4C$JlOD)iz8Z+TfP)CSkkyQYJF-UvT;Z{07#7Lq<&_$=x&7n_!Q}^;e~EYtZL}wGf<(YcP-7Woc(b~4C5N%8 zi00%0bZXL$vS&nW3=S{;I+ZN+bhuL?3mLkWEI3^6%g&)wj{j>_PXeCOw;Jce`#`&# z8bsNA_&hv3+(Hl^8|%MPxDntJ-2nns;~r*z;ZNH+fWWf>swEj2nZcnUfli13lC%f3 zB;Pg|Bu7^L{(O6o-}~DO8PIEogM&l9MEUVVx!zSOG<$GuqT+Eut-$z{(s#RoE zT)Ri={; zxLrtLG-U8%udmBsu}s40Y`EW%17;PtqDIzAy8{&IJck+WX?%Fk`g8fcy zA9ftKD^H4ND&|F7La8cD9nt#k4ut$rCgyWomxv)y3?pJ|SlFo(FJ<{Ui1<};>`o9Q zIWUi@!&V7np7Wx4f5j_ck`Ju0+b)Rv;5R?g=m!KshD~2C4%So5eskzD-i+_+V7=}^ z;k)Ik9Fp`lCJp%dJk+y#iWcQq<%>2#s@Is(R%{a-4yJ&G3-WefK~Te8JBzIQY5|T% zVd5MNmyTD&y`E(6Xb!to4zlwk&4_bvqpOGn2{%$HE@lMFdUpMSX_}s%m*o~&MnV(k zGOq@$lp;_+*q~janYOND*f3Sff6x{Eo29h884@4N90XFR-Yc%WsCj_ znaZ_R1*HE25x0Sg=x>9u@xK#q?eECGJ))T!kGV9r*F~Zqs;-svo?AgU)c{30J3ntQ znJwUUdPS9;{2(M*OF;j+6y`63bCuX?D&{F6DJfW`)BbN)017xvOeJHDoxVKw=UBqA zP^Me2eLUb0FQz%1CLjwsS018Ii_6LaW!tcG>Ta>GR&B%J4h4-C~XTN&g&8ej@ zn?}h!m57a-4-JwBPaZLgAkynVgN8>&t^kAsL}J(mbXXG}p3!f=Gq-ZLfFGBA4U}Mnl zhE7OG_=iQMv>u9J4xn^_%eWX`jv|XYT#!MR=dBkW5((T`-y;|!@Y#@Wu(^Y(yW%&| zVGWJ9oD<$|@sw$>05?pV0+O#{IhE=5xsgNeTR}3VT;}P`g(_FZ>p*`T@+OSle!b$b zt2k!RF`T3D&$RM<+I?e)k^lU%iV7g%%dKQB2Q?zFlrRISuC;6_|6Dpl4Z>bcn$vrn zyAxPsV+KzPdh@&GcZ)0Ritr$iJbi-e${w;NQz}pvaImvFm}mo3O&LCFyL3nye}r9J zm`pKyXj_FFAK9XP*oI9BR7fj|<-xag~N-2ddrA zqTvmzsk}mK^l|2zyfyrW?{V8}{oKz>TXb?Nt~r;eHI3>%eXg1Nx{p>CAJWRIHtFml z_GHPLw)EG0rlkNA77S2w zSp!uML{-__1XY&T_5+b`ImPGmGZkUWQ#pV_zvUZaG3bSNIbE#zFdqfR9?vl14zL!_ zYy{@&HMA}dxhONcJYMPpP3>@((SExBQxANjq%2%<4;1|Jv)!Y9q6ui8(YV5R#&_}M zzmvzC(|+QmTbzx%m&Weyaqbm3%v+z+Vv|#09AVcw(~0{R?=oa9y%R~?vZ^Z6*x1-l zXCW2S)0{IVQpQ`SGfcS`zDH{)Z$1Zr*a_G0)~nUyH>AH`I}l+px@WOd*+yZ*5x@`sVc+eS{r369F-xzlVQ=Os(PIq7sYP4j%=QvHX&nkToyOw!f!75#RyXr z$vr1Mk;{dT7qWP)D@IMemC!bKq*aNfMClO@vN!DhewI?jj2MQP z_Y#OX{W5^I=fYvso((j!MXE=PQVf?p>C=`15=JS!b`D;t0wEK=;UAGvlJX=}@i>QP zx$LRn*9e=T(sj6IB7aEE!VwwH}ZJgg8yMlfSAv- z3>v^db?P`FN@Ri;84$?h8iF?(=?QyOD>Q?$eBbeab@?+sM3w2f53}H}fJ;9D{%7)k zYNqDqW?n6Hklo1l#_arjXvcXgp}8ZW;;+L2B_c>bC6&qJX)v7dHHFPKQ!bzk;N1S{ z4*mAXq@f!3mbZI=AE9 zq1xsu&V4g-jFs6c`OVB>*4{0AE6bB9(YavuqqwZ>BreZH4Jk2bSvyc4s45rILc!tw zipXs$G+BvIK!}sS&rXq^@+V>w(#}LpbT=#VDs8t;=s|~*u*;B#3@Y1h@dcs zg_J{$S9;dkyw?+(ydBE*uu?~{$&dNg8t(^QtEyad$xH%=iqLLh#V>ntHd}*5P|)D? zMA@8bkuyyk+QebmPZ31T#T0g-!-D4j>8K7<(V?MUmjCYLxf8(JYq`f^)9(v$J8#&W z&?eJU%1b1`Ap-3$%o%NVmO!Z9AAQcsSvWx>Ac%;GLB%E5jd90iD5AKkN$=1VBvfux z!AORe!;%S#y(EB)sdIGX-gH{jXTWU{@uwf7kRDj~*jys=|A_;n;b5v&Y6(=6L)ShU z?cR>|XQx{Y?m3WCQITRZ^AOJcO0i^i|&0E4hIA-~#USEiKyx-6A&BbrM%D`}M z)YaXTE0)A0%xdg(#EFRx9g~uf$o+R6xraHwp(As_h+?Q8fDcE>V)?~Jg`_11l{Fks zvH%@12vW0R{^jHD?oL~E`zgNj{dpw#2knMT?}9~9j{=E&v#i*Qi9}8y_&Tx|b~M12 zYZ?B>${|Vjf6CCsYfst^-F@6~^GVnBKrDFL*m@5?kQlN~uo< z)vuME7CfH}!PXDD$gl+u>|iuo8D*qaD-yv<6OH2WgGM!uX7P>fqtCDKGQGVt{?jrq z@MhtFz@0+s6w9{B`^X~0?Alj-ZG>Os`cuUTB3YgYo(_TEn-2t+CxGhhGqXgL7sPC? zGsk~NnPhq6{K9Ht+uwTb;h7f5m22)g@zMNE0OlVWA?kFZ>&kSk!N(>e$w$u zqf9<+i z*)geN8ST7DEHMNRqh+kB$mzr>SvWk?L~ zk)o(sdh*1Efq}{JdB_SEM$^isqQEzcoU>s0hW%gC9V}%Q=>59w=k$4f^OQiCgCGG9 z4oZ<2iTjsib-1i1;fT`r+#hG|SfK1~^a!3(>f+;Lz+cQ5IFssjNlHO01* z*gD^nE3dHr8i(-aKstg))bULnrTlP=ZDIU#X_TfetQS{YW{_sZZ^;5dp!*6YBFvvZ zf8=#_qXG3Hb)qEjNtk2nUY^Eu`$Z>Af=cq`3j_iJLd?h>&^}ktzA(IRV=c9zwu{_VH= z>QlmHD?VIQ#d_4Ee z=Jjv+;vcKw;HfMBEKk4`s*J7Y%0n2@-+xWq)Eeh|CVvI{}wvjkOUq~nb#guCj_P*_Vte_}&Nw6x{6+3s5tO^Vl#}cdK z&k{#77hG-Az-B!76Q6DqS`M@e6wrLq^a!drE}5TtJ$_C{o2#Ct8bIrs1j7?62W`GV z2%og22mBr0&&ALT40(ScE9y}WEw|>gzy7OXC}Y} z4Y+avC+$CfCK(x2ORWnsh%-&of$_@=#7sj3B`Z`dz@94M{$d4KDN!}5hVqz=;M8!Q|L zxRC->g$4OyyW3jH1XbXjbPxGH;!dxLpE%U97+zY}V8^7FxgbB#kIR@5(<)hCA5G4p z>4zd&;;>t0p;;AWP_LPzTGp-*U=oQJ z*iO{Jp_WY$CyQK6M*hb(dVCIIqT$@4kx_n;JBL6^91WSu-!bvRgl{P9a;9*s*oXF} z>Ch9p7&`+~{sSQ8u`BKGiToo%kB_*stYPPE^U27?@3;)stoXp|M7n%MnW2htrCc0? z2;r8FkO-r$B%MgcHw$U&)B}5p*4ipAKmvL<&uu^$lZk}j@VAh;_2JHtiFM8-g^5oS zaFfR*1VzihbHkG0Kk0uh5V4}ugB0`l8uMxyObSTP5ak1ZQ4z+hNN!ERDVhnmrz50= zcki>}6lkbADTLbHpK7dnKdf1Q%%jr0CqYXJaDx?8vg@a`7!61lmd8s1qgdn6kMU)5 zR`3n|$;2w%r7+(l+EXKLk+zIBfs6U|CwxBq{34c(F%-l1)LM*e`Ykpk;rl=iEUzgf z$-G!%kb~>T(56(6mURwG2VX%QGX(06zPWix<1JFQMcSLBLlTV)rodLLlEt=n3d-`03@;+q(I_eJA?fRLV`GBPY@X79@m;loS!Ft^{-d-!=eLS#}jV;XF@>!YO~%-GxILAz_lvPSafg3~Mdv z2sZBU(5#ny_)CO@C{WFR`}SGsxq$--XtSQe>)n$4I7}uhinja%U%yaC@;q~Bo($)2 z{z4(8;>fueyZD`x3lKtnTxvH=I$%on2u}t~25)xuFv(nZ1`L}2D(vO(u3nu)imVxM z+pt7H?a@J;l2EsCR|U$$NWDg$N(3Um{|(fwM+RODtitejSxAC5x2f>pd6rN%q<$ zeiHdZ#c+_+uj2j8U}Rvm`O$wT&=UUXK~c7JPi|z;91Ve5 zrMD-`UP1~qiuz@2FS_eHDQWjWDu|l=kb`1Wyzma0Tnr!Kl@eph%hd^jBrGcGJ`PVK z13Yh`Cy&bWf;Yq;G7<)mI#oRYVZOEF2Y?Tceh(o2jcxqBLu%mF7Ehoe`@w-9i{Q{p zL9sI~u*9w6{417T#FPN6GJ!C^ z9?`Oo-?S8+=tJVSA7mqieFajI7rcjuB*3ON+Vr5qe(I8S@#JsI3F^IzrIr&F4Qo?U z7NE0z(f07*l$0h-pPSsG7L`8QzModft47+peIkJf8gow%ov{c*3_>(-2Nn{)X*PY* zY~2rtl>R0E=jKS?v`m)^WE$8W>T0nVfNal{b>Q@z+PI*A<&NC%_^u+LG4L>^4 ziK*LIU3<;;$vA{+q>oWUj2!c`THFv*9%lCyn6nx|M^Wb;N>_?YcB>w zZ;BW~-BTaxpbH&pTn{dzcXPj%1FH;?X|B3l(dWAO^T=eCvqWyeh%5!AKcT_JM@Gy+ zOSBPxP1^ElM-a{AW*`63CAsDO_r7Ziqc>%4f7k+P7?7Zcgq4vVRa#0zoFoeft&fKh zvui7^WW^*YQIhh!1M?Jkm~Y_564 zRL4PmEbSWWb@7Vmb-w;YYwPZOYKbd6z2i-s5Y z#{W?6?SsftC0wmD>>V|6LS?y0mSN&e+J zqmv{8IVaa%S+Gd#^z}kdcUD_E9QQ^zzsE}ORfTykpCY|*BDk=*M_rQlWp6!YqD+IO}4GcO}1T= zZP#hCZR0!dkMCF4Rr{>H_gZJ~*7MwobXo3Fyoozy_`}=~18I?A$Mk(EMfJ_X^2_!j zDOL$?+ascgD;q$Im!7+5;uDEx3y*PfuCy1}IPGm^XZJt@{$)r(PChg$(hkGpFdZ*h zMUR7woJz((u@3KWvDQ9G2B-{t|925-h`7%d3c>^BIZpHD;!C=X`&U)`CH=S#R4DGK zN?hspI83SRDX|AxS)un4T#xFkA=wUH!dG{B$&!6aTxFFPk~E0ZEDmY%Tjg&RH~#k< zEXd2p9=M&)uMd!^bu8*4-iBUtk z0`Tqo4)NBpdk6Jw>N_k7O3UEqzRw|w#<4Oizfx6#)HIZDueKHW8~=Q^edm zq^p49KT{z>-wT%Ozq)o1nYs%pI#TyWlzI*zNA3h1J6UNXo)cEB$w~e^5zJWV&6cP{ zMAso(SJa$XVW^;YMw-vZt{!TbE(k{!3*$mcFnTo_`!DP8N>5qAy{N_5y@Xqz*a=I& zPuAn23Vl5C7TdQJO)bb5<=)+)6EA^CM6^TPcI z7RTFvYilQv(HfeXe>yvHTUuJmD{3UP)e)QHzIEgEffWc14J>E&G_=#xH*^*doO`+i zM1+Tj2eQxqlZj->;8~XMiIFP)w-;bU*fNt-m zHfwz0z^&ocNYEzXQD{hJKAOE`S_s$x>-N(~h#>NA>6qn3p~5b>*)W8QxPfvg_=8uz=fh;tmM z+gV(Wl@_zamy(cxl$DeFEX5Sk`$EeLc=pTRu9*D{SyS$1c8q{;*Q=5U?FCg9cz2WK z!=9)WL(31{kv6>MZu=3*#2sF_#pMYX*NKmG0#{3HlUSEg+6MkZ{Sk$kinVcpuV=94 zH3Kzo5n04!JMxGJG12>z8spQUKM~3IpTk^#QF)j!^$;|`W2eSb)6)l&FbcT)=_hUm z=COY19z;tfNykqygEkJlDDE#`aa>XSvAtXi?xy1@Cndn9BMBrUX?4{DgsupBEQMbUv>s0KlLNT7M~oe z#j{sWh`J8T0g(z30Fe3U2n3JVh{m09>)01?pApL%zH}1bQw-A%=w*Yc2m1EgG0w&q zW1~Q$5mKXJ$uZmFmiVYyKfIs}f2PW9aO&H;1y?-=tw_G9qKQ8r(L}xo?H8g%ygC~D zw}e%aqmc&=3~X2VSt5dpgH%H7I#b4Tscw+4#omqa&x8)keihPJ(& zLLvi0)L70SeNn%~o&+GZ@RAetC$4ykZP4oCAE>AU2v3Pn*N?pUe&k>O>WE8z6YqLb zVpv35NQX5GdF4hCEJL`#VSx@74P)b`ArN=SVa@~N+;XTZhv&~ZtZJ*mHMB$8H&b#2 zHM>1196rXm5*li7oj9|M%N<5yr)IWmJ}#xmH(jVmp_`}u6vfI)D^t==GW^+iTaBEw z8Ok@GSi-Bf4?hV^)xK;iSAuv5yw;GD)E?vGPMYjEhFI*8pX;DDnxNw~jqDP1dG+%p zlw;ofx`mwB6VLEIXg6}W}Z#>UyDaA#_u2mhT8XqrvST7_aRRdaxj0#oM#*umG6z|}4@ zJIIX(YL6WfR*x5d{3MgCi^!#U!4)FT;5bw8T`aB^}K@KTPBT+kg(@UIi=_`9uhLfv*)c1 zYUD~GQB50*!TJ=46!3bOQF{y*C)V=|=55P`i_qVO#UJnh>QV&2tabtT955Jybk#z7 zPhtr_9{Ypzossr6T=7t|OCIEG!rt@28)+=~Gq0`^JHCRI&*F={mbQ%PD(=o!G4#z7 zJr~jb1&N9V+q_u^Q}cVQ@T}cK)+pMkIX0@Mm)N?4oHHmoGG;pNFnYTqXs+aF-%UWY z`eh5McQvBM(1DfI_6ziZ0KQMtxwfFl6@nODSnk#klx{CV>rfjtv2Q{@vXW zj>uv!8<$u`{Ms2=6J7iV7FhiJ+6l-2_9>!4?vo6TM3wC{h9BJ0t;cMh05u1Q<#I9f zV#yHP6j>csa_{m64P?LT4X|VRS=OAGca5$70*u4`h}U)hhxp`y`YUkM`4-L)fMQs| z#_}|kzq~cV3M9{%>fo79-j#PO*TIckeECkfB_Ddns^j5g`zAGL%p9?V)x`Q~4XkaL zjLg_#+b-X_lfBPEj?f~+_9TyH_RnywZpSTI9hT^IDiX3_BV25;Eh$;*! zFh7+=H}GI#+g_EDXh>xRL^T*~`qVV_G!1``4e#mfubZl;JGuydGIMGe7Bo za=h~t7fIUlH?n6m_@L`kUE>semNVj(tsjQ=?$Zt50+f(TOK7((8uKO#k z0jeox`dfEe_UU`9(!;?loieRWCT-BfBAxO_SECu`Vg@E_RcDm`oKi|j+OdvS-pEY+ zPXhVXT1Ve}1_jzu!GN3}-7TT|hD|vw2L@)yIp*|rVGZhGu{b>2`-T{?mo=srS#-?_ z-iPz|u>|(T=gsY_T3Eu{c9jJdq0jlJ-6Q%@17q*hvWXWOTbcTib-Tbw(Nn zTvd<(?hhzzs85`@GuQFk*;WD=6Oq<*#xuk2I|6h@)_6Gd%femb&N+OZWC>4C!M{V| zesSclTnJ&kJX$8jyM1(`bt-1$b3Bzf68@ET?MXd141lshda5JCFwxHDD_v^dJEcoS zZ(^f`LM=hHw z96fJl;ut>-QA!Gs-~0sqr7M0~B5X^a5{4ciNjLp!Mrn93v_l+y?hYQ+XJMSsM{P^> zhdNnFcwXl+htFYHn35`m&X{t0tJF8{Tiz6I{zJP54k>;u8q`b_=*h zKZa)2vqeMQKX9`0mLoh)7N*AQV-_qx6YDMCS`6swIgr>qbHfs2z@efoxaT%*DPF>) zKbJ;MZ%+07Fhaso-x~jy=IJYW{|I8!06v>B=46RxJXU(UCXL1MBl|Z@cMh^0(M*|8 zcJpbKkG<75@yWUKpc94iHlRptIDv|>OAH@Y6yp~pOV^cfl*_5G`w zHxK@onr8d^Cwh+;Fg?tsWg~*>Z(}2xwsvZPqm`Agy%Kw`dbG1@F=X7d4?i=v;EubE z9mWhHt_0r0zZY2$`)wQo0>lQb%~Rf4+dwA9rM)MAYw1LgTS~~B-6#~eFlOAidYu}B zh17`&+2WEh<*K%wE?lZBx#&JyOWd1&5aCsPFVq>nWT(3noY#`U6uHmX}D3#$7)*t@*U%5*U+3LNTuMq!0^)Ea{~%&5A$8~3h1cRR zYrEG+MDzI(g5VpQ=(WkzU#bZZUZez?NPr&|FY1X@jQfvrGgxHqCEqAy5snWKUvxV3 zwe?)iae!+C5ik}mUUO^8L)4yxt!WqZg*IEQJ=!23{OJfiwe}y)oMKRl7~R{<>}aRc ziRPMJG1U(kdEC5Dj14)Q9*c*QFcg8`n+lxS{LG{jf$-4SU=||7B{EdmyTp50c`4=q zl~90!fQH;{kOsfd=_53f?;(cDj&bv9@C5s!Ro>~y3p#ET3H|Ga>ZC*PB4t15YmHfZ zUWFjrN2Q799B>Vx#>Kvu)ZFKa1Bv#rKrMpY0Hb^7_EOmvVq2SBV0J-o*Oz%f9XVz5 z0E^6@p@9-kWD`W=Z0vQYMka_m_Pklwe!#6#BVywP;{fL%Q4Bkm)k(BS967Xlh)I8i z8Z)i=!>gz6yJJWNM+Z?uTuMp|gMNgG0~3S&1Qib}p@hdxxV)xDLI?Ly28ev3q5EBm zyE-BCR+d@>d)M@_3H-807UmWY65grVpslSOR#(O~Bi)JMhir{kfS6l<;?7lMXJ_}) zR&mvZ{mDjws$`|Z!UG9Hy{)0D8uIYa`m24k*T%jt;}!QIRi?g&7GWF7Q?$_PeI|Z? zBWBtH=*j!Ydgem_H-e1;7nUFfbXGXzSOQXrMGNO_%OOQXU=UOKt>(bbbW*#jcq%J( zdKjERU8t9AT2_@b)zx=H%b_nA!RV*QiIJD=Tnm7_h0((Dii%ra4BuL=0br2sjx>v# zBs^t!Ip~n5Z!Y14VNhV_TFnW#9`Eu~E|49(wbDb_`w3K>;;SiN$tE(%)4MOb|p7AK5vEBOq7An{X@i5-1_$joaq9N&4p=$W1|5sDbDs6;ApO z)l07ev>g*8R?C;Iws~lO-K)35V>t@tH+9Hj_23XSpQyq#sA2b{PJt|DynGoN$B5Qc zEDV1}45$$M1PZ?5SdElvw($xsig3byKBX{ldFadFJbbwwU}5<)W{^H=6M(h2WUg>v ziOUbS-@-PV3i9c{gSn;9_aMO#Fd*`(wh(e!%Y<#X{*-edOs2f%y?eF}XyFX7Ro-xen2?j-3lb}RM zCGVqAh`qD}X^UrtyzS63o|~(jn%`07DHg{vxzzsLy*(4cd}-9pNt3)|g(`z&2<#V=(g_#RIXJQF`?;3PkW zDG^l+HfJ{iM-uv`1s;MO4NnY%B&o%z++`C=nnS<~4kkxu^B~&&yE;@6JyX-STDZ-4iJkDe42PkX+5-96v(`)akvkA#n8kKr3&Pc>>@|bj}q>&M$g;tKfKsy*9g%!w4a4yPvTH2A0=9Z6|6RV48^HlNk>q#(G z0zR9e&GZ+lAPfu)^*m-;g6vaxdNbh_Uc7Xn)l|_uyknU$8fn(|BXM<5~qHKKvlt; zicVwGqKnW^OKO>|M)v8TJM|VIIc=aGu^NkD7SNkZU;gu>%5$d>CVz*v$p%j$tr<&S zLrI$>+DoiO)$6DcuIR+TtU_;?3LIU){(UZ%n95NO=rCY%BI$RBmFyx|JVZ#cKtB+O zAfqlnUps7|Ea=$9)v0DQgF(p5+X<8*id#FldL7WzXCoa@hNUuXXBvl%mqd>Ufcgh9#b^e7$wmHpSpEJSX{JY8)@hdS|KAP?@J;?VUv)BFhYz)+I?h z7Z1!HCYj2++xGHh0UUEVW8wP1oRnFg{VmcOJ#3`nMJLA85m;~MO0ZG{oJj0$AqEzL zI+PjWh2HI=L2m_U@VX4U7Ph49G&T-N7&SL|<4NtgG9G>3=`V_4Jr8Mp%Be{+D{5Eb zp6fNm{N;-C0^3@t|6&zDro!i>rwH&)7ZKebPB{MowiL_7&YW-yVH)H4Wpen21F?VTgtX?7JR6Wn<>UeqWWY|b0ZZX zD?qBVSu^8Zkq)F)t%3cF&n}Z10xC?~Itxmy;2q)bhF1fjQwEhcX@{%Xu}Diu5}!`; z{LC#8jageEkw<(5$fYr!#Fc#qtjB?_+#c=hzEfyD*ADA8b4B8D^ISBkOXEi4GgW$nc9sA4%B?En@)Wx0!m*bU0B zH8u#?0eYC=U=)m{M_Wr5Fx|>P!S95g=lJBR_SOi`=Yt$}5pQx5@?eNaVg&3Mda__p zQ)WtR<~5*1GbN9x-zWrj1RFILGzmhQ5{8UC)MZ5)ot%)uiegq4cl_lkBT|LmOegj% z{a9GQ5&MCf1{nj{S5Fv4K#b+yRHJ;3;5Rw@-;}UDh!mkd)^5)!nL)h5Kesn5S@mkE z6n;>)7gL;EN3)~uY;4S3RxD69oCO8+&R+d3Eq(f~E)BD4aWP2U@j1!&O8i{TUEhO! z#=iiK@uPoS7nCXlcuNHRyTQ5t7D2Qsq1NVcLh|6?lvgWOi$1@B9P4{CUYd3Ls4>zm zRDlv3`!ObA4QiXKQ%^4JeT0pQ{ZSBGe;8`m`swCk6DY(JKYER2VJa(0qSk_MZGB7C zR@*Sf*I>mtQ!zC(E|nV_TdjwosX=fl4}F-jGdm^$^uLhuN)I2nDI*6GAtowI7n zAD!ni+wz|OTRPg-tSX!AnxB=#TffWvo~_jtw^|31mKtFDjn* z)D28{Ro_#8;G7~%dzgW-=>DnLAc1FW>Uf#A=F(^e>OJ8vse*v?)uduUs;q`NsEyj#ke-;@zp`qs}RkvoKXz~|B&DTINx9DDi}O=mP(YJ4e?ef zNmez2%K9p6iZaG4eq|Atu|W4w(fm4Hsmh|z%cWNyUaMtdDS7f5xg;62NPp){z|}}6 z#52c=hhF;n44p4ev~eHS>!$c>Nn#~6Ikk8O0r3JfHz`f6pVY(c@gMR0Sd`B@rw^FK z+jpF{`&p*HvRK!qe1=y*)QxYJFT6FsDe>z(p~~uS9GQnGC);JO70V^>wbq7vzjO0@ z(eQ3(xR7sxhrSd3rJe`7pI?8}Llac1>pyDceLt@Gs~F718CT4?|9<-ZZO?mqdy`J0 z`1Pg7M!Aa%#uKonD3yElfQR3tIZJL);!w;+~vne$~e(5ykE_ z{P9F~hpk%j<-sW$u$>+J`B!+ATnmd*Ontre`brl6@U|w6 z)$kM=>HCP(ZoLtbPNTXn98s!N*yP1rV{;*R|8G7wCx<{dBa< z<@6CiT9X{i4l(jR1&s`%-L0 zs)x9-fcyt#E~MgJuN8Z!atWLkaiOgsqZk0#GRMI5n7gJD8mqfN@>aPS2ZI z*=I^LoF~*1zw$0PbXxK;#Y6;%!?d$-`#wdb_dlAxd45=O9E$yuQRHz6SWEB1I5v0@ zs3rj2!uHj^^m|1v*LwPd0cEV}1?Pb@XGUZn(CESEqyK>FD2L&u=yy0WI#*0pn;Ete z?xzasXt$%Sf~8DeB)qHJeAa)=N_Ns9h6YxT#WZwI_S$ZT zi@q3;Bdl9k=1*3cU>M#TZU>0TeR<_Lvuf7Aj7Pw>t;X3R54)nlVNHZ%k*zM4ug zqWmROjs%==@`(a_=J;vq4&}}~mt99CNAmdf_tSYClx4ZmY`f`R=ah2r53fPT=+`D; z4Z~B$#_;f3O0+*L2T;s9&Tx46Sw47V9O5fGs7Mc7i%j22)|USzfQ^UkFI+n3!Und< z(;d@?E6$8cqC5Sn4NO4xBv&ZpJI`d>Wk)QixWIQii`ezlb+WFYGJerf^oNa}urwhy zrCfRJayH;8?ETAB0d~}#!Wg>F92l}rHE_jj+{Yd4TZx|13RgBIY~dm31CMEHKZ&A9 zle$xnMCC>avU9S?7&d=8MCi@AAcnN`fVfvO9OZhdnAHGMSy@nJg0sTmZtT%XL=jA5 z9p0long{8JvUbKe#9o7J*zES-2u5e!6fo`fR{8!kPtQoia!z}xc2c5;-Lcj9sk6LD zytMsLA;Bqd;=krM)8?{30@p`#5xWYpy6nvpnY!T^%W#BfpD7S%CK+;qgBPq%W`D;# zRT1`urG>T@1{J?*9_4@dWh(f%~PW62j=i=d`^AIh)=7$nFoTt>^rA zFTqzN#W~XLGQ%~Vp zifKlD{9xo_$nET}6;I?rgddB{>reTV4Q~ies8u%Cw$?NLyXxrmQ89$KIhIFKyVDa19B(B=ThRvQn)Fxd zK@(2SbyjCL4-_H}H}dIe7SnzeFFPN0O})U4E5S@kJq zW4;wY!8KD)L)7Nvbu*^5BXZy(vg0B;WY)-7@Ax^)^)b2XyWX*LmzfOoGX4EvwZMsh zv17Idjf1krMZDsrZE5xL%>?L&VN(47W@9iiG^*Yu(R#tyq2(&BwHk zMz8{op%-%2J=Q7v(=hzw4_RCESuU=d7l%@zL@89evq!U^tHUP;NWq^!;FvLxAU9_P zm>Ufqe@!|$X|HBV}dawcmk8ezn z2-b?~?frT?FQ3i323_cbOPZ`w*|)q9Fo~0=IE3hHgw>I+PU>ot1h|r~;CWA{L>U$^ zNf)`i3Gv>cZ1o~D^}di+R#mZZb7MPCI|972FR#hE*^;8!=64`|Z{iy}i%6UuB>%ue z@OpW$E_Vmv(k!E-Y#JXbdhXsWD)t6>C={#1TH!hCfG(pu?ErV}z({Zq5J(|XE zBhdjoi|gb2jbI`q6-GW8a`yY_n#i4LQ_gimK0dF{Go5mxKN`diOnWL!UyakPfKD+S z9gMfC`uHS|XSIa!pW<0&_6Tu@y8(fo)K1m3?mC!|2K<#V1*{NXwe?g6c8g2DT8?+y8rC+SoGWQ=^p+2=a?M)F{0hah-djA9|qI@Z=mpHn(?a2bQDv+E!!NtvC$-8Twj4d z;}ncPPZiZhr|S6W9jH|j5^DK{lRQDbvJdAC+Ve}t#(GopsUdUAmv<+ghKw3%NN^-C zHi;OJnLW~)Yl!Mg<=>q@ax2ZpbYUx*iJvur>%BHC^>Bo;nsSEg4KP;x5#VGOM?V?c zdMq6)S4!mO)Hri%YC-&!G}=aKF1RWC0Cays2cczPho&`oT*x9EY-*-?K8xW;TFl z7F3p`x|x1+(-zLyxn}V^99f4L;$B~9AKM*WT#|n z&=4K9t>22+LqD2S)pGjS4CgAdUNzmSZ`8iGk*O8mZhLnc1J0}584tj-IbL0VZcAf# zoMawsK!wQeNagn|;sTH?w;uHsADW%R1OJd8PF{4_euk}x7A1gQX~^yLASKml%^vU| z)XrFB75~%YzO>pNChE_{;ozGQ8M%VMHvbg9v3BY2bxsTCle@It9Cz8wy7+hpZg+eR zKJT6tt~18Dp>X*wWV`?fau;VO$@0H)DEf`Z7+qQAJ^Fsoj4&_#li`uep(JrzK``p1 z)6@188CggI4`JT@bmKXB)!2y7&yET;rDvs%JA@HH z8n5Zzp46o1sY}eRj01|N&V5!VnIfqZsfOxaiB4N8GtP5FvGu-U=A;vU#GmznsC4U1 z!PKLcl9Y1Qo&#OqkJ*E0HQ?A{(gpjy!u`5E z*AN92uzX6wYioJYsqB)e~(((0EK;FU5Q)+ct|D9qyS-7TaHF1z# zI9$-8=4%HeNx?{TC&|295gj;t3rYZoyAJxL`d0-~(zl5*8rUnlSOp`;tw&H9(Zox9 zE7Go@^{GjZdv5PuzD`Df6;~Eic172dT=Eb~%f%PafnW>YNagwvx}9O<_`$5bHy!qZ z2(hdeN?>b-e8+s-D2^S%d&|!@@lw8}_O|7?6PSKc;d#UV*@e{Via%c5_ASMS@k7^e z2&hVn0O|-Miy{k(`l(U7niWZ_85a_R0pSzOaNnRqtFgqe$?BKoTRep#MNJV~L#FG`VPS=0-;S8#j7i z4J=Jro9+u}S?y9|$~pt{XN^s)ft*t8J2C+>c+tL)=57@`?EOmMRvtPbo*_=ISQO!{ zKw&me@=ut^#DFOQekuEol$P9qGz=&*tLq)=U00z+hS4RAQr56G=RT^TxHG3 zdQw!O5tISJ4%=UPhLj)sEfO9Es6z$F{XqDPXXvia5drLaj%c2_zKCf<2hZjBBp8qZWnfM(zGl~EsiViT&5nIJH-WV7@E*&W@U05k1(i17di#1qz!ZD~ zD_ABjYexGFVz1sT8^l?r81u+-5`j$QvJ_<3VKB>wCTT(y2zVtp61X8>z##t9h!*r9 z`cYzmv2CaopXLjFJ0c@2sm#(?dZQRu_ZKf{*Ix_CPD;lENp_YO`9~RpSdD5(u7 zfB+~D(}_DVTNy|+{XiH$|MsNmxxW4{uu|Z3Dab_t7niIJ0zlvAl<1l2kT*Tlyykat zq+~~$nP$ho((g{-HZ+S%3(+$+HW9Yt3EolivI$}abW;1w+Bcmvsj6gs!Y>ucPDkoV zjI#6zB$7Y;7v&{$27JXey1WI~ptq z!q2)gI(@aoY71>fd5dLsqiDeT^^bdGJP%9#Zhj|?<_>Oq`L=e0uk+>pILXQ#JU`ZD zpu_u>|2v*+9Z6#2+W)z0yF_CeqMKFe9HMlCx8DELr@S({>)IE@aGe!ZiTin&>A18t z&TFjym|afJn~!tZDxN9!qu}u^@O%kVO`T$!cFWov>?rtG9)`*|H4m=YyTuV0NBqla zMOXoJ>%7AOmge5hmBI-7@f88ZqCNVq^S%-E;=a0 z1JYBTMOghuc_Rje-ab1rsQ?*XX(8oBdX+K4Ezc(8I$5T2Ds+Z749_bCbC$dk1|-m3 zfqzHR7lf33gW}ia)F{tkUm#zo?c@cDn7>uCwX*YNYm(vtrhLCr0KA6`8wsjZ{H7Xr zWYLGH*CmwMp=7)V%R$JhLma8rJ61#WrTkFXt0%7NaUhxT7Ob-^)J7iHL%EE0VAeAuayMvY7eNQv)WEoNR7HUq804IOnEl+n=vO%Np_d!f>4MH^Bd-hEG26 zBX+cb&+Ia)L)_4XshA;w3!Ln{1G8yN9RfW3 z3(Un94X^N@AaaN#=g_UF(Nbu3+%-ww2F<)MK_SPC{B+|XKF=2F%@uuBmuk6?6h=cWq^j8jx=H>Epk!PEc3j)dUViG*b`Z&#ccJCi zgOjHWk&7$*j%QX<8oDXHBq@}T8o(H_`cBPyamh9v1t zO;}AJtO_Ma_sUg&wYB$L@T{jQPXxf;eD*JKF5f*g4SQ;JybMl4Tv~Qj(AJ1q(af*B zE7h0j9@ZdB0UXXCir+1`if)g>Xit9gsoG;qwL_X(v8rZ@MeceePR+C-(qW{-D|@*e zH+(10pbXZBV`|=_9RY&<_9=;_W4rylII1J!PQ6nG;VNN(>kAs;5<`f{g0ZQg40D|5 z0oGybQ=IbOzNGLn*6oO&tWJ}5KSc7U4Dn3|D{bWatX)?;mAEmroQK_r0gU2H95~t? zVPg{vLmu!(FwR7l)@s$%@FMDFz$eoqs0bjc6ey5IMGF5R>Hm%|%p7|uO6{VS^fO9 zDpIO?bFuXE`!R+4=Sk>(tgDj8NXHnxSp-#= z4R8Ew-SOP}LP~jN8-#>VJ7V1f_$!3G_LHBU9^{E_WBtvp-XnxaIi}Gw zV~3atqRl|MGVn{hnE%EkhM)|+`|sR4m)4^vLW1Htii{rS3?e}}@tf>N74$22Ysve~ z?-%2{Gm6As{w+bYEy!Q z&=-A)AjLAgzGg-oTerg@*}Pr!stb!b>4J>kD?NeZsvMKH{iVx9SGXWrCjJe7-?>kj$M`yLV@ zPzuJt7T8RgOr{+aWfE%+$D$02cYtB2hP=Vt*KS8{daN8R1FdE;{&d)edn7 zfCj$nytWV2-LQySQ`Wm#n=DL0krf1+T4$t{9sPH{x6F@>l0$|(GB)p#0Y0(5VY#1u zpwKB?3CZEWZ8m}*B6YqueYlRNL|Q{kpQWj;jQYAf1isZ)_|(NOh)oS{1#pz$`SpRk z^Ieg--NTxCR!eB$zKUh88&Tr0iDg4K0*B2a)O{P2@_3oYJL7l_Y$sw-4f_qZfEGDIQ ziBfJ7`veJ`&(i^R#W6dddX&xONi^)!)IB`>xe2gs0AUYP_z-?b+>*Y|n=JE$=ZpG% zo|9fwS4FY~b6e3lA7~E*U$|eLV$2U*;%PXVGfgmkd!jpcw6KHjx<*w1a4_TMGER%G zZNL;#y}sFAP7z(PrB{&vZ{aw)E8AT#9woyp9_ zkWKY>vJ|W}o&e?S%$U$FoF3K*@StpNqu2$iE=3dzdt{rh?;j>VKK(KfG&tEzpjpH+ zYIhA#%Ss}yA0FUguDpLyP}%Ot-*yWz0HRIZHAH_H6qydgOuZ=*ZLLKejCp*{x&9lp zG-wPaV}4$l|LbMHe8$}JbHz1dC)ovbWfv)ugou5E{bcmQ*4}=&(R`BB-`{n#rrnKp zwj;pnhVbKLgwJ^k5^Ha^t~$Eh(j^P%b-1%fyYi2x4s5O454ktsG_Cz^R4B&DfYWX* zaFVeL;lIQkV30us5UMfM6O{bb^A^r`GiLg;sDS(_^!vXc*{&`;U;FB}cBN%8G;n6* zmIAkpk4)F_pHPDMM<>yZ^jn8l_G_VcmD<#FQ^9~zsj=&5+UE?xonjM0IxG#av^zg?Vs*qrY9PKPSdfhj<3 zbAdNTme60Gk#HdR|yRcvQfp4W4^F!g@r z`91P$PUb;{Nm(IB@3O&3P9RC2xU-6-_iZO>&DLDKQ8!sOMUsFmfBizKZ3(IGp} zV9h^~6PKr~+mk-yqunK!9u~-V7*9rFTm;;3$e2X>t4z{bIwCfj;G!nc!x>ZXYGcZ% zzak4}goX1V&l&neA@OPNI`TVyw!h`m$FFkqoBY?W>X6VN{1hIY@NSef&ZfM;kRdon ziD<~5603PIf=3Vb{}nml$M65ojBj+l`BUKR0YzYSy13j2`njQ!mVDAAGotK8*hx_UWV1h0S%plJH}pQEW#+p7v^rZSO@DUoC6%nQ=b zBtEU#<;%lnM&GD@^id?dFDdZS=~Qp+?z6b8Jp2z%bn}1^@oWY87ZvriVx_?1Kqk9m zZacDnW@miz&-m$MxTKvGjExBtbyNd0&g|EE3UQJ|Jxh}Z-I%LdT~%;0B0@4E0c$hP zu}>>0V%uUumCDk`mci=>g6Z83$rLP=34FGKWpQGlK4(eCC#4CvgJ+S^q@$Pn{pqHlAPER4x`*_ftVv2IlQ#g5_{t^F98=)LexqVLBhQE+l;8Y3}^9 z`{{o)U1d}pO|xFy-CY9&cX#K-2@u>pxVyVUfZ*C;ZO+@e+Bz z)Pf?#!QJeH)3!Lhqdrcl@Ry^r&rm{XSdE3cEVjN*|vQ+aql>`O-tul# zvqVwDICyGkKVW&T*mAEnTYs5kCrvs`k{00-!0E6^h``pS1WI(*RBbZPf1iTTS-~lm z?vyMLb>47|5Owv5`$6jI{^_k_TBea&QbE0eyh<`lTI%_mM?=XV)Y-NBwhb9 z^DM5Jd$%x&CZoq{k<;t}h1@A94a~MK@D9J^$cl&@e$vp8gO^UY&6$WVy{GjjdGDo= zz5U)zy2?pEcaitV5od3JXTV5Xbe|?a(ECDNDDo4|x>dW7!K1xmzvD&@8OCa>#}7za zC?K+}T9JZs=*E8!$opF2bK-mJ-z!?T?n1VrYx*reK|TPBP5z?*R>Z9hr{g|G*rw~F zj5=!8ulnOwh~55~@$~~rGb7g?Wd?~v%*La1Y1BRFa39Lx_@VMvB_zR&&5KMPaTd=z zY4aFmb}-`Y5B%`?-fsgRrrnQy^x01W>#j5O^D!h*FP_9=8CDvGkv%gpt|vi{e!kSm z5QUqY1|7$hRRA&x`RNF#k1VS-!r-J6^O~HOEhb`rOkmGR(#6W2%3oE{q zC4W&l?1vR;mn!^L+aBK0**SjkFb@>zFYoOYc`Z`XIn$$@^#ka)<>~Jp9_Der>WN88 z0%#ucZh!I7j}^j&~UakPWqAGU3{ zYa|+8?5m*~nxg*kSqi*ZHjOVU15S~BWmH|muc-)HE;_edz0Zqvg~Dr=dv=#FFnZjn z#U@2!+Y&+!CvR@#4Y#Ler}ZE>=?(O=L9PG%+CECFSXYUBbiIiEge^96X_xloy90G^ zya7v|{Ey2BQxWFq+6kk@A*01BbrZ@Fc{-f#ZWRX6)Ia=rqxN`M0^#?lcmjmwxDX_htS*bWr&fQ(ascFh*Y0*GdwC z>@4tLoTK6H!c4%3b3qr7>GJHTM{UFo9bAs#01ILPMAvDvf`34pr0T5TEr=R$SLkW`O zb@zO*qNj&!e;p$Kwo8TysiEQsF4Bxml&uVlkUZ_kuQ}Fa@%+8#QJf~) zJ}F)Kx%08QTEW$}?IOyGgOp4I9y0-UHB(@30J7@ZPHH;`^6;$8s%1}xAbkFKprWAc zyuVzaeN^kVqJqdyYG$Fn7Q0dE78wEJ0waSL!f0!L&>%C)#B?YVxsb1=%&LhE-d!jj{%dkC0#_Xe89l z&6C%7>&$ePxb>4NI_%@}$pqWGegvH7yXQ7gEI?VOwjZI3zi%{)g3F0N*ojvvp15NA z?*j5SEDq2B?|Wt59tRW_qvb(qt#uOoR%S}Z4^*=QMP6)skKNcJA6s8kLD~ec;uqBi z#Lt5GoP_%d>#4yhpZl^AVpu8gQxs5fL5vgz)lJQe%gGAi9>m{n6>jOL#`^{a^s;&K z!2Jigj{fWH-NN<>$;hGt7sRg~Tp(&Z4MVOWw8NC^4Bl^u_4R>VZkD82A|;n?TFcG0 zHufqIT!um`S_6ph9GM`LDsVAxI97AvQ)U|Y+t6zQpVvDQ&iL0l*iRx z;fy8;8&1*9Ni3%u^;e4bAn?BY=28W?(g&5TS*Ok)8j~Z9&fDd_ zxvIM*We+I#MknXq$Y5a@4(Gsi0@}S;sH$ylodOhD{HH_3$@V8wP+(^_M*OM}I*B`S zR}-c{+6GD-EM2BQ^0d$^0{aFmIW=Xy3#}e)44uKGWp&6!SLk*mYVcwxi;a(QU3$Xt zt82>Vc}KOuvUdSowAr3}zXir_3>{E=Q`&a;n}gU% zqv+mMf726#S)L2qb>)Es4$PsjFG=n+t`!G+!?$M-3_7~e*j;f2Gzc7BkwE?cntf(r z7W)?zxaFq_d8lR=@WA&)kfY6{>9`wLapxm0^sa~ojMCKFT?mIAR ziDMSX7jbt^)_;k!zd}ro@8KUG-I#VU#TAT9>s4sCgJ_(P%V+?1V^wzQO`EuXfsXUX zTX|hwgl1Kotn${%N|Xv+C=3#f$pV?@HiY2Si_>f)%goXe?)zFW4AOuSG|1|wj+O*H zH$ETZ>r5@Kt#&IZP<7DrvLBAh7N9B^0Eplyg?#`*E>(T~I9>a8n4dpS8K(uVg4-Ur z4i98=23$o29&7~-P(V(*2DHX{itQ%9II|59i4BKPT?%h+ne6-0hmEhF$z@ndgBJ&} zRB^s4!bN{U-(CA=%B<$b4Cbl0LDl~K?yg-5Dx$Cz3aie4nYxGZDD zE2WrXXX;6mCX;|x${75!nvi)x_`1Qs1E03D$$}xdVQC*50N4t6CJLrdsyBZ}-}hsv zA3%ykefbJVPxCgCv>o@*S7yQWkz(1rN$LhqdOr!f&Xwt0ozuofJ1Vi~rMa?mfxor2Fw|y?x3{*&0%|9&w)83F|$8nFK5q zo?yf(R12#Wf*uR$KQ0^ufMej29~p2TT#k^l3T4qYaQxb3@X3tOA&2fh6zC#&?n|dH z88|NB86N*Z5kmp^Kek!#2M^fy67;L%OmG5S7}9TXkf=7`0CZ#{Ge6mx4VyQ|FJrtQ zFR#9Y1G9X`9TT(EuauV0w%E*Ov^0lqeOUDr=|w>bnD69FBMY|f;ViY#`@}+MK$UWd ztcFYwv9aw-rXMonL-XJ4t zecjg8Gsw%4{P$AKWb$Fgr0^@>vnna}Pl`fC=G zpkA7JiDwIQqi~8LKh176b16(CjlJ$V%e$Sjn~b6DiJC4?(+YTvE>N%+@Z=U&_S}FX z;ekF>5B@w&(hq4uz5&)e)fuswz(;4u%5y3DKW2@8RZ-cfMrwNw&DH^W-Fhv?$CoXD z)Tr%Y)5mGmx-2Y!2CEZ73B;4Zn^j}3b{^E*i=dtM@5t~v-}{t4My}iRU{1-F{TnV6gJcc5Hw@ZAu^8OR+Ca*ANLQB(^?POjPOF`M4Ca`dfZt2K0 zcn&+G%rlB~8lySJM4<${tfC7aSOYD#)$W*X4# z5SH>#SIhk1QA^%Md`&z)8qe>WjIF^Mtf6vG$IhoNyNED^2()Hj4#<5CE`^yV7qk)u z#y7`woFjjoQN76V13LqUKN;E7;k^0|Gcq2;7Q0Ju9YbcyfH{B-Ni7d4^!u}F(RVqa z_@U80YD5UYPL|&A_pZ)4T&zX6!^gnFj0AA>a>z;4;fL=u8PZVMr0c2a(_#Q1qq0&h zxa6#7qIrg|DYb|y{ERYc#ln&CTr!Is8Il+cw*5lH;e~ghA=iq9l$CM255+vhOOC^0qzntArl+24q zf72Hc!;FE^(2&Ip{&&rb%&J*gV3F_lPLVI38_LWjqiB3bb#IaaOi9r`5*NMyrRih& zrjGl)-ke#m!#ri*%ii^e{5Axb5c`c1spSawdg)w^mZj=iybq&LX`+>+56H+U9WEp{ z9RM#$nj~fzNdLYhNk=bx)!&&cqMF9W|IiX+i(_*%&rRTP{vEWjG6t}HqkD@M(!`EEg>v@=~~q#)_O*Xeru_gw#H>*P@K zw?Z)rzrgV+z+`LxhFAApVT5MW56 zQN{je(RlRSkN^&g$*(h3oIBS*4SK;a@mUmrE$OKEJK$(21jwjnCD4Q<{Y7pXZoT~; z4_3d`m7oxDOJ1_mfb&|HINH4yx1kGoEIO;`Vcra`y@Z+@b&Dn3l}7EA=gyPSsWmjl z_U~qMe2{NX;|H5JS;dN#!evIrK6rl1fb;g=T&X(*s{>!k>}k*gupsvX6UL{;m&Tk< zo6&2QFoc$`r_>AUs*Tr}mtR;^esPBLwnBnVyKK0F_l+mtuHLjf4{o+@tKRd1D(T79 ziX;o((LN#qaHtcI2*N(oNIV6AoM+JNq%E}8c0U|E?>)D#KizEKDP3|1KOa(ceRUPa zhVMhm$YvoHJg;=&?06q7RISwjdGLBp!pOMI z@f;nE$f(0nG0XN(pfS2g6_a-!%oW z3+a+)t*9vhf_q#H&_53Qo~f^IUuJ&)ejuyzA^z?`=gPkku$+moeCB@r)Dy(;%o0it zZ^oYSK5r3v)w|Q45j(DmQ(@%GW6Ykd$TpB4DSO*CR(F!{#IvPB7L_};L6|iq?cbZ1 z9oO)AuaYsTv+2|RmbfMsjADbKso;iPab$xb76}TRi9hpG*B_w3U%SLro@hY8sr#$4 zv7_Uqh@CjTW>qR=5VdZ^2Mu(HSfdu>R)=q8yP(=|pJ?vLY{MB(6!QGnXg3ol~_H(fG(nHRcn8A5@mi8BKTT96y~ETagKtC=FA z#tk014qQA$oL~kUc#^=jRd=LQ3djtc7+sMAdP4N1I}KD4VrKyyM1tSsv_WE}IQFpP zf2Zj!nQ#TNl#?Br@M0{2-xmn>#Be1XwOujXOCi@}d0^q-jL358IS4`n;ec_OZ_v%; z0{P_r4D(J(FsZta1RIDr(VThcV%+i@5uL$eG?;lK9&S7e1I>Yi5#|XMt;Jc98K}W$ zu7fw?Qqrw5igR6OuZjASr*Q5=J)?d^6cLbs z8QYYDYWnD4m#|6Dq%dY4)?iFKX8{f_B`4c2SXkYtya=tNcf@}gaHo*~lo+si_&g^_ zD$R%>KE4Z|kTCjrl5-ha4t~InBz#mDk?oJX&JUp82+-2aY*In!t;IQx9~6b zQpf8uf^PyKAY7=*C$&q#A&_@AITp;#L`6S3z&p)f4#lYJs9hI&BY*@D%VRu*)Sy10cq=~_k-WQ>BU zSU>v2#xF$nn=hw(r+QZTV%`=?%hP7SJtd_n=6GSug;Gn= zBAX;(ZWi-mN5c$$-7kKG|8N*qZ8=<6dnt&)9 zlXXV&FTO*Eb!0US&m7ovSVD^MSA+=wkI-*?DCMn*%8%+BUENif&fXwXnD0smXyq7} zKq@7Tg#8XS;3jugD;TsbGv~cx4wN4JUgXytaW*+Ab`a!-FaZ)38&|*Ov6nVpac!CZ z7BP{$9HS%;4rL4nVsL}*?!WyrnrjQMwGVkOKeIPFuPB)s&gn-_o(g*ANS8;)zLzr5 zF=oa0FXhOc&;w~0KmwVHnbYKSM{%dbiq~-cu>cT442*xv`-kKLaIH>t7vATs<=swv z;%9b;dJp^^Ti&SAlm)%kNHz|7@zZ5wfz}fuDn5J8(6?O^f5?s{&f+GFU$>c%iB}^x zw>IN~O6^q4FyaFI(SPzVNBnUrxF7j@=)W`Ts6U0a9vr?_7uZ??p?}dEIsKD`ibH_R z&gUb3mFS15<6;cH&Kn^x2&&ZfOEcMO2=MD*&lTCBa6M{w!WNuq0Msip2ciE1QV%!2 zC@^Zl%XZyP$G@v;iLejpu7F({dBf*z#t8e%F{}HbG3U<0oEJA8l1IfPgrzhOvMtib zaHwxc%=iu-?+4v31%!1a|97~0PhC^xdaOG0^DLb15#yGRZBBzDt&T?6`C8s+=_k2B zFSV^D<3`M(a|sX*3pLd7CQ5FVGEjwawd+(>UNj2`u6_Mw(cPbP3}qld^v=z3%=lReIU|(~rKo*~_#*jcxnQQzSVW%znu-$W z5WsAI(B=;La>xReuYU(n(B!qot?jvF%KdIg07e);Xq?%paVWdhukl$*qz*4HduL!r zX-V8je62Q2Gf$?D@;>HH27Y%#tD5;7E&dJ={6BQ!2_rfV7~$J|0HG z5Q=X~Vxhy5X-&J8ZTF(Ga>UHL_2MP88VC&$+7{$K*Co>%|CfyIKaJ|3KkVLep{Nxe zSp@S;*qT`I!OEu?A~|$W(a;mX{tsR(l~(SzMQg@$n`F(ZMz4`(jyWuQ+%jrU?)O`a z&~`%#A|VIpC3Rg`44#1sFftYXfr&F=zYC8pB{4T1t`547*zCQ1&B3|Tp1*$>GbJk7 zY3b%%Xvu=iMUWGeOEy^&Sa(azPBXSyz2-)sAiE=d=ij|Z2FpiW^f14ETpg4)?YlIh zdL(+)hjo1Gja7@a_21USJWV=SeN$Yc`{OacQ@2GerCPYw))~j2_f`jwDm8OZ2&^B@ z)h{ykRk=Fue)y`==%MLgKbfhH%U&R3ll^41ur?#sh*cIiF70z{mG31G_G(|bmEDyP z6-n6ID=&#<%7LThdS*s+RQeC_uCZ9(EqOIsN-ek0bpqwAd&fEVMILHOfbP&mN}uP+ zCo=LDAaHlXudsgc0b*knz1F&FFWB0JwGtC>^`BMbmXAFsSn&>68Nq?Y(FKK`~o z;C`<6hJCYR_y1S`VrK9oBjen z!=4SJ#?iL^QC`05AwS6>KaF2BBvn@a@zDM9ESxu?kc?lb(kCI7$54@SalBYH$p0$* zBLiEiH2=38Kreq;^2;TeU$;+E$B2hYHju-+9#@n} zr#7rqH(L*i&|zN!Z}HdxJ8ktIz}%a^SoIYEjmI@|5KyzpiucKjk*#T~t7E$|#-iUQ(Ls-0w1k~0gd#Dw1p++pz`LG2q_RBy^PBL0 z-iAPci;+So;Qh6{chs3qU4vq^2nx%U&>5YQ12B0-*DQkJ%}W4d#1nc19Bj;VJc zmf^>y&31&uaC%SZSJqVhh^R|qMEK(-o$P4Jhb<}T-W32QzM97ch=kj zdbKpKc@%)0JNm9HTu_$lO>b+-4*kXq!1e*S`x@Uqu`d8}jUzaIos}U=ssyHsUl#ru z$mbsPWJaSb3>;OetV{&kA+%c3dji#2|3!SLDWebfGBXL;@l6iYFWVVa-7zu#{`oW1 z)zjXdVc)hBjv#>3!hz$NL&F|>Y(3hdXzG_u4VxPo8u){n4ZmfcbKzRqjZXM+J0xJ$ zutm;Y$u`M52ev#K z8aOc-Khj69&m9&73VUkj{W}x}?`aE+lwFEIV%)oiNU98pktFq~M+1yleN35E1Aa)ztUb>}<-mkI-EzL&8FY2q@Gjf(Nj>j;&_giZ zVl|=g(A=&@M-o?%#<xyb9~K-5PHVOGA>Y$`yq1$^^|(iVw9Qe$8K?HgaYj27 zd~>HZ?LQS}9-Bv1JID}U7J$}B+G#5BDn)m_H=eF0;PpGYii{8p2j=V(#?=}IQV02` zz0$yY$py5}1Y|oEl*cSx3NHD;(jr1Vx)S~j5JHYf_)mtUZ7NaVNMOWC7g!V0C_D}s z6MQt+_d#kIA-?~Ydc>4dLwlZC?95d0#`L@;TeVzLoK}_+k4pdFSxj|{^xCwDv$gaW ztlPl^n+cPQz`^)&su!WgfM48AH46ohr#r%QE@ol>ooi_V3#bR50N~{+RxN8)@KeoN2dZSs}d-L6RG&iX`?`mz% zJtD7Mp6MV3A3Treg8TP(UaJ-(@BXw8aI@&;t0Bo@F{-}LPwA{qm}##Q8YBKaDBprq zlcy?LU^NB9_9r0|LhAM?DeB6grHr}!j6B~8mK0Fg9X(;KDq?%frCty-Ma=-!eZE7+PutMO*NQv;sI4-COJ-VImVo9G>gRf>^psb%B)w7U(n2nX| zsvXRYJxw;B?e2jg2x~yM@0>qV*({j|eotYugdFS?{aV#k`<=-Nj`|+0Fq+5h;y?W+ zLv<&Bo9C#s3CbbOld#FaP{KNfvso)d4Q?Ud1XiT+8-Uy+RoZNa4Lcm^-&h zQOHB;?It3#UyGZrL@_-V`Sw10ShE&9w-U2WVk1Gh%TY4>ci_)1CS5W}3e)JMa%g>+ zwy>8i;L=iGpQKuoVV{wowA)RWwcU!o5CZNs{+uo3N2x+ilvQ7l-1oBlR(qsUuy*Xu zbTnJQS46P~5Vl-kcPCC;3&ozm*2Dr^3RLmXQsdPZkpmr-)S7iwd&n83SI9DonQi{b?Tw@xro^8_920# zl+I7}Ac2~g2Fa4ij*^TXR!Wb5yd2>rlN}qh-w+~l*IrM8MWYnl>5dm()p~$@d3Qd3 zUW5&n^dmXlSS+ut!^Zw0IjdpWo(#jKy8+8~2J5Bs%KZ++GZCX& z-wh=fC zNpT#pwi=65htu<(3Y;*rk&tZNNVGlwR9%&U=JEp`) z#|JU0oXi+Z9(?Yvkim_Vqj562&vPMr%X8K@3BwOI6AX=HIpcHg+@ND&;aO40)I(O( zAg7^pyk9iEA26NK{g_R+BS8d&2T`7!z}_$bKk^?-@KPa-KAJDHWby(%he+=qfDv0a z+}A8OErc0`-)&zn+nU%m=kG(C>OhQXNo5aL+EY4?lVcx0fc2O@&oc17)ar8Xv!s2* zGpnxq#d0#lz|H^k9+&ve{QDUOZCq1IPCv_(%Tl#A%$HO(KgV6ZtzVsBD{RHj!@$Ck zK5or+y#Kq`D6rogihftPY+XoePkAa`H@qs7R#1<7&sc2Tx{@d)94rtQEk#NP6zm}J5Mla zuPF&%==7O<)~f!-34}MDil>G_j4(0k=DW)rJxTp>ZG6f*Z3@Ji`Q?Vf3fbzM*QE~Q z+uHa5^o2C=#;8HdaXsUQQP-P+8b92p5^Lp+al>|4rW(_4zkrJ=0Ws3#erD9F2i+W4 z;yq`u?b^+C`{5FM?DLEcUwz-5*D`seZaXS{)v!Ush5K6oL|;bcq;1Bb9$$Cq-jmX_ z8PgEbS&f6o!#;m9ol%DY_9x&`BUmfP?<6a>>&P(g7Q?b(1TiA0n6XjqLyY)aXTA1{ z+@v41%(a+)Wc24#XT;RV{ zJ>>7-SE1X_%d<}GklS3e5pFgz;p;sS17BB{e|Nt@Ev(nF_${par^+=~?p?j8_#AMh z1MKjt0<}ReMQ+l0z#*Jx!)GMl7ZK^Hv#%6K?tLZ=pvcfqVoY;!D%lSp@%L7_wiL2xwzbN3xR44zXenDSFnY(!jJtoR!17V9YO#F@efO#f^0=4 z=9vfG$QWQE92XaNcmW(5b>h#_YO9D#lH8(lE8+n&Q&Ek@Y+p5b`-Xh1PxA)@ z9LwSVmSw#qYXI>Gyevw~ro|ZD0EP@Pu${2&3emqhVma%wJzQb|d(&Wbm^|t|?O#ct zwt^Dh-;@TA*VviW)o7N0c1ICXI@C&DE%uC|?QL=;{v&Ev4-X<9aDwnN{0{@~BZ^PF zr0SZQyH$oHYiAGEl)J4IyG?tM-=`%^O{u1N2Z==wTUw0zXUqER?D}u{VhSqQOTnK{ z#T7;*WJd;7a1c25Nl{yWt`Lu<2k~tAVgZ!tPZ3{CUmy*)k$i(fhUZG;omR|$^m8nP zdqw0yxBevHz#~SH!jAph=X>czjDLL6mu#Ar@46)J39EZ!Ai}Y8STi{AsjPpsgJ}2@ z2dY&@D>}fm=m-#MkS3dwAo|k#3R`aiOpesIFkU@3(*k+7jvvkV^3b1fuT|zbN5t*_ z8-HxO?l%8CZYDS>$tfz8gnxA~eL*wDkDcKeSLeiX6C);<@`&|L{q2RRtAxCD>$=r- z&U*Epj?7%-1}~dn8x#QE%4%sp+;w=&3bxz$rQ6i9G2BVV-s>;QH}Qlx1u2PQyUpQS zYNY$)GP&CB>&9mI`$*S|q&N7o31$5LBrh+7-pB)x@{?VP@g!QN^`z-l454516%Q zih%T=XYQN!&w{U;M6vg7gn1s$v|s14#sG zog~gENr78T858@6w6HNP%6T~FMhBaTT7f$J0pq(17wsu%FQ`%+s`SpXt5Q`-LdQ-< zm*yDNpr0=q^(3XwX%ovj@KyS~1l^(~P>IGQR<*>&hG7IBXCLoewVZVXpX^V_`mjFr z9g%KI7+LKA@|V)d7|)~zrU7`a{jawvbZq_54u9f^iw)j1N++R_zKSEWk1@Mv*jrHS zelf)CiAW=OYOoE3HLa>N*nA1O^GeiPug<>{u;*FnNF20;Dh&VO?872 zeoeC2|KLw}AL+f)KOXSTFwI7*xH)vqwYQC7|1b4v(arozjB+B?Z_Len032Q z17J^0b|t&fm8dbr0RM~HC^RTy(D*+bU%*2&B4~lg(sdbp^#A}9(BYv+1or{T`&d&* zQ2@7H33w|7OXrU!|FhO>cP_bl@VV%QQ(Xwt2P*tsle)B-iWum;BkMf$K#3+?SXs+` zl_B<b9mFV%8Wi=MN{m$(Dl!| z@-Q{03V(JrE(cEBiTj!x($otvn8UA(#F!p`#9Q`6oIRgCEuQ$s-eS7~X&C@zB8Ip6 z&4tGcrT7bJNT=APBw`AI;-(P*bX!$F0Qt13L zs_EeAMavV(8KJ=3jwy2M;ughW^=jQF)R4y9%72^MQl_RtxB;tDh*)9Q^Q3a4>- zH!+Lg2Pb2_QKn9CoqBE*$Er-)F1)R;uChO1}GO7A$xH|Hn|!)Xa&R?OF?pTwQL9bp{8~ckl;d^!s051zuZ< z)n9if>KM@~t#zmY4eu~W1*88h!`hZ_m4UNrkK;U_k7#GN+MtAe@Rq85Aq?v9nplw{ zywC=@*6P^yUl=Flg^2Gy`<4STdr$tw-R@d=eNq6@cwh(@aiwK zpT1raj;(f4LQG93VqMoGVM9rGXyla^35JhhdR&Aa?tBJ!-a|P zzA4EP!9x_m%wFWKara0ZFdkqf)%a)@5lpoa@MP5<6mCwuj2OIe~1FAKlNQGBjv}r<;l`~A7Nu)n0L!U+@Q_O%9>qY&%O}= zY4!NFUwN3De*&aHtZ8ZOktxai#e*r3Cv_A;8eNv$?s9+$3IN=xB+-L!UnozBER^45 zu*2vEH;rvF`R(y^#{?u*BiS%e@aMp$6r}fRY01(x(R%Wv?yD=)?t4v@^s&&y*d`Rw z2sxI_vF?j9P=NDwAki{XjeS~@GtnH_P6x3>k0-dU>ODfsj4p}erq*qriLX)3uZZJd zxo5!5w+(cMbBorwA==!OQfUp7%}m?JJU5f7x@Ig7nVaHY8v9|v#1kqIuv(-1^DXrr zyfFt>u?E~xVS?(^vqKzMsXYGQC5TOE1qf_vOUi5+9{gXbZXa4^e(RWC-lo|7?IQv= zKE0F8erZW{W*m5UBrUN@&uy%+eZC_h-t11w?OsF9?Mbuo{?+0PZk;X!dXQjpJ2ir{ zYo0ai{!wd3r1kbapscPa_q|s7ms&D}rHGKZ?#kT|)#>Zj)*R4lW}zcPEBletzwcZg zdjASz3cUzhaBdf)BLQ_!6M(@OJ8wCG0oYg9`U#g<$H;*m_?n;1xrKarbV)yOB0L<5 zODp*fYDI(oGV<6Vb-=lPK8^$@qLamKtlN3NC`-PjBs+x*hN$CY%m#8yjL17IVM;Dr z&X-*8QAod{+VI&##TrmX!EC6TeV*dTW?Hi^NflCnB2&q0u3cBxVvX+ zPX`mhySm6TUZ0?kn+J zu~0!x*>PUtZa2QOAy52FdI_MCvp8~XkhE1LWL`H?L;eKy->hIpnp^b+t-j_B%ZuPr zdT%aq$!#&6X(DQB3PdR-aaGC4D0rX?szQ+vcrVwd4es>ea7hZpL|GbfK>HQ@+Dq~N zefKDWjH399E|*47N^HWVI>k`PC2|WT#vS0c=?A*4{B;=KzFUBgmgV_;nv*C+5mJVO z%9|*vgQ=T(w@{A__FP8lEwYr1_6()vL^kSM&}7Huyt+mHdAz93;i+RBhPWs=5^Z)F z3rh^xNf@qZqPSR&w@YGyAc09lpK;0Mq4~uvkVcY%pv*&9cC5rac8KK|N2KQ%R9y{J zW@+JJHV1|@zVKtB>k0(utj!xf=y6nwK+lX2ZDEE}OX(Q1Aa#V1phV(Qa70gQ8dv01MJ%4JnF z2TPLEda5XDU`TXg2_U8&ogGRiDT>~ZNCfefjMASd`HEE!N>L@>2;Cy7#*7hKBb6cs z!7mo>u2mEqnW)G3M_S~$fFehZItEf*N}8P%Qcm*KUGkM1TF(y{EZgr+mK=h1lG4?L z5>g{A|36AeF+3%;Vrs}~PH?R%%ub0J@`5_GX+aX(Qpr`f#2GmPScNDUejwqND^UHe zXJY$^&`Oe>RC>sA-u$J6dUZB;Soxz@cmzTQ4R{AE4WY2LCHq$3&;%nXbJ8j**Z~Aj zN^D4GG~j}+tC9G@gyyqDvgCDN0RHzxp(_Xky9duyaO4g$X1q{M@hRt=H=`NFQ}249 z0_Vt`l3o--{RYvoRC!;B5|O;O#uH1Ri_M7bzj2gX^MmWBT{y8-154>;M?&&T0V6FA z{{8My@P){w}lBZEO_iz zCDj7tl_g(eWqeag%r<$VPEA+JD-nyt@V;NMN)=qLQfJ(&NQN*260}|!E&H3j{r;t+ zy)v{Mu=HWUl0$hnDE6G8T8V1x_Pczf$PXTlzem^7VGc16KvFL1_T^yUB{(@A4 zICcSPN(vH{|G{7KWt0dxH)BkLm=UlBRjJQ=U$*Yvi8VYKzB;i=rQsv_&`E}*3`rU| ztXUNc{)f>kaRGLUZJ?$BevS=u%ViOI`ORi0%l?O;uuwKOOxlwMY1BQ@j2)vOJ(3;M zF?MqJHE8)WkWdnDe8KvOEr|_plsP;#^7(TNq{JpCxFO$~z6in+7mN*fCXSrV4be;} zcr>KAuZWSN8QDqi*?~Pwa5N-xOG$B_cV=VYx-n-DaT}1Uhz*HR2L<;kX1H;$GJUH* zzo9*`q5YCzb30bg_PbvhIRJNxE&~}}SMAMNXn1=0RfI~Jda=MuMnM?((;Tl9EJVD) zWjX;1PWR#Er(X(Mi23iRv2pL_J!M)aGCta^&2hD)z9ntvA7pnR49SVqxlC9w&xf~~ zsWUP1`6_Rg%vgV7E8qH==*hc!6v>lf!s1u6cKySG(XZx=@^Vj{-&g!pn-HM*;Re37 zOb!PK+^J@AKv%wdg}DFPW#an)o!h5rCNHj>!-nREvc_t11`{T8}Crka;fWcjvv0xL+!|)&-3+NAI7i!Px2Co z8wj-a!!_D=iu9SmS~D|gJithYm7DMyNmoALZqE`A z0zfkq3af&|@x({7T)|FF8L&JFH6M@!R8+CTCKQP?YwI13#7-Ldqw2w#uMHTvBYuzC zyWF-m3Zuj|1N7B>(TrIf)9>^{qAbZ9`paM5Fzwa-t%d|ZeLpTs7>NLuce(G;Yn3uXA;D*MR1nGwM zjpew}ORY3Tr*YRdI9*n2H8!35t~duAebeMl@Z!bR;|LF{+lb&YKLa`nucPHPu5DGo z(xX3&rV);&`3m4(?>BleNSWqGCi~4idNw!Zh*tXh%4Lc+#vBrG`~I6(%W|Br%Fo=H z4_)TIz4s|U4|CW4Hwlog8V*AX1UHwO2Ya66j;$17%%Xii+qfqzc8e*2?bJl&NlA9PHFl@~{O z;CWRcD@Y7zjet#*4DuZRwDQ^G<16|-N|XKC1Tyc-vTD1(EmU&%o$hCOxt24Z9~5Bn zoVS&v`yvb^FWzykJ?QYHhKG75yS zBm@2<5JVE0mBuen$WZLOP2Q6rdEr~vR=u2(DHvcKbiL;RB!$^pk4J?prO!z#t}VkD zIhW08xu@ZQwcV`Oeq@NOSm6*|5wY#sgd}I*NR9)(AX2{!nH0rH&j`uC(+?XO{XTqP z?4S`9So_acr_^z@4~~w@)>hdqbY}yY^-#eK91Qwt1=1uzA)Up&%{+kfs23#7mi^&Gj&qFny!?r6jP~S(a6f#YS{1j0~m> zHEa|XqL&n^+DKVO8eAjp@`#1QT)=Hv2%{G7*OOP+m)cc`y03MODWus`&XeTMVd(J z1?i?l5+#ndiD7XnNdxS!LKRl@mZUhn>A(M)+O29zhcI`GxIhP#CjQF%F$BG~dq)i4 zdSpKVy!yLN|*+U>VTj*6URy$snN@x*_f%z{aF z39rE{H|9%fz3JX>Z`GI&4K7cn&Gxesy}{$-Pl_8m>+RIwZc-_0kLozCyMjq=KStPybw%Ua8WIme^7?mYG9 zz4pcE6R60<{ts^atzDLfMRbj0xmHLXJziuI`b;n{-O(DpsddQfDeA|!^u$w1)%pxSPa0Zx`Dald6Xq2t0hBQA)s5=W4PoucM zBuRyL51If2$c;h??zG{NL?YJP!v zoIk=BpAz0)9s-!p_Qlz8CH3u43jIgh?h{~1I^2CZL2MY~x;v~`YV4?=31lcwVMGVb zvykwR^#i}Zb|hPq^M=}(izG+Y*T&TS@;WmZkbl0A3sq(MN{&t(%#E3niuSN0%-D|! z3guXj*)JxQB}njuz>JcF+}N=2ID};Eqw<6XkxN1?SEW+mx{9j~1y2Yn@5*5pz-N{k zkuL?+N^)RpGiue`xn{?c?Tvy0J(okwU&y2=Ps6emL@}GHoJ;F*zd;;AWaLEAAWI7r zR3Mu4zuM`?PdMS+>R`_XLcKJ1AF-vmBsc-_@FfXla7r>8XEc{%*e!i|6mgLG=k@qC zC+^!%vH_0hZfZDyqe^@MO;N*nRiO`B5Kib#H8w)E)Ney76KB~u zLqW+ms0^l)Op1I|(bveBw?Hi2c6cNLy>+M;q)=hjpO_MZ4S_QFX~xX^!I`kS%|vJ8 z(m;(yt+AZn`&z^?hI_y9@$my)+R!@NkgoEHqN&YN+rUFW-FG1kDRGA`9KQzc^5{@m zBVY4DQ!zYQ6kyKeBE@m%Ct{Lhhh)PhLm6qv5!k3H4H9*x+1@!-^!48|nmG*2N}Ea9 zi6_5A(nUAx)QlXwT=eFF+B~mzCGPTFM6IkppGv)eiyiiawAV$Nc?KLNMCZ*@2Pe>7cXSR75WUEJN>-Q5;hpKW&cL1H*+>b20KM8X8#woG-Xz3)rO{{U zYTY1KhWdpoV5-&PzVS`m(R{u}@84Cpubmmp{EL(Se%^kHS-d%3S-PuOckF79sdJn? zb^q+jy^_8*x#jVDlK*qSGnoPT3)8*dzVTA)iBb5Xaw?tyen){#MZC2>0cQYmrvd0iXVIY#P<@$*5m@Aq)AV|V8)DCHT}K+ zFD^{_w9v}dR>XWv825qqfiyLusGIp}% zcKx!KHZv&!iB}uQ>WLTtjBpv5{j5PC^l&1-4AYDwa*3J;sEc%6s;8Uie&T>=6&}QT z6I62Je{)&(WrXjUG}Pe&V*&DP!GCfmdNvIEu5!JH1rCNku(sZ)7J&zB zG~ZS=eS^t@*lzo?Q3r6CtArUW)@p1H6lmX-V{pooYx%Aw3=^$?UCZpmgMO;C*m3Oi zVkiOInY;IoJ8ZY~Y2<@ly^K$spV8QHIH1t_G;7oS*LcPim%a)|!#)nmPy!pz8%`OW?ZUFOTYOCi3h)XUd2c1jX8n!E~!po{P4~GGaswIm?|`0@U$>N@3K#Ez)&EIB0^iImis2I!XS$mLfp$zLO1AZHVd5BjH)~KiZ#U6~?xtmhi)~Cq5;~>R9XUBcBe~!rO;MrvJUj^{7d}AlzRV^;7vR;7>*306; zttvY2GxEzHvB46sS{iVqmiNd7lku{cR_p0UU4h$X4Z2gt zm3_Ru%5f>+D~|7MI)B@mS@cU(rfteK4ESvLVp^!#7xEyDNsL*$6Fg%Nu#cY8I(z0z zR&$NCc{;LtwJ7SlLkZ*~rY(^xE%s&SHI88Al{1X5@y@s37V7m`_VnglnwG2DFR?}W zWj|EJBl1*MNf}!Z1>77_<5#9EeZS4L6DIFU=p}cNaJGq^>~*6DhHX^$)_>#o>)3S2 zukA1C&XyaC*I)T-{Sr0)R+ebflDNHNj|kI$V@H@rX!6&q<@%$YmR)sqyu9$SMCC^# zl}kbc>*VV9Gm{40;f3afMmt)irB^ZTQRQYju%;08B>e`VZT9HGJRYlVOuPI_iUosa zNh%gf>3!F_F3>8?t3@mG_)Gl-$Vu-E;T0;mCdP>PpdT|%K74-2l?DKw zoh+yMuPFCGrO5DR2lSl$9%Nt}@u_Gqk#@KFt>GgiVOrmUiq%IZsrQ~arYPgOMW`15 zLrWE%xBPR*rmt;_hA}y?$p^pi*Co|7B6)rbgyK>j)fAJMx4B>hvz6F}Lq3xVyb5dy zaU>2e_fLhqr zi~Yw+q*uf@sKL=@-ENTH46O%Q2QYuypGY=a+%~^m*y_(-hzmUn;n8e`;uv{yEq;Oz z%v`{iZ)zhY@Kl2|dO>j%;CGgIh#p~KbZj+w33hAf`<6$3;WFZeWr zaQ_7*)Cz<`;SGDX%jrMokv1{PZOxsXaI0^dOn8D*kjSNR9vkW(^q^mDexUsy`}UBp?!_%XpZzgLm?b zeiarJNgWM^+HYYm#Z4~d@snOK6zWC({jXf*9qyeehptqHL#@0hkk+#2J2HTz=iQ3O z&$BI6Hi4YD*!lfh-E<|i-0~UfCS^LWGImoqKlc|pk zZ&5Wg@0x_4@mzV+U4;B@vX;H$>Sm{3K3t*;KQJ5r6+7F(bW0)@fA!5|Hm&u2XYF`NQns?ELEONy?pP5AVh68wCFI0#1u0G}~@xYK2_lQjnx$9Kv{ zd@|eE0zpLK$gwhDmqIalpp2N_Z;1$A{o2~y-e>?%r92tn(;SxNRME~hc^IVsq(tag zec}|j@3B)5>^j(1omOt_y>sy{MXzm(6WCYv4rUh68 zBWcb5v3#@}FtzqO*|DkJQ#&ikdFpPM{V$uL;{rtSCD)neIf|BIZ^-MOLOp$~JPPuh z{RWAYzLIFLU0~k{D(dKX)F8deN3WdNC;Nw%2rj1gnS0WQ3*UXfhwDTzAaXTuv3X38 z8$SOsd|psomzQ1j3$Vew3&uT6G2BjyG10g;Pk21bX85lhd7-Dp=!>9mAS$~y%334{@|)7UYm*{C{5T{#8{f1>-(LPvBgDeK z>|^65;N=)?`6S6WUU2wdiWGR;Y(`W9@`Z$sYoO5m`X}vm5Ccw#{a*kz_YPl1%VW~F z{z)WAe78i1)~N#KxkNVRB4_0O@I2)R&<&t~Q(}2{znJj>#X4c!xkWCT0#-y&G48WH z%QXB?^_rAIQ%Z7G>TiGc9fD!hguQ>aD3emu{uMd)Pu5w_qg~nX3OD7Q0x&~*YJYgc z!>{)uwGWN|hBYNLypEyQ81PK|&9bY*Xe{Do#K0a8(!J@HJs=tgGomEqMK#mLyw#6Unb9smfC-(WX5@h^~cS&v!Z-0 z7VX#O>GQIfs?hAdaQHOY`L?o0M={pa_%I?1LsYtd+KIx;;sc>6@~y+Nnia76q`n+R z)2)2&Ya9@sZLMVn5Y7~DyWpr?x_|iG>mB)%-Dd!oXl^|#Oz6!G%FhB!wGwJ&VfAy3 zfw>jjB1r-u*p34+eAo`!?swL}z}<4nTxl)cPy6hbh^9WsGv?%F`%ZXf ze%GrrWd*m!vMJIe7)C}gA~!fI8Y`O)Cf3dK#zjTu1E~&o0jA;rkX}S&KR~VgcVYxS z+~=>JVB6>-Og>sC9i53v5>DdmvO~Baxl2@7=$D6`6B0LMDX@%=jtY1zxN4l{YS$VF z5K$lPD|7Ui1GH{)CdChtS)TC-m-PZMmh9$ALCO>DRL9?rAhYAQ5+9rw+R*YQJQrse z5&3nS_7CMtU+qLe8vhOJi*RnJNh?$8a0k@t7WDHmz=8|h|UOy1vKPmUeR%PQ%C-;?O*ajJIO#mESZbB%Vgg)M90T@RMUtMCNd1)s(>YK0``SRofH4)07<@rB~JuQ{)%)huoZjalH zHW8YLk?h2c5GXMJ{bj$Rx_aty0|*#>(AJFZ9X&}Z1VQ1!!u8>=SN_&T>E# zad*|i$eblMsFg5C5!dA`P*t$6p`Iy-bXku8Wtl(iqQnI7ZKfNMsLVfQurYlL;fbf^ z)tU9Wo8O;m>Lbk7kJ3{S2k)YU#|8b9n;p3L4N|AH* zSIhI_8G|@LojniPK#xuV4Q<6ZsEoM`H5_++K;(hvEq3quOk!z7nF`(D!~NAz#q-db zg3PPcMjO5b0f+2PkHAo?1KkgHqiFpAf@qCUD|Hdz3-Zd3zX!{0=zJS}h-7%jm>51( zbph~xK&_pxjDd|Y8AX2u#0LQL4$9n@?o=XG8qNd*n6*u;hWE z&OT33IEy&Q(-8k_V{Y9Ut^1VEsYR#Ac0(9f{hM{NruqO}2G#;J2;dPHSnnSL-eGMJ z{9@PlxRU}E!$6eS>QKd$m@OikkW9p43kb6cJ3E(RB}_kC+iI}iVgwMC8*R1b*)RV7 z1T!402Cyh@`0c`~%#XWC!-`eRz;j0Elc7;o%a6jiLRmZ^00`^wgB_?_9a#vZoKS9{Wv4@y5^dRGTo4F02I{-^2)hS~yB#n*t`y7l3n^?A)WU1!Oh5XsPpKoBU66 z7Pr0TXW|HKwtLO+u%fKo;e$GkzFjSxJ$QRZCL3Hr4Z zVFEl?|4oZm75oIUxgcdshMgO^v&VxEeQ)^e0R@Gx?NlqkO^h&8dle2Q@4?=$hEwKr zds+?V&nx8rCsjEMq9^rv68P5|opEnL0U98wcN}@4>4W`H9R2xyD&DFWOjNj|FdFKq-S|5{?q6NWhp`Y+dMdhyl>KHFpafWtTt zFt{@Vb~OPo63_%`&(M?KQ4%{zrO8f-zR3Jj$NZ}E^O$PwtP<~UC=Y;{?)3%~QZd_= zzq!mD+-E-116(i-Ev!5Atplw$@n#2aqVmsX=oc&RYXL(G>ua{le?E4QM^L!n2M>MD z6m-D{#2`>wWu-7IK6x*q_=Y!ZQ((TeItSYrBeR{I`G>1{@o+$4B+v0Gu;W8VEdmHTAo1jq=#PHZC zSjQ$Z)&RO>xa?S&mVNP>eSKX33XXD_=|EV9*M4h3@Eak0 z$O2NmtvIg|Wfasoi~Q4ZWmkOx1Z>F5!@=ysG)7=D5j;_@FJFTe>MKyKK>w+cr$i&c zIB#ZJBm9Sx7|4VZSeb%+PLLiXk^og}WWIiO7mIwce6JS4d;3gAEZ+YB&jq8pE$Q(fO0xG^9RD6(IC7_((7BO1na4Wf$<3TdeUcA-B-{+t6|6BmK59zS{us=SMF!NLeh7(N_=}8_kzTPK{Ke*3H(QZ!2yUMTT9@+$qN{Ryv)cy|YcH0FkqHPPy<7i&d>EYed+B#veBM=586fNZ%T0Xcn{X@EQ*O@ZdDj!Y^O>#Ws z(-kGs2NmT>d_26ucKv|gFW_`AcGNH+rel|Zai^sg(_i_0D3@I(^&1zEmIrEBOgv1B z%%Q95Y@U3Nz(k`wA>r@U+AVST&OC!lMCeQ#C50=gCmvkuuOP*0X_G?DfF%LQ*d@~~ zfGSk2y<~v!YrW821|}Xg%UDW>7GRfG;D=WX7mAZW4@8pXNl zd;X!eDL?=l3*fMcbPt_|=VWEWtLgw{ETAt)wzWZ=yLK{~u|-`b3?=4M+Dn>ADU`g3 zN~q3!Hj!K7U$I|XZDf4;X^Z@|i~*{ms#YO0weLmN@)6N0f~wZmkt45X*$TF#sB;vpB0Nw_T|x#~`nR^=vzs%AVlrivB5TL(exggP^U9{$RM(A7=&Ei=x!ADG zA(FAwVTPJ?l2#j-H>sQxfl+eS=DvV=BD$S3dEno9>2kz>*| zRq;6+?V-QpkA=+GFBdtqKWpy16*Qsm&TIe<2(Wov6Q(Fsd>BrPW^I`HNC_B{S3?71yRuOc2haMnYIh)>;qqH2{w%U^p4dON<OM1=`mT$K5hm=&> zRQr>|B<-B{HkFX7+}^2jZwy_+LrD1SVMi1)nWrovXyPE|!FWkj#lFpD+Z=MAR&n z$CG%!AycA%DJF3&+MF8IN4;}{PlrgTO{SzaCIb7N@xBsQ^Lj6FTSGJpkTwQ_fD{i) zMcnTM|5z<`&Ru6Zi1B3BDo5(W|CBdQn%F1=vx<^Q!&r6liP5aYOGuB=AvK%GXMc_4 zV5XBOc{oO7T&Jjo+pct#CIm-h=7yUv-}=St^IvJ!QIh^WfT`jVKV=C5CO5c=5;OoE zPhQvsU46@C%Hx^~p$C=L0}0_yu;sJ^nEq z@sfDlVZ*MvY!Pn)`6_P{1AqyDWn3W@;@sAj2Ivx?{vN@fw zUbs0H5geux8ynQShl~CM+LNa~6jqHwF+sjjuDJIY|U1$tT zc_;NY)T(1#KzSd|ixwC4n}h9cEX8gbGZHSj09M~w)Qw2&8*8Y6=cIxOFJ#;>Hg0NU zbp)0NE8(GnIeLKS_xCi6XjXvSp6R(aMDURuAs)b@ccqk8nMtROXAa-b8MC>`?(&FL zat%YvKC_Ii1{}qDS+NBm$Z9E>99K&KxfOUknQ(9z2!N#su#Evi7)jaBPx>14cfy7d z*G}Y0mK5PVeQ4#%-1wdSa`ae!bN8v?psA&JIVz*wk|G=qc4RB~^goHb?c&EetTcF@*wOmyAgQuO4W!Km%o_W04RT`r-ysqA+7e1FGxY6whQYEgLipsS*iAD?~&_9Q!96Mm4Z zCqFLKuEauazl{CssIS#SxV($1O}@TZ{L`%+y@`wDItO7}_gZ+hG!t4&3yIZUrw3Wh zFC3zAq%PyE%+jmzb8vmoBQaMDgf=3|P}>EtOzd*wM2^EZ!TVjF!cK6xd-zN`t{yW| zF$)*OAPHj^+$P+n57}+#N-dvMnipX3zd$u6h7G6s>l@svgDpBR3Ih$&`MX)<%y{2| z0w}rYU^=5;1zG%rZ4w;eaBhC+%5(yB3CThYXclIXp84Q8N8~JP=_@t zp&D@;1e#g%*zlt_a#cf7+pi2WcxAwP=3mJmTnKVGwk9W4f+TZa56#T~F zQo3^#)`mIB*|d1AZvt}M*y`ZZK2z$nz6VS=Rqt)7Z2_I29Y(8PT0=hsCN74&k+X-n zkTnyhdUcVrZ(Lt$ZT}q`Cw?s>Zv6P~-tg97z06wQNl0c#a-4BY0+ECJdB##(U*00 z#Z5-FM@BZBiIpxOy5NuWjglJ%Jl6|sw7;@PMATje&STd{TBnZTV2+W!2WlL1#|@~OYjnFxU}5h0QDk*b4y{CeLq270+0%jE)Pq)2peCf zv{IE*vCs&zOdh*~1cHVo4Sg|iVE?*x{a9b+B;@|sHn@KhNO{5Cm$V}o4=UPdC7wkT z9o`9OT7{0cz`R(b%?r$g7fk*k9K41Z7Tx+JP$=k%N}dBnJ8ltuoyd?QV8IwdRsKR~w3yS0~$iBS-%_Ql5O>?7xyv zy8fwLzhvh*=#6od(Gwk>oA3qPj5B}U1N-L`76soYmSm>=1 z4GDDR$sB%9kp42n__$wuuz1_!de)NP7nj~Lx#?&>IQ{p&p!Y*=1V+m{QVT^l>g%-Q z%+;&#oL@UEsNnvf!Sr{NQ=0tPBf|?U=;2RB2yz*$!*_CM$fQ)jy%agJelT`BRc!%# zt2i9}0UXZ1+NVLV&Ivtarxo(NH71_7(|$adzy_v7qz)+4AJ*uk zthq=mtBy1WF2NSif_otTHkcTCnA?hssI4WF3{7_qXE2Qt(PG>IA{5C@|B%^8WPI)Z z?O+fA2!yAC#Q)qIx?v9oX%PGZ!wl|!H498(3`3d4o8WIQL@GL;q50#r>4op#CcBLU zLd|SL0z`Gi^;Uvx}ide%bHw(~=}ySsUe_WMHo zp1qCi-#Q*Xa^O~0R<@sTd-cA&@1>8`w5>0D6dGd!rDtkR&Yi|Fg7$%yDKENjHc#tNt}(Ky2MB&m=~T@qw@vIl~s@hwdKFP!VQC_UL@qgkRagpCqJJS674 zfp8&6A6p;9W?guaAC^ARA1=$pnLx0EOY%D|^J!TLwetu*w)@rJ$_VF{YI>Vtd*WJr zWH@78Zm?hSVcQGtPK-J{p4em1zo8eQOqG@AF_S0yFfT9QLy?8h3O9#3X^d* zkD~21WZ&zr!oII*n3M%(&CpuL22oRo1ZFSA98#V-Q$5abK164aLkyYqo2rbw#J;yh z#A6Nw=NN2O0}lj7BOf6>LCsNGoEI&01(l`>8^U)yDn?gSjdk7V^81VzKgD^VKv5r~ z1fRFW3*Q~<5}%6Ei<&mjhR1c{)jaW=LK~V6pRKi6)MEcNq7Qjl= zCwc(IG!O=6*3sUcXk;e#GY)X~HUp)9)LmtH2q|DucGrXbJK#X3S(i-ePvT|ZQj|;9#7z5e#EtJ%#*dza zduOs?FdkAA69^ZCTi>kvWjgaY(THvRz&~L_d7`|!-js*mjD9#52S#31)^?tXw)9qB zJb;>h89^Fvw=fv_e#gJE<}s5)F_xh;YCknKJM2%Dbi4Psy@EZqBWBwc|4qn4B8$9G zl}4Bg|ZE1Y^gW1k1Y>rNj;P)5n zh5eP7mT1^2bg^e?JbDx{HgR+k_*=M6JGpa2gc@|cR)7Fok(dkwD4E+yJYx7m|~%Z zN|i$9gci2K8!#wqbh{^vVr)x8mF1duL$hl4>Pt;Cf}kj)9oq< zp*~qTW1J-|dqb<6Vht12?cUe{3A;*vxOOLr^h@PkIlsjKE>Z~h z;#?A<<0O)=psDb$qmk=Qa)B?1!!o$S2Tqs>Trk_wzcw583wz!Kd6&&m&6_w|X>fkj z2jZ4&qRXUkiN;2hcH|~=VTxHI{Nj!%I@~3nn(jrK5O$6|A6=V~ihW{{fC3gR^>9Ys z+YtSLLp1`VAv4p7Kb$|>EukM#@dgd#F#1zJcBCCifJ)Ry(C&#Cm5>YW^mZ&MF%vn0 zi8yPX3|EOx^9fC+-z;pyLh{p|25-L9RaG_BPC?zzu|TX86FbJErkA>d;QS`_ZC7C? z0lhqzcc88x4T#rdFf_o2V$bz*0DLGKNWF zM4Jwm9_E(B_B2W_YiBVn24@x|zy>j-BmG)A$!ShmA=g`1b4S+7Bs4t`?u-}I-NoJV z`e-GWi>A!_Q8Bi+J>jgF--d?%AjMOR)IZVY;o+wVPnIHO&{0J^d8vF9T14c&plPt! zFBI?2lt<-U)x_XriCMeswIvUzsQs40@11EJ2`6#qWotL+Jv4XUDDT#=-ZHe+|w|;)c z6ctRvaB>8gH)Ai_Z!C<7^a_XL!qvj+KSKktt|UA2V(AF<*;2NQd}=N*O?tu(WwiQW-c& znNnW&XKR||(F%S0n(S=F7P$XG{~|p93sh6w@-z|Q@S+RIHsMuCrNW4y%`*!g+O~@< zy0#`_D|TUVIXnW3v-#`Ux$r$B)$DJ()Cx{8Df$^JW&ASXQMM%}x`G?&5abhNnhs|$ zx@z&v&MW)MTrT8g)Ceb=#}Q^+;45YnIi;lg>G4phF*$8-7Q9?x#<F5Vf@JMs}3ak`0gXE~zwi@eep8tlb0oa*Unv~!#QB2q2nFHB?=`;Hv zPq24YIl|$9AW7c7urYiW#m>g;IdB^kyep&r5)C*kvsK#sSl-q@lw}*_!Kbm3Y5PR} zcwHO4qeyGx1%93#caSYO((9J2{eevcL5yE@Hr*F1dju>jL>o-L|)*b&LW zX=7UI-TfLGUaT1o55a~Yx=&?EEd0K8=Fbbasqzcp;`4n;ALhYt`&GleuN!5?VP>fI z_XguhJ{Jw*@(YHAn}9}NUy+yA$s`vIOOfL>iC{gF(5NQDO75qwcm8^Uf(yksqU67=>a!?bP3qz1Vyq<{^$gvL==2a7RhsWlZlhibC%d$`h*nGfF)TcE$q%$ zI||Jj+2p)(10=1rsw3c2jzwcIAM1LGlSzDtVA=7MyTBwLHpa}yH+GrUW^Ce?%~PRN z+bR5xfe2!pv!OM-GibN>2GZL%FHB1NZVVc<6B3Lq(Y@RWNEG4Q&&y-pEN;bq>{OGs z$%SD7G4gO1Wcjnt{Vt0HvtW$JI}XdC4i*TC_}PmoY?|0GAMO&V3i6@-Hh&_-dieYw zV3@e_=EFj`oVU`#1hFh(KtC;>`$N0ykG`7-{GmDUC|X3a;|7gQKNZ`tFhgg^BJ@q7 zO4~~^Kuj~G`-#aewrTsT4%QzvjB_yhCZWqaWOpaH1#J+jdip13)i?heKA$&0;{#kF z-i&i;!GjPTj{EYXqeaw6#X1$XQtrHl2TY1>a4vzRr5$rPrPIv9F3o1^4=EvpFCcz1 zmt0lG&G4Qcbbe{H%mM_qzvX-Vi@JWe&|~gbc42)aqItEzl>X0+10(neJTdic?@P9r z0|}DLZE=yqW)>GCPfqL(n|}OII$3OhAssUr2!_+B)V55vtQ&_w#v-w3{2T5%VQ_Bx zO>71WX7~H|c}*UMiJUTtpXWx#iMi3ywP2pmNYP3);rK~qq)d@hf8;%LaFtR3_AEC- zLRd`?{t&~ogqN3)uUNhcYB?0z;_p@j>5f7LH8r`upkkm7V^ZoehNAUHV4MnSkofQK zqTLb7lz&o^xj;q{NYbNf5lzKNukdk_VL^n5VNyYW9R>JPsmOFs zXv8o+K4hs#)>hWmlJ9S`Vvn%-n^J~|AOH>pRyWkp=zCd;CB7#+s;X3SbxqG=43IJd z&052H*w3a#4uyVmAhF>$0K!t!nFUVKhhp-Z@sybmgFZA3SF?=uf6x-~sw&W^D$ryY zKjAmQ8U2FFJ3~pug$E6xD&3Iqw1Y<_3BbzT`%f{KEg0Q(6EXe^cr?Zg>CSbecViC3e`~~VPn))HzW}uT)ftU;aoPm8zHeS){-9+?6 z+yTy_Ow#OY;CX)h%?VaL?n%h^P0HL6IE@NzM3HJn3VT@)RA}4&fY&x6>9aeP^_+ga z0|R`%D9oQ$WE=g08ub_{MXjH_QpP>S5 z>7lPy0)}DoTdeGXnl(X~|J4Ur!Tm}?A6qaU((%My|DhR*X1_U)9NV!Azqovyn9NRL zM&UayTf3W*BxHpOyfenh#T2JNiqP6euoZJ(3**oh0~Ir2@c?2+X^}!N-=;W{H<|(Qfs}yJ6%($-t7bh|wwe+LX z0K+xPEH^!@U?KhlFxzU^e~b-BVv-PINQgb5*r92AH8sR(eBn?WPnK4g4&|dp)!YodB?$I{ zH0ECi^LjKb#xIjApQ=Y(RpgbGQGn+GDWMc8WGpWt5dx=b$}NFgzLFASXih^ip%6|_ zg@jy+y}auRyULH6!wbW2#`aW-F`}&!XUh>hHDCq|m=ahQfk5*pE%aDQX~g6S2=F0L zA3t6lYvtskry~_?1SUDT@|un>UT-xVCS@+G>&7E__rrE8K+Zm!?Q4I~*N-$A3jdbW zISm_6As)Xq1exlPy=c;9OjV*wPTm!GR7gd*n5@$rZhhSdRjLWnEDe{-L1i2k@Xaa2 z=a**73@jhhL5brhQc8Q^)B-$!IyMlbfs-#|(BA%-Uol=O1r#R5)QSfKAn=|lsw}ks z&jpB=HTd~~mKqDD8Kz*?bzK4vxdI2fP+QikRr>@1=RyH2?Jp26DRwxI6cs21r7Fdp zs>BDrbAMRVJ+Qr!%~PdQkj_>q)h^VKs`(>Tsu-wQ87!9rt+j)6K_#&Ihd(o0dBDYF zLXo|dqX2V7yOOuQZ2{Q%3QGZH=n}?jV&FlDaiykonpB9u=QqKyE3-5vp;=5>6lpTJ-hIX{0JS?Ix|@ZxZ{y&4 z*%V09b9ddCXr`9aV?7-e|B6-*wOr`cux{31OvQ#2jG-s|1euv(!3IUR->J~j5LqjP z(9_^7Lxn&wCMISFg~qZnrcp!Cl|`G)#-x3=!Y(+~0KjXAixjy99yqH|Nhw^I3Tyd9 za+~n`+y%lnOl+J)*VB0o%l`(?tfYy5C5F<{np;Tch$1QhOQuy=0X3Xk^52a^T7ntj(zV_Eno}?}F7eB4Aut(d>&}%D zCrd#Bx)}zZhN`J=(Sw<94k=1Q0t#~&k3tIv+DK%%DnSAcuaPQ1@((S&bRlLPTbdk8 z>2HTRj?e{@(3P?=5Gv+zERk85CLGWS5a%!%<#2&+ZcC?xLP~~dnA6=SJa4exGzqeW z-i}V_Xp!1IY*36(`qe!{D^;iwh@E_?!@?PcN;nyKXg~yzZ2;vwMPrbV`GuUM`#nslR4Q0Ex2Xy?sl2oX+fXp{PZcBN z?Er(X=*NFa&&i)yu1IN7hfi9q>q$sx^VTGbRnK;_KrM1Hci~cq&=@giwEBHLD~Ue9 zCK0B&ixdW}qM-tDaA3;t%(E^jE4%xAKpsCd7~cSb6vMz4Ro|IBik>U`<7Gdut* zS&+D)TIRPhUhm?vPdKT?nDO)7AXktO=LQ?%;<`J{Qu-DfR$j}kM#{R4O1MSDLbyOe zeRwME-?n?PN(ww|+N$&`Lqo zdPSR&-gKFIhCkuz(qg~14XQ|tUh7dr{~m+jBkXjQXudhtYB-TD0PYM(209X!2`d?R zjZH2iC#WWb7kvY^sN%9OG1^9$o|s8D0kj=zrb_TY^b~}1Q6Q}s-nv|@Y3N!)iWF=` zLbEsIOsY@_H53Y!hF_?m@FZUZQ@fZ>sUU_1yrp?@PeUh{qr0jFO#LpgquvE>(8Ms; zGcI9=9R+LBA__E^oi8@OPN5sYOYa+rgGw%$0PueQ`*-13^v^`K$cFJS2;#|hKv8&U zcKbM67Ah_{w=jdG%-kR}+4o_sC`gdS$HUy|kq*;7lwkAk8q8Q1OTdm&+;W6T&jNwR zQuM7viB zwBb%Zl9?H=>K&+19M`7BE*1|N4nVj6q^lMbFs5foFN<4pWlSdZy5?}bV2qDQ== zJ-6!!b?&+ylRVN60TZ~J@pjCO@WM##-{KLO0ahenB6l^Qv;BSMR1^J>p8Q-lXgnhm zSG1`$)l#aeCO@$b>Az-OuL!`6$)-ru1?5y=1>n75yVys)XxpHjj0y|>{8=H~NCY!K z^dZ2sYwi!~mH;S_7$eb<;38uS8e2!g)J7Z_LePf&h}r1MrQ*mnB2UrAFY@KMutBMM zXwEv!2Vda`D#h(+Ssy~YST%*+XPp-ROgM^^-w^@o7tG)zhWh5QA$nM+ND zpv6S~HTx+ga&-H%v){w{X24$|yn%pF2*eA;xofT2~zcpcUDbFNqAT8-8mtHn6;`Gp&6oQuVYIYXtgJxyd! zGHo9_7OW^1TpIcK5}@3z>oWw%Mm5Gg_sK2O?(~-X@$Z>avRd-wvRvz(w@r@l}rOwIVZ&O z0U7jXk2NgPKxa5~C}MV8 zb2AGvfOTSST|-?rBy%hKRq=EjS(cV{Rfe8BB`bUKx?)iE24X{5vF1^4!oZc#!`B&$6&1gLKBVLOB+pQg=Jqrsc8 zpG1i`XV_Y|a)mXgfyOng;Ww*xCG^wWp$7MvXXY9?Ji&KP0&Q+qQw=DD1T-3DvgOtj zFvL-+xh<3qZ1NMcvns%BwWOXi02^fNmDTr&awkTKTLyOpu-WYFtfYKAX_6m4Pywr_ zFjCkREANu(7AyAouSzQjK;b25BLpGgY>}N(5+7}nxUc~YxefR}`no3R?IoP_ z8Eoo*vvJV1xnRzjiZvEKVR85ahKrk{xeSHJ$sl)@xeillsNqOJSNFN=lekSdGXQWa4Pb9a9xiO?d#@oZnp0$`1 zlCb=^kb3^{?AW124e_Eq2fS14qydbhO!1S^qT0N|79%kSy$-3U>Mamg?UTQ7vGS;b z#g0d8#l%zNVxoL8tB|C9SbO(p(${{zc`@R~NKK6R#~y=mAE`6*u%m(97WYc;@{fBY z_P0mkPPtml_|`#9t4(PU?+5JPnKqt2S2cNv&pBPR6cp`s0h%|JBi0W2{=*w5nuEKy zyciK^S%MF!3@t=JZ_=nmS>l+h2I0E&%OM?cu^`e+gNViq>|e&~rIpbWHVmv|4_gP} ziPBiI8EJD(&(d8z8+P3Io}0Z>tD_kUO8X)Jn$)M9iIR?@TkHl#4bpF>>iX$bZMK1F zfm>7n&a1AqE3Hkh9a+=-!h}T?S8d6hxZjwSwFh9y<$d3Suh&-T58dO}mpTiW;?sC2 zFn^qLwoKdj;w-Nc0)O1nxw&V0_`q2z0-rQ)^n zl#6E6!SWS`Z>XW2+vXii4dTH@tcvSVUVxKvV8M+v=g~49k`5R;PG&zz<$fttsZ_$& zmJyc)d+yE``0ja6sv3U1-Jf9&pRee)I+53OJ=+KZ8c&KTuZzK7CjD(pPj?$(@~JE# zy=0*&!nZ%uOjj1pMF9&PAV1+>rV_|suDFjj*E^8Qc44HM1s07Y8ZdJ)nk5JSO}G37 zB)WlmviMx4v2dAn=YN~eb967UiG8tTjr6;K-ldpC;Ehd}T zKNTh?vrLo(`?KPmT}zr5;mJxs)C<&KP8jU-uBY`#y}B+G88ryBSk=6WL~}7y zUdqJpKgu^n{Jt2eaC1d$j1vu$^JuXsw_8jQ96bPw3Vw`fjz`>ZP^VX+wE~ablK<_k ztF>`PX5rK3ghhh_(@Dcr!Ha$8e+VEWADm>+)cAPN`2KOjq}gz+tQ({#04K=F$+cCx z0FzZM8#jttY5t`Bq9Eaqz>iZ97$`1u*xo;YoV0 zSyScx@bK^?O6MRz&kwo&!9MgdXFM{1mPDhhZ=K7%u!M%ed*7A6&hNvw=$ z6x?>`53`HLDEAV#pId?OiekT$#aiia8&Ia<7HSoO425-d80O}tlQEUGT?DHw(hq+C z3J3|f{Cqr{5n6^GFld68AZ~>HR}&z6%! z`dk+bf-$A$XV2ckRfe4e%0f63dU+~zKLPNn*5=0We=Axu^XAr`$U7p4?hC!)NIT{r+Ge1!7hxo67Yvu9ARI_$f+)J2RHNYS zj(Y2qo3rB4tpdbvIeJKb9`p*f zngx&XdL|9aY#-C!9S<>9w85YL9hITg{V!e*ERLAfvIW1A+8JbQ24!OehMvUy+}-d$G~<^IE8*Z|?FdN8kA;&vUT5(?IPqNH zh?0ydrX9EF{)shzwG#bkrvtfHzv&Y3Q%&jAJMT(aCC+{3c+Aey}C5@XrYIjO43R5*Qic9sa8UQgn?W$}&=ELfz3gV3(Esx-{L2i0e zKH~tD5n756-GsdqNb|_w`je>50=m@?Dsr#KZvggWQval)L;n{qJw)dcEckd-npP*&8RTNUg(>wsqZm;F0*rrp*%`Ah zKEkw^U)fa*|MLfx2B?+)lVWr~x|jlU*@?f0xJ)OXKSiROXodaW`1 zx?>{_c6pH!gkD0WBPr5%5!D01uj;-|K&UJ8H!EK9WMVSppU#H|Yfx=!1V!7% zB=%e)1u5S?=l-2jzD@j=D*wAtQX429RE~OUC3A+qE14eaGN2besB`SyjP?2)a z&)%G*_7*`r01f=xrA?<*CxIRhI$+~qvy=$)VL9&NDHwn$mHtglXCm6{xgyys>uBjq z(`OrrU4(jf&Y3dR!f=$ZBA;V{i;hGF1_pqNT@voY8v*C+H4R$H?uGOg zjsFiZRe;&iQpe`p;+m&tqE`?A!R)-*((k#<)y3r$7P4-L-QRoe@Wrm}l`saUQ#mnd zbYBs9*f7dW(95Djv!7Is%&SRpJ?U9}Xjpz73_zi}BmzE?OEBNJJ!I@ttJ3;|cPU4w z+S!&q3knMUt2qK7KQ`&(I4G(6_VYF00CTNre%kr&d2)d&IHz=!Gd(WZbi=g z#f6UO`m4`O+^l}KWa77*H{o|-2AqM<%pz^^OwR=DCk$oxUh-oah;4x#d(_>FVt2Ie@%ddpvn+_$(^%1I z!}m9KHVYIRW$Oh7NE7PaHTN7TfWn#oLtw=O`|yN2fFku3+K|POEgG+7GHXH8rh1~Z zBvC{a2-;;t!*CA7-~YVyNQQGY<591qElr9@D)&YL>;dw>p*1b$k^!3*u%QBsFNy(W z`(_b>^}vmwTVqP1j*;SjFnZy;ycPV<)tp+ENDZTk4o4*Q;kYwDR+I#%zFxgI?KL0E z8tF}seq9UYXCNw;ev~e9+40CxFDei?QnINWrETfVmV2l^+54^c<9&U-)FZYy!%XC- zj+Sf+{1m>QxK+ow)t>9IX%-^(#!5l7Ru&tPZ2T`29jST zLXpWqw>wd+Iiofo%6YYK%`#w(=>z@=C$4UM&0*(RVL$iqyL@;sF;MTFA-{?yitk#X zy_o4gaoZ$vQioG)+lV1Pt-Izpk-YWta7>1hhq@Le`ruyjhnw{`GBgI#z)F85#_R50 zmx&qp>N*=D;k&cM2GfDcpP8$G274acaFvi6O%>uDYI(JNMFp%<^yWV%OgsZb6Jq`U*0bKlr<|gG>w4T@w37_ z5BZJ+24N0?M}9aNHsUBJYIuP#wnanwIj}Ej-IbICVtqO7R~lQVpbX*8y04i$FM^P) z)vTC^P4{D`+gP@NMA>MyD@}Wxt*(Cs= zydFz9XYiVpw*-9D1V4T7pALEY!#CgS274<#0^|9=m@mACAppuhK8qNO(z~X%QHnxF z^NPWXdyqN2^L5b+|A-V{%R->JA5{Q+`G_Gxu~kR8RoCxOC5?cyOVe-e%uNEmBpxVk zh2jN~dIOq53Zm<6<=D!)`FhaX(&IOJqisf~Enq7v?F0=#^xJuODa~?wE@7Wny?wJ3 z8Fk~s1S1$h3Ypv}JR4w2;kVnbdwYAvN3+FC&5Ah{PuJtDaAad#sbXuetw6R~d}d-4 z-gm>E>8yNwK`@`p%sw2J>tTSPp2Sng z0MJk@F%m!)LyL(jDWL*S3I&QL;4q+JV(IJ$lU}nz{pjdu{9ce&wQdMdtrH1?Rrod4 zsc4Kd1l(D2yw3U3v;VUR0VEb~n%w7U?Wl}I@}HULln;~GEhv16z(g#q=u-Gzzu$HaGa7W+|A5=_YC7_7p^O4aKhedUZHdmjDMJ|C||h)ypTq z*8SGy@bcl)fhL+onOqj!Q20r3O5Ey1)WMiz(p@RMfnGeG>T^yI5x|xMJR0~EwhEzo z0IE%7Xc^QajV_T1Xr(n9O~*$G>^@&=C&ovz3X+-y@(AB-8=i)ccpi1QqT+Q`vG3|C zazrMzJL|1h@e<-zHR5RdL-KC*;0=$f*dXnk%->wazquhJS`01Q4_Q2JNx+i>Qu5?8 zTyKqoK1ypYx?)p(+YAg#vj7+YG8XHLUXoypPz|FXm7b87td<>|o3Ao|v35^2O>jV} zZjaDPJ!bz}Bo3|UB@Dr6EG*LF2+4BP%Lcwr z`>m(-10coGKb+0=Q04W^(J%2zyIEmAq4l_;lI{DR%<|{l zDL4@Le%aDISC0wz7gLmS$vfScVq8{WFnLg(0v6x#LIhgR>3B__eBz=p8El{^+o$om z+84;bkgWEF6o~qkB~#ya8k+^1g8tlr!2fFjI+RAcq0(!6KS0;lN{X!ejh8MdTz%VYZmt8BF9vS^X+ZnGKMsq$ zw=>d~hW!2ew+rbl3}gA4jT`stS09xBa+jRtexOjd17&FPS~>gvoAs*wAmXu3q!Xz!le{h6Q}%aoOK(@%56{}Q`0xNLF% zJ&MbsPbcEol4&uK@rBgyweIu=$haT10P^Jq*pNRXO8_N{@cX0L(aowuyQ0NIYWM3G zeq6=}LcP?gN&nR7m_fDQnGS8TnnrxdU;TGdrS%w4Rb0Me9aW&l17IB>?c)DSNvfe{ zo)xpWET$>J+{BYbMzdEKYU*JayX)Gq`d-PpLVwlRsF67Jp9{VLH0oEZ-_@Qr<-BCi z+v>y##GA@5?HYv4PshyhrCp&bzz*Z`%fYDl*vsLC^Qw%bB(wDQQM1_y!5|9d_H`xS z?%aQxN8*ylEHWajqKfLuQ4;{TZ;EkEp5e1xt4qJP*P$An8ZNLH<~MyMv0+-55H8ih zh0NeNAYv%l;U&y%%FaObmTse51xRALVl`P7L0mxG28c>+D*5DMV=Z(>8o~tC6|Yv! zNW269;#8qnfna+()5M|*?K8Psok*wF{ZK%0t`qESkqFHGGi z1E>LUJ@}*Re<_5fIpD}a849=^j*ZTHCjg3p|FV~qV&-KW)n4y4m@<^ksagKGUfWT% zFngjQ!3H_6CQC{>ROS$s(EO|NV^JQ`cn%f9Vvb$gfDsu8H2U!s3S;{{&*j2{CbIZ$ z6f|G_AXT5P*$^Q8!G_WJO5hcsk2tN*&lf`CRgX!)ZbcX+xRUX|L$@9v_sRuEEwP5-ug~ zwv?l(wccNMJQ%_a^-9U@*EPBXOR8%;==SWtnD_E2C2e(m252dHnwOgEeWLN{g&zeM zt6wYutE@^!!1a>y`ffUf%82EXJ;x{Cb~D?id-&d9@$-2da}I56R6HwMZiV+#I`OXd zYev}4?NvjwAUG#%^CIp=a7W@w-qAx+-1B|)7eU3gTVvt34;r8eh7jOC9y#P1hkw@)v*&6%fSFWG->{L8q(b2#Sw_+ zbqb;idW6>|X!l#y(|X1_GrnuB&P#P>aP{@|zkZzQu6Z}4b&H~dKTSo1%TaY*k1@7x zhZ6owPX1RX2KSp-HSIJH9nKU2VRF$`RZM~pYc3-b6UpK;uf8I{DbO2v$W@tT$kn!; z@Bu-Oj*gC6wqflOD-iZ~baXT#qZ}e{gjlRbKfeDnAptUO7zzL`lajCjcvjV4rn=W< zN+=BQ+QtTsBg5=r7jt5Q%CW>iecB3uYuWu#&XP<6A>HDKNOKrcUWZ@u7qhjhI@{*} z=!Do>W;-9qb`sU^(_ql}^~q-2#VzlBfEze3XUaM3aA;3x&@6sxp*|u`bhNX?j1rhw zYANOpXaWbCA)8Eo=ZaWXkl)e8>Ca#m@C~ zDY_(Kov!Txb{_A{f6-*%YLQgzy}BDpSrE~o9TKNjFd*&{n^k}!vyfWJ*2fe!t&yn+v=mkRJeZsL6))W6fWDiM8A?8U|YcvH5j z})B0>67-gv%WApE=GZ=zFs6Nbg)f!d|%P1jg5pBD_ zjr&bE5d>-FzV|cii$~mg-OWtEKj{M{X;9sEFx6Mqn9q1afGhdqcIwd5tY(P+aOjGe zh`)^J&1h~tY*6j|o(#UtZGOh?KrezdBo1*E%kyER-6J>2!LQneLCopjF zZIF5zkSk&xqykPp%q}K&_sYiZu1X)y({p@i5=Xz)Vb7hPS1jr_#8Rv7WT)A|E(J&hKcUAZMGFRQ zeAnWHmY_rtwPK~coie>lh;2f88V>D!RXtg#iw`hUe}YT;#Xf~Xh()}oXpzx)D4;g> z*dGOLenzCgAi@fvlM(duCpAV^J1i3~mz*{iA2I9IK4@1dZ(<|%!b{G=kQF9>xNE2gm18Xb5^l$2G$t<08&|)K=@F z|G3m#`Q9*lD?et9F;QVgsJOVCvmeI!-cmw}0zr=gcl49=WN)}HQv>tMr>lAe)Pn|Y)cUwYQc$6APJG3p5By*lad3!mEigof=`@?^ zILXpYbgiH^Z9logNBoo!6ytVY^3yF^0nU&%wVYNuhRf(XTdjS#DLERkpDE=PQDRfI zB&W-FwsBFU-_I)}Gj8Ek64EXk_&3yWF46Ajso|=tW*_ob;Udxq9KfL|5ea4;i5<-* zks*SdGo7r;QTu)D(-a@59@W@Rc!u{eA%c5NDC3OS4YDT!_yy9#;X{RLd@LB0!(ccM z`~C(8w=-wiyFdqykPgjyl%-E1A%TMIeVzv)DI z;DOx126Sk0*+hwI%qjK9gL$?-ELx?X(~2Eg9vh5F&Y<=rg*s;<>==vz7o3C7)yP4;6c`04`|&6p;eE3+D`~=&@5l2@+6XiRqOUP$Y|BbpXHV5S)_E7bLVRx6 zh%kRy4d9Gc#UAz0wkAq6wQ1QY1l#Kf3rFs!URrj;SJqu~4|8jV3{3;XmqUaN5VJXGZ6sntDHP-`8?Wo3HMs#~A^j`(?pR<2fNDdF1`_|Akepzgu` zCwPz_?}I4Xjh;ejRv>-}z90XQ45l&84RhrG*k%|IcVOZ>pu~3}8J=t_1a6$ySKhm_ z0MTeTrvn11D(u`1R{x4Ke_rzGBE0gr%JM`Rp9-52j{Z`)Sjgi^1ScOG7$Ni4A2e)X zH(6fDk(3X7g||a;1AQb+!YBPs>0aN*6o(7APPQ`+`;KpF24L{?L8{n2)Zew{OZ&8S z&|((+E1rulm&5A76F8U4(ugbkpNh1CH(6z*(JBq(tEw51(_qw=d@59k)fwbXK4>;Po36&T&6-i7{MjcR{^aB<}^tVjlR6Oy8z zb9#=QI3gcUQ`>i*SFblymUf?zTd#%)T~3oyQg(m`QvH(Ttjq3hZ+omb#}Y&TZ3=}q z|IHjg1vTylY%{~{SDSm!ewYE1>~Ye)D{;sA=NT|*{$-fAUUa=&K27XvGRqH;Swdf5)1!% zD-;!5w`oE~XOc;~UuuXi)Prv8J0HtkCM9;VK+{M`^k z)$d)jGG^-mwX;tuw(KTRZYGptwXgW%4jfhe()-Bm3{9v6_6V-j``VS-a1uIhC8V@{ zD)o><0`Bsk3`!NQ<;HA*d%#SdQJ~ZtCY^?w7lRH&XapN@=NJ$tQMmm^69*hVPe6P- z!~obKHN1dTRwiG@d4DOHNSIMzeXrut6f0gZjsF32ESHfdGa6diPvqK+->mJ=&gJxl ztWd4|Kf-*K3Kca&pee=$nCtsy`v!(!oh>c_rvSDso0`u=j()w(fec# z?HMD5!>0r&U}tm{K?0Z3IxCK2zA|sd{Ja{t&^soq-pwYwv~7ESV{%Odx}6xhKA$uz zCliv{AVeKw#BsLSHkt?p3B$|;IgYsSEAv$tRJH2SqudztW{W8LB*l+ZR1kOXbU*Wf zp(QC4*tRjwjPhm(sB#dgk%tsW1%`^8fdv%Mk>VMystjp*pd{He-M-Ef?h9L_!|Ruj zfUARyDSV^d&qiu&*-*#3RhsS@dmW>ivS2E>u?jk993qX^4xbFO} zhv;v&r6R?w-rGp?b8`}aMri_YfE>ib>Sr5pCQ6^Mcq*-^h^$&zvq}c`(l+_HZBgeG zIms*M?hhNxO_mVxb#7D$7@YvQaO?N+;3p}mBR}?ZDJZ0@JU2H$ZTg*5UdfW_A8_T7 z8p7kapk!0(wE zQzp;$kYF_8r=@w0bhkS*1_l=okEOlH(`ww$rx4mBks}f7K$>rLTsiX7JreF}-*!{GRE9n)JL;=~it1OgCtK>c8G|UgVo2m@q|)5MTC`evK^R&ka>$fsTG;(c{wJm{EI9y#9vf5YmL@lH~5_+ z=iFSgJNDzx%~&up|6AZW%G{$WebtwX8(hwccqz|y$k(%asLB4uExRj$T~xs z%qMFTwJ&R z{FYDBHZMv6M6hi)R$%W!JB7MQmwA!z$Emhvw!nF-gmANiL9@*kVD~Hy9P$0pa)lKr zg?>-YyRgrY2~EFHJ`aw>VBarW?~f&W)V3ECP@LQtpPX0`J3zb#Mmi-CC)gND*+Ya|s7!5u>qBouU1)}P^%Nph;x;D>nCJ4$;Wwi!%+5S#J z{Wz3&eZ6?t?~H}Y)z7^1s4~OLj@Onus}&NT*zBSi<_V%pwC9Y`UXp*f{lI&3TJPWM;cC)SX1EJlqpU(R z>5cRzC4b82C9#5TQn0_U#Tz~fs=Mk--TGeafJOC9bo$|x7up>4w%dHygCk(cIJ)L% zm7@8xr_R~4emC`Yv*cv-f~fSJa_|WhowXzu^(b}hxGV?JQf_<a0&FJV&_Tm~o385rQ@*nhlw z9+K~jaeITeLx#GOg=G^A*M`9nQ?Q+JqT;*Yp>l+>MQI`#=4IlsEjw@E%!M2irQ+6W z4qS?GbL<;I8`qB=k-Gbw;r#N70O#d&kiYT6XD4Aa8lz{z6rUGAm9|p_t4f<+%1bdW z0`gnd8!*Wm{>Mm*g~yQPq}sJelztsZii?}UkuEGhS52`C%Ot67R5Mpq8uD8*6kRcB=C!GSZSDdi%wke7@OqGTL z`M@j8JXHvRlIa-Dbqm6MF-Q@5BJ z&uu+wqvuU!%=xfyTKqB=$za)O*>AUNXW4=UKAM{>1hj4PfnDd*Q!uelJ~yxvK@a)1 zuyJn-Mj_uL<(W!5hnpJ0xYxzF*V%v5C-tt6b|SE*{as^>^rvpS;{Y(RF^|byUqT_I zD(zpa%Iq!Lo0${5ArF8y9Ebw>OXRemZ&AtG<-}0#W&dfr_YS9}T-sfUw3-f)WP*<4 zn!}}ag7g`A{K30Dpd{0UeZiX}p_W7>)SpP1w$_B>Eo1W{{S>zCXe+g5Bkt-7dT5e; zXRZmxnSh!y-8t(HLV0GpoXy2JP98cUIqlu*5x8vOl2Bl?vi5?UYJ7(X5CyU7k^~%# z7%Ff(hPiL?p1w3oe8V#CHI?6Ueq7Dy^)!ILYuddxOPE`hDIz@UWCe8d-2uT=p)EoI zhh?`NV3^vTg*v?6*upId-;VVkBA#!vU{8lv2wt>v|H_6>_sv31#0f)1NGu)OKClQ{ zL+QL{1B)cQ@Lt}KB=RD`RB`@{iTT{sW$8nxr~ZTqdvBS|rM;4`;bEQiRU9B>6ZmSY z3u<&e{ZI3bB4oL~p4cH_A(@au7fVk;`9$Na>w=k0`d zt&_&zme6q65tr}sg`@A$3J@`xmQOA&5Dz{qqKqCqKJhbhSFHwxEkbDhClnL5fO%d; zJjBfCuRH~JE-cT`@?$;mdp7W296(E4ZK<#|R07pn*&7YF_^Y+EUYZ4~W*Grb|Jv=Y z0FRdJ>6f76J7t1kRNiW^RS*43{{Tj6tUpP6fTU_TJPNnVV-MTKKTXjh+TLoi`ARNcx&{U7V-YpDk=WCX>Ze&WM3 z!BFr3?G00~zb&MA0^^v+`?vTDn3LRyhd||;E*lILhGaH;WWG)1Y?Umf;XjQWhc7U5 z#Tgnl%-D#uxi1kxf;^;{2Ta=CT%Ov_ts_k4yH_Rr!S(Fk{nH z4Rf^aLP?g3>Rwe}vU(L3ZV0|41!Dt&<>PXBCWPFhC>NiLLo~<>%i+TXDL_jj^ z+5eG`QRz~{7^5-9rJZkxs2U$Ep5Mdcw%JSF3hTZzS!E07kC`Nz?fJS{>ZQ`w!pU!^ z(H(j=M!Xc<-3x-MP|Y@{6iTU8F+iZhHg1}Ac_&=?=&`(VT*_PZ_tX$d`nsVpu0msU zu-^6pY#{U0Y%jxiFkBhJt|$yA+wM8>*&dPJ0}1~#3Ka)>(X}XUnBZaZ=2FE~r~sGR z{Dr@NX-46iq*#S2qre+EOwm$i+v24d7cjYBGgPdabeRmZ+P`I^62d551n-;z0Ymo9 zk-cNdj19u6nv?zDMF%FK12g=SwUCvN%B=>J!V>3wWXqrLCJY)j!GgF{e6Vhcw$q!} zhzt7n#h*@2H#!9@-Kb*PFS-apd)^S%ugD_f&vxwTzZ};2=`0>;b&XxSZ!r>sxYtb3&PO~2#AjM%&6(*g1Wf#y*_4`1xGZJp+M28cz69eY9!g>J*(I-7N-F-=s=Q`4tD;kneEJRn)fT`V^!`Zv-FPnwo<(Q8HS_|6& zPdui|2UyxJ4ke08ThPEpRIe5G=(nW{YFzou|7!tsICNKXhH@9X{KsH!>Xxd)oa=C_ z0tV55VcNq(X3DzJgL-RZCJU5Wpw$$|f zYsCf%d85NF(Q!>MYAW6+90(tIIE{Wl^ORqN6uYC_PL5x`uuHz;FKz*`Ej!`6K>nuQ zm}4*Pi{^Hv)+4taW0kg91xyMkZfAxmpbm)h@eSKFK@ZY4O^c(odk`yEGc&U1 zct1FF({?!`@J7t-3j(8W_CGkGt5HpPRt-yEsjjKs33&f~lzTk|jJ54H*B@oi9x+Uyi?kWx<$!gsu|(V2sXF5B<4&`7H{11a1DAPoS*0 zn=5N?g3TTtfBvoFe)k>LF=bP+WqCHJr~+}I0!H0#LP|}ZGW@swDo9IXFkeeRS>)%k9n9{ zi7_{Lx%qfeb@c<1wn&%-riRm_9{u)@17jlF^nx%boNB+ZNd^n8 zBq@ar+=P7Dyoo&-hOgY#6?rR9;1~3N(z^rtmefn?szKcW^#1);BA(Pun3-QSo6Q+(%{GoE|_(+RrTDp(Ju%T;L=jc#NpX1%(<*Xg;rAQjDZ|829?y3@5v zw&{8tjhoad-S=;oal(Fo34j^I2Pj}e#LKFZHVSe)kIOr@gFh-Bjl0jFvK+!?g&C`G zK$1COYKiSLN(J!fV)2%k5`iE(?C(o9st1&i2!TX>8D>b(A?%AOX$s=`YWvy0CM}Q( z{AZ*u20P;P6Za*qeEap3$WoGS$wB_`OD7Pg2wR&-2T)1z*a6C%P%pJi`u8`?J%qnm zL`b(t2jHe|6Nl-aREp+4D@y~N098Cr`~cyjd1Bq50Ux0a|DzqH&UpKF4}^~7K^6&# zSx43?$tl0%PIGBTBuEH{brlqj9=CV@g#e&_k^4uQp>v?dC4Yv8S=^$eA*Mu#ZvAG; z2@#b9Ael?1#KFXar;c5k7)d60RqT2&ZXg|1e0T`(M-X@buJXSOfU!srL}I~61|y2k zG@FvU<4&bNFKv&6BVGZoHVmY3jh^ul4|m-yuU$C&7TXyG^1egJN;toW3(>OOr+T@J zLG>&(#E}WkrVesoBa+9nJK5g$U+#O8SUW({(n9K>pw_`N-K+&z;%Qa`GI>V8T8 zO&{83-ScxAR-nXReg!4r?E7m*X_vKnF)}+&N@LT z&k?0PmgUrw$N(oPMnRGU_GAWOU`9YQrKA(9QThrDQ2k?+f~mr+dIG>pNU<^GTYogp z{)7Q#Sxt=+w9sGdsXi=fVGIeuQ-JFUBXiOb|JKlJNjkd2H@9bQOtzaeDhUN#wBWS_ z3A<^tNj*#c`O2vzuv7nK{)dJld`}i zah&qQ>SJwTsD=0Jhnr%Wk}uhDFDXKisV0Hh zG5>dz052gK#6tB1?S20`IX{Qt6f1!Z6YQf&RS-~>`V$BldN5Cn2?OX9crRM>oKmPG zLFo&;J;LD+I(0%Ghc$40a-;59^rT;$@G~8tC}Zik6xRoExevPT0=9L_?OccygnkY_ ze_&Bnsll2C4~00Tw;5Muh}d>UuF&uf38)y(L6eULL_Fs+(&zryt7=In!L%jrVSsf? zIjjoYyC5g8mTDaIQbd)Benm}i!Y34!&8ulq>|YnFVfoiU`Y<6~i(=1a%vy{JmN!2H^tIM zA=S;nc-sh1^F}G>a0rs1 z0c^3I_kF`}`TCCvAlD0=0=z*)t2?POH&}Y_X?roMEq~p@VeR4eGJ{rz(i+{uum$5_ z-O4ac$Kc-&WDLMHbGwvbfHD-6hSdaYmhRMM>+62O0qft!CxJA_ZNK`|9`KPETG<#1NBy`4Uk-)nP_XT2>>#uvImm18{FZbB`nVt64hRIk`O zk@d3lqIv11Ez5*#)p_Fbn&OI9%=@IpLrio{8F8L0PNOa6hzl|iOMiMdL zW*GoW@@JAw8-1iVgf*>Qr;j+!9FCX6u2*Q5q~n5=WtIuuLQk!zlXa04$5J$nLallb zgfW7~=VPujLg2{)pSkd47fqQYMt5*7PrV4oyPhbMCiUQbG?)QOttv%{v+9E?X&g7G zVobFeOw9>PiEYE>+H_}JMWbHb39n&k6rK?JW%?)vK8%RYppnS#D>DJ>8&#k;sA+r( z0t6s;Cfcp|`89y_Z9h{*cN5y)1BbIs4J>F@*5xF0Te(P9gQ3#$ERhgYr-|g_L)qs; ztbIAkT3|Q&iLTrTzIp>b*Bz3}(jfft_4|BwHwi+F|5(Uuu=rOUD8(;0ym43=3GC|C z{CGnp=I9|*01riFDu#~n$7iBU=Vzr?AwaQavTsxeNvo{?BEnkt0+Q1(&|d1P+970h zbs1RioGD1eIS<9B%>%m{Aq&q0R9C?kHOw)nSRD{kX#tG30XQih*V`5Fj##~ zp=Gdpha{Y$J}>o)m&tw4Zvavd@i7^ z*W`FPbur$c`ag-(wm7a(H3d{6Df2hAw3iIAf^bQuwB&0LNSz_Z)u2s{URizsjb`Vc zQb|GwWr%+>q|W9&ONs8?FW{?c|Mkn8M>1|iI7{cP8l)S=(E}jB4bP_IWK8c{?hyYx3Rw%4dFUPKXms z1=AKgGm`6<*|L6PPPpFbg%=YYJ)M8TGPQcn0XzXF;MB2Oe8FDtdSxutp3~rb>p(c^ zf1k_T99K%|Lu{Q{Iy?NZcC-3v+`%1P$dMd#AhA8J)**$eb#DKgZ$tD0?NCI9kYuiq z;F&PX{RV%?3;6j?p{2>?V3KL{$M22u4ZYIoVQWG_QN7`LQvKD}cd|+C@7u$sh~+^B z5_VygcRepPX=FtOgZI<8(WDns^%rNLOatO8@8>LRxv4y0Wpf63J`84ERp_wcTWQc; zGmCgA=;{+Z>aN(ri#`FVmpu&$b7^YjeJZPj;UPj!>7K@flixbAL#SFMk@YEHRb|Fv z^#O}AfbIsk*3gv=|DJ|l$V5ZQT80rEQ-U0KG_+&#-PXgRFxY8_8&LqH1+fUNAoQYU z_?&=cnjr6QPQk7`nu3`a#0)Ie$W5II0)`X~nej)OvI!oa57J%=+HU6A z=NB9omHDnC2tj`|1O6YLz5*)CF4&sxloF&HMY>bE8|jqp?v(EC?nXeQ8>Bm=8>GA2 zd%l1D_pbFWm%Q(D&eL;d_UzfS&p?X}^x;-ux+r#NfbU)Ol`tmW<0CebXrR11HM{{4 zPXJ|Vx52TMBM}2;FfHZf4#_(Gwd@Lk^%@*7GeF+k)~Tj_LAC%PCEWN@wyAAHAR=yo z{F?B51mQ-~M^=+>)`!pW<#TvxG0A*B#lu-s7a{~kLi;c8%JK; z`SHFCp9n+qcsl>4C?Cve`y*YBGRY@wB+6~F=Ip);&jFL1bb%NV6>WG9%;Xi;wKHG@ z^6WGaRL*@Lh>SFSh1$D?zbes1_p5q=lMZ_2aIY2t?1X@{U1l~DJ_lLs0$TrYI8uc6 zlcoa2kJD}sCbgGLCxwGsSqBNPT%t-4gQ7k4GZ9MU8HYwSE(nHQs9*;d*SW7KSZnFO z4a41OkDHX^pOh+?mOG&_yL7j??Iq9tIg_-#>yB;pUzkAsQk``6`T4*B95Bi+YuFFy zeH@PTm9ZX5SM_D8za1>7;9-lxNM!zzJy`c4JXgK9nxssU**FIiSH|KXQOR~ZgBO4L z&JDDvtPk1)$UQ5r%4xYGZMWzvxUxOWu2A9b7$B7W%R|!P$e)4B5fBcydneoUJapNl z%$K=UA3X%+Cz^Dtld(KVRs-w%Ogq*A|E0h`9?NdDQG=SC*SN$3O1TXkXg1iGAWrZ) z2>{Z?m6LMo#;niS=r?ch+~y2Jf~O_CG%l!xGZDg`;UY2j#aMpwK6YDGH5vKa4>Q^Y zeLsH4yxU`^jik3^%qw|iyX#0m?~Ba&vpl#=H|%7L&m}vZ*G5a^NsUW6xl0PTeRP<} z)1vpC1=gBR=qd^OLjv>iLQU!9z7jn=@&1W`H^)7|Lz(j$8u^obx+4}CZ!l(vm0MC4 z^m>cg;Fh1x%cO@6rAto&vFLCQ1=t(iCP%S5u6*rY;|=Dh ze>x#0;NA82>apCjV|_rJ>7F0Fo)1qSq_t%o&8_aU0a@9D2cmycuPYq!al6;^nMkSS zq0ORE)Sh>x?r1nweN^9eS#IMdnWgLEe%HU(2whR`ik)$VpN>onKK|XS$0NWajUFp4 z)ei>XnT*bP`Rmn7aR5g?BDnpg1**wph*iokHyRK?DI>@=Lt}7FMpqYPFKc7}%QB{W zAyeZ}kY6o_&=FrImfu4-gmZDrt-&562q#!m4ASjpkJMivg*X*Ny2p^IZ1jKtu|-RR zTG&=b9|ZOnLJYA0dZ<q%u_VY*G&Qa0PfSgolaccs2dHEH- z_+gSc#glMGCj4Ot$+t38IiDD4rJ=kpmq^5?j6&8mV8L+1*j+iIXz}T_wd^MOudm8X zN`eF_`Vjl>G$6_#(-7VL@|xgsW%=K_*)01wDTo82j!m~H!@1#6K4g!MN_Nkr1r7kN zQDCCx87_Hf+QqN}Mj-O$d;a)-r;@OQJySZD<{k~$>YlKKD;^k~9Sz3`iA|P$Ph%%v z#*-R;8b7^Xru?fwLVJOu$IV!fk0ztO_h9C|-xcKJ`OhO*3>ac|RI_eoPpG2hqspe01J)7Jk9;4dy+~#O7VT#DZWWXJKA-Oxv3Scue$K^~As~@rZcz z*sDbUfElQOD`WTk-A4@CVPGFyoGjJT96Rmc_;%xIf;RJ0$V`H@?m#UB$*y7>*6la;0#`~2Ck z`+!M0IulE_4E&DUS*(aze2ynQ_sX1)fMr$doDPR$5}arS`9)KXB1swtd`E?7>XLIJ zIGguJCPo)2_?+2c< z802FCd-J!UUL3jDkO>gJrlt745`>o$PaT`3d;&lvliIwDyj$x`z_hGIQp#kYaJeuz z#}}=>s%c@1vN?kHQGXEJeez(meU8}glql5c8EfWg{klW%vO#QEuxmt_=ku zjfR0Z^)J>ufT9NW6<#Wxxf7Ow4zz-caa@#I*{S2(cexfI3Kl9rNYTc(PM zHkhi(K%jej9YFhh3(*J2FkAkf|fsgp=EHO7^N6b{clOi z;}^Q?jvfH(s&tp1Bq9WS0SxN%I>DDMbQpitk6)G68=Sry)Lsz3dPSE%+icl$9WMgH zO~0_-5%&cj^Yj;`P6WQxyt;|C-9|74w~&a99d5r-l@sTsP5@ktbz$D1@LmtcHka)@ zPhDIpAiWRsI41!Xz%8MBC#^Pgb&bg-{VsHZEcGzQw1lmUUj&T^v_vD0N#%3*1|9Cz z4A_}w=n5_F6?WAQn~TbnP5$@!1=`_yw)whG#}BeQre&vcNL(~SHiw0mKlpz;$qH$w ze8-KD08Kh-o60)W888b$xdT|#6v0rVwnpqK5mC0~&cq-}h3d?=RJ4Eh4ZAG&dr8dv z*Jh6AeiCnlsLewhj#>23pPes09hZCkbMly6d%CR*4+}GVzs(i%gw`bt$YO)Ji;~rD zFYvHyds#ft_p2Fy^|zd&5*Bzw3yIEWIxFX=Hm5lqk0|-%k6Le6G&gQJx+JIlBV*R@ zMJ&Az%m9-o9F(!vY0!Tfp+E%zVz3X#f5aWa52cr9FK^Vd%-%1bueimBjfje-W8CP{ zvtEhG6179}dST7a$o(cEtT4tkme4sZYs4EOmKO6vx>mIHn35)7|>msiAN; zNo+9!fjeAG%>EsR?ZX6l5pZisyP8PN)Dhe7 zoHL~)udq>@4>YV;qhoPhC1rm1CWQslozw$Ryn#J?KguLf$kM_h-+fVn@I$JHIMhUd zE10o%Qas!}?Ok0QFscQRc!*Ltz|RB3z{^?%0gl;bsVhRUK-&lj@84A09{1MLEjJcqafF9KF<_mx9nljkIy3fD_-?n`bB z$D{;vWIaFq`Jc? z@s;e%C}W4HVOKWrQK`0<7||cISTw1np?^TUR*Xx1hfxq}DyG$j+=uBfH9WNQA&v<3 z`Cb1=)2Ncol5QTSK`l*oP8nV>m(#C@H!2EZBV{1da>q2 z^VQn>FIlC#NfvAbb-u4p?SmyHOoF<;*uZ6fwWw2}|IxCSk#%0A_*s}7H>r;qStv=Q zkz5%;C&wG@v0L<|ytbWbg3kz_;K*zv6V{(H_e+9;f3%r9u9eIfl2aCU%@;8-*#9sF z05X0{fX7O9cNE(_flwwZSjEl}JDIOjS(e9ex3{LQ>6YCI5rrhgg3JvQ!FK1~t;(xb z<YjdrNHNL0E{ zg8wotC#|JCzDm&@30}5Tog*ke81z4;=AE24#fQnf01v@oS`2S|5%=)Iiu!00i6jfP zAPxMB>#GZrY8VSXmprxQb+p*=US{;a4MU@QLXMb5RKHC(5+B}vm!rN6&YzLKVuwk3o})A)j>yRW>p5FCeQ1uD=Sx%M9Sb7MWOO?}ska%EU17f<95 zEF{Tlwy|@9pPO5MzO$OtqY7o8Ou42VRbzv|_-?YtQM0b= zU(k&;S)CRR4k>i!wQ@5MqY&M`HC|6HE#kYE?YK4vkAQ6B>0|$awH=?rbodLI+iTaO z=K6V}Y}NqBU%aDBBEc-23?3XTKd-cA`?o(W>-uX6_s`AavWeQcIS#?wuR({OuybIh z1N%f%dNETq-OYmz47POn$qNDu3rRNTE_zsBcO9fb`O{Wzo$#e^fXOBkcmaS>qpk4% zx24`qRlsyl3{Z*a+9vxwRlxZXct!x#d0(($QAk$wepLe)b5Mg9prpp%GnY2sTY)r^ z>}6EXoL8O!krMWQ(X{Vi+3$KIGbMb?@eX(ZsvhvZ`~-&M-6aMH{I=eo$GJDQE7zhy zppf_>i~e`{M7BYq0r1_@p3wq~D0BMrnjXc%&Hj;rJPRn>K$^eQg2xo`O8-ADfPIY7 z?!yWxc~s*$?TFfT6&1p>^?xz->H>WY%EcDxHr8WJyj@kG`CK8G3E%?sujv?y|LXQ$ zLR6*gKM}Co`QWJu{9P^(2nz@Q zTBmoNKzBC0Hy^>01nWE50f!M-ZqsQX_*md{)KIHxhN}}hf5Z(!HMMZ|*I%r(x*X7^ zg^}>XzoCI*!`;pJ02koC1LU+G#L1TvYam?<7uy*VXT~CfVM7fn5*Ec{dU%|%LE$1l z{IywScFgyA8_BD83X{fuO8I+$FUU9l9a{k{P(q(^WFDRts+0v85eAC&4F2~19#p>p zIPpx7Wr>cU>v`>5J~Dd=<4QiEOVDw60o>sNTGLB<7^OHa6L;#Nol77u zx65-N2RljS=#DE~a>~LN*~8!U=z=yG(5RdRlC*G3K4W{QJA=etK*^E~SJ{Vt_wI5I z2T1^zi~&2ZZ$Vd{4~v2UH{QcBAHqZXZe|MF4FeA;E-h(URhBv&8P@#5ttqjlBe+>n zaj)h1^ptIGNj{WAM^=aSi#PoadcTD5Z>}omZFo)$lGt}7vC@2+As2B*7p3@>jz{Cfe?E9E_NQw!=4}tdi5RWU`<^OVWXE#YW z5^6gB7Coiyzf)eJ;sy&#z9NYrP&oBCrs7u9%MZH}&1DpRrk@=|+=eYbi-BSgJACFJ zuV2K8prnazqa4hc^U3mHK!jcK0n(Dj9GRuRh}2(vvSg(vsRa7!-xoc*e^ekRulys; z>vopagUcwGI>T3L^h2GN*!N+Jn|DEH6QFE6S-ICq34w>Vo}~tUzo}jO97x|ydO?(4Sk#V-T%lT4W))EU596jd!MGL(3bJjEWr7xH zZWvm+bOn$!0mQ69l<+=MuxVlF0Adq`tgxtC%^CJBYw!fGXRpQ|5U#_6Dy>@C_XN?9 zb$Q5IftZoFpM~Y3Zz?E|_y7h4(gEPfWl-TvDyQVOIMgl{hAsZc`(sVO5oKV+Q{xF; z$cm}gjO07O1gK9Ee;bqQnY%3E3oW@LK<>Za#w1ZCAfkUAFRRFo{aUF;HcZpaj9JFk znv^2$stw}yVLp%`M;WjLY36h@9!L!W%r5B5T68cp3g;GBE>MZ}t{^U{$b+IYt4J0? z(Jrjumm@LM1Tzz+;G#Q1$WKdLYdyq$Bap^Ot0&8%9 zxS4;w4GFIIHZp{Nco#p)%Dh>(JCS74@g~131eJ_hH~0GY@4R+G*2WMHv8Z=$P5Dpl zGI`ZyP)1D#2kR>s#0O9diir1-3W3^+s10hd58u_h&wP>FBQW?JF}}y!j09_zfXtyMIS7Z zKA51|+b*FvC_x8NMt&FkN3ibJ_+1_PEyYJN$fr#2)1&Oo+m+Wv1MwGOV?a=#{6&7G zrVHV8E_5LCsrcrEvSUkL;RhVVj&7SFZKe2-jX3=Q)S#%6vf6(s`!A5+_Z<_=A5Z!q zq;@*n8!;QV3PE9656w2vbmcPpGt=Hk4X?e)pcz$1YrhD;+AxLIu}*sWjLi3CXd2c* z&RIxZIp%UbkgG%oR9tPdUb|Bk2!VJwEO_cl(kBK(q5_acQj6fBKSN(_z z5PI;va`nWpDK9rA)paJJHDFeXPuT?Y&_=sO1DQqLL@MGt^6h$%CI$1OshqzhxgrQj zn!1+&JYKsI1qTP`{rPg>RR*kmsrx`5@u2`(t8q>Oha?<;TR{Q2*-sJxKr!7C`>$)z zJ(kmAgTwJy@hR|PbS}n0@B)?MHk+E_Gi#){qp6IbiqMH=%+m(6Q}~)jXes1z3k9-( z|K-|a!i9_HiwEGxEgWkj8GZeNb319g_dG-1g^TXuM1We@$=kz?rXkKux!--eZc*_Y z%;MI3!igec(vGn?q-4X1D>Dj)xz%MD!{$SBz`+Nr4luV%5(?NRR*q%Ki|(R(+-p|+ zB*HmTz(X}Au~N7^`81LOYM{T7DMXn4i>myn0rVBRA0j5ZmlL(D{C2uO0I|j(WVwhclpyUhG#54#x zdda7I5BC715}O5e`XL5y$c%)FBc8}7nUPy#%7&VnAg}S+PgW{tJf0?(jyuh%AXA4Z zbZEUXRA+BJi*pu?ZJ#IG)(nYRe}*pS&&JXm1hw2di(DQyA}k6kk`A3X0Ly)JV>|dL z9gq_aM|;4LxIlfGCD-cN7I@Ib8&>5&emtcFCTLd+-?yHo(H;}6(I_?#0VNv~;b*E| zuHzb|tdcj2@23aRBzNfgoYJ^B1dKY^pnf1q{}whTvQ6~B4Irf)HaUuShtt_*bHQsU zI7%lZ&5;@6iwrmYhNX11Btg5$G7R!&M(u&3LUA6rSYo*7&$PSgK=Y8P|9TN#0J{{T z_|L~v7wSYQLaw-u&=wnQ>N*8CH%^cvhakm`%x_>%OghMRTaW+OxMZzZS*+>KMHn)< zKbmmJaF+#9>*F=RnO9wn8Xty@s(8XZ>s>NZBhSAN>PCPV{OcPb_vKm}t5i-#W@e8= z!tZLp)Va+1B95eEMjI$y_}^!NBWwT%&s55B(s?4}@4ivhGX&4`o8a99Z*BF_*)xgM zd}F&=RtG{5Ka?Rh(&r>pq9`xU5Dj{*{H!)P^;sAE0bUgAVR>WZBZarHVy{VV{WY(%j{ThL54SMhwpoqD0y?a} zCKl%AL{(H&RK1>}yrBd*510CXku1r*Wnmpya05kJR9#(Nh=A- zg4tEgNQBV3mD8jYwBRnHw-3C}gmY@i37lT(MfDYsGHxQq&tB)KRNEgJ8Dn9%M(OmT zX6Gpm$37Mn+8%hLFCb1WEJ&D`P;vK19$N5=9&Sy9O(<|wN6#JFa}_v4dAB>T@`y0x z=aIA(C$=w*npeM3D({o_J&%J@E~O&8S*=$@?tU3A$Fis+5gg2#$Sofr-NxUnlh72l z_O}oev?o(%cg|Z%r{v(^BzUbf+Q0-VJN}A^K|4C402{L==fKq)QvQYCgOP*hXUVyPNLTp zy6+y#$1r?)UM81%1cy>qkzkFSV-d9ai_`;kMRJm%*M<{4Yv(hVJI{Jwf{hJDSN{Wt z^=2*6o%Yr!m9l?T?<0-jv5gqQcdb+*1*iTpucy87Oibt;rRwVHv=brvHB@XyzUUAU z-m>+>Z~^~36&LoOC>Tj)`R zv~!3~E5TiTS1XZGVi65c?U|XGDZ9azlapiPd0g$u_@wAesDhlQ1uBHzQw z(Q)dqsGKEReh_fHBNvWMKm{NuL)9mxpnz`B;?L)in73kjKXvFl^w%*-+CYfSjdJnw`TS`! zP=q{vz(PKIFn;bV3G*{sGDoW_I8x zOGkq?9j&IMz85=8ma(yE;d}{2;wMFm8Kq+u%Iw3XcngKp7T9VnF^N-#pGbSNU%OIU zA{E4omGkFK6*Y8(U2VxMb>Wt{AB#S)fW$wWy0@&ntpH?G@Oq==Onv0=Xkz0hc2Bk^ z*_kAQUx!QPE^t8k^T3KbOgb^9*n=~eD)PXpro?>W-Hj(dj|k|{LoA|(<`GO~ev3c! z7YW7lbIP6c3o(snHG#?~W@aU&_vfScC2k@l+qp^eO5m9tj7|`gXBD|2C}3x1Ufx)G%kI?cBAz z5pzk4*LWmumJr<>O+eJ84vw zbd;`9z^dK;`b?;{C+yqTM$c_QMGy^FtRNsF^QmSAx-rtLlPyV#Vs;c!X zBx{J<^=8h9$#_bqCH$n<1Bge^rFBrwY$SiIE>ih)nDhxdNJNMy_T;yLBn_ec?3&`h z_FuF7h2!{Ay5SKbzCj-65NpS4q$zW@UqtKQ87R>Q}hx=ib*xT0B%uHegAdtY&6isZceB*DLot?!eL^cL1uj>A$ z6R#s*0`n=e-8vs+*z;K%BmSRK%D`EpEk((ozOA6BxS+TajJ~alFD;6~v!Pq|?i%U# z!UI7{Ho+qCKQC7Zp7_HXaf)pgHylQT#eXIHL#_=Or5-qt_@2PIP|wZR8l|o}5k`2$ zH0k_ORgJZ8AhfQ0Og0mnOhGqlY&dVM{MHD%h4tsy`7)bom#k@v&Fbe8sF@zz6TXeV z_UiHSXq93JTeqF;9yG%F#+Ek8sIK}+j5)2o-F+(XT2q|L?rA*A@laj-c(2gY!2ame zUcySL*3fVF2^)7iIVEm!jn07y=a>l^J<*XZDoo>19cu!{wkmJKEd~28Vh* zs6|ih+S|q{;DaCtUFVM*omn-lT)OkcH?F#3b=drI<|LA&%~Q40X1DbsK{X-4yzx4y z+Q9oKfhc5kOC!RAZYL4O^!I*~m?K8r|DqB)DsN5cy>^!*VIkcoNtU0YMVimd{ z*%Q$|I65gR_RK7*FXgtyPpyVmk@%c_Ta1|6>d zaQUb6x3h}ULR-mw$q>eIROL1|b^$lRHMzexztpzyX~LA5P)Kh5n@Yd4;$*X_+Y(RV z^BNtKer9NHf+AM2>oq*=UFFVW{Z8sJuow8S`Z{;|47{!!#gK8uDFv@nTY6nouCas( zcfcJd_{f2U25SLyl4qBrTj~XDxnpOA6=bNuKzCh^qj#=KukMm&O+`)^xs!NKOJlZ{ zgO=6>)uuFCivA^mA0$o_QCiz}+9DOGhNoD|cMTX)BSDS-1mE>o+6rUyCY0;RVh@mR z>8|)%8KY&)H~&L-6mZ1SZ(IkA+{x3cwVNCPMlDyFBLuvxp`oGooTK}yARlOMZoc_z zRzA~~?u+G3<`!@O(<2!>xwc-LeBZcGWE6@XMrsnACdAB-(Xuh$h6|Lz)U zI6~F`Xu*dtQ~7*X0sX7}iSN401#e`G@QSe=1!6WP`r)$=+rL+QT4NuZQuD_kw!kC&yhvcj^0u+3cbAr2Y+)N^e0VH zbzNnTv*u8O^=e3*m>^XIMdGE=VGZUCdRM>3PVVHLUZB0`;g!TJFT#dmBEcS5@CU8U zeXs0F{SoprX!#6;CbZaj^|mCoZRr8@E~`C3lRMorfBcIp4OI;aFAu61lbst;4u19f zUK9T|V5)UCM08bw=dTV$fThX~p8{U9KrFPAI)93-lo=mzdF@x^oaa;Dp-EYl_Tg7< zIJ2J&{@M6Y%t~A`q4lDto;2YO11;dWQbEBJo5{LN#eh4oht#`;QE@%lZ*pEJ_}#!< zPhC*W2y5>L50oBWwZp{aPm@tvTH3rqSM;7d{TU0(K^x9~n^6n)?O)D@*5#r>B8c~E zcNA?Gt*08%(P?c*7hY6-3&7Kq=l+|NW@63%**yyvyqE8go(^pyNkkDtpc+x(AY~{o zwDcuVA-h8VUb9@ZKG!)9q9@^8cmb~`-kFDeuwn$tkzkoXFuc+Mx)dFu{kh2W|`kT zYu=D&XEIMSS`NHr%dscKQyyHl_?T!r=XPekQVeKTZlY#<;F2K1c{|UYg}0rHN)`9O z*pIIRs9<-GQ){4nTyl@smiiDLKmYg&Y%*P&IvD;fs`&Q@46Kb zv^+AF3GfNhHgn96Hczzr=Nd(H><9Hg1zCVD6=o82pr=-%?ttIC0Pa<3OjjW8xtG`z?hdfj0z=dOJghcOq=L! zHrb6}V{w#;`;?S0Q4oxHI69X5seibvn&P-wMdRkSP050?&^TCpw93ksddg0W(~ayA zPugxqx*;WCcA|81?wYIFjFD#j7U5|uKMUDo-w)FNuIUXEBsYdxCF*zSfix#qtSa-r z0bYthy=?7nFwcN*b3g!cm*~?sI*w?qT&XSY)Htt!$0T575ZwgACYWyG=vq&Byi16! zlZ%yX{*LoQj$eKv!xqk;Wqr=5k!U>JV-W4KckY@q*&|b@9j4O@lKnwS!CANPRu%AA zRNg=;s!AAq$+SC`fP!oGS*U!bU@{_?LLy)B+faJ2PX1=PDHR@eZ$uW%&EHArZrilU z!H$blkGM*Lt|;YdiTDz7K8z-6H??mI4)T}puq`UWAcK0u4QZFIKEG*ai(mr1Y%G_Cu;^TQgw2((2M{H`aF4_RJz; z@m%3D%dV3C9T}PojjIYTs>v}zXuwX2lEn@1vL*6Wm0JF?2l(2}We#e*iZiHB>9u99 z&wqT;qX9E?H9yU@qFCNnr#PSKNA}GS-OEWcsBb#0FuTl6sdZ%U>$`^sX6lEpq;T+O zMvT{x1_;e`dGq_6hSp^c<`yd!l^>kj^joke_&!%3uw0cdU7w{r+krJ?U_jg%-$T_- zU+gKoG{JWm&w{rdtH$dkU7}bzvhI*H-#_+-WGzr9M_i@9q`6C`aI&b*8~W**;fvq= z(%fm{>T+va+v8^7qSetyIUW#5=cyi-Ex$2(+e##@oM|AC9aJ#(FX{-IMN|0qNJW5FRoYd9sM_*EW3e$a_CLwJI9LO+yES z%jc)RcIDdBVz)3hsM?tHg`ocW^(#tOzOc015oD*P?0yo`< z2=`ULf3JL(zp=)0_%rYB?u>MOfX2(hifI}du~(N1zFAkRz!9&T7ji52wZ!R%D^G-$ z>@vqI37hNmXUW;p!YOkJ8>c4D!c10ASJ|Mx2hX-?>}X$Y6s}VYw8F6=f`;*x*0^*?Fm0Zwbz3E9m+!i6i|WaUzDVM-whn3Q>LAJo}HA- z)+g{eJhzfSh*uQO>S+Wh9fOxXu)aEX0#E`?MXZ#-$YBJ$b>5L>m)r%-knz&%1_gX4 z%YOB77!3;W%~GSVZ439GBtq=Oj_o1+^6Fy)&9hT#b?>FyOk_%!auzlrTI`VJ)PXxGj%_8z-xeuh%s*0YEpc&(OnG4;!8X^ks8z*n;Mxlz2tx{P8IY3g z)Y{Y1{@p=vhh#p}(l;`q=;-K(ZxrQ{<$6zweIo*us1ld~;vyCV{5fnicnS{@j7?{r zLh`RMl-O}>us+06X0)BmMF^pYu&+UI&Tub?#P|NG(H{h<-(QG157>QTvp?}hz@$#w zB*ZWqiiCDZ=0;#22BK_bdAk?z3s&RK>XMA;3&L%;geGKK_%DZW@) zDXP#ONFZQdyV)$Wc|KBaI-zIp#F3B*w@<;aEG-g~Y#CrM+uI<*0E0B*OWV+Eb6xz? z=OU(=6)tJKg9^8w6NjhG_GF}jt8{_gHmB8(l`bY&*rBYn7XVS!&}VLjf>~Q)!2!t( z=|C_KrR>OOy@V-GAH89RAe&pvZ23ye*jXN1{00W-+(DrXWf8A&21l6~8Cq25t@gx& zvXww`Il4n@K|&{gCa@k1ZGc04de)MfWGLu75xGf!ENoW|nSGc^R9$uZl;iVk{`4TH zwc!IRmXUFnq5I#LsFSZDou?!+&(2bIy=O7FAJ5v7a~A%|ue_nY&wZvKl5j1QRQcw8 z&NwIdvH_Fr`rq#*U2kMFYU6gk!hfJQ`s(cPs;%@&J=Q(|FRc8Nu1LR6)6Co6sB`-> z0nYuJw7bCB3urr_{KYeP>Z$Cj2mCXed)|wkNiZ0JnY( zMjc0Ff~zqC-@^%5v~8Cqa=4hwp|MO6bt8P(Yx#o8PHf}fKCG#qW)v+@q1P!ACPIE* z?zHdj@3UIL9rdnjUY&r^82;w{2>wUWb zL=kb6Z5Qdk@d=DzYps5NIBMnE^@)Bhx}dZ{nvGC{5lTQ|%!paX%;_)gmaE3^p79!# z1H$Y|4`VI+ratnFj~wA=C4s%mv*Z9?_xS{nAjQO1D;RNv##&erW+>X;w zG2KHgOe8qbzk?z+(W#y7CxQDx4Yy(D>s>-@Cz=#G9CS;o?uVn=pPCSzoEm8Lp9}17 zUBg>-&ruuL<)5x9qHBUx`yf{gSM2((@B&t+R<*1dJ~s&-3)hu7?_Ud zSjLF({@~^>nBteJA33=8h@r*Ws+rQ%48TiTi)jN#RwM)l@Pvaotz&FC6n~Qsv$seAdj3(GsE{1 zTO5k!&qgof)@o83$vqwnE9vKAy7=Cpq|}sta541eJXSSq(g?$&%1!N$WoHdrf@geh zyIK0iiN&yk+~fI3M_6;PQNJF&ZWR+TU!g-kxWB?MPnl=z}o6Qd}h@DMcoWtZ9YwG1QJ1kH-VW)0xd;^Qur^lA*^wVo3%ptqHmB>n2twkAg3OEopqu$)i;8C-@ZZctjBLNa}lC> z3y=&7-td0;*;GsXK4L3>%3RZZ-=|w~@l42Zf-7}384q&mY57{oX1wc`I4MLnz?O#p zgSv!`_S=OD#3H)-ruBQ45@Z;t++kmpY4os&9I4lL068bYNq8@31>Si*-lmkg*?!X|&Q_jpOa%;7NH^ z(qmw-^wXpcRskAM$G%5tLFw4P1Gm{1*La|*JnCY|u=yu#oq6VL&vri+ArV#l*w|QE z>dN6>`}IVoEuvDE-`nG0`$SRDIaq3P zDUnMiaE0idd>FB01};+%JzW~EHaAZ-5p&n{Fyo7Cp`wLhC}wH8WF7ax_g%3$`jY3t0gKoT1Kk$3KQ-g~#4>weQ9 z$LCd{D!!s9--r4M;fGiB#ItSD)<8XlO^cpKK*$HRxao!7J>E{~Xc2;DY>T{8uhiM6 zHAb?Px{&{VRBH?;N8Jt1cILa{hd6q>`Q)lYF@A#|Ek0k(+h`aU8v>N-EoV%KAe)~_ z`5O5ydx8W}6ga!(>Q(%h>MUldmzUom_oi5bCJ0NlWWE~z%D2t0$BB(sr-#MZ z`N~JMh`}5$8N%9_b+zlvTm%Up>(O36?|Zb%(f66{KH^H3iM--I`nEXR5?wxG&Na_X z7{V+gFKo;?N~YN&VI=DERRZc?!Z?=)1X6{IQk3>k{w+~b-Eh2^g>K{+hNzk};iKW^ z4$qcS>kSYEG@KDma2Z0DM$1n!Q@#c7=nrIU&)Ru4VjFM znPlrjp&KlM!v-KXzt_Mdo}f7jD-H+t&gU*!_;PHdcA$g%t!VYPzlnP6tat{E32xK#b@NKU*fZk2rCD@xfUO7N+OL9(&zkz z3#aX0z)R9l>KH=)r^-x0@b%^}1;g-cwPkm2pmr=1&Y6b@C_QHbWKy|$Bg zuZLv)-SsmC+M-?XafIO;ES{K+mCq?Gq01kL-E11zqD(kWDW zk}j)25sOQ3(zcE6y>V)1JGXVVOqX6Z~PPlP>S6o^e+S~hnE20##Z7oK*T(t6!d=oZk zx(NEUWo6CT7brCN8nF=!%H^aWkiYNNQwg8^>aV?3sHXJW=-A4GuMJZ>647h?O8_%I zp{6Sf#Az^d0<{|^Va#@O2bKz?r>}PH`h3-V# zwR?eR5f_QYbDex9#2Xn88mYwWf!O2Mb}-5P;`SUG?+8w0ettd)Ur|+6HHtTnYmV`= z%gbTX?_-pZtyf!G#lFY7artjQS5Vd;G?z-FCMM*Okdalsec5ts0Zl_>(;>x7OiZLv zX+dx9n3kL(N_c4Ziyrt#pv*4g;NURw9(IDM&n!KAp+>Ysa%Z)v={i>JFyD2Od>CU& zO)0|S84lO)UnxY;ch>LF-Ch3jn|}Ug$6GHtT%RV=CZS&ln~4uN1Nb30$ML(ypubGK zx?Kdq`vwY9sRYmvf9JLL7*ErHYL^_4xT=gT&0PL@bj;=1m^H(Fusat z>Nx1A)V|&5wFddI0=~av3r2L&yx}iy0$33i(AsMklt+#D;JWW0i1i9=Sm7Qu8|LP( z=9r_oOtX@>>w11qR%}HSgricAR4JYZSw#wc=uoH)OSZ5t$ z>Z{w#;^Y{75x6d5)o@;bR@xwf5rFW8;d96E^?Ik1+R69x6)}f2)B0*?$ALK3+mEjn z5@9)S8I2@)RVcdv%AbmUi$-#tWb%sMvWVt*r5meTs>}ELhnU^V-%?R5cYNm^9f|+8 za+Qg>QJN-0v8b79k1iw|aW`@#WGPngP16{t+4Bz0&tpFzxqZY6;{W$@ed(4Vyzage z{hzVj==5P@XP0Y2fuP5gk?uc`Z1BAXoo$F+h#XB$Xrg2pBue7+Ol0i32>eyP3&Lzp zqS}uanBG_zR>ZGLxSg-dxoLC${O%{6bOYH0ef*sozJ2<1d!S!jFXc5^B@P8{cS!03 z)3|bhXh>9aH^U~GAfIOHfZ#+DX5)?GV|O-lgIoKxLKH~{)?;T)P3(B45qeTdU@DMv zFFUpmEh>%}jWp9uHT-MYWMIB--PiqRTiHUm^hXV(Fi!E8?eh%YtTtN?fN0(22p zXbPfDh$sK13>^W|Z46y6R}S~-Bar<-3_f}8iDqP+bAu0fNmUwk0l~<40~-!VL&L*c zk@_#&|KA_g-guf%YTQTY_F4l$2yvevBFgoWMvEhr(>_{%zyVYabSLuvkoA>OSw&s9 z4-ehl4blxtBS?3bG=g+DND7G3-Q6W1At2oi0@6r_h;;Yeyzh6%_{P2e7{uW@=j^@q zTyxDeSAE)Amh$!GiM^f4y#aDXpnKe(O}29 zf3k%fY_i~I!Y-CKeWoyTQ@AY`{KeAi z6LUGuoxLgQ6=hQn2utic@7mFQ@trOLS>TLWUn(T)%vlO zWPBuR-sD|1e7d3fU(febl`GNcMMXtKQT=<#U|@$M$A_!pXv6Ug$94hmj&vJrX1-Os zaTE86=fxiTv~3jNKJVbP}QWgOz%$$ zwICf}#3q^G`p*6pf5`P1Hg#*)w=20KyMos_DsaJ@pR>e2cI6)5_)fFYikJU(j0Z4f z)3Diqg3oD4b6oY0+qVP)CBiZ+NgFJn#?sT9(*8~_N%LUAe510@S4DMSMNoA+hdD@M z(UPVtC|}5tZng3HP@j9NQSwNR1BNw)1yiTivI@qn~@{kXL3WN|SskQ4;~T$y<2r5Zd)MXAD1*)@DER5!BZD4;n1qDH)=^azJ2>a5q{c}{L->udsMsb_ z6^oI9etB6qkua~VEenVg8^`0e0V?3lu4!w8UVrD=Pwwc*A1u8*7Ty zO76pzR#ZgX|DK)>9@tD%&4M^IW~{8N4DPP)dbJ8t{}A=?@W4TWqt|4R^$;b9ct0xm z;2$t57>ZT@{k!z*#)bxLjIhmFWJ&K$-LJEQV!}pQ7Igx(Up=p8OZV+-#vdW~MZ?3y zfBma&TE8xhQU%8eZ<3q8{PKSe1iaf|houVv?tB(mc|*E6mp8#>0|Dg<+{6^yCfmYKZT z)X`9@=SW{g85xV3>rc+jg^g^7`+5jwsV%UF9oYgnSLu5F4Z4^8>d)b!OEXS64?!4d zy6$gug{i!suROA7k2LcK`hDL2YjG zxLv>~%gJrx%Nv}wk^t>&+@AfAiE&r)*Iu~z;*!YlLkAYI&#ERE;1sKt<$MhTK7*Gq z1rQ&SMA6fDB4WJDOHX9e!*1-T86okTFgXkB_TWV67jUB3B3k3ljAWZMMZ z*U>s9(|eIWxbA%0OG(EE{du&ruzpj9U1flGzSqxnPZm?@dJLs}TY^RNw<%q*cu>i= zRWPVDXlTv-rEI>t_S5ZS=^F(QK^{3<{c}+d9%K05i2Nc%d^;exXw_r&@*Tn>N@e|0 zbHM@3;%!}%i=21iIHDIssv1i9`msyYNiYj6Wh3$MA2<27>;f_lrv65x-aZUK7LFs| zM9IYFGa{Nz_op5gB{biy{Wpxz<0auCiIZ&Yf?ZsY2^H37!GWHMrQ>#_sT(4H@~D3i zW-|h(*ZQo7OEY{EA}4W?F0u|`Fmr#m zRUqWUd=I=q=d_rhQ$^tFY`2d5S`*RG*H2+9+S_Pv>Dp%znwe!g9_p}tmheMJEqZ#w z*2`&_3O(}waVsFC&W%DPKC&du{rfnxtE_y4#zUqMZ^I*u>;C~@GlGtp-s}waV!x#N zUMC%a%!|4RwZ}a~kizqQaQH!_V|%VX!jvh_-b{x1Z~g3A@#lU5#it%b+=*i}N*cNY z_53X;``Y_8>PN~F*VO8R&?9ytk<@G9oS0jKOJn1re^u2m$4APX4>yEFhi$2`14`y$HCkJU}h&0+&c}lv9G43nUX`77BxX_M8M#wNczTfXgYI6;+GJ z4zjBSJPxhRn5Q6DnxZd2+y9Bv7a4@cz9*&os_%E5$2)j=cKesS&XI(Dg$=F_EtI{k zWAKb0@yBoGEY8meq>`LrQlRfUZ3YOwXPEep@Cxb|%>6xDr>I&(5p!9+MY4k2imRO7 z2jN~{34C+awKG-twaa7Vl`)yl_y81Ut;YZYQ;|AhT?SWI7NB;8GNvlZGG*4#l zzhx!;zaM>Zm-$I|R5~Gbb1Z!&O`cqTaL5$BB#uq+Qy)&QvY5f7%0zC5zd&eB6A&qu-D}mTw%_HK|ClC2nhjKE~Bk_J%s`(f>=NP4$pAkd4;UW)f!-zU$lD3y}Kh%oy*sB4xg`c%h z{xaf$hVhdDQUFbe*Zn{vYEH9`?-WC?Yt-beX!FKPH9+EEx=XCuH(Tw!i0(b-%3k`T zOIC|~QV{+n>DzUPSDD=B^2c8;q*?2t8BA|aWiQeC(0E3vxc19Q-}zUMbG+F9RR@S= z_(uc-*z@ylW!zDL$D_D|yZJCoN~6|)W`lK`?@fe`V^wO2kq9egijvUa@AgWdOltG& z9@ygC;+637L9M9mgEkS#f(+QOqi^J^?sm2Uu1gZLEQVUPuW*q{4v;$UemlwUSn}xa zqvaR@Ebr88@N7VI=pU>=G4wr;p8y*nhOR6TQc+xNk*L4zX4<&K#K!hNq)DZ5$7AV! zYQVk-SI69Vy28qhF(h}7qXV(9>CPDLpLEUb`k_>8aQz=+P$g&(nS0kMMxVC)C2?@y znhHr6Cq9x%XQz9C_>-)7&BEvoy#ut64hNt4M^Fbu&}4*(FMqbgRlz~jz9K&bfpCRM zYNbB$uv1&$mkKyDLDmSkvuOMQW}HI|kdm#$^*04UkoCGdh0U>$c-{ zJzneDOU5<@Q{1Q7sKfskIaFYF9b;Y|$vq)-)cU+Pl>awHw4>aJCWca2>$W!q{+b%_ zoM4Fw&>f^+*}+qROiqrAd4;(hzGJkf!2q@M{^o3GcsQK-iY6Da9evpkD|vKf!lq|4 zbEG*gNS4(B<`MB)T*#p0(+Dc{zq5BNF%Rk+4vyVRueK1Y=l0*$nhzBQ9DTC@%^xy` zGE$$0jEybMuj2+g*}U!-YtEt|7z5`5Y@&9Lw&C zjS-9#48cZGKaw}gf%kVITOJiH_$#NPf=DHc1#evmFBl{P=W9lJzi$`F)0{pToXfc$ z^t8PNd4Td>bR_^@1SwoK)`SsGoJ#yn>`2_u783P~C|Ery+0$tq<|M`e*^$@eTe~V$ z*fMB7e#aBDU)Wqj;FmRE0tDZ`gN-fgH~GBIA*|#(dpq`xp4cbeMuKa;*0Dpn_#xwuQC;{>he%)0(JJ1;Y>PWNVtW8?cC*fhPybg7P{nKXbUD}DszIVy|MO#T zo{50Ps2ep~f?=PQ9UL$8>UwQR(CZct2|NE&dg*t>1J>D^Pol?;?V;u+-$tP5%Gdp3 zyyp^_4}@BxEg6r0gUPNRmF9hok4{&BE-O^qC{UDxOZ2nVZ)T5#tiT< z-|xG{+dLG5KBPxwXVv%HPW@+&Jp1VxZ?Atp4G>RskS}O^og`(R+%LWKUso0kc%(;% ztw?TJP{Nc@L(8ywXSgePdv|w(KzYJ-oJ;7x;1{^^mLqTWd~;xeB!AB2>61CGGufQU zrtLjP%{S7+hK}BiP*U2vtov(YfjvHsc<&AC=Zl-?u(MG!)(b}8O;$rv14aU5>RWz0 z=;`T2-_Db<43CU3XgM?zYkT%k(sQQ%!IXWkz12DO%ln2h0jQ!%WuvFROz$ZY6KcnD ziV_2^Wh>uMR6x9X4I^6L!IB-Ou&kV9wuW%DY6kR!Tf z_4wk{9D{M3e>3v=Whet(*E1)qEv%GjXBr!2TvSUB79jWF{~ z(}QhFWU~qavB6+yCD4H}@KCNJu-;daR55>Yw8(rzYClix$7bB~DkO-dtZ&dv^SeYl zNmT~CjuX880!c#$H&0lfrzp`y76V*IMexT6c81-|2%2ZEcV{9e0I%bIo?ES8pPS{S zAjp{(kzZ`wAHp=%B8|&Njqp+LjAvzVd*Fe7jL{6fH-zagT)>g2{LDP$S;}vyc1Dte zQ$i8`gG024ZfVV%2E*aHxW=uf)Vsi!XHKzn=djZL16eI~-gkweqojjw_o)7Fkm(AB zvqpysVT)MT^)?@tIqs-`d^8+f)WOmB>;YG|4aR-Fyb8^!$@(9h-8EE*7Jk@ zU+m9ju~4@B|I7WXcmClYl_5KxxF|BXnKWZGFBlOXE+7-DWmrJ^2d{i%&gU6mzLjG+ z{3jOkf)by3YtVUPi@*Wq#Ze3kBqfl$j;ugmZs1=DzcKy$%6M9tP!tF@QupWW7$c5l zS1gMAi#KpU>{>%IGbMxtcAD>9Rm0!S6I=#}70SWhJ zYlPbNRzg4*p|wlbKI!F|mJ**|z&%sfopJ8F!{(e@y8=|Vnkt#$qIgE_4)Z{7b^6TL zLHxKp3(}Ud`0;;3UTX2+J;R?6q_E=)V&r1Nvp6;GKX+=;*wvHbgPZ+xmXW=lMB}c3 zu-8?qgMS_PIrw}yVL$+j&-1>cUqfG$ue5W#N}!d-q=!Tj6}-M1^P|e0LC^TGKI}&7 zlMrV_jWo}SMq2I5ykH9T9G+slH`Seiu{2k*XCpfzPwfN9`1WNfBsS8_g1W@U#Yn$vla$rnQf# z#ri0*J`X#5{WU)-ORySa(c zRUWfA&=Qw0GciWLb|k3mbakiNUQJ@t*vmT8v)i^=8iSL0AF!S2ryPqvPUv+kct6O;4!u3OdUZctYFZ`O zE42Uvxvd!CI$9X+UJ5{x)keEi9P-OODPkBBeTrC~3IOg&$a$vy!FTO`0xU+v_8jViWzCNO!Gx#y1UW+j@r`!J9|^f%>FpUN^!bBsn~__7h`- z1rH+(b`4C;p5J9|mFBW~c6n!fZEnZb;s?;{aI24^xtV@y?Z8FydZy;CAg^Y!5Z4tC z$A_&R0hM^Js$vI>EF&Hb9!j2i*?->lRmL-5(~xFQVeoafw6NH4X^s~J9UlzHvT0p# zvFdr24F9>|cO3#pSy>Q9yVzpb?Z91+m1e>O&%-{*H5+D29#}lJIx`4EkpvGIdBS{YIIe3lgLymuiC4|${*)cqtXp(6cylcwa(@~ExO*_8 znzcwYtuuaDGmaV4k?K7HZ*U=)r%3EW|LX0|)gA8lt*mgoorZ?8_w`BglT=Fd8pD!R zXE(k~2{(A^)vJn0e9C<>9k@43e?oPZ*6$+3z6PFtD5A@4Z+~sTu468$e?zhO^vWE+ zeGD$_pVI);%J-13NuT81_l7I|6zN9?k1k{VwTY6Ct2X&Nd{+ak(`|>V=l103_$NMX zfP757Q%1bFxR5ano56JSkR9rhr{|1bexGddM%|ecW{Nv_=^z{QZoS-`o1VrE7+}iJ zqrQB_ZTKuSLBPvXMFP`gezt4Gb+@*(2wA(UeA1L#MU8I*EzBS}fWQTJB_hLOl+8K% zeE3jOFOs0*!*7_1h$_7mTeoyzcA3#A^dfk;g&wP*asfWWXT5&`H(~%XRr}krY_&<^ zSUJU<^TRNl%eJ3Y;=3<}e@&o;gahWqZ&Q%~8&i}Cqi(H=HZ+-_*{Bw%z|oc%Bl?(H zQQe*nf(Jug8L`E6jK%H+%iEJ-L7RXpEL%bY<+zbS;p!lLR1f?9>-Fc(rFhW# z>tz8fUU6L;4-c&w_Y?C_atELk@wfqbF(oWdEP$J@1c>E|KKG% z2UJHvWGuiBMdrb@zHTQz*467gXsd0yP=`K!$X3eU7UySt18c>xNGbp^SxwGuXkj9WLJ-EOAV3}iS$rzJCx+-tWvb}$BP#alk!t_lI&sL zm(w?VA#es-aMrX&u$BN5gK+Lp#)q#!@f^-2(^on-jzlG+nSyDw0{e(lWWK-8s{ME# zs!(=6lqKxcFVR{HIYm&!m2dY&rCHlt!|WJ){(ZgMpVJN}SCrj)?I{6vWjno_DJOkF zl}Df){r3JL%*yxC6VLDw|NJbh`(!uZklSTk7*k;nm)fcd`p({<=fyfCKXQ+5k zxf5?g3BFp>ALgoT(L$9f^#bts;uR8EI)TMjj4v9wX(IyJBUYCigWXf4@5F6T!sCXv z2WLoLN=a0oayOG*1imTd<`Jr810NG5RK35`i~FIU@S}{)+6gYTC$!{RRrFtDcT9@1 z>efqaBsf6l4sK72p5CyYXPC#Mi6jW_10_Y4kSBfp5vXgoUd-ytRea#~J)e{TX{flC zmez1ccf-;F$hIy2Tf3<9I9M#4UEK^N%vjIR_vhJpP0Wx96$6>Bd6atz@ny1>t9Q?n z1PrX*@LH3Jc(3 znX%*KlNX$wvNzj$E1ZPfB z&v63oPY`DwNpNd^K)i|>3_0T}*OOJNl1!j$dMzJ>2nWf*eak8C7O@>h2o_hxLOZf_DH+&GC$NL&o6zimAM5EUE8lljU#14J(VepmnvFEC{T)lr z5UdcuV;-ftYfWrR`nqVZDJA94Ui}CI;p9RgB1e{lb9#b~xNz~iBlb7!J~|LW?3gzw zNHOdsOuQ*NsvlMlxz|pwp87IjtZKi09Si&sCHl(S$PwP7pUza>8j8?}?VvCVVY1bs zTK@HOtLZZ&*JEhl`VYN{%`Sn_)~jBXW&=7zCC+aJiMBjX6aJ?+Mfo&{6!3T;0_T@p z90=f9)Dio%tt3o03{HqXu|^oG+<=h>NghEAOiW*h4bf(QMAh;-0Cz}+w6Blro#*U2 zPY-l8B)GN{Cjlz^X!Yo86e_<8P32XiOr8XJO1k;irzpD4E(0`#be;6qxz=5?f>6;r z?+#9#OPCsmxcqv89-F_Ul2|)gpUp-ZrmnyfZM+aQeEar`L>PwL`;p`?i${It zCKi}$1mP=Wcf&9VNfkTC*b8}ybh>|e^|ZA=70AReA0SK&Csl>+C5cBLmeg{1_xAQ4 z`>ccdmZDW_MX5myS%4SWoB^uXM2Yd69Ksbi2w57pX3c7;@pqc~2{GYsdo(N8+t^*- z@ehL_eH(|)h!jO=I>9GGPl#@4IPn_yI7tmQ&m&Q0&MvFyslyfFFK?|k>6?U zRibHOz6*NX?Imi>%g_3H^*7fhlOo1zZS$UR6AX7U1%JoWQhknXCwJMnAa|DjUv|H@ z{r=~_BqJOJgHKGP?xS6A4?Kse zHOLRYb5dx}PfIP1BxYDTN}4u2Jp5<1@koSkG~4L*Z~?#T4w^&Th4x#!58~3&2%j*> z7f+*&atjOL^78UNf6h(yOhRrmim9#TKHr;`vDSgq@HtFPPjdm1G$w}fr0AMSixfsY zA(U_5un2+iS%cx5dJr5n7UisNH{05>5K)(Mhy1K|NZr0RLDVv zBS*=MM6Gz!GiBKq&k*Pg&`S98h_*TS3hgx>ZE>6693CntEkzRXIfr!4IEvmM_qb#$gTgW3 zg+`STw4)Gs@u1|;Af_ycaom?cAC{V9nqzt6lcGG)9cZI8q)}sB)j;8AJ;$mB0#T)b z7=dJ-(AA~D+L(W4q(E+RRC!JCTwx=OmcPDK=&MIoc&P86kI0ZzXXyOv=!_ZFyj@Ln zr?&%RNH=P&M^_Yxz!C^Lb7dI%#`oZ>*O&#*cRTE=AojPlApvBRloKhiK+j`3kzH{R zs`FK^PxQ6xPGoLdwRXk!dtK0rbFvvD8eWSGVbM0QPaz{E9r5G`MXx?ELi*Z1nm21C z88oBBCMIr8?X>&dd1Zie1DXLjUUKX>iD|T7>i3)+85?uA#eH@P>=ad2QIV0B{-mFA z86Dl++zfps7TiUps_ptcEj#2${QF%u<5rws^2T@xOY7 z|J)ATR5r9@Cs+={%gg%?m=ZbI8#OrvJ>9v`s@g#)_Q&CIfs${#k&d38MpQJXvDuZO zB>t^6+zLPHzwAzU+;LtO78d8@wV6j!@Oz;P{jVAq*MByzOcrMu``?5H_#UslX}7Ir z$*8)A3%tMgeL+wEW4TcvWBh1lJWJ^2^5C8QkM5+gb3v2$F0ZAN8BV)GhRksc0>DJM zK@~aUCMTG%cy%s5@_ApO8s`VSCRzaj;=`)FabDn#bz|@D?ha*w4B!mx*g>b38G4PT zt5fcJ!8=mb(CB&cYg=Y8H$H^~e*TQ~3%vfv`fUGsQm2SpXnOo6qbE| zFknedM!)}nY*G)SMejbe2~IspIocA#%H5T#vaFyLQ>DfV1q$ZMwWKdRceP>V$z}O2 z_VLxQ8_a=WKc>JFa)qJ%Ji^qpvY<75!Jmgr&m3j&Y9Nc;5D}M|nRQ)FZ1U&NpXQ4t z0$UDP-~Ata-v09$jwTmM1V<2f38A5(@+~ls(yw3fSuq*WZ8t6UXTQp~bh_@05+^1) z+2Xldn3z$QR4wq-hr*Iy^O6#+V0hKo?)#QiRS~cXFh()RH?_#a@W5pMdE>bpPqxO9 z6_}A&-Hq3`H(heGEn8GpS=l?3z>wq-Jm9<#55ILhQ1GltMyOk0{V{VIf{Ys*8ylF$ zV~H~RsZhLRn)+qphCBn0Pa6d_H8uETf%<3XCnrH29sh0`*~En$wsxc`_}JLIQx)m2 zZ*ICKCNNd9pvk{cLe$XmC|v{9;yULCD{!W=wY?1#Hv4Dd2fi^=wP1VwKs3bJZyN}T zG7I8mb6d5r9_n#kW{jG#37xT#GNI~AOpknyD?OAkhurP$?V838%_+jVx3LgTTt$eO zm>8-KEyweJmnP>sL`FlC{EFB-UOWga|0vL*IamDD>t8+>Jsrx_kjvLG>@mq)P7k~ zN{ZgFMmWO(5BvXiTy&6c%=}t>rq0+GST2 zjY3$Lpe388*gCz@WUrVQ4==>ww|Ud17n1E}alq4~d`lqa1T5+&Xk1X1B;}bO1}8fT z5iV1VN>^9cpT&lxA{rcSE7h}Uj08c*mDDto$?F`ndrs)*{Lc@3crHA|rvD0&bHOYt zus3i?NQO06ptf1xx4~*AMggliVd#ZVz(All202?xI1$Ty(v)uJyKkK~4FE?$Zk=iQ z{x&s-k3)rHJGT`j5nN{8utkxwtfz;gqoi=8LaMaAYs3{ci|qrwtnJ$Hy&hj4FX;m1 z`hk2E3KBz_GH%mg)PkKwG4gB!uS07T*`pVp>Puc;^{*ZCDKemF#$m}qS2=SfsL;bK z=i~E3?47n8XdiLj=tVC2y#`(azr4kV1ab1?_XIWDmlYEL#J#FuFrgE(6VO5Mju{n| ze?<}{=AdhxSyUoYG}^hR$XfVMH=sTochDz1s%jYul)I{GYI*gfkU&u12x4uU;%{VB zB@u9QY^7;ydp|-TeEX*W(nNvIs`R0vboukVJLBsg$e%0V4)>vMMY3?qs)G+G0f`$G zl^&#R@@3fyoteL2h{Ps8`nqyiJ~bQZ& zRpLC6!1&1(%bq$|iilIb=hsRxsTY{~&g31QA?G(iN~BACg#U?jYR1Mf0O3vEjr{Ij z{&q)+>P0igLMSIEH#jn)v4{>au>1jwh{1S(fN8tgzofnv7t|S9m5G$Z!=Rig_U6r- zgx!vjonIVJ^4~_)Y}S}%K6#}&8UGhMdwbNN@q1XGhj@;|#JCq!?C1h(ZIhLyBCV3- ztj&QKp1NLuoclGco6Hb(2x5CEt69B)u=kYs;@h`xO}p72wq6&3z|Zs3gOo0WMeQFh zf?_cvSuM_5+6!g-Gil?~8XS{;WRoTSlS`y^yT6r(DQdsyF!8mI!_|v_Y~|&<9K8GP ztU!AJ{qymQFZuCX`YgAr3;9s&YdS(*f$+no0xhv-Y_D&PtPW+Rvf(lc6w(FQ;QY_O zO8GEEOGhW=lJRvN6f?oeGFUI^B=z!@1=uPU2wz*7V!F94~& z0nrDd_jPu=HcP#*sE7$$!%(tx6Y>h-YwVhZE(K0fA)|;sYNhg4%aqmC9JGY8M?J{~d&pF)fLTp+l$@k(# z2ot{AZ2uVGbt~&pg@3nr4m2(pw8JXR{e+S`)j&aBoAuYsH6%1NPbKH|6j4H;T0w8M zK5G+F>&k@tMum{}t}Ak?XC18zOM14*0`>p^*fL)HQg{qJjmzSb))T0M>oGbmjDZy} z@GR4&K=^!kLx)L3KBVRLxlcOq$!P5t??an<>^Sdq49wC%gbtYd@U?HbcF>RN!yKf?b8J$7J-dyM z(Ahm+`MO?@jmKpUz%sqB+SYttAOf#QV+6ELwUfm*hTZ`1`J!=w{!`g)f-wV5BWy0tRxm8X)?3f2N|CC5Zpw>hPkUNlR06 zc}+g-oi#faIhwHSV{>j@d-da;*VR zD@;5Ty){|FG$$|CY)C>%s>t0pW6T|moRaP_m5eP`wMWjW5l^wS$zmo6Zj@=GrLSOW zP%C=$ABI~&tfG!u94RPJi32P6o6|gZR{gRvBIB(X_!F;H8y!X#I_SW*z55;fQUZ&ec3k?rP5!psyuL0>DH)-IJE68lx z@aNS~GBw*jhazL>Kn0@Qx+EQFYhJ4O9syTny5pwdU|#*zY>B)X8#)~{Tc-WRrOelU zde($qATp$tom$!1NrEN@kOS86IsnROd*;j?6^6p~OhJ%?qgpOW#)b{c7{2vY;Gu8_ zp^E^4r`i@W{}ccr0JT2{)_Qs&e=}^=cMzlEpL@fzP-lFDC71MJnpMxy|EmSSrcsJh=1N{=pq+%i~VI}`z5ka6*fNY=TB8Q_@UN8 zHk^QffO_jqQ%qZ86Z>TVQpWYf07!wtR$J&9*+G=8n{1*zU^WhXeJO~hlG*ourUeE< zit#W-$oVQxgFZu)r8HUTd`uh#IKQIm1oa56udgE^e_0hpx`wi9s{n3Y`qW zd+Fg!a=YVM&lJ+jmw0)3c`WaviC#3!>FKH! z!|^A*(N3T8;&#}Q1Q?bpW2{E+>rcJFxe4eKInC=-&ZWTWx~AIxSp-}NpM$QNG2Ea2 zs3^$YumJHvhX=yFB(uq1*3MsMosG0rS%CKIM`wvz26%T9{Ta~*=70MZ=R>YGPO!tp z#TCD3%l6^&pV}gP_W8LR9w8wM072}r!phpP? z=Hud03$AIm^j*oC5YF!R4=tArBNo!J;o*%EU5}%cD3FDG*sKS^nBA!;aZlEUJXUoK zSWtu;5YdI`lkAc8K9G3sNNWr)tE0Wt4cuia7pSf8%#&Zsv&u~v%tUUm(|q1Hyv)1t zVSu!8E1ZKJB2k9Xu;YY*JaORu!QBx|ZJGpl748P4VwbZ=S031uilwDBA&wp%ybyvQrgF? z*V_1*Cjrfy`;iD!VMXO}WZ*jqh!G&LuO!>V?t=?IjRy)rjTE&#XNlgk~0VUVeg!p&BJtm zkmqBN@l!F5v>P4b8tI=xn(XWgCO=C>5Ud|Gu3pYsgEKADsbR~Mwo{`dotcXlk-F28 zFo@v?EUaGXzt+iQ;of(&ogxE)u%4eqKVhw3$v%XE<2OJDmd6=e z2>hpp7$wW|B=Cyg0N;T;dzaMjjlPO|O46K75wI^mcl*#{mm4K|j3jjH1Rc*2jrsf6 z<~hII)&U)XAVOd&WU0U)xmXl-7X;FPSlZ^JR>)!8Wwb|qf!24t-b42s$kZkGmz(Pf z%L~6!^Yasld{F;`F@k2hxl^3M7*PH_9!jp0e{J&eXO~=78#r4WwyQ)(A;sMpdd2gHl`I+}suzeiOj&aL^=!Zrk$PEl~4VEhFmq#%+rhJ=P@UOpjb8=OHHAGA6E z4Z;)M^&f;e{5we3P>ZJE7y0qy$J9MDxWKYCgxCSf7z@Tq?FyMXG?y{enkl8}=(gU^ z@Boi4!W~{RynD4N?h% z_)}QeedBcy&YG&`ganAf-aEHkn{=DQJ~HmCoK41MhVYF57g>v~sxgbYttc>-jz!^( z2MF<-m)p?|rSIZcm=cOI;UOOdO^cd+Z@78*l(Up&eqS0XgM|^ThbW(rkuieEFU`W& z^OFa!De7H5l^pE74>020nY{rgey6QVO(28)5vcV@jiw}4*tJx%;{IL=y-f_y{m$VT zLw3-u4{Lvi#^t3I_HL!sTerpp=7-zfN6_u+n57K>(3j-GM)Dg0LLwsNIVPTVltF7a ziwnqo^}SuQnmeOQedjte`%xjO)HtZjh{a&7JrZblYwp?OYpae(KZDCtQv^PiH@J$K zrR#2N7H(}Mip!;%BtiB?oo>S>8zTw^$201jdHLNq6n?*L{|g8IZvGR%6UmBs=ZcoC@RjVW*_cTh5XhIXDJZ z+CCI<(Zj4hyS(|W3L&;mA^wraR`gBigLg7p7;?l@GBC&#Lot*KkBCTPp1EHSqx=uy z@#y6~WcF}-k^0J(0CKp~t=``eJ{%?K3Nk&G8g5D=T;$07rZO#}pb4HJN9m>&e_A&0 zFG|+s5=zZnhzl-y>Bc9T2M) z<-#PSq!|Yud-h-*lqhGZFT&p&A-N&J-M-xU!fqy_-KXWo8ZXCCnU@CxB1-%~6JmWL zxv>o-dmkR|=1XHUJtY-{qBs_TUjvn_8e!BZ!weWA4flwA`SL}+g-R^C92bNrQBlz@ zyI@^|2F?_yisbjL5IW}+0Q4++4euWwCX1Cw|4Vl;vaOt-c$>)TKa-I)HJoq=-z$;* zTEi7OebuF-Y5=}#EYFhvIn_i=**6p)@&1G^H-Mj^hb`IFeYx@{?e9R&@J=a*?w%fO zEGo5AMHbTopPogWj%Us(ksB5kRzy7_!S>TTpg)dZi2n$NxdDbtWgr^wc90tv53e^- zkL0587JMir5iLzE+lCgTbtGI|aW6V(UCA(#0Qnn&`DP6So6-=f(LiBEY;A?Ij(EQ~He# zQnIp0&+*jzY^Td&lK@hXpMSg&!I5v+;_=*r2vRST3BsuG@a}09(J1guYCb+f2w`UH z)8pN7GYz@t;WD5k2PnaCP)Jj*CFmh&0zZLq8o_GuH~^Djo%ZxW6r}JyeiKP15WgA# z1{UTUFWFYN?WhIdS`H5n*8mePGEslB?d@$qq_Olz5(lRk`xD6#plc2UsMN3+1N0H4$l67evuECy`b2>zPTB^J(5yTQ=^*r z5C9wieRGSZ_iB7^ToMx#o0jJQQw(-b59pu7G+r&n^+Cj>=>3FF8k?4eoTVJ*@cV~p zcL;LR*|^X%Z3bKdNwKl9mBw)i2tLVBc*B9}Y2V@RmblbZq`Cz(kW!$L@}hxCM~G4N zKuL~2^Q~XYX0(vgs^8U@70NXnn1DeT3y%&G#m{+DNUR-bzBBTZ<)rwiFuZt>0$M=f zNTVM63)bj`_0I=86>{)cGUhL0Rm)use8#IX_t@n>j z6)8xO17-f3pd4UUKbp!_(6>pzrYDI+y#>~Ub*=sV^@(Kl+*!`o%?{9On?Gq)YBUEl zbdr7;Az1Gkuurd%#d-0tT;}^^)HO6D+yt{m%%=B|(h`_&H8VwMsVOb7;&qig$*4n! z&=Az$zLg8eRWxY#T{!q|SQKK%YWL`skQ94B7h=a!EOgZ8BmU_AeeVpDtfY>X0o35Y ze+EDU*mtxUsep+Yi5|fWqN2tiaRAJX49|0^zOSz@zgWtU%X;bsaD(R2ZFC?=_t91Oy@I#IISf zYuZlM2M`-El2UYK8*d#f8?FzRb^tO{F)$!{dwYW=+RfIu{1HGI@Iobi*}hCuWMpEp z_8_(ZYErN5B%K)_lans+#DU3hJ1NMedWsZMY5;Wuh)9^G#T>KS^SxB=_b33E zLntXJ6?0ybbF>~qKZ583BApo+)8?zbvtQj24T3lFE!Hxaw))KG0S*+rGAg1Jj~r|3 z8VGr?fhYRc%1TM_D#gV^peO?sL5X@MTz#XG!NhDD7zt-Cds9W) zW6}=n2p=B;!nmF9~iJ#aGuO8m4T!@==!i}EEG zZSCaqM_Gz|RV*wlF-R=ns9!EvH0a$J8=ah-+@Ap#(=Tf}3m`7A^B)FdzNUf5va2Ea**Afj&@4W7-XE!*vIISoS9_}fE2p%j#4 z6J#j;5+PK8D#f=W74;W}5hGyL{n>kXd9Z{G!NJB}KWzo2H#o>bi)Xc!@xjp%C>Bdm zN< zns>8pYeinXfCC0qGN4VJ%YLz5J1YWT-4^I^4Cus(`dW7)h762RXO|p41aok}czWd8 zZe~}*VkE+1O1Xa20kzppHL<)&D=b`G+6E5INK!tG1bQVXudH}ZX7ZTfKTWQ@7H zyBqfBkJa_{HBz~e|NV(fx$DAy!cp@sMWco)0GrYV24vvwW-Gs3_S&@Z27VgaQo9d%~~@2?r4Ez-^R#FPpK6 zi;sswo^|!hhkR!JXj@xb$0In|KLNI?w_hRvTYC&4^j$wQ8#q2CpBWBi7*~6kV;4#) z19Q$dNXL38HRdmVRwRA71VttgNo4jTWd}dPqn2JWgy8;2$C6?&bD-@E7>9kLK$0|| z?UDp!2)l86<#TDNyIcIkU*;JxG+mCMNzeKp9|QV_bTCanT3D zUkD(mfx8+803(|L0`gtPPq#Y%ezy?zgzg2 z^S67-l07)b`j(qrZ#oXN$c{RmUMF$5Oo2}-d^$+0Ik4=pWdCaym_uZkd>VQ*iX<1( zu2}^;M$*2eyga;G@w8re{@u6F=`d$!XFVsI17M&|dY0DBzq$!d_rIEe$ey7MFgQu+ zxQB<#Wo(9#3Br#G@-X~5*A8IU$!(C7k=g7*q_k_J{^E3>-4HU=&t&3(3Dd8}x^3(L z_qvU$ij-ABRsca?0WdHx&};QaKnq&|coZvsSBBtG06NMB0i7fSQ}iB-(*K(Jc|8bU z{L+YDxI8(*zSwTsE6&jKV~^;ALh?gGT0wC;RJo*p;8Pi(oxHr7MsP;ZMLqD2 zpX>5E#(p!BhP7q`(I8+)59DhB-o@5A2j~^ogAgf>2mM_^nzR@@4TsxcVIqUfaQHHo zT#34GUTs(jcKWk+)>n88Eoet{{1_WP{@dd}<<--BPCz$M=6CYhbJdTq?ZeflF^e9+ zD4`_`71k>#l_TqQS5f^ntbKZ%%+Mm&w!P!^Z$NF7H!wz(c1Wp;!lSAW;vFj&4~J z{hOhA$*(QKA71y>sEq{Rz^7+Q3+WMb)LAMeBR<^Ur?=9lVY7(v_TLO%kAbRDSudzK31+&rC1U1;M<|z4NRM!cpuj*MD=idn5m{ z2uz3vLVg{fdi+ma@gqb)@S9I%B9m0J9028`7Ig|UiZU?eJHN)EQ0OtBgEr!1DBb{x z7l6%r2RgRIoF=^vVX$$ZH)wliwK%Fd!pjt>fu|1N6p* z1S4YdwwP}y0`?BTGJuezSmd(>ToJS@X6zxjWMqmW@SsO7m0kg#4ZznRwF2opP*>6I z@E75@tT!LR0cXimFQ6l%n_YLqZ!eA%L8|r~-GI0ZFtv|u-T;I+-<-Y&(geub#|j`g zQ_SSYjuE*`?feuIgITPYM&lJtC)H6%LREsDI+^4!v@wIXb`aDF`Jz<|m=$65oumkA ziBI)S6Zs0A#)8mBbvW$>y(-qLG77O5LJ3KlUC*K1W{c(FZ|f|msi+`?97bFCY*}Dt zd@7$KMX^fGiXCMl*o~WDUwd7zhru{C^oL-Xo13fNm_Okk@%M3D65TzG!@c3?MQjI22UuwLfvKrP&l;xi3eRO{ zL>~9!cZ|QB*_tdbaueMBZ_thpkoJO+1Mgr8+;VVb^iUlB!{NxL1eP^ISIuFo&+{sF zyhO=M)&13z8MwLQk5}el>25r;+`s=h=i9#A%C%VU^UgDK*SyItAdzh#pbJ5w_0B(Qx4OX3 zw9|GsM87kNJ?5bymafO4IhX7eHp)ZKPFk`=WPgG9s8g%auvLa(* z-b0qn9YI0rUZHb~@Z;pNGFWs!U%*u3de{hqSr8^Zg+6GOq~zp~)0ORjJTDy3Iq2_C zuFkhl%|fBgO|hP-MV>7{Do{}%anm+-Ra09VZ}yM85R8~`ko}tt=InV53=HD#i}UqR zj#(gJM>rwe4DNwHp)bC#Q=w$j1sf9MJiY>q;VOV(`4#khQZh1V^|(a*=UCo)8Fw`on{sn=ufx0sZh?K0<)i#4 zApWa^VAGuebi^0u=YXUbz&(I`^b$do{!skL;jMiJuK)Tg(FJNC3Y4Rod?oG)Mfc&^WRGscuyLsfOa!h2srSf9JubMIO&cg1$o z?!)$TUVbJ7BI?Won4raNufJ}egsz}IApc!~*X1Xfs8cuxjDEy+Fx4v4ltWfex!vm4 zRS+dl$CCN?+}yjMnlL%?aE7f7ae!#x&_Q4a+?QqTWv`k7zCbskbb36fs(4)#XRO+# zSXSCX^Di)-7@h$7e}+a3;r6+p-~m{qaq0a+;gRx;3B78>NEuoGP*>*_Zuy|@;*nQA z90eY?Z$PaMgzz%I%2nm@yI@}UGXrcw8te+tqT-QIuvz;oG0Fdgyeua>n_gNv;-1c< zqPOcBD3o?g#J2wYw6Z>l^*?k&Tfkj_bkdJIGVG4t+yy(&5qH%bCCQ zOiU7-1i~;e*7_YzyKOhamF)}T+obDyp3gqqXqoLlfavs(q&AlOn6NOyCyTc_84Wjea>B@C#>d$b+>=i4b z@T#9fbA+e+H(XI@O+|MCaF}A_Zj!^FQ`hyHm*m`6l?n${Dofh2ev#Soqj9UAe?z|F zeW_#Fi4KQfkNvnx6ZA*dBZgYd@w^)>b!0Qxf{GVw!a!H{uym3|l2OAvG?i>3kT~<` z3!cHFSbaqNbqa~TqaA@3eNJ!UM!B$XnSH2-AnSOjezi_Wg=)-# z?s-hTqw_%Dt(LbK9@YNT{m{+R@usp7lMi0h26xG?MDbHU zju47L+1Sw$mKPU)L`I5#0-D)}Mjd4EtOLC`I60LFn5c0G2{n8_n7@Z)NAo)|h2aBj zQ-ieY5;x?kBd&GPJi8(HOA|Hz<0?|j6vH=Hp!HSfpayb{T3W6Rg$tj0&bId z6Kp<(Vp<{hn1Tb4X*dGCdbBmwDcl3`Z-;E!MQbcVMvr1`U>Gh(z{XDF{BDTngv9 zrE0+l{(;Ekn1jC|^Jqy4x9(d<&p6t%1Lx1CGez=26273}-nftXS%Yrx^ZHg1XltRh z_E~}SwGLsSw|~eA2n@>CI(nfk!X31gm6f#xL^%4KiIH&^0Tc)(;;Wt}oTLY!Z_^n5 z-o1R!4@s;a2+)-huEtOi=@ow~Ut?k3a=)Ca^AZ-4V!k;|u{z}(J0fX&G;phR9pu+X zxNDu!{)n#yb&s94$c#uskA?ce4AGr$91C)&nN_6e(b~Hz=3Jp@+K$6p)A?W4W3S(7cf@EDlS72}=_bSk;`a z72o4YBKp41ghAkIPr7`b#`-W5{j(jP!Nd)<>3?$(%Y<9qP{Q9g=|yZk&g=1{iIXZ35G z!S5Uvz&JsYpt(YwA}a z^l!&dI%Ix}?Od?d_G2u3FDM!B^UUYwA~L4AOh`eO5U# zaLmGywMfj@qvQEUYCI>q2^o3~ln$!F;bG8pvx5A?>Yn`flL%gr;P7#rvV3U4aQD#g zWfoY=&f)JFM)ivNNywz_+h_7RMKXk@HQUwcwdvYYNht-#8v_+=7uOVLUl{108cH&P znzc~n(m;~XOOTM|<43^<_jqq!yNC-VL-k*ve1y-J@6Rd^S9@YX3O7^uhuC|>T(!!7rl^;*pLGG2Q+nj*EYO)b=OcfQ%UJaZ83yz||I_#0H@ z`en8s3ZOvklFbK8=2o;AdId7OdJ0`xy0Qm_gM@lRin(p32VspjtUfFwD%G_k7?j!KGmMx{^Tf zoftkH+z3kV$kY1T4+ph5SyDlFWMYHsVup&8$;lDUr`Iy$q)Fv|McFs}LVvlqzpia4 z1?6$R#$KlfQ=apS8u}svjYtB$;o}-jZWhk22@5DSU~+MGS84T5v3!Adsmt4yC|oy$ zNb_S9F9CxG7gs50TUl8uRVhIg3G;epn+q6n8H`?TpDaaOX0PxTq>( zKhVW$N2BYonwUqrq`z+P!3-Ov$2ei}H76WSyz?eSsg+%}dyBx2HG^R>4mbp3+3*@^ z@CyNZ{)vJe`8|P_&3_R=uC@0*B&0P>IvfQBggiI-?b6C$f?6T@#{(C4F0|+IL~@(g zuBFA?m#Yf%mJa8#O}+2(-js0I1QkJ!E;f#0$T}TzpS@6!==o}BVzP!yR-w;7d91I> z8!X2N8`VwjhG#mMnv^o6auKWVsCW6ljqqTxMLYGgMR3GOWnq<(;rrr}lSl2aB*F4! z`N2k$P=Tb;;x~%9mQwA|XCh@)Y;2!m2r@|-{IYTX`0pYOTO=gU;=(#ZZ2t-%)M@Ke`vqG=&ckvCg#9Zy&rh5GU0<4k;zcD z(F&+TB^pom+W-NGd#lsMj^ElY?%h38fOjs%~tMC2LD$VMkQTm?^+LJP}}wjR|Rpwn*2 zIDPYv_8vL2L;cJQb4}8Vatax#>bBVi-zP#xaAHQ3;)b_B4CyFF1XiKJ-_e(ll)TH} z7ZjBRD&E!cNV~$0HhJE5CDkcBZLZ)c@Q};_UK&ip+Gx(aLAeYUXV|q`pdHG_10rCc zN6Lq*9Lc5$yh3U~ z_{k_f`DerTeN2@^)oK9BNN7H-)I|daxyVSFfIMI zF4A3fk=S>t_YafX)L;3-dA|owAAkzR^S*nSKr+PlbKoHJXc^11mz6-Lhr_3hIT+&| z`(!l-?(qrQo|?(N0>fiF=}ec$&IPhAIHbXHKgap zqcPI(SZc4%%%wM^nt@C}T9)YP-^C^bgLEh(%?8AjAP57+Y}$w|IgJP2ymCS!^Pd

o#@7gY4}VZ59;IGXa8coCt{*xugAWnv(t(P zjjln4ypyyvE+MU8Rtc5h{nFPc-i)$QQdX+h*EU~R*N{WOLQXe7K%!@zs4Kn{(5hbE z7tV7qL=6g2`-hyVFFJXZ)LkcdSW89Zt{3XZoEYW=)!$~U|HU#}L{y z6Uc zLP8=als(8#7SC%P1rHVQZcUKjLf%RYYh`XhK`W4nKxqb++NKWL{q9kzH(7d?3)_Oz zlfQrO+I$E)w>-{lbWW*dHJ1N+LKj8RcWN~DA!>F{$L`B~{l0DI{o~7|1(7+@$q8Nf zKVw)g}z2YV}a{gfA|q^k{X3(C^pYT3y7XZv=F+ z?GEV%E8sm=r9s7|_5N71W8Xwrn$=G#2kIMNiA2w8RMFw1V_g%`*H1!DBWgZcIroa4 zMveVp;8ukyib>|_=}C)?u8~U)Ev~l%s`&Yl1$##kgHyMRJYRiK{EiLoI_?Wx@P24* zXQ&=-d6g2p~5U9&6U=A6TTxm#%d;zv+TQWYAnOyxx!Py{B3)ra&s1>?zLlRIYqg1@#)#^r(_BC`o#jm#4 zSkh!V(!MkvV)66yBP}0r&pY=<{(AlBVR$bpn1`RUZe#MbJ4*6Qs-SB&?3Cv_bW(ef zp}Pdt%X$bNH|uk9lBc=d)1uaEW`B4YawjH>$F_f}ToM(1G&apv*2RUN%dA4+YyOxZ zowfa1KO@o@wmUBl1VxPn_uad`dXmDQ-v#R;{jd4k`yPbUXu?q`iq)w41~3|H-!U+Qyi9+y#l_qwgls`8h9s z(kWb2G#6sae${@%JFgd4zw@>z4vsfAse9q4lqFpH`us;$d4C^#P20z;K(xvy2M>Hc z@e(uLurHRZ3r2Gvb8b(0wN`9^{Kwn8|3S9g+}ub8g7&LYu(tt-GQvi`d;~lPsRFXI zd&Jcq2&#F873G7DvMS_jBis2Wq-W3&XO4uE?epa405#zF7uvqu?Z0Kg zXfWhaTmtq0dCugAsCsYE|K4)n#3V%tjfrWK>)e4#1{xb3AjbfBL^47e1-k5&@&zkO z-+|@;ovR>kc@TuWK zlew+rpu;=nP2jIniviv&$%jd$zt6LfsRs{SoX4BHYZn28#nRI=oxc_J(+#a(dSB+L zj0~QtEG^_)LO=w3Cj|JiM)(g<2dYrUKV>SbsWAX0bgJ`!h&7s@8hoV^?(WrsEl>0T zTj3ZN8$iF4eAB<;CS|Ck)#Kj-F^LWb(ylX0KXyP zH{)*desiGm*y7&VXBWW{$87790d@rNlO3Bok7a2MT7!5iqFRDTkZr+sNeFbmymI^k zK}84jsQOGXDD`r;iM-(jcCSP|Y6()gGLf6?`ZYZ9E{UxvJ-xTxkr7qZ9QE5eV}aNA z$)pXbL;j)of-GY~xFmp*8Yr!&{>Vsf-Ozu0?n=X}LLVqx3%+EO3KI^{eHT82m(fcH zd}(Y+v2slBR5DW0p2Kvsfk0Kr>$1h`5(*m6kdHUEL=zSN2_6%WGDIVK6NR_?6}>yh zY$9-Pn3EFUyP;d_eoG^_R6M??b!c!<1jNlnYoqDCW~KA6AID{LauVxRI6oQ}r%rfU2!Q+wov-GC^Ka75xY2@jXC1De$8cl7`IUOV{ozPbYvl;TlR7!mju+(KGayVsW}&0Pma> zwZf;+6+M$;EWA(OX~{X~d`WIjYT|nNR!!NWhl#G&qj8Go(zWt`sD@v`Wh1~dI=?ru zCrm9Y7VEBQ3ki{)DzRx1d+_4WS%>TvlV z>TtvC2jX2uVj$$jTMV2^ES^*XiO@hW?Q;KT@tVcR=@SaWfh$iwPpclp=0eLD6P>#r zBoUn28a|4h9Cx^y(C4hU{QT7t!D|&)tYx&AvAX>S=K#<0ta7ZbwFluPQy^aYA$-8G z3=oc&p1k%YI)U;|o@k8^moIKT9(SPq6g)z;vi;?8e17frR!h*n8+-~O3Z1!*j2<)# zR#Yo1>}z#*w3pm3-@04e{sdabPJvnf;6~ep_Y3FrEg4^)fL2Ew1_6j67!}$ZK`&d zT@socyb9_mmaeZt2Xq!4Oi3rEU*rE@v+0W$pTPR;>>%nULZ6;AG@|Fl9?Q?(GdB*s0VxwF{T4ARaEAGRKKIX zI9Uh*oveO)7-QJP!C4s0l22fDiyxAP$G^dGu$&Fz=X{X?OYVFhHf8tN6igSZyE|_5 zJ4Yh<-^nU|L_DI27W}_nRiCr@mxTdOs1o``mA@I`+jcSV!00X*Dy}LsmaD6czA?P6 zUjlX)E$w{DIsa)*-w#xgu3RJ37HSOO$jv2V=`W}L=Yf&bbIQ~B1R(XF1sr zr5p;mYXQk;U}TgwltVL#u&L`rZIQ6!;xZUh>0;-q5xxHFM*1d5kvLX_7^qLsKZ;nu$?=v?T4^`d>TJzRYASdOI7gzvZi zox*W9@&4DYH@uS-MpBS0KNp$;Ql^&llx%A3wQ+x%G(4@@p2yn}@VQX^6B839QQsL} z7DcGjZcY@JvP|T^d<>>TT-;a8rPDx}%*~O}WWEk4wI#j&beBuR0Omf>!-7QFHj4xY zpP<>{dJR96Qg?TE{X>HKOWgW*k1JLnXhv^jdc1dXX6A1kC9g5nj`6*n&b)bYPF&P5 z^LMh^v)xzo9uKuq3z!;8QDg~jqobk4sIUir{;c+&3vq}VPx8k#oIveS0wqs;26(hdQTj7KZKW|$b=;%fO!}VX^2?X|Hl393tN)D zQFjla?0^LfA6?vS%JIHDAtVb-4OUQf%OUIHcJf91p5*cbJ%v zIxURe8|3831nai$?xn-$;42u>I9**>Xp*BPrrWGIO^ta`72OglTgCBnlK1rb_yh&{CaUCBsP?R8n2cREH9NXL-p2(Y8= z=^F5u!gR>xu&UJDbJ>Xh0LRbiCy0GEu3Xu6-ck_%F_;LY7u$i)wdeI0HZ_^62e<)T z;CdKVna+v{JZR{zo_rMr1`F34KNqgtP@S#mh`SYB$rO*b$UG*Dm;?nAvL~q&@op_H z!q14B%+U1W5K!>XhW_&22GZA-b9uWW%=&%lU@n+9s^cO9kC3s4K-aG$=q>NjF+SxH&;!C{qIl3P8NKU4jx4j%HE{K5D@2 zjFc6^lbe0R=L#a)H{V>afXw*2(y<)WHcXN<4n(Bd&398q^^1i0|A&j3n3(LC{Lv0p zNJV)u^#oPGRJRh86i+=pYmsC3&%q+@x836vVE@eSJEl$h<-L@ll%GLJ9BgA1dpVQkZ$}3JKK?Ii?vvNgQmoV4Kqp z=_RJ8SZ;F~)9GKXH)mMdfO5*herRJUYXC}FkliBz8Bnn!?a`n(kA|u#OY27r)X<-z za9I3=3qVl)`|EcZ@+x^_<>Vq4fv+)^k<8OzgOV7-Lc{2X1kzLmI>sw@GlbdSQk6cx z4~h+cP$1AgMg>p`Ls@OsBbwlI2%3brPGsnjLwl2PF(_VMlKxAPftFqoyXy~Y?crmH zeb}NT!YJRVEiPG{<4bH+GlQ*DuKeaK5LKC0rwRBfE*g8(U8BN4ws&HYQ1FroPx6SJ z!9{-qjAKUrHK`^2$jE^xJrp`FF4h0Z<559ONFL$+Q9M6QP9rUS^Z$h{SK_%@AxLK_ z{P?+dsqH|*VCf<;m4%z9O(p9KeClkpZ>xlUKji6d9W00m}(Um2r)^BtqnMB?MV^M77G(72ymLSc#nLET> z!KS-rW2^AAApk!B9{vY@0u=H{D%|+)0I2T^K*Rg2um#osMB~6tihmzJ&O77o3*vP( zMQ7TD3G{`O$?bEv3k>%b+d*%x%~ta!TDa5u5K|{4=scx)Meb%^{rr81$4h$PpKw$p zxV0~E$Aj67oZO5|xkF9;5i~%rtps)sagqp@BIlZ?EqJn3!rTPxBX0q^p~#HNCV{%i zGTl^)DN@II)+-|}&LOD12Qqu`*9^9{M~XG{a|_hxP5tm`f9=z3fGjY76KnPfLvp&V zPMB-Le$zj7RlbpZR9ay0l&telJ~{rMqTH&e((jfdL#4^NS87Uyg;}E}K6H#CbS1<% z^cYgxHHxsks7{!pvfVGDGQ6t`b9=?bIi_-HGCbC4E5T*PzE+Zw*NlW)b)sT-<=}e{ zR{4g1Z%3T->eIiU)f`?BW8 zJz%?dSuo1V)$j&$r$wuyXF{7mo#LcvN7pu0v%RgYhN?^L|Fi%}Wo5%NRwydLY7l%= zHx+sc`Q8_sKKN7!hu`lr15fS;Hky@^03cBhHk}TT^lg0VG&3=A5RoNpK7On+rh7N< zxYfVP>+0{vG_4Olx6`OULQ7aMVeiS#PxeyUx?IWFhd@0HZTUH-Wl$8&gZ!#u0BD$K z@PhPsh1mLs1cJ;=vO==xDvaM3>7(hZF?4PHY^s!C=7wZnl?u66oV!}@)EwP1@!l|T zbJsVe$$eF51tUP^)!F)#P^M+9sWmp)ZI6TNpz|~-7~jCv^Sb1LroFsw3Wb4n@*O(% zBS(nhsS<^>VnCmD?7Mlc95Iz&w z<_~}YbUaU&J{ABoE$`|op!xD`BsT4l5R3z_!C6V0`1gd~dH?=RvgIp&*^m0}+&Yhb zFLa*y!Aygck1IURi)W?04GM*)U{8=V?4i1^TOAWf>)Hm7{=Rle)`%vad)eZbZ{K>r zjDP|oc0x!_hCQNk-l+O}}>6BNs2e8Lb6Wd-;A~GXHveM4xUZ>_V5`9A7 zQc%ti{6iKdpIf{yL^mE57@)l~78c0+h6V7o2zqZ}8i(!Y@v18II(pd~l$EZ|XEy8m z&HkbN=h_0ynB>ONZwz+F;B08zm9}NGyqA$YMI2usRfRBJuuw9UHS|F-`|RzG7{Fb)oml6v@K z#)XV&JYn^EBVYXzG|aAE{Pd-)DoK{(-bCmvt`jE(^W=rQOrD@wPg)E9tO^7r6FeXB z6=$xb7yjUZKrjHy5IJS+O z$bHkJ7PeGOyxDnd{z|Qm$R78?=2?kgwcg%?C>>5G=fjx6Rat54VE7CYX3;rJ7M0J&_&YoGrDC{KlapEy>;Xl;nrAg= zJmIoOWxZ_xUXEcrFM9pqf{&j5=~nQt zL9su$?b}1Z=EOdM0p2GkE%^5BK}=iJ4Sn8?cofKo^u2I~Zlmb4IpjQLB2a-3ay#9H z>*D43>+bGKD&OCu&=vUHUqXF|rFbJqec$80no%A$)*m9U9YC z#?0iJ?x?r(M~JTq%+R%jCKl+{Xm8cV#K%u$%X>pSYkLT2zLo?T>7PX-h-fXk^SN(c zWG-aTr>~Uw)eacLmq*Xy+4nj(Ew#TRu6{c6NIdTPh_qV{sCY+5MlOX# z4-Ff~N_SYB&H>5n;HbFWVXUf38jr9HOZ0-N$7;-P`tqAeahHb@xpFw6>*db^imzRy3 z2@0!+ZeC;Xf6DU%+)VIZA#IJ20dfIq7Raywx#$$~&9|JquU9*1J>tnr#X(J1I)!D5 z2g=lvPJ6q9!g4~Z&%U*~a{2|ixrSg`o38Q}9e<#H=+}#v_9x^8*{Yn{i`h)O3ePON zb_eTlm@VUs{Qx$CfuI$BcFD^e6?DsJF*kf50R4zZHyy=|n0cq2@4_W(1U6d^^S3qc zhRoE}rGvYTRpoJ$n&#-}=tRiVQ$=y82?Nb!B%#i^uPIJ2hWzdHpCR-vJ{Og3PMor0 z=Hx6Kur(}f5)Q6^98NdboxHRb7C5l>Yt7*s*+R?IvOda8loVs7Cac9$PbWNgA)3Zu z&1X5%ti@Hf3$h9swhKhn0A?3Bh=_R4KajKTQOa7AM>|}@&BBxqOfh%g4C!W7CzpF4 zV1HJ*wf@H=hr6lx){Zdd5Vgc(?g_ztRdzik_JactI~-}$0oK_+^jnFVmhUqA=hp4r z99#-gGJ21*5mv5L)+Y=0YnJSRqGDn+gU{2c>Py9@gqg*#6UpxpF%7HLH%O$@ev7ln z_fa98OcyD+C;6cCv!39To2RMC0U7wk!FBRncRXG?R%V~Sx*MGL$V%wxd4+3adDW=T z8T#eRoHg(3L4?(KRN|S>$xhG6mc6}wc5bfym}3&^5l_7yIU@fu=ehQJ-JqDIKb(qa z6&|mF+DSEB*-uIBgvYE>^<8EGG^IA%ILGmor=K=9ETZkCypSeZqu7#yu^)zkbuVoi zsE~nukn6)`5?WLx)_G0b`gj)wfGFpJi49ycmCVmULFz%F&uwft(Xn$RuM{m8n1pnn zy7))0O_V>Fo6Ec9)f$)fF?h_DI8|SKyYd=efmg3zoT#YiO-_C96)e|Viml!AXngFA zH5VT#I^$00w~#$HxR3&hx|1Tsj~m5wvLs6*2bIU8w&C%yFq{(LP_qt;bqk^y z^=hsweb*|^7_mI^J5u?5#ei&I-_Ak%%2D*=O2Tr%82o0?)-JF1rEaw4E^k^q&q>Ou z*AG-*vT+oS8I{eA2f?AZ?&M5Kq`+3NC6AJ+a#`1x%s?W$xlH^gHa)#aO=uMFF)ze} zQwumCTd9)hxMN;R#xpnkL@Dd&sHl$hV6asq0hFU7MjmeNpGjP6n-qlH_3tDq-e5ed zh{a*SxX&8q7bg%m{w{@x$-C<<%toox z7BBOYll!XD*3-PoTdHo$p;Wu8#YHN`G27hR(?Y#=O_f59>{j}%p!L9?x8+PsvT*;U z!DO18Wmt;L1>FmQH4GKVuf)3cv}J~)4W!87iHY0DuM)4wBU8jHrOdV%9Kc>xB9*wq z>LNP3RtJ$=vSq?MMK0)vzS`+GqIH+zq; zzb{u%I1v3xThF&+7(z}0mQtru9OhFC^`WCOwVf1OuQ-J^aYL}S1` zXKTClvam1`N~*^r9cBEl-c}x@J0?e`D_q0!HH+Hx*3DJxu!utQeZ$Q1*jk+*1G`b~ zQ0iwJV4v+dZC4qUm9gNA^s1r{kBj?beM4s_Kp3PB;28fHr%;N4{Eugn$5)ECcz71j z4td&eag=^{{Qks319^T_yrIK5fetEr`cm;#PnDFEqJtu*yWc%j?%(h$=O=HK|E8O> z97BVBNp@i3%1nh7*g){-`odd!78a?h8HdJ#InRY~>W^^a_=1t-TUHj!&<9*olM6~) zyoyJ-R-ePqWLQpB+>SWAXb2X_FA$@eM1O^_9(>DQQd+eL>-kmvup@_my3KEWLErBC zx?`S&ni%^Dcc5rzBDlYZ?%til_5ZWbd;48$i?l4wmWoBh&!8T)wE{CedriCxz&V|T zZ{$?3%v`oFtP?#|?7n4Ms(*Nk6jI z;QG$u%S(=>Va`U+O`Lx#GBSif3*tP$ z3c?TV-2TxLj7dL}AFpkexref?N$R8|?OzO=vGB@@$~Uxnm0f7RWhn|_*6*Rlv&DiHf%P0CdU!!hF|i#c@4h`BF*qG)Ch~o zut=?6%aANjVM5@oSz~I5o1x)ds7(&%CsC}NFzZ{_97btwxPIhikblAm>kQ!b^QuCX zs$?|#vy%-q0gP(UHbG`z+wI}S{S!Vu*#;S-U|Pq)#@h<(HDwc!NC1AYe5cQcXxp{t z`4g=|k%S>Z{`7cYg(yll3(jVKg=P%zytp`26Sr{408*E}^aAJdm1BcHWJlJGSf0IZ zH=O_Vf%=JS1jKZty`XW2Fr@8)p|HR{LfKF0>9~v^ycs1V8ZuBxK=-}wv`$Xz`JA8-t_trYgqJ=BccB|$&(?qC-ABz#o0ac zZKwyI^8W@g&`VxyqUlA8xbr0pM@YVW`SryL!*Kmv#<$1-M{#?5E&xOJgKddMYdzee z&#AuK^y1*C()FK+Cp%P=V0J~g^8H33Ea-h$rKq3~Y?jjj6cFUDT{(&|v9h+$a8%3^ zc)|P&5eK*UeqY|tqj)O^k0|qNMp9`a(Maf;vedmiA8Xnf zvE|%w-dO7)3i!;#H;6+N6kzkfGut1U4)V#9kATb{#pRV3rQwAZlQHN=#izAcD24<| z9hMNF>Hns?&Fy3x4%pw&MGV?aF5}PeVUl@UZSle8#rIV~jw$>_>siX%%JDN_&_2Ln zH7wO$^6r^||2LH7=yTQ*)S$m}%F|8gER4NHGX^aNgYL*s1uIX(NORb=a$a9tl)xt2 zjv%UB(kO}sYit%!?&a4Sd~+O*5ixED z6qZ7|&j2MO|0Zv*-Cymu6l>9?%mCVgba8d8U3V7h^;X}hMy47;zpz?tZR-~%QzP$xV7`|cD zQkG*1jL#fIWH@#*)x&SVBn-O$RGnZoz^@DpQmPB`x`B`DK%m0?tP}M{pcvkrH3L#) z@WkGNdag$Mx-FP!VQNRxa*M6EZruX+u!C8#ktLt7@J#yTpar7X_0X)`qHGE~U*>Cj zd%K+>WARuQ$WkYZw7}Ds@@OSr-Wy!AZOE+*OiqUu*2SCaWk6v?V<~~!2o`OJZKC~*&j^=jSuL1*N> zv9Y0GiFW_xPclVeTu z_Ti==+rs&Y0)bMkmoGPq7M&FFCV5GiN;^qSwwaNb=sje*WN7Eti3yAK6{t} zlAuFv8a8|p$)Z2};*}Pz;Fd2QajBuL6kg2TyOEfrW8FKt`9DcHdAP?H4!u*1e)0nt z3GIrto`RTIYP3{NWW>!#3{nD(=c=eT2)u3kruR2rzf!Mc$n^M%b{cs}P?$+bA$Ryq zkCJk_exaxjjG*PghvMsdN9Hg=$cOd7xy!jnWmz@7Z4HAbXnLVr=qjURdDtKb^RZ}s z*qvx+t4X&33RhAeGx-nr zMrz;wH*0c>fda1uz^6%p;Tx#U{3{hdh&RM~1Y{cwilaY-gfKHO`~u88Y(6<|a2`Gc zlj64x>l-Li`O$GNrVw&k!XL2-rF>*lQ(Q+9q3Ns3d0+PC>|kqze!4B9Lv(^U)luA$ zYa>7WS&PBY?ickgU}Dtn*3i(<ku-)Z6{T_X$gELQv z$5WY3wRj@`1o-)7$-K~mfoew|(~{}2{s{YxSLO}{$bE*rWEY$i(lvw z*2W}fegCee9`YWPUPEfd;NyY|RlN_t!KyrUWL#Om(tR==?!$l7l z>yI^Qc=gC&gqIYNb(lec>3-_S6cs1qlWNFf^Q^;#==RmJ3fzf5qHkR1aTUdmw$tZ$ z&@AZ8@D!Dp6zy;PgUHHpNGn-mNZbCP_1d?G1k-9N>5g#5)nHH#`J5UzZ6(k*W-V=!{%N`fU`#$b`w zEiQ`X89M+`q zH)V57dOGym_<0j-6qYhx2mg|z=eHp1O{BMcY?l@Tghs!^EOyJx(LC-7-+e@Xh{f#h z63Lih%|B6NqK2*984n6b0@pdmQDHp+>^qz8j10$De%Ivo98VA7bw>Wl5Z3w@>*hKYwGV zdeYyzQczEe=~s~fy~P=ma@lefVXvvw1ha!A(FZqGzfs3iKZU=#JbU*3#52(qP8+qZ z^igDZeXrFWgNW??N3|x-E&^V5^o;!8q0qfycPLKi9}p1m>{)2quhE3q;Oy^NO@=un z+VLryIpKb}R>6U@B3Y9aIZgImkw)A!rk1}CyI#dSd=@uuJz9qkO1!514vq4hjXaLP zS(KAYPE*_rN6l7s_OnUDo1g5eE?+1b2Xjl;@B?Hi1P3WmE^vk*tiz6ndoTdZ$p35o z*PrVx{@Zz{TfB*D$tZs*53u~FUwOjdr&L!DR4fgO2cOK@fh)i3s208#A3@3lyC)N@ z-H}QzH|p_p_PFy|Gl}a*jf5GA(e6iupY=XU! zzaTEf+FJMDlgy8QusBp{emcVIRiAHthmOxLX$ObnIn&|ytP>+laXt2W6;*M|M82ci zqS(_`@!a6*J>IzDM}=ydBnW4groVjAuXv|X>!%+V{4#x*%B4Me(E4|;>ox}?2X$O< zy8Xcrb0N<#DcMk9{clc=6A7~h-NHq&97JA=j$a_qwoF$QY}vRq%w5|(TQP5*Yau z`*tz;jtFmAUOq2XB_%qi=Lfu4zVD!~2g3Lk9Evg;_8M^mf<=jME#{twhyV0qiX|^KTCXR^XVv08lpirHV&4w9Mp* z#Ra-54er_v=CCbvwXH3j`OO_>X34FtHS2#9(Vy5U85xy~x_L_^L~WD`bkDV$zb|pD zCvJAdqc#`5YN|G#ART1T2aY6_a_J}BPiM!Pin-G8DW?a2-E?S{^SN{9Wf|j;jNT}b zj-xB{n+8ATbfb1ig3+p447z`E*>;oZ_wJun)4D`4=Y)0Yzd4U^@Vx7Erhc5gk&@Gs z=yvHciXWq2qL_3(qigwv@pO0BP@U<0hQnmqI$f%?jC&BkryggVlRVTJIp4WU*bEE} z)$QwW2?*4KmIv!t1v>%9T;$xW42 zef~V=-T|jJ%y7s&#)7erf|g{j(6z-K9>vk+DW9oOAue^I4floJLNW|hdVM_ydaxl5 z937U6O@r6b-&6P9i?`yXY;#^yMoDS?Ds7{-P886suK!jBH^!HvVsJ@YQqK*~ zT#V*j@~dA7Ym^p~RkyFWu{^o9xEry{gTuiirj8q0IpK(sG|9k&bG~5OLBe@k7(-E! zuhIuM)Nc9C-Mdx7Fk=Jy`=@mZBEgXJhD^(kD(6y*K}{~eASl18TKN!-WY|mv{B;;r zn0#d-F>1*%@tN>M66<0&693lkz8lHJqj8ku)*&zAH4`rS@#Qxsi&&yJmH0eLpIcagJ?O4L>U8Lna2teYN7-Ya_ zfsZqnDGBy~smqHbLzAPeJ&up+AJp>Q1I3~M^YSpHoM|8PGG1f=c|HO-V<5SEmB5=cLb;5Dh zSpU}-Ukraq5LVRKqUw;3i%3Z$8s|bU(QQ75Iw04afN~)RmjzOVs;;r9P^OK27^#wW zxBS$N%hvhIf-IqcuG_@Clsi6+0?rOHXG2`1?~&CYi4r`!+w7k@6GVpwlMdPH$0 z>}~emuKiKdrDCFI|C$oDg?HU&^(e00f>aw1>V#anV+UEDL9Mxc(a}-cYnjP&s?~8~ zeF#?7&SaQp2(T&XWQmFw()3f(d&=6yQLV$|?!+LZ+%gQ^uX zLd9ZYQV}RA_58CDN&c(jnc_(%lk@gd!jz zNJw`h-H3#=(%s$N@$R|b&+ol|Tw#EjXP!Cd?7i1sd#%6xMANRF)%%+t7pk~rNs6)D zy3rM~e$B7h>3$rHwFhicFzG}Pg}4bfpqN-ne)?9z9kTn1Lr7<+qwJdo94Hw~;h$s| zvC>D5v>RwYpd~a_Hq|ygwDoSIEWKOuHtx-H-`3WX=rQ!HsZhJor7ZvnFE0=JguJai zfzLOLjuug*aVObJKcSTa|`6~M{Vq@KP?q_S(kp1@?IR2C!jpm zfa(yF)Zsg6nva-bAmexZj3&#bV98%C>v41x-xb^6*60ykqmSa;j-xKeZJ@xIt6};% z?H=A?n>c!s>D2oALt3i4%Pb51Qp79u{s|V&i8m5_34|ys4BumU`)|)g&(g?dO4(Wh z78?~}@#7`rS+CLvhgBq*zWDz`=Uo-7Ey6t|W9*|z!*?0^oq#@+9x=Yghm?dA=o=WK z_!`-V61yZz(L9^}J9%-9sC1JGzAT`LnM^gRd_#YCiJ(OQ0T{*)ZNd&DvXp#5@W*4Q z;q~C-wS;fqfWG37fTi{jrVj|D8Ntl@YcF6+J9;E%+~e~;?gx5Q!C7?v8QY(Wb(~E) z%WDh`i}bPga}w-aY8Ef9Z!6$RZ#3TkeFDa{meCWF@lMgIC>R(}>QN|2F&2p13no22 z;0TO$s8#Gg=*L?AxA0zOfQnt>#r6lDj*CTW%(F4X%Pi+(B9T3Krg@;B?6JYm zT8pF-4kH*^JvuF4V;L^epGi9q>5k+t*Xvi(wWfcpA`{X|p&G;8kB(4x)7A#m9Vy3H zSV-u)2C5>vdm+!Xl4=Xmd`um0odm}}=nYI}k_Jcj;httZRmN`b2##gB76V2>UH~4~;nsjE%Wu;O| zX^|aQ%Xyw1#>JzN;wvDYHY`RuAH`2BD9MYyB(m33q7fqpExgTIMI|bC&9QRl2ZC*y|~MBZli}? zG!LqEJSigrTC`9(?n#Zz=aLs6MLh4kWn;uSgYx=~(Wf;TJC(9vALJJ=4rAHFvBDeY znyDCAzrDMMj;^GtfU3|nbCZbOmy{xl{0s`C^4;b&T-?7`dw`a7O+f4CV*nFF|>>7O6J{pJPjYb?_A#$MtqJIikK`SHb6EXL4Tv-Z;@miw=9g*V2?=EX+p9oC`L&JX z5P7QUlYGNR)X}$5@S0{;RcyAVQe^Y6PU(vwg>5M=ai6q{8tIwL7S83B8)bQUenvQf zkL+a=4l}ZM%IEMlIYH%$nD|%fcn@x4aTbRIJO8~&JVL@&_mv+bTlNicDc?apLVfGWQs*`^jE zAf~43jsc)IGjo1v$g-MhoSSAkCHq!?AY6RpWuT6dgv^6f+LhF7_-XFizMvE( zY@b1l_`}0zl>H>%yYI&NR`#R?<#~L?xEmi7998x>fFnxezzj*+`xHMgMKz+)pH|}Q zQHQ|f#IFcf=vt_jUkh3eGgpRP{X}~y@e6^X@Tk8?QPNuG9sAB{j*oJe>C~uR65dHH zhskH?YQ*w6X8sRqI~8YCmfHZ;?ndrJAQ%>t z(tFCpGX!u&nahsSZfM|tP;g=>^A#2Q&7-kyDOuyq?=M3;)Z_Vjw#F>W$lICKM8r#` z|7hUp{VG<05HrD&7LNP8-A=g{&&?ti%O=zayUm32!lUH<&`n7OG?41L1$(J}Sh_Zg z$OndRsZeGuXc30LI~jUBO1iTYxX$fITtRV_lISbqb!ZKJ_QTh4m$26Ab)_gHz}G~v)_o+;Oee@M~w>V9DFvH)32po>yP- zssH2{ZDZ_6NHtru)7WFOp^X0d%RD4%U%VF0V-`T(%TppPtx}`P&LHNZk>O)?+MDDL zx4Yl@MLpYVaXXO_i?@Q}fl=fo3uHxp(0oMH1Xzqi>F8x||D|r@>{IKR<5Aa%D=SAL z-KK)KGGEXI3^p>e6*;0HQWtb3%LnrZx2XF`wNJRxw+Q$s8|Xw_(Wo=gsqcNn?L(&y zy+`dbh_)xMM(Syp#f~1yelKm5(WORbLx8#kLo^6K4VQBHo|37cH~BxR`io#MpHldts71?sP_@?n8i+&w^5%fek+0%b zj`JDIbC+tBOk&PCFL$4(t-N+`+U3z{_m59}4QJU*bELi*{JD3F=CP^_0bM8K-E@4F z_k&EU?8PX=9zAl*d7o1Mp`Mh>G?BUYC3!A!@y1GK2c*gEcG^ygyfX6}TC&p<;nl4z zx5y4^ite?8BOPYxsy7jnJWK6>%YEHAhC>91l=}@8x&PyX<`)zQy^yafXxRq!(8cq0 zKrNw~^YAHGEA56BE&%kuE zVr+15(U3r=-3SDGL6hRE6MfB3O=aarB5cgei$Eg!Yz@9LF(!!jZcr&Rgbj%qw4gLf zO+&zJ@R>5JJAr7G^?(hxVc%%4dCtZ^a$%|oOiFwO=cMm*x($YK@)*Q&$sVHSKYSKEcSTc8n;G6rTPP#n@#6Jum0Hgyjqfh?t0$`)8m2Q^ zPQat^D*;O3u9!N8Dc6%uZc-8wc?MFOC#{K~E!$!2&}9mo^&kJHO}jYk zKrv#+T0U+1o(~zTxwX}Fou;r0XiWz#c#(pRc1L%2)Jc8JqYf8Wm4I=Fn>%ZX+l6wV zx&uV{r4^7mTpu*G^;RgG-4?o1IZH$g)dp8|0+AHRkA$LVb58vJU{ey?0L{w?%6M5i zgHox17@(8^RPL@1!2TsDcBADDF#&u;&*qNM`7G%jx13 ziYAQ4Pix*H+PPr|t);a;p0!rln&` zrRMC!Ef=Ce8KOaL%ck}ddeqz+CqXOBebsfg^`e92*woY?HzHoSCY|)Q8LFQ+WhA-M&JeIv zc`AsgHqeicTe%C#`|qF^Eh$=!{gl9FfW1-Y{16K(WMQ*z?bg#L9n{Q6`{5Dk00*Nij#GzmI_8Ku3{V2a>c0p)1)f1 zu&t=>ls_XFd#TOZslVu22m;e@CP38==rCap48RS7Qd5^=fIF~xLORwW$M9`RRn;g& zE>I1G7Aw$xsifv|rM9(zh`4pXIBWEXKeYaulj{>HgKl+{QBkFu>|oaB;^>BgQBL8T zwShi639d_PGO`6?tg_r3wbvcjHCM1AubcHx(RtA(Ek7t=%oa$&nR>5KxiS80X0vOt zAI?~Jqoo6mD>MuYnDTH4xA*a*)^K|kQcR%~p zrCQtZ#WQlY7pC#<`DdNCRDmqvROTvzZV^#~TD9AZ0Wjp3xN-ki z0DI+@*TSHL1d;CG)e9kGlZW3ea^2ryzVm)JVWma;=SlV(fr+z?s>Xd(T%1WWzdjiQ z7aiudRhUzg<((63ezfo2w?}5XPj{z@N7A~B;NBaz$ATip_xbJgT!l9< zbmj*LVW0Nc-j90W7W<^IN_3AeJ}>3hy^|-Kne|mjrmc(wfA;BN9&{I|Fc4T&Rb$4x zSZnhy{N4reT<&R&y_Ii1N$RszXNK; zkPO)lZ;HxKp@yg_?}ZSaI&UmmH;MP%VlJDbh?yZoCB{*BoDp#?4s|vt2G*NCd0avH zE4;ru8Tae!6)FX@g=hoZXWix2RfJEl$&hXnNYnfVg(=bt$HawjA(T-LFJ`~r8Ac+r zC@(qi-#5u_3?3`KBtTjG^l4J%nomT6D~>{NEeeJ(1Eyo)KAkVZHzL>m!bh zwU(KEQ^AB>o&5t$3S1dGrwuQd%Y|u#>*^7`_(Tq$hKv5$Gt|?J*Zq^Lk`iT)tmNGo z2pfcn<{t?<^(#P#s#bS+?}5nsR2dH%z>F6`I=i_(X{@&$)E-Opx!TabLj_hoD+Mxe zAIefB7)ymNHvHY@+C#r__~Xu#?}bVdm_v9`!=To~7ghQG(QWHHF2ALxCB8=r(LswK z2%e6K25I}_%4gxCtBNu+Zk{GoNXJ?;a-!`yo#$jl#ikI<-|-(JD>tQ{?s|~==kHYs z%Ue|n5{q9O(y?*Uz*O6@`yLWip!ag%sZ@};7~$n^E5~-8^z)<`iLrH)Q70_c6~R_z zS%Z7QzFC@WVlNr-c6;Y=3Hm)Iy^kXURUQ&Z+{e&pqMp^O*rzGaXO77{UMcG$&0kF3Tts8p)(co?#F;d#WhcXz@vedL? zpQ0>EN#Pch2G3bc1Ru|_PpERh=w0<Ffj}mVm0N zs%@NO4j@LBdqziMyhaM(MNJ>C$$QNfm) z;hp}JB{pDi>UQg4#_3Mc)5@M|8Q+FsT)kZ1X6mnGOBMnlREFrrPVv^SeCu!TNf;o_ z0JrrK?We7ZOGz~C_xIC>SMLPR(6TcpfN2wk6(I9^g+jws!r|;&h7YbVB3ATIn(k27VQ`!|zGi-DN;S z`|7>T-);KEpYM>bp6e~&%IQss>JldR!)lYeRt>jrp=fPs?sF_Hj2pW0qq8tlW!*RR z|HXxp;Z21^Sc97I;Ms3}UN-U|3Rlzn z)K`@j1eouZo?SWu6DJ-8~jBt)njFuZgdjH9@Q0@nB&o>;l8tu*4~kW3?;28%#lp^u^FE7zb|WEEZa;3-};-B+9Q**GYMR z!?jTo;!V9f=$3tY=1GFRxxV}T(T#_!w~paQLX+J;Z>1j1?P44tfe55sc;83{WwO-} z&;G&DH${sNwXdGf5mCNjTGMFbah|r`U&uE-Ex}w?xTS4G&PQ3-KgXwvZkaPNG`{us zE$8dkulwgpu9K}RUn%62H03%-#nZ_Dy7z0DX>=rc8lgBMyWO&3i-{^$l-+my0GufH zDlu5gwmyz)yK#zvyMT6?O8}c0!(b};%m=M>vlkIkuDoQ|E+}8MJY9Kg-gV>%+MNGz zeEQ3azgM4RuRUd zn2}F5ATKORmS1y^VF890mt_}xk z31ugo5Byu0$Na8WDX#azeEv)j5D+Z2!%#*h^YJsVP5{>=r{;1b3hz?`1Ya)3+FD9h zRy-+2YCG`8V765q&-H32*8U0$T(XCjs$fVs8eA9le3E?pThYaSQ!gyN!gCQwTM_=X zKE;ShDXbHXEbO$3>vJ(N!+&^X{6;t9KKrD%)=K;375k+*=NIL_;cwg@ilhGG5~IqV z+W!+$YtUmWbf7MlroIDT_UT{lWQ2n!VgXH<57kDHjjMllA;)(`=?orLC z<=eNoQ23Qid@RtUCsPZq0s@Z&45I~$jOaLqAf6N;1Y8hVNvfO5w8iK4iW~(tC|JA^ zfels~{3*;{f=|wz!w;C$N^A@gPOm*)@{x_!YIBQHony*HTXUHi^ zSi8-S(A_}#kan(EV7UxJQY#rTdJ%nKrfRUo7O$cGu*F*!4RTD0tO3MyxTxrd6*en2 z1Yy5*g>!6nDGdm8<{j<2$3tQj6x+n!^W7gw5W{R1`wu`H5Fr8z5zJhKbk(-*9}PmW z6?|t38?VphPcHbA-8M_wYefD8f~T4gVp!?}1}h?^1?f)3Z^glICW1}M$lUR_C+ZGe zER|Iz3v(*w=e1|uj*`M2QA3nIbo5Elaa6oWg?aLCmZZtSNq!ET{=)mbKzbGZUW7On zNY=H%B!4%E)v(9+yn~1Ld)$Gvb`il6@_$?a5P`VsJ2|NXZdrro)w9swMA+CUj5b(L zaC={9kGOl(DoYVw_i4kP~fVP}3^kZ|-M1>X= zyGL2NrKUju*0+dULy)zD!-?-j!ZtXom@IXE%h##Khamx=E+Hd~KR_tTw{B-hUH?vU zaq(A}e~zo&u90bw_XLAPkLHTX8Si*dzSVoTClRxy|3K;+omq?j!F28saEl}1b~0U0 z41MfH75Vnt{c6_fPGz&I$yYN34Fd}cxPIVy2?uG`#RTg82F1=xfY1;LyHh#Yq9rz^ zaDE)4BuEoL@8RlybK`Mbmi&{`E}F>#di-MNHxV+db0Dp-{IiR70Wdmx4m58b4GYF= za4p(J^i=Wiej&_IoGlOFx1tF+CtmC5bc0)vJ6N8?f@QHNTFgi3CR)C-|8i)61^mIv zsRX9RrlA9kFhjhL@yO!YDJV?w?$jM1ZU*0F*ehVEn}KeTO(W{`G5}9@7o#T*v-9uS zGm36qWZ;sZozyBxq;Z4G<8v73L8KJP{Is+PZ3?H6l!*}_j@O-pctp4X2J`XPUw45z zNdGnEJG;BO4mcZsTLTy~Qk3!$#ySzqf9`nyp>e4$NlK&2iCQwA1{n9t%*p9katFA& zYV&6oIHp2d@)Nl1R(~k~Bpczqb9RPc3W8LwVQ&H-s|&bJJ_3&bkdmLj*lCQZq~x`G zE_gcY2UP%T%_>dI$?}+45+RW7gW(`s8_231B^7YV#}y^frlqCbZv;76aOwj9DYcBx)`V+mgBi1KRBKzE7V7dhq`)RDW;{oo%2P>6`NMV26W*y!Ca4eD# zn}*NRS%BtnGaek*zdli3mI{kA2dor2IOw!x*1CzByl+&7gElh0A8#r{O9D z%1eeo@Ld1;=TEQ1idX&OT(BlCt@x`a*>?{(AVo!tB``2#ciAsk0`GI&NA3J%N5RmL z2DJE0*9UW~#>+ZP8gb`uhlC^J8B9Wphjo7k$fvwp+}pJW*op79DFx572c0ZIaRq#f z-tdr&hF66=U1&4nBtdqA1yX%HZdn3eBw#T_wbFghN}iG{B~Q>} zygb1yKiosgk@%=}PW=8n`lfz9j9w`S;70iG$F_di+i*cK1CCfhESE_-U=Bf=Dhl{C z91So$OyE&JJ!1pL6~!9N`)nFq2+FXA#%S6BBH)cWZ?uwcTLKHzLHJ$4Z?CbPMjDcV zlUl31f&xOo8v!8ufZbQJ7B8``zq4tle^x^&vDR6{Kk2%yx57 zQE0fq-Q2J~0GbbZ@y0R+-_=eL$8IWt40Aza%Me`c2E;UMed)!4xlrO)8zp zve*|f-Mj|112$qY4N2YuY=Q-!K-MUc5xrbqVRJ zXn7*PvTwb<7BWD-&0tcobn)6;bMfV!p%7=a(@c$Z6b^oA<<3Dx96c6N)rq)pIN#-E z*p-e4DhIR5)C~QP$*JxVuwXT5tv;J$=KC(`V_MkN5d9`~Pw`$x*A2Zb4kmWE->1vg z9YY2SLWSTUO4hps7nC&}t$H&#D|q)G1eB+Q=DX_VscU`s)F~&T6j`SEOW(_3U-`eG zg66`b8$l*?-H_1zP#7vmoL72I%`b^+8C-+{y!GwKjjG_dOVBgSH6I?Bs@mhS@JM=I zE%HESg{5Ls4vY5-Ih%9r6YZEqK)Jh|GxOR-PEvP(yc^)4_ZId`M(X`x{QrXEBN#)i+uFebyhS9H+={SK^PMW?2bh5I0;d6 z=tsuy1paJ4tPO0sHBm6P!{Fgn*ZR|>%frdr0cF$H zH&9^s*2Y%{g1SWXCxegPO;CgW4osf9_$1?G7Z%d-@r^;8c?X8D|Mj<5cimK!AtC<_ zj#y)B-uiutlfXjvD^d@&HJS2jO9^$!Ym^CkpX)gY7a$ir2 zj%sK|#plt|^rvr_d$UY0P&d!%;77RJ4A@`SNl1@(T2%DDOubEJGQcv^b0WM_h%_aK zpT8+&YS+@2QEeunktd~P(^jF)H~2He^JDgvxUSP)+GuaX{6EE&bz)q^>i$SN+928y=S)ZhkoO`3ngum zO$^S1h;vE~V>Gb<1|5Zc8iboFBKv_%V%s@hkFkFU$ibYKVs=iHKpN)uV5Sv@Dy8aX;eE% zWcgzIHew}*<6X*3^#X6IAuDa|J; zSYlXp4WVZZDey{we;oy=d?{<@qHsx3Y#gpT4JBQidTcDKrP1{esOTg@PmF+&FvDBq zTt|W8dW#szu*4VJiT{78Eo`*0;j)Newp-XAv>u8s4%53E6;rZhO2f8Jwzm<@z^T6S+3iVBYwI2GS{kjI zldhIGtBhqQBE)~%^K_5s`FOa9(?k3WJl2ln-7!5x^qO`#vB8uT~zXd}|1K|4myd!FL zeO($HHxu^j;E7{}z4&<$de4TOB<}O*?Qb*)f5G&7P9|3oGwASqhpGa^kYZ7`0Atgs z_xJ|W2JBX&>~af@04sitkCy>MxXP)_)dwINo9FQxGS9!+N{`^3B5uao+8WR}M6GQ- zuZ#>0fzWYhdwY9i38v`8xL$>9p|>X9A9dhJI8)F~${Mo*pUej*6B9p$9`die`j;mo z0FXdzY%GXOlJMAUQqhklDT3VW{PuR}Q7jmbi%nE~zVjC3NVmq#59+3$O+}lj*3;(; zzIPBAxKW=bs1Tg(`s&10j%sgsLFR`N!VvLHnrf87ppqCCzh>sN*A+o`KK(f-(bL^P2pF z1zWBl(;gW3%HKV@fnsS1J#=K0q;J;4^4C=TW$d_WC#vox7B8VM`DES{)zM2A#ov585zmP5 z`{obcOI%M!b5+ODKUgRQYk`&6yMq$3u`#I~i{YMH;%!a?_2#yv2vX~u6f#irhg@zp? z{?mtC=6q%f7SZt-7!0yO86ctD{rxG5zP>)F*s?X8(tz9Mh?VjWw`K0tnKHv;95#x; zunE;}E~hh-)y2CFTnu~~EZ4SauSq`xH4r*0nNoS7SO{x#TPcwx3AxWr#AIaM88R`2 z`g;$kzzF~bp#LxMd=W4-cjLCn)ZCt*`xI|0Bo`O2FXXgM;bH;+1vM)xb|0PmC7Cn7 zAPML2<7$tQ)*)?ye;+-s0%lXKzpM=%x>%1*P1&C)8#k^bdXAP`5(x_n!@S)dIAPB0 zujY*ekFKq~zU~o^MxI7jwW6x)dPw-kSjkZNv`TcRjfat1nHEeff00C5pTV)l)hTxc z_U`#Mc$>wr6LZb9hQKBJ#f#j|1yHY3?P+*Wp54kt<~3)~QEjIcsb+T;v8o)&Wgbf( z#=jJc=b%wq$NNZi-zbgCyc*A}^?OX3l#IYn_0q|7wusHrEKYNObygXreZs(fXyYkh zQ>raa)VdbAxx1rNfix@EvSulZ)MCuX{h@jtDh>gy$8!25!tlZ8H|XqNJzN>kgq8Ms zFjo+k+>L_4AISyjfZ=F4RXpps@X-A~6a7h^ zNLIRo@5R!H=}&iR))h~$&0?XXsls&V;_WRAblAi7p|1C8OIk?@w0Leq#aPt~oGMrUPLJ zFvyj4UYmfCN`w;=%ih$~6iD17OvmevqP?U~z`z(J2HFAc+M-g9ppd=g!+B77Ugo!CtZR4fw>wzxxmN)E*MS4PQVU$HR#c(Uw!Nmc__w5}We@{)31C$2) zaLbTpYpgU7e1`4jThO6AMwp1R)$QI20rUYz;Na5poFzWSJ~P7yg_RXu0|E8K#6<8= zR&(wvZ-rrejp8@Rpji(Jgb?HI24ItS0%>f>(ij}1fr?twM#^Q;0_NVT*A%6Ce@V=aePe&lwS1dLflf4F7sxCrWkIZR=blar);LX12P4rO85c^Ke@ zF~sr#@If|Izm%F*Ts%C`c4V z3}q+gw_lCS->-TWS9ufMlJJGY?4IZ2w~}mpLUVH8;0%5`@|K(yzOSy@rhiHjLPLYk znI1z%ap+4%a>|2v#(R-b&#n><4E}q3)2E2+;iU2hz4e0qU`>7*#H(C+jU!$G|7=Ez zX{$xMuk+suWwhTFKjOg%Z>z?jAYzPt!m*_DS~BmAasc+g7-hq2I|b;mzrW}uzN6b4eJlBJ=Ijg647OdQ2TMm~ZBdt}M>;tX`E7QwBL z&;rmklJY*PkF=P=bZcEyvx{If`cu#!Uu2Yoe)$C6x#ynqpXlMn0F7^H zd*k0LNVq_k z#T8ifIdqv}M0Py3ZM>=&IERZ@x!?o#A&M7h+%T~f@F712%U=8WC%*A}-h~dNpP_AV zkBXI*6}obHab9px1Y3^IWYs4m3Cc%4sC0OrPBEGzh2g$no+aoPcr?JQAmZ}eFuJ!t z-QR~tX+M?iZ9n#Lqk5@MQZzFJ#0)8!(JIjhm+N)KZ7VTq8h~)~uWhGf-8?)xNp0(> zfr%FZ=T_I3htC#meJ^@O$xiFI5++0 z%jj%AE4+WxijWe;3cCMv+L_ixG9S*5HPz4H!DV4tD`YFI#%RFh;M-u4zP`2N zd0D+~Kdcy4cMA7KJYqUSgbZjj*+pzF2u48AsUUs*>2u?Kx2DxF98NF=q%)|?$yO1UO}P$nuL!9hWa0xo zDo8)W%qE_ao}h2p=3j{d9=qA+$i|1SlKzyTgHPNO&R3{V%tKQE>RxBt(CwhH zWVKXNR*F_y1|{uBzLyJ>H-GCM3_l&!QwN1b8zv}G7GbaxHur-EnKmv6OYx)IGU>)* z2qSYmJU;jR^v(&7<^yPKj9D{?i^B}%poe;qp7Yl|e(F_`(5vVrWyum90zaQry4q)OF5;V-aDm z0cKMqtmQRI8X9k7x^ruk28Mfbl(U#rW_m`#0&s*fr4BX@dDhF`rb$_HH&ss`R%Gej zj@q3E9S;ll9~0WMGfO*h)+C2+w@*B03liYSy-)c4Y&4H&-;r6Jif2+JfUEFkT$UP zb|4e0u&~f2C0|!p7Xg?f>?n#x4xlTC@Yp~A>QEnnPk{*#ajUAo5&LSi$0jCjbIyD& z?>#!ahWU%xoUB9|2vY((Dh~D9H*8ptnu5Vn0$oTj*f88wj7Pj(I&}jFfVHVw9?->g z8drBWkPAXsGB=zpla>oUetg>(I#=vQZJ5tHPR%`&kWWuflLyv#-S-#eoUKK?>#TmS zbSA$hy+=euB(OlOtmO6c>7SGUo$Y1i^?{s3g-k@@N zHBSdUvp6Jc*#9;YVxMVS-8rWF$EX@*uM`IwlqY=8xS3(&MWFGnY+;MW^M#PnTBa)kXp* zP_awWBxKbc+)iE31R7jj)w)8=rHr>G9HutHqoXt1blgGQ_Qx>d_y+@$B3(Tc#V-K` zjEghwE94X&tJGX4eg%CEU@`zAy;+dopPrxh*SR}pd6icwKP7&5*idV(%JlYIhcSW- z1r9g}lML_Exw~MtGFIpQEXfuF#J%sjRr{k3NIx$cLEwv&K9|3sKM6A^bjen^eLn;Z#tAA5a3gsgjG#&p%HEgTt zG`x$2srKdGA6<|=frztVXA~oufQxKTfHui65x9|&j{R)44;dv1#3p7(@&&lZ<7B%h zRU(+z=emxB>7wa93dn^`?>@7D*yj5)z=}tq;^YLF1p$zWz{C=S$+2=*iqj2L=Y}a?oi{uFIzR#?7W@HhC-z-sZF(FKesgt*@)wjCiWb z138HOadH7#f7s>_GmoiB1f{cHWHgaGwvl|MF0>GPz{P=fYnP&j+mIvNS^DB*)~bb| zggRi$V+Pb3n4xM{$yGHLzk1#_v7MY}S;fZE8oeF+IWMv?lCLQS8vtzGFiE*F74_~R zL683t4-b*zeiE!0`{PYb8XB6;NwoEsvMYacO5X|YbKTW~rWe4TL~t}Kc0p7>qsiq{Eg&T53hu3dC5-e){xTU-Z2K z!Dx;12A0`ucSi@ci2f&j?ejCkc(lJ=U0uBb8Jq}3Y-swvgqs4rwHoT!gkNfjxuO|` zi9w^ZY9$iR35rqj8n36arS?ICRj#sR{ki5wCck3jGP;c{Mex7ux@?JJ;?E_cyRREa z@k$>Crx2IWsg-IJdhr-NCE3(Fd=R-t(rCG5t+UJ=VCh`2WsT3nI3s7_xltriZd(#n z#>P_1WXU)dGM-XHUiiL;zw+uE898}R7h9t&OlfTiBL*M!s}%7&iXnEuxVj@8WBg95 zzVY#-Al-$qL1c){GVO^Qt1q@P;LNu2_&+W{S(ERh;^KIL`fawGHfKxxvcrHt5{oPW zTi7pdTuHkRX#qFxIGjXDURF4ka0jL?u9r=y{{ z#lyn`&LS{u*)}l|51$%X_o&yp0L_3iPrV4>qG-@n2jJC%#OV>BrXZb9%*Mu6s8ihx zl3Nz9*skgz>BrWtu$qfCOH`Usg7g%+)d@hzd1}}a6n|Wo|K~~<>Nr*BpP-5kmXFE> z`%y&S#uXQrmiR(aY^SKFJF!|JR@-XoFa3gyLT`#D8(c{d#ws6LW4w{1D9K z)&lJ$?9BghHn(B5e~*^{SoHDEWOhG%yE0$Lm`*+}2M?`AM(K;gwm~E1Nhtg6`6*Gr zzu5lw5VzEXH?`HIo$Oil#}HEa?)eD)sJWSU-D3)`A}9%@;17)xs~((deS}~Bq+c1; zBKHKHl!xpoQ!HBPZ&AdPP3}HRh(DxQxzSBM2-Ox2gI|2Q5sdgriyUpr#_u*PdW+?l zr5e-<`a2aaVx&Aa#t@HSSZrjw6lAxL-1vEUzdtjJnq&}g*#skN8>fxo5a18VdhKfQ zkd1g&#ouoDkMpp4w<}pwsZ<`>rjduh}y06#Uya*vffUM zn!;)F!x5G=HO=(6y#rQDkh!jomC^&vgc`8fFJCZgvHrl|38D({#pl{CGas;tlT&kZ zx1#dw|$gs2R59% z_;^~(U4XHe zKz#uh`UKe+P?^_Y$Vo#3>=Tdbc`R@s;M1O>!@R`Mjpdg@~ zC_8rzA|Ty5VAMOXzB0a+2!Dmcztc6`Wdyg21E9i(!tbg`{EUDTaZ9oGD91BJ8A(mrEg73{+lsidA>B7m@;Yinyc-~N4$CH7`x36eSnIKy4H z##NE_j*o{A_d#{LN)SHVID2>4p6mD|xVW>29?|Vx3)L%$%DT!0PdTKG=9>L)Ne@rv zGt*ua4s|U+uS0pG73jDW)Wh~yeeUj2{_Djl*%F9P1~3H#Hwy{GrBcULAcakWqW+ol zx=N<}k6aHWr>${9*!YNe4%A;HnP}!0_gy_|75Wl8?Zw>jna-+InJri6HHZ(U-c>Ls zpe83W==Blz-68JRSt|mMl-eDjn^8iiiWcJjQ^nNAzRu3qLnvcoV^uXZ5kG!}AWHu6 za&VH0f~c@_py1yu2qiHa5AgLFGRP zA-{wL9suhY!P15kO;TFA8RTqZW6g>Dt2rm9rVwxkf!LES+mjto?2xa5 z9cRc#_cV(!`-L{-&p%$86Jj!iv(d|fr|Cu!%&~fmOIVRU---+t# zYVfg50ACLNzq!8y457b-J!hXs@c#XK1cPme1vR;f1}gWzy)5}PZ-flXDA?2xNq}af zw-BTg_0$w6^4h7I+XLGabv6Uojnjs!+Er)g6W{zlezcpguKefR))!~3Q@?I^@@m{& zghc4F3XHNytlPcc$0r@595#&QadlzTUsX-!KGQ*8E~uP#u(%EBs1%)CTniA(AhQuX znRLW~)td&$9H+%#5TMS$zp`+}L~zGo9VQFsLaqAX9mx+#f>+Cg7!a0isRSRuhThGPPX@E;Hj z!@y`U?+~)n{nfOvasQrcC|uwn9I*h*6u{qFT3U*s_;bESl;pL-D}6~txor7!_|RJd z(-Wj9_GP2?&ZQY&d{lp zGv87cO=Aw01tmZqG`MyCaCA#8E#Fz0JX`8#5cEL-X6iaC5*bWyEjWMi8fUvd{fFCz zW|m-BnA_`btu9mPcPXR3Cz)ARpeG&Y3^b|r4icZ2-RC>VIsWI+oT(a7W*K=tRbw;l zxg*2}ejw1J=?Nojyt%GDy|{?Usevr@8-RY&pz%un7G^$$q}j-={+yq|^-GBJEwkk% zL7kNCpvrmZ0@)yEQJCtIfj?LA5Wqx(&!q{m>ZdAg_0cgg%an;m`{CW@Q4GX1s14hl z4>!s53M2Msawe=bDZoyd9viv1RJ?<-EUpa7yH7!q;M`VbGo{5+nOg=8xvJV){mL*X zM#c&*_5-FVczT7K5&$)WNB9b6pz&DycdS>m(ahBJbI>0Em|A}SPLo!p;8{3R&mp+? zLq6+ag1ER!OsuxMsC`A`oT$r)q5CQzysTL2Bi>T^$H0&*!<{fdk1ePy_`9N5P4HY7bWF)R4w)I1*^7sekp7m%wWHLj3fW zFCvAqpBLxiReG0V80BuJyF@Zhm$U(uk8+kgEtFZ9D8{6-g&d+`fDw16Y(n5IbCl7k zvZJmNO6?q~Dv99BhrwZlxNLfQIufl-8q{u;3$CD)0y~V?^OzYr6TsVy5c0gQJ_q== zj*bo@e?XW#!ZC}GPlhTDh=%A4Hd)it)9W)pMOO5YiV@BbdUwhWd-2X}2+uS^c$O&% zvJF=KhHfa+b`Cw<+}se*0N~Y-8?e}pm*se@L*cFqL&&}3<(9~U<@3wSZLlXgIy+Or z0tAsT!h5nmT33ZyZ*{nU6tv(4_gV;Cyr$unLez{0uP5Gbkl%Mv%Ll-*58p1f66qVm zEEc#?0Q>I03IzsL;169o#t=%YptxeYV&DM4e9plTECxgk0@%vHS1iKT9=Gc?@dQEV z&gWpJ3>hnS`zj>f>o4aKj0Qi)Nh?5JUSd60Ccu68P?PrQo!nb+It}J1-}Uji*-&rj zfUY&%Qk5|*`?PHH4TN1Jl%3JF*Y98Lv{f3Z$BA4p9o<~xCWgel872yMvEx~Laj|PP zdV8j}_HTY8zGw36KJ7kDFaE^<^LX7E^0xOi=jIs$QY>{KyRixW!2;$sCb2?;tyi4W zH7U4pKHiy@z0N@UjL$Z38h*{WestSrTA27}e+MR?X#X+R;LbHpUEH*MskgL#^;{O? zmoVD>3%06@1x7b6OZoc3DP&2ZVgj+3BvJz0{qq?-*(2owdOdMQPajH6RsP72d}Y6P z*wQG&6L&-@3r5!P*(1OlNasHJ078a6G7k*^Xx3mr_+Rdh_0uadgWQVn=Tc`+4~&sS zfg4Gl3AB4}qoU@{$Q)qayEhM{_jObwPmcTFXEOv4?&omt+No)>zL`M{x22bylasSK zu8JRQbh-74--IrR8*6Z}5B=@OIf{se+Q9QvI+q`>rsgGZfLa-!!s6m$Sq zanTHLG=YH98a%0Ua0=q;R4Ib9`t2FYUiYfKT6f5HZ)1Y%L9_zW{%IH)ia?d8N~BA$ z+gNPV^0w)Fr2qHAwIB%~O&I*!cuKfz)Xj*#VaYiB#F@qP3!moqeVJ`_W$y-Zxum45 zYb_4=HP-G*b03ya|Bm!S6ZSWqeXZY>Q=z~n)ZNe?JhsrU<|96Ez>QQg*OzuFZNPO@ zN@2P<8tws@l$h6!3R0Z(tgKR#t_KjfhQ8lh`h_rX15sN0~H zhMIaB?!UBL8oPj_JEtvU$bWn##PO0%(6%r*cQ6U~6}dG@;OnGLyP-9h`XECwo=o=f zqeo)=E}Plw2N!@Z6S3*t#|uwRrh3S0muyOzVGkz-2=!+m(ixW}XbF^Gc#r71Lcah? zK4a*pM|{stM~3q}2|;q8q2T}?v1Wx;EQAb328OTj5p_XNR6^YN&sXYo;`#Y`V^~-C zl$31Hr$ON86GtY_?K!E9yP;iz(Y#d_Uf;z!IPbowC{)Fy9f#84H>7EY`e4v6C zo29wxEpWrKm9s+EAB>lpDS%A42CE*7?W^gEb@@T}82A)}lCfbz$KT^F8JU;>zo;XC z61T3t-h8}_F@{Ya^?ngbUS}pOHpsD~FJk5a`^ix+O3ajA0At5oFHuN_b+ZQpnyt7& z0CHAQ@Bh*C)d5j;U$lgXpn#O5Al==~C*9p8-QA5S-7O*A-QC>{BP}fqAuat5zxUo> z40G?CnLBgOIeV|Q_S$;1XgW9rX#ulmJN5`b0>n}GaB$_tmr?=+Lb{P2q4wPO=#}~% zFI2-C_cvSJ2ZA8=`swh>bim$(j0YtB=j2qoSK}ZCky}x_Z#JK$Z)5c>-3o?S{jnLJ3ykN$nznk@`z8&=qci^@O?&7A z=mloj7wotb8voq}z-m_OQ9vkI4bX7Br>&Q9N=&L7hy-j%P##r5zmMT60S`WXoO*+pU{ z#Kc~D{*?smf3$$v%l*vwP-OCr1&|0=r}glBQcHOP_UI}?j*_BgVj%ALsqq~fp=L(+ z&M{bT36a>R8$`4)FDz;*mwl!*0h`%7U^MS?ms|SgH7cRSO*~Tv`VT?hR+T=^mG?`H z7OTxQeS`U6Yk!FhL6%WM3?1|X^Wvq@#d%LQ1m(Kx>554_hG+snqC9R#xPZyFa$+#2 z{%D@V`jR!hXzfKjLA{|~eB*g{Dv6V*=yxj#Wjs)BnsV^dvCQzwqJ-ubh^>+?%E8S$ zG$b)M+uF*B#nh4i_`ZTsbpS<2?GIQgqiUS4Z*HolYtARc<#f2fv~zKd$bQ*{t>lB! zf?rzItqEVAtfd2gz293~f#BzU!3Zy$Uv^=j<>;+E2IW9yqQL+lm<4eRX#dD0tke`q zB!I8TNpgREoCjL5y?Jl)6|Nk~$? z1X=+3Z}@<|iUcAphrMw;P&@?q?21Lq6;OPTMJbn&FV!(%F<*=h>~+iRSX2|QuS@Ls zpWClvf(ZUA*iz&csX%$jVFg08!8^R7_Vb#z5e7_^=|4>R@&s=smW2*{-W$ntxw|3M<>w#f@ecoYB{LEssPNAn^UUVg zchoFuCAe(}Bjvsibp@roGcIIlO2Tk-Do@^-;_ED6#8nj{062321O+8e4?uL%elf}S z@NYZjrQ#T5u_ZI_Dm2?YYVNk023~+ekc|QO?j^be2WJ2$1Tt|vc8gf;)3T~gxI~nf z8y+|Sk-T&)2klHg0J?9>0*%+~I%t8rm2cK;Q9wmk<3`TWN2bsMab(*6#800Ae) z$B%k;g5Z{_mMK=j1Bti{h<`thf<}R+;O5!gV*|56t3jG?L{)6_^z>9wea5xU6{>!F zKE`CPnGCi^X=&+jky{Wv()AaC81!&sKnpKdK`x$b>I%!(DjxU3SuIVFLrNL#DE{w3 zRv36VJ{Z3v2~ycOfMiKIs<#5k&HVWzpSz^!Fbfa=AJ~~9$}keXy6*|^Zaut~Hx=8qBE=#21 z0rw8jpjv`FFu?3LH0gi!3cCOoMc2^KfZd7aJBbAp{si>pw3q#EuN8DaS+sH99mSTC zlvEU2luQMwRU;i2e$>le+Mp*c9t^~O#T!i&VV45r0G8TJO-v*Q2*ddV*G91`==uQ; zOM)cnQS-{HA#3*L3vep{%ex4pZu2K8DT)jB+rZ(z`=qXj@Nhy8s~nJ+Kq{OC#?!eJ zUTmHeI|0vHbg}i$^>BWMUdZ>Z9#l)VE1!q`k2D5x9o<@IX^q2X?~6niU{=LWnMu4k z#bC2cQ(yS75H|!b9bYq#rRwPe?CIo`S=a&EArH}C12OR)_<-duIx$`-Fw-r~TT8zL zdvndV&6*)H-4)SI@D=&KB5kL>uc@utTyStC{7~?>548|++|l^b8?no@{z(ZUBo%L09q+=?v_XyPCy<=CqBgF3otux|0)>DXo`5dSWkm;<#S(dL!t z`a($QV3w&VES6~iwGCPtmwj|r7V+!1^j+^1ID--(M{0n}`FnmoYvW%w4SWr)jyFC4 zsc73_kP478k?e}8t2Vn{>EZ_r4Rz#E7jv(5WrmhSFm^A zJh1^NAqobD2}@KAv@dt+a`7KuI0jJZcq~sM58v5+dAys#z`$@E3~>Nk2!Q>tum4Pg z&eOArRG?wg#r_mu(iPy~tLqeKqCmAI$Z$u?o#{ZEo?dt~e#JGTVs5_&Zm{eWD`zH+ z?iw=V0({VEc;tU2e*o+PtxIW-;M~cY@mNOeUnzlhE%qBv$=7%U1UkD1wl4~W`P|m` z#KcqJhxu8G4A{eCRW*cBGiE-%wsGDj3>-$?-l8{(wgXtMBb>aS=Pn;m`2Zk}!C5(-ucytb za?WHh50D%0YBNtqvsTe@um{SlU$o^Dt#2z5H60?3MDZ6b?U|93X_{DlcfQM&A!WH$ z8=J$UGuSUPr)24D_z8R(P&OQs#}W@QMp~iGFX` zYZy0c-1`yEFz|)~()We)i}>cTDJ{=lLz|r@^OveBNM1hYQ?mV%$$CD|&;ZuT6&Mh~ z^g-{S)H7;Aw~YvLhx6TtX3&Q7g3{h8xeoOvZp7p>lUG{{^B3XwjOmOQ{8K9nYR`lD z(R!lHX_2@}aM4$+SGkJFH0?fN-%-Z6r<*XfiV|%STMm$p{I`g5_n$)QvJn1C2<_${ zy^+AZvsOX9JeIwi@g+Qh1jPp6%-1|$Z8|*pw3DR{q1AO6P%&2AgDd+iqwQ2}fb(?s zg&PM)epj&z;1ENkZ%WK#9JB4s8LPC$N4T;~r(jG49&DacV?NaHyqqFo@EiQm{RdJ_ zGq!J{{Jf4u@X-+=(?H8gk9vG)X}pE3Pg zfo9Bzi82I&v&ZzycFDFWdX#MlZ5Tf7rVX#o>9}g522YY!+2bj}-ziKcJff&Om~MJ? zPS)C936kL&9ig=7lur|{;i;zA^t$fvAPw%uq@Qm}<~E`%+81;&^}6nih`&d+zmK(@ zHna_oz0th?ji0Bs+Brw{W>8~RH7O6;vEzl&sh%J}xna|$MK3(b-n@Q?I`uwbq#x~} zn>CrITn7}t)leHlyn^fV3)Wnf_faBv-54^Ttxv;7ul@5*k<6V|7AxLN&ARG=&`vGK z{bbb=l4Hx>1cU;y85!@W2|`{3FznV|2GHQoMRO(fpcfJ_&{#NaRJtAALaGL4C0D0F zKVO>j8OZ$;jqB!$WO|>M&-q>c#E!CoRU?|J8?XkIPaqMr<8ao~;+JWPh>Ro$>4z?V zMJnQdN_0!&PV^H1qn?dk3>9A;v^_GyX@k(9{gP$X!fFhiI}0y=M0s55XxrJm=dFUD z{&~_)a9cTg!9B#2=o<>+pqfyzhXDgXH=zc63dF_Xj0QV7X&BH>R)e>!bV~XXNRuCH zT9(X$y!(R{Fn#s)?00inK!0k-=R&ZJTcqFgB`}OU9#mFbXg^q!=Z)(*Ik95O%l<}t zg88yJ8Xq7E{RXMyu%-Jz-;X(kbyO$a`5mMBkWH}9zKa-uQ$Lt%cs$vr^-dyr{J`!T9IL>`ynWPk@z;oEM1**vY=2*>_dC`*xizAUxTa>>_5X|3+3Zc+ z#Pmyajq~{LuZ|yU3hRj7gnPg5He$kv1><<#>wD|XIqO#Re*z4age+PH+`5)=`T2$Hsb`$Tde1)2>q)+-a-?(v1df< zYW>+K5T7vvIII_$Gd4#(L=fi=N-?&U1HKwy`tRN-?&{xCJ(~b^@F7RWqzj`Hdcerg`0HY36|x&V;zQ z|A_Bkq)nEAMs1KKnlzldutA!&h@$CFuLHWXE-3(&u0vX9RuLIIrswg=2H6z zO^@yFfp9r|{I9VQzNcSLeMw4L^w=QJ*k7RN~bQfZc~j3$$*r@Bk}z|fZJ`y{1SR2?zxw_ zopC1u|8%o~2>|}ux592^pbn&3a1Ivq@tD7SwP^0;>0xGWzLL(Ry6Y$bWFH8zFJeR5 zrN!L%H%W>}u`ozUiipelOLVF6B{R|{k&$s#J9HuEx+6&+YeniNh?dA;F+OSRgu~k= z*kI)0a;zXo2GGBk@c%>SBo;lYG{I?YTJcIU0Js0Quny%I`wEuiSMcvE>1_Dp_KXzg zT;D`N9W$ALR~EB=@mcI++vM_aNTJ{3;%{!qC1&M4=kXMNdpu17XAji=s(g{6>QG%Ld_i)vNT_xUl3n8&-fWea zc+{?)%1A88{a8h&Q%fNXx5ITi@zqUyQnLBGbz?dSTgK#>e}u+x^W3#5K~<=1Cm{nY zMs|xh+L)nKqG(&KGY{znQ+OnceC!$PdQQ}T@6w#AB5-a=X(Yi#=8}(o?bK_O>HG!N z{md%*L{PA*!`3gzIU#Z{HcO%Rlqke8)TYG=z8lG86Q1eCQSAv%QfUM2n{|p7a;n{Y zvvi8u{sY%%CBJet&j}<7G~?<+p|l!Wqsn~CO+IC%JQ)r5;Z;YY2AkO0 zbJ}%LYMpZ#W^Z-oo$T9h1JE*}$HG}6Yw|j#?mf2k%nbbhA`VfrgxZSH1+&OH>$X}y z!%(u$L~{nwq@6EaH$=PYZehTjToJJTIXJk?%My8fjdtC0nxIqyLVU@|jxC5USWcSx z4rDCrzJ1KtAr~49D0(YvwUnIVAyvnOqkA-n9f#PH%AC(L?w;wF(0m7#98nR?OCYepttG2R)8{#K{XTKUyvtd>5ns`8%q+-!rDm)Ai|D zPwFMXG~`N|Ezr8VsI^&QMOWGrP*WZ|vQ|*~aT)Q8j_q=6zP79X_K`aGp)?C1Ha<>X z#iouJMV`y#q_vcTPpy`4QS!v|p7{|c3if*RwlqF%JC5d)-Vb&q|zRgkxz0$#8vHBwzqCGF%xao6EG zY4wt!^u|L3;9eOlWJe$Mvysc-UITyIwEYNsf77}_6>mV99&Pj+>h7YeF-C%!!PM9V z_-tv9n*F&;8qMFwnDO>h1rvVslYR&}ubf>t;MeLWrF3L3+Mrz7**Lc^^5s#1{tK^~ z<1}L_^IaNclh_-Uc6@>XJJg!uudP3CpQp>Sus@K-U34zCpD5K)t#lMu)BpL3CL%NwkOWZ!W&vFqe0Ww|2#Mzot zlbI0d_rKzzVQt^oE4i34(k=IMV6`yRs z4+jwzFfk+&rGPq=aicgi1-?`3{K!NVr%^)@!=Oc1g*Ys{Pw@2wq1Ub;!#e-5%Tkn1 zYz`5S3&SgChN0Nrx6OdltTQG!dwsk`~-Qq%18JC$%*g-*?mVM#82^((tXP*3<_xc zs@TG$VOY7Zbb6^E{Ch4oen*OI zlovYICX6l?`UZ@QRaEq4RI-Sf6Te0?loS~hCxRz`gdGj$?ZPtEepYi=lpj%GE$$qJnoQnBBj^g%igiABc z6c{v)fM~$Fs%JJHiqXYjDFYLsR~>J&vO-dCmTyeGiJymKKw}MxJ8bFq#b=v>X;v`5hZ6U)xeJofDo};}{Q5n&8hdn-pMz(>#^- zrEZPif~V_#48WL#1W`X+iuG6N$sYFTy+RiykJDYddyDjSj7DCL6pX^zKiS%-g$+ig zy!b~_EB_iDjA6uC^J4&OaOmZ2@A6+&J~XBcPei;CJwufds?{j5X2$>rw2A_MO}Z&d zQGik6-EB#QDC66Uoy*OletPFHAX6)PE|Y$JwV)&UwoI#H#FVVr8&=-lss=ePd^D<9b*k8(Fdzn zdyh=d3rA2YzghaG^ZMmKzqZ`{s4(}HSQgFi#U1;?pm9s-Ik(5(FKQW?i3FhjuCw4#4{af*iI0YggP|!eFYl+BX8XeM*jU0` z(NCzXJ;(p5)O=Nxk|ZwMY0}skk|az_@_|#+NL){JDP0`2jK9)mb`rx|ASvWSWzl(a zYIP~U4XDS7)@N4Fm_om$Q70EOsPWqqr=v{@|3s~4;+ZiV-Elv*Hkdk^SNfiah!Ifx zYQ0c#H6lQxI{}#zRHI$&--UwhRN7%LfYwVh%nRhHfmE*LxN+rZ)JBb{>F#?j0NI=B zyP!EPlIJ>K9eO>}n~*550&?CVB)-c6yv5Z0w+4N=iNB-!!3D_br=DVh#2cEVQZt7Q)c$38v8|v+Sd}+4j zEMzdj%>B{V#82DVqqSQsMDSmz_>TSlqlzE){}*GH&QY#pEFNiXomIdY00UEr)k9qJ z*M-eu%TlPafWCq6Co;)*3VJ0Iln{S*WmVejjQ8Ss#a+_g`I=SU7M$Prdw#FvsI>8o zkjh-;{!6Qp`t+Y|UFw9}I&&lL5H~XQZ(5Cy?Ijr%MHd<7jLxvQ1JrGE-@6CDiY|oB z+L-H|Qt?>2;}=OxdA9>xP#l;Wh$T=i>ys~h1q^syt5|j4#IU1si7t`$uNLQ`v@~8| zTmUMq)e%;-6EVxQ&yC#{I^?Wp$MOP zFc5)>Q02eeZf^Qtlm%9CkKBV#Ek@(!2Tu$RETjW_)0)?+h> z!0tQ7sI)q3gjN8?yK-0*>Q2sUUdW(b&u3VKf4Mfvr~7_STUFMz(3zY*OofIH?3SIa z2qs<^8zW4O=3dRg@s+an@s*i0a$`l2|r6e?bd+7ST9|F`?2do@y@=RT*(J|$MMig)FMMR_%pn>|`*(ox#DSkG) zPCqwe#NkBQ2V?<oS+GN)Xm``iht0rNj1#=i|j~C5RdH!t{P1YRW zMUq8J$=>-N{dD)hUbuS{`h=bzicDKvlbs!avLe>u#gI+<2m2^{ORZhEjasu3Iq;Jk zf|z1js0YG<8kXcA0pU;I*)cFAPkBnHM(!S2#wSxVc#uAc`Gbv=e=1d=?k3aC?0V_c z3$lgi=dgOZ{^;+<+xQ*<%i;XVeE4{E(&$4Sn-3Kr|4T$-54oRqxDzd-fRB#NRnuCcGI(xrLdN(;A~6St|zcY>b} z{G;hnxO%D%!J@zlHBv7^I*VfW*R*yI2EDM~x6(}>G@T60(sZA^x-n9Q$@N-$y zZ+|yjB_mTM$zL)TLoGfIf0~Qj@{YWKJ}rmw(CA|Vq^!P|-4>rGdogy1m0@n^?iW23 zbxv?a*|hxe_`Z#4`lWeOYzQdrC=N?2Eg7YbYprTfmZ6fyR}v8li?57RRkjPFGczr0 zL9V~e6`r+@UmUs97s6EEdyiv{m#rTcei=X%J4EM;K~ZseG>1a+w8Xq{mYB&(GbKig zOdYTv@pHMv@306oTlef(hZj6;I^ewEQScnq=+t`3BSEWzl=b#@TOLUew6rt`k;^1cE&L)W<(%CcNapB@~n9o_LC9v)A%qFoMQL)#?${HscCoaPrw2i%3n4d49- z=iRfMDxET2EnFR^;`|9^bf{Q`2FgI7I?U`k05xDYxTXV`O*-pD4v8Bob0n4>V2* zI$3g!9Q#=!v>L7#!qubi?LNU& zrZ9ONY?B_4v406#sigJ@J>4=i8|pSOBe4F;6|j)REVO_e(a)Z4Qd_keix>&}1j{}g zRJHZRAw;%w|6V)hfLz`v;|9m<=v#Vv-?(@dk>Yi~$DlK%Ih;D@9KY3#y1k5!;J!2H za|-0u*KgwxdXaF*}4JZ(nFgy}LqHpw-ANu3s%MA`y z$b#wowj?VK{W`X&qUSqXp4n_Enf{5LVMzMS ze(EkrKciOT<-F~=8`{IVui?+@y!M93bG;4f9n&i-FE1?!Qk80?dIF12Ua+-Z)ns@U zw{oV3%%zpZf%nVf6@7p0l?}heqc-wg2?>k2I<$Wiiu5xWWNEI<)dT%j-%j5Ru*<0= zm%a(%J1_U<>I(_rQJTaHr#H1MEwl>D|1bXBbU{mXtb$eCShYkNC7xYocA36Rza+vX zKtKdN4>!c7wW+tXjRBk#W?i++Pjz+vVI1o4 z(P1Q_PkQ4%a*EJY;S39g!D92b{J&gYB%61}<5jb6_0AP_aZ$mq-l%6h*{;8)Z#hoT zZKhN-T{i(6@i0Zor@-d-?jRN4<2BPW3T3g3w6!ocTD(fjklkK9=FW*5;PEJ3XJfZp zi^fd<`QNwXp7!Ns)^sabV@B>>Wc(&Oi8V}u>1J0x{7mb({?1(;jKGKr*Z-($3tT@q zE1)3!W@XHCgt}$8T(@L+EFYMqlZJcj=jXhP=S7wExzAbWOw&4|emT-C!#P+jrq#9l zj-lcnDzKhczJSMWwGfPn&#?ztb~Wvu`IwTxnHH! z<89;Zs#b=n(dmL=0);JrQQMYKH18>Sn=uUWk58~I|?jPnS?<(_K6|h0ho)x z#yfA_T#g$fzObQ3gGQy+sKU1CsdTQr=T5ClIzB#er#PY9q{~eoEd?J-&%!8uX;i%? zeB$mi_T3qFCReu}T)vE*5%8imr#$(Dh2Q`M(Dg&`?c9Ij4j+*Uj6HU4CI{y^tBdb@F z(`6o6r>W*3L$tzdmkYljSjSVQ8sCVe&Fb>da2<+cj#c>`QP8rS&vJgYv&`H4#-v&u zh!WB(=$0;`w3aVj%Z=58%t;tTZ<=b|ep17?6DRRjoly}K)|Vu-aH>{CS{HmlpwmB# zHg4j<>a5-4Oy2MWz5L3}ugbT7*`kV7Iz8?zClo3uPl@fPUt}gG=RY$%Ws%v=A2-h? zf{z0w^WABcD`eH4~FNT0^S#L~6s@##x!XBqHBKs!d>)qph$cmFpL7iJA~27wUks zq4ML_mn%iIp_&yoECgBywYmpQVmi$pX|>W(wG;DKIFgI}nmt}(Rn+9&h9(z(_D z1ri^^Yfdzs;XM0|PPloHK=v){I1;`pv`iD6mDu}g8N!dO_UA75A?C?g|A}W*Nvh@2 zG7+mK3v*YzUs^fdt0aXS8l+L_M1d^@v|Vq(_Fwk>^k=Ke04*@G+NCoP+f{ycplKS9 zKdpHB0&Q2;&cPm3=(9C&O5CPxS{1h?*Fq~k{1(TWR3ePv=SpcA?}%C<$Q`$HLkc?1 zSCDJLqip<_V$wmlN|bwgAdrWn{0NI*T!pNLJCz8Bjf-J0Y@1>Mp|T$%i`j@^bv%5v zR(HpLaenjh&CB|qRO%v7rIK}=Em%7o-!jU<6kIM>mh^Yq!g%@o?lnf>B@fKY5K;ZBmV z&3%rW$AYZ#<1@C^YpeuzsWL20i!xbLui5){!R3VfEVfcJ<|D0d!nnm>L7bc$y;4Ts z8e-k-X6@e1izGd5UC?)FrJK08ql1>hUceLCOzw|5Fz2O>UCJD66n`10U(5n;)Szyi zOKDZsn1*w&Zd<|Owl1c(6E}pbBE{Nu4!4Q(n7eVAzGziJqmzx0mdC@f=q(yUt#=Tv zR!-9y(tSs{ntrdzvPkGOhwc}0g z2v1$=8}sSm8+YmI7C|%w;a$2E6V z;eyy6|=tQ?f(Pf}hQr)jWaMn<_x zz_y+<snpr8Yn*gYf5~W;d%Ip1cS6AGh1zMwO zm2@<9y1RGEPdOE`rdFMMi2O_&P;ZEVI3@?nZ{LJM_Qzu4$5CT!o$cR8DlKhC>Qq&C zq@U6E3hF(b7rwbAa9W1Ay(+&cT<5na7(X*uvphjJXr?g*wp7%7d^%+(&_B|l6>>Be zWvK$Xh$$R2rcZ9}d{ML1UFXY*tX*^Nm2)@79E%OaBgiOAo5%)7vy4OC(?p6#!wG{& z$?MbvmB1S7cA>5>3X|edWmYF%n*6a^;*Qxgy{u=Qw_=X*IG#L78lTd#kREQ2%W>8^ z=~3@y?WVadAk4j;Vz3crju${lM zJ!z_}%Z=xl+e%-zGp@SkeN!+?O#D*tPQ%D}5(_1^11@Bp*HO`=Ag`I{!&(;ux@MjO zaw^yfN>d_RW9EMs=>GvjEY*AQ5In>0aniI9Ck7GR&xcRvmOKMvpz@@65TO@YM0IdnZ==#dN)eSAev5W0earZSNrB#AV-xk-L}| zrPy9o2krIL-$?5grrRdo$vvCA)jz9<&(?&{uN+!`r;?{h|HzTz-_s@C#gnANRl#JP z1p2dw45b^Kw4y~>+K4vX!NkB*nTymTdLi_UxUSAA9&e|;CO5`o^~HzRoBY0Bw7#dk zk0nnM`U&D1V4Y^JcgXvyy)=(^3Ag-yZya-y{k7@B%#Xp!gJUWuQaRsO?Wlx5A+a4}ZNN;j6pSF7i|d-)Y-f=KeT} zWAR_q+}YP<8zy)C+18V7|a>m#2Fgex$ULsI;t}RBZoP>?7z0nq-zTuyze$)Kl%gCNmHLb-FwTo3R zgVaA%(lvk>ss7(C-@Jw|g%pbcO5I)SmC?&*LrRcvU>R} zu5Y{gW4H{-MDPmb3~c7bQRb=x_B&F%S{gkQ?3B$)=DB1?OFtAWl}}XK$|qu<0T z?<&wt3tggh)iTE8A6Olarik}T-Yyhl)Ul7f_pzlYxEX==bWftZJ_QtPCu)y%2&$^^Er&@hZFhoCwYH0m1RtYb;Rz z@*x|vDmioD@FYb13YPJys}`+B5h6S4s(K<16l)xxM2*>x@wMc~4DsvlrVE*9DJqgL zkDfZ8I<#m@Vo7&|vK{)qQkxPD#c?3kIj$0p3ytMb%9bZGMkY5B1$fxEX^cg#* z2M#WB{%+r}CT^#J7il=PG)+NAm8 zQMQYn+bq0roIV_^K5-(^ERwY-b2j}LGU#HJH&M2~6Qv483ThLT-D<`fssQ2jsZVKR z5YS%6c8g}Tc6xD*neV;gJ zAfhAFh`$khIAjM!R+S(L+QS!nzsY?Kk`M|=L#FS?wdgesfq5J)6Fm6p!yzI$7hx4n zov0b!>(WyzBnr`OJDY|W-$ARk)}GW3{{d{pdbHPEL3HwtL>i=FJF&)xJ8*qH$crAo zyU>{rrLBLSa+Fq%wxUD%ZYyvo$-6Q4KiAzQUq@8rVTimNz)I&W$$zc79r-EHX;d#p zVER#281}3`-S|drL-x~GpL0Fv(v3G`%aLIWO;vW_$0LV|3kgMSZE1OV`4>cloC;Fu z<<0I$e!U<28mpk70JJ>g8;vfgUH}k#v{x2~A%yV;XDUvVeJ0YJpGK3Z;6N6!yhjdv z3v;RRS`_0_!AHR>+{f(DO(iLcx0*1TXxjG!Kj0$~?BJ!@3=L^@-IihsyG-f(zJ(}D zYsn`4x4{0}{C6Gek90V8ga7ixpP0%A=nE5->%i|v9zVRr4&6PbyWtDr3Aly%Hu_+d_zA4Z-Q(&Ec#Q*MOKvr`Rh0A{5C?={g%ToVm$n~!KMq~V0DJwj&*w}k(F zM@LEPCzmjIt7V~ITGP+_TDUPlnS1ld5G^il@hwS`>X6JBB-K#>3g1cSw`V@tzMU0t z#aeA}q~A_&-I$ud)G(DTp*&vlm0c;JJ(| zYL9CjRrn3bU^{&TePc%Y=f1bvb)jO>umfhCDzj&#hl}>YBtfW%<3JQ+nt~`vHes)L zZ9TV;;k4@-;M#Hg)dCJ_FUUGQa!GU2>B2~ca*5=V>6rQu1{C+*e!^)pj*SXkb!D8u z^cgl6{CEd172ap)f5~XB#;@66pr%%6e&GS90oTF_Hb1i9K2{RiAC{Xn;I;qSQh{*} zIQ@D$R&lC6GeTgo%#I#l6h6UmF5p(J>y;NqN#4h*bB=v-mt=6ZRS*D2Mrz&%v2{n1 z8UMok)5SSzk8Oh``&ajCHpwxnjWe6-dpXJ_7R{3E-c$X*uFL|eSRXz-mH645{Brz< zDChrbrKt^V{`o!X5W9q@XA3ZQCKERBKPIjdiY7w?X&rQ>g5M$i>d`W>p0!UpD^fXe zd2xDuEZ#4LqU&*+NaozVcD1O+RyOuBsJ!GCI*YPqbiM9a6imF%Fqw>2@RE>o6+4Gi ztEXugF>xNR;CzUm=dCjbMD4yuJTdn{6KW}~Qv4ZyzWQ&P{smo`9IJPM`dtG-9&K3vXrY+cpuTE=*0GA7ZNw z{5q3WAtkk}1=1A0L<|*UwL4We77D`7)yhd}=DoRC2mWERS0w6g;&T7A4ek##9x68; zM}6Y*$>runCA2cnBa8Jvy_@~iReO+DiL6HWzuiDHzv(S9^0Xh$rE%P@BjMMXuc#SA zp6#6>5EW%~dLAf3ICSp}HwK~nf^3ng${&8p?yEWj znWoDq;_CRspv5psl!Yi2FAEcbd@=k+)|JAcN|v|7)T^4AC_73r6PAe7ag&qH%z$&q z7t0ougd**lfQ`{@&-G-X6Jl}hPXe!aZGH50;ba{^u?uX>0OuO0zSRciwSWOsJ17QL)!{ zY}FiBW>%T{SHn-8mIX;Yd&9R`7d#&u+4C(sz1`$T0}U*sRqS@vRThI7*{MtVHWu5= zMX*nz3%O}B@0+I*CrlaVevJCAY8W^6@?`Wa=-MXRZ+@8EqJNs)fM0~&_k0ev*PO7* z{2hi5tdVL1^i`DYQ1G6VhJyBWrHV&>8I-X@vFjkE$`} zf@Q{_+^R|P@%_%EHfx;Mbe~V=q{|+2$bE~@m*io`hZaXk4F)Qz5MaBW#>tl~p9ces zQTSfc9~R>${A4zUb3Tu%BN2 zdi{Xt;g*2?wzEx1M}PlH`=(0pYGl|(#0ts98mSK2dQYt?;k}$5B2UG;a2JR;2JOCq z_1Uk6muYJcFB{+YKdaJ4{Pd;Z`W7|+NKsnu-yO8-BU7Kd2URvCgeee-lg53*a#F%a z)%qv+y*z4s`naOm7l7R@Iu6dhMiobeTHwX-zGqS{ zmO#Bn#StV5lazb+PA<&Upc68s^Jx5<;wa;;J? zM>)_oa@C%XieCnGJQPeS)75dGSO}(SvodWeYiKm5lJhu(lS&TU`8&d8{lbShK?hz& zABe=ai}vjsi?LYScDE8sp=$cb{7Qb1mOECzIZ~;;wk=-a*L?^Pyk?-Id|^XU z>Cx_W{Y#kOgV{zn78I^0voO`Z@BsHp0 zWY2yY`CVEF(eaGRVNnwWLrS8e#jTBGvU^mOeW(Ocp2=M{(LATxA3rV2e1ie?ku41& zM!uaIWx9{4`f<1FoGB(q64)ifCY~mAE5(LSa{kT&IQpKC%3{afp5cWSt%YriD-~;~ z2Pdip_?tE9E(z8@0ix`g__AbQIcUDd0zz{(_;8_g{9n)5M~ik6gaQSctyXfmnetgS z`ppZ@m&dST!TD(93~|_y4v&4TKEofmG^luo6U*gzX(S`cS5P`=4&Nui&+txEbP_!0 zqtK$`zxkP$+lusZ36;^AHs|X?kbP;5Ry;-e`Og}rqgUEhBWdMMN!D4{waMk>gG#d}vszbG*yVJ{`7>U0%PBk8_ z?zD?sQAb?3P=lI2<0mB+>eD1dxwalVvMDVFZ25Ln8DY~Ie7G@;&X-%7U5J}Qi7~I- z!|!7nQ9gLk-;jy_An7Hm&U@hPZFJ?cXu|fR!cW(ZFdQ3Cd1ZJcxQ|)g7`w`YEnBm4 z*ROUnzFtfRTwxT_7gwHR;rIt|J%6Qwt%|>mvCGY2QTku~#@05R^ak+?H|`K3mcPIY zDO_9}TA@?+>#&m~#<(Zv#V9o9CE&g~T#)EeQ0MzG3$qVw`l+xWVVn`R9}0~&dCbw$M$hH&U_>CK@){HQ152)-po}&=E<|9-Az=9 z@p@-geE_BF+~VtWK3X|4k!1WTp|@l+eIFc{&gn~6PHuoM$KM=0&U3~#}DQ_ z^VodIyWhzn>CHeT*_aQzOC+V)`c@s}vhr=X8)r&xkdEc^8ejke#<=ev4?jc37 zpvfIm6y770b-Oy`TUi=Vr#{JgeeCX-5_YelJfgcd8zSiEDost9q&i9s&-`H@Mu>UI z^|^bLyoL?QYc4&a1-F_T*RkJGYMcU?2=X3cDNE8WatMYcc!ao*w@%##j>w|^O8H6h zm$xci^MTO~T{QL^#E2}0-+5hOOel(g)Q%atzGo{q;NQB~Iq0TcQC0CstL})P;Xt&1 zyD&z%a(Tt|wD&vt-C0YFZDd$T@PDSdOQZ`X*tfy;W^C!1m-(7Awx6@^= z!6W&tA>RK8{Nc;T3n}PxxH?k9u@C>j{)m5N&PBWTFsCf%7IEqrWlVT`uQFi!koFo$ z?C(592_<$LnlE?O1uWedX{yP|7Gsz3#cz;w(3a%W$a#L_8gGsHH|)rC-`hFZ=61Zb zbYzZ_V#&9{lcaJWjM&B7328>L^@yjMXoW{gSqugxp$R-!=E9Pa!~es3fS_PDfRvg# zG?}4n=esQmGB+n-cm!i>@e3?B$v5?!!>aVM&-U2 zkOBA~8)PQgpfeNm43YM=?6z^`;n`Zg{Vkyh6{W(EbdX~Je_OL!+qQLgzq{^Q-#=M1b2M`@ zv(G+1JdgewXV*JC8_}EKV`#oki{j4yoG z&)LsWZ|jZ7&cmG+Wg4tOZ1{$-o>UEO=}*mG0q>(fQZK;yTz};GWn!;x7_xz0 zLY~X?W=D^5RrL!?VtkYH^g#~a^|BVA;r79;3I4G;%bm^pw32&`hl0$_0u#{m`<}A z`>pP;OWhWmTrM5+_r4FKfjThbFZBDae|+%|`Z(m)-|St(q| zPyZ`f!NUOJrATH1$lR@6c+Z)zFuLKa7f?(%BS?Db!vjGr=kS$WPLg1vIzYLk+TFd2 z3<3|FW@mD)e?II1?T70;OZq@c5Wn(^&zV?*-y^!t{-&l6v)OnSBmnn364~t8WdnLl zp9eNR7wMBDR{{4w;4J!O|IiiZ0e2KxG`K2s;g*4{RDxzo-2^EnjMI)49gTFtV$847 zTSfG1>z;SDqt;ToaadBgp-=!AiM&+Jw5# zD$u>ZXXPIU;uq$)T!#Aw!_VbSN7UM9zszc!Ophvlt#EKhK&U$z@qL=P7%XjE^PM!h z8sntY+M(s-O9wL;74zR6-mXy&b@v;kGpahWATk3}9UDgY>90IZtq29JQY_&(G$p>i z-d$=;K7AnF@{izS7S4H+Xi`jbedEy7Z9VJRg}D`Mbdx~7W+|z748{0dI>J5 zm_?f~>9zBuk@}P)V{+M_n{*xb!NhInrk1TT3V#RWl#uUMQgZW-Pxbh$YWhjs@ zlXhjdvi(uY58Q<3f)+Yl3&M}vyUiWXQJ9xkIwH@}EXR}QY!r3ZkuQ(DIh+PIxc37Y{t9x^ew#?hP-WoD{gY>*855=aTQqvqU^&J z+t|innubLzH5H{q>237)<R$HQvFL-UIS~qK_kigFi?zjbAEgN6sv&8VUfE! zyA)LepnJvQ(S(4r6n*5YsHi{kQS#EGt=`6w?ZWh_+WTL$iyK4hw~DO6B7PVkG~56A zaQd(>juwthq#iao@ux)fRe3-hSCSl6I3kxm5M#G*l!{>_Y-p(@;M3n25j0sxP_A`; zVKVyLYvy5fg8=*_H6WJ948Jf27JF`VIj%>*syZKYbU7fW4{4+MWm=j1w_r8+!Bz*Y#$l@#SG^e*>+sN8O2Ef$+6qXZsu&ENF za!G4&Y_Z2Ery=+hjNgaR@foHgsm*7uAN!2Ha+4g~g9cS@l)`EfcrHk@O>CdQkvYzw z(GE!sXxIN>@WjG3=^a*;?q6z8+1w^)QDew%t@2wKE?E94zgt3qQAi$(*B%O1h*&h7 z--J0%ePK;lWhwRfi7 zVepAnKPDsC{_UEc>Sq}e-9p0#=WGp@-*dlRtu;7^+hz_NSp?r@KiI``IUcp7bO9H4 zC}vq+y2G5KqEM(gI_gg)xmK?5Pwx+2>^p3Y4xlh_?I z)>x6NhtFApj1dvvCuWMEJ1$Efp7F;(EP@`g!r%*fE3-GzvICFyzR|lBi5x}4`a4&m zb41<)Sz7CwjXiRl`Pqe}%EjY8FRMm{A$6>C?PKG@4R*G|ri~ygV=}^L;X;mM4l**b zj~Sm+DcQ1Pg!pHa^{k9@Kg8;Gvm=^N>j%~x+wB5$t~;=5Xunx1XAT3D9O<96kGJXu z2TE@WbJc%=0mou(pV(dkIt;9Mb=RTxp$A`j!P*blPWF?p@!)itpD*s$x(vC8UM`=m z267+rN4WRW9r1TQ8Z*<81yAzPRkrb1ogw1!>$}78DYySzpc&u5Fw=|lOcM+eo^&Tl zl(7@PMN3o?iGQzK&XEl9>?P0{w|V33_q9*=_Oa)p>rw!2J55m#282Eb|1t2xXgHur zINw=J-OAbtXK^?VAW^3wjhCc(%G5sI*jd(caet7vBBy2QL&uXeoJHO`+6;}%b6X>9 zZCY?MBrB$8Fu_|YqGsqq#|!0T3f}bgJpU_}x@F-hC!LD1n-d1lR~;LlM;%Og6 zM-}yFMNuUlV1R0WU zT=UrC@5#ebHr7ZHG@1e&@NPsUFXH$1Hb;gi6O;XFhIith+}r#_DeK>>*@RHc*2|`q zP8NJvp2;bB_J2=UR6SC!QALBjYI)Q#YBSZ#)Jdx^V8S(Z*CiUcv8bHkTrM?V_JGG@I>ex@AREL9cZbWwYyACE?1+X=)P=y zZMW69v>)5o)f_oGoVY3-;{aXy9q*Y`x};qoG5l;6I->I?4%6qET{X2`%>pa=bUk>> zCb!R?T#=J@5LW{rD+}bDagYCTGj|;Uy;V|jwz2|fvUf*HQ{@m4YPGjh+06d{{8!b| zyCGx1;4h*1jY?J$k85dxo*woSpee}#6l9hIu;y$Q--Sd*nyfU_7S!6Ng<~dgs6dxGhcyXW#H40j=eJ zEJrjC`VA^`6^=>Uj0Or&SQD2FZOKB(9R_w#3GMOUC_0&m8IXLmn&au{l#8@vvnr`R zfBf>TQG>7xPW&F2ghF?Q7;+0no&F(stxV`_FDU`~m31^7xPA@_gE(!LxqS9qzihDr zZdsp+dlL8Ju{eKDh{G}`Sd9z_t7p}xsB2SBBx=2Vm!F<3eKQAJ-LF6aG^_QO_Gfz| zC}*FYhv#eM1bcPY<}|%CfL{4WGc2oBh81Ut6Qwr= zp(ACzzN4E|4o;i8bpFasT6l!d!zs$_%IpSz-ho~TK|*q?A*^N*Cz3b`@=qp%7$84k zWOjQqrLNS>f};%Gnf`ld9Ei@BB+K^jEcli2uasd4*cF+@L0f30(MK)M*+&tKE@liyemmsG_jR5a1FqWv&)W!r&sX=OVt64W zvmazD`=MZF^#9cY>@bi|1TunPTC%B?XV%HzP`BE$vN9Wn^}o$u)%QMR>TmT%Iz=50 z+qi5284*x-f|ks&=izu7x zf$#0rf{*;XH84V~)a%}GfNy5{*jo1|+Jn`$7Kjz#6%NjA+TudA8@ilVBX1*Q^viezWp$7^pHB{no}|%VRF~%U%!bm|{;mGYstd&dg%MguDrXObO9BnT_I(ArP|FN>+mu1+FxkXlrdQ=_ zCTluZ&d+p1W6s9z^AZ*Fg--}A&9lYS42q)W#cQvk(O!C-KYl41arkQ`_F0tQqO+7D zH_%5*J4r^eVzg@zx|>Ya8od98{9AewI!o zCd~)I40$(gM^VKFRiqLnmJDwI$wq@v{>Yqca|age(XHpmeul3bd>;EWo1bc{TdWwq zg7_Sc%v>(`VOv#j$u`aJJI)dC7W#i{-}8+Q2`5?a!sxD(KwZftLajk{53N6awwrk{ zV1v7ym9ScZZbf=VnU8_4WxZ_N%8>xS>R@>xXXDtOJ}()EPZ5hqD7w{Q1#IQ9A#hk4 z(^!w7U-KPWau0p#1igMn#<7#S5|arH!G0&U7ityq`hW3@BQ}$goUGjbRS_o0o4dHP zin*i`hx@)QP- zr{b**(J@t+A2nQSi_>K-C7$gO_09uHPIh91F%(<*HW4*@=3L7gZoASXNqX#~)T#oe zYUK6TV-hQzgwK!| z;O9kM^6k42+=kbvtJm7{c(l*1Bbwx~5s|cp)aSz)^)s&5bgnO1_iyi{H3pgP@hm@g zp{p3L_LtD(I20#ET9s92&~#RI?&&6{A zI`-Sc1;T(dkWoRuzaOd6%mu?*>X_%k5s!XFRL2Ep@PDZp^-6eNtfZiho4g;^d1H3> z)VX;oqopzY#GUyP-g11Nkn6({FUI~Gjeaq9K&rqZ4ZE%7TLV;_zcaU;wbouU6*Rsw zH17G@B$GxAe8VruP^39xm7^w{L4{N!0w>B8_Bbxo5*X%C6?fc^VeCm@cD}JA!vdYjhTmBo}(I&LB57r*S#j5J0 z-*Y$E{nFUPU1uR8P;9oQ7c*mzsm6U=Z9Q!GH4?h$$h3)9YL@(IDP>f<*TiSX2xm)z zfG>ulcEF{dT20>9CtjR8y!QDJ>06aS-`>fx)OOXYn~N68OAw4*ca_9s64SS{;Q`3I}yxsVU`9{Mldk7pnNh75oCrRc}g(8G>L#dZH&x09)nja|9d6PflML zSLh0X*W*pJSScCMvPY^Cwu5X9?;4pxo;!JZcj!$o4NGiIZk&zx&l87a_7b>a@$1lK6mhJ0nxx!X3 z!{vBuGtk0!J8$Ik){ets9Fkjxpo1v?=V-uWE}95>mY5@w4L}_6t)0sxAl6?$-?461 z_etD5%vn}m&~Ux34UFUu%n@C=)RwTU@!Zkt*$nC}*Y;JPrX=?GoUHsFk6T8eN-tKq zSZ_@@HzIZ78yOrt6z4x|a;^X2^KERppo9Vtr-cg-S>$2Ox@)kmbmFDbI(E z{&bVCNH_GO=ZU!RTI5Rma>U%2DBBthC;czGw?aTGNRh>h@ns}FY(e_qL8 z_bH1>*r{lCB{wj2|4XO4L#rlL?eqjcWIbKWpO%}O9^nnD$MZ%}Z(GE6iJKmi%~Q1z9jmdP zG>ABQqtdoT%NoA+xx3!H!MXckZ2K@}-y7HX725EjpA}C0`2u)%hq`^&CZcKw(qcGB<5_#QMe|*JqGhUagXZ+Kji>q|_Agbx$%O7~)S7R67%Lv?9t(vHx<$7+(k|v6OoZoi)mU)e`awCE_wCSQmdb3UX`B z(j4b!KJxkaVY$(p^krb8g5y)$9Ggld^J!+f9r0MvEfws={Zi4;jq%sp+rk`sSPvuTzDvK-yOs0(az7*?xLhT6t@E zHaRR!p&?b@Zqq1l6(4u!8@)Eu5~lED;4(R1BQ;Mlu4LK0luSA0F!xIo%aiV?QX<`2 zVOEwvbmEu8MoKcaKR6JJluk3K19_`j45edOtwY^E>P>u-605mtFOw^KR-Bgp55}`Sy)s8*uoFDQBM}5Jp_M zX*I1tnql1Ir=yvEox;b35taLsp1%3!0lYf>!>!~~3w}Wwbo%($^dtVT_=K z82kr&Wgk}k!h6l_m?m9_GNrXYykNN9c9$hdL*1bEkR%R<-i}>8fEGGeMTy|=KxtEI z+i^bcs}M)-#}QZ#hiZpM9mK0#X^#$@@k)Uzg7cFB4@omq_}AmC ziVG;~vM;8@)|2}3fM^hsE0^IRXvIr4(m4JY=9=NA=}5_*VGvSjVq&trtrA-BP_X)# z1H&LemEn73J9h(0BVE`<7MmQYFfrM$#N#A?!-WyL?avuAGBu`)bMg&ZR<`XXMZHfn zG!90VOBpUIqF!upRgCMF%J7QXlaQ9q1t?|E0x*&5W$@6GJ5i=hDXSXbR;4tC zPWDdoB|jg*Y>TD01X)H1@6vx$l*~8!1q#*@M9fUTg6S#N@2cdUU6^#K689A08Skl# z)1b2pX#N=CD5GCKIZ|qWusJTQ-t&SGl3-XQU-(z~J0tY82n(Y_+pjJ%LoH{f!>ZY!SSenyx2)_;quvNj zQDovYW9&bccjL<^X*44>5+ORUW8ZMPCFJD3dAvHIVZB8Y-TN0L>Gmw#X;!2^Y%3q! z#5FDQyk2>BbVlfhJEe+Zbx^!^Zr*>$ixCP&RqiJn`|nfjY@m{&(r{>Dy4V@^Zd&rA zQ$elihWxMrvgxu1=SW4zjPc`kAtm~k23n?7PI00#!C&R+%}H&LlEnc1XtLhv@3RBq zI&95^{#B9IGDC7%ri1d=<#=+Mnz-ncGV8;vV|f~^Z^JpD2dc(wf|PHk>I>dK)HXn% zKkQ)pZn6o)aE6rjX^#NZzB>?MNt(NSxXbey%5s?%_-93kAmU$*HocAeqt%!vO3#3+eprQ|u|KC~smc1^5g)xViAg;7>BZW^ifX6}&n!FmH8_`%#Vh1K zr^<5BX$*k3FpMJOr`42D=muYPdS@6Gnfbnv-xMPF$76O*Rn>SlyM5V4DeHx)UuEWB zgKnaXO$kZKPjb0a1+u(|KPmg{sn1mh)3ZKQNTvL%1#r;PFo;6^G#Q^xP_YpP%H%R? zw1aEN+ZfGr^HMzXizUD6&1@v7b+a$i`%;_7=k22%ymFZULb3{t9+c9DKe{qeP8yo-0J0DRbDry4Htn;GUeS<2jx}GI|{S5 z*{1}i3AC-*gP>|$&vB^~)^?A1_8^@!r2+!WJ5g(?6#lW}Qe%pz@={3}$AOh39yc-$ ztNdXBlIVxjvqR=XyhrtBr(yt}-wnYdlIb^+Ew~mAxXy45GxC;@2+?)#DK@$Um-eHd z@?R7+G*Z4qC(fEk0(g32v(v%9f8`;7tEd5I%(u~W^TU7k3Ea;fbzdvAivwvU-_Y_W z5{%*jXscn>LmKF#X2Ax}QA0tX?H!X&b`-&J2jV4*s7~Ayiq=GK0;8jbZ|6YT`#@I^ ztB_jO+-C>$VPOue#Ft8l_Wn15T^+_Q*T@m|FAa6y4IQ?>Zw87Y>dYoK<_ovD-roNnyM3mG$5yArXw` zy9@zwuzJ%m!kd$&xmII}@aac@UQgR8U$poVjq^!pt1sHME)AH#z!ceR2unKt2&mn@ z%0yh5SfNk@;K6p?+eNf5*YAVzuBJ8M3&;Tk_=>$hdC4!!K&2&8uGgV^G*>wX90D`d zM&cBdntAg8@~?--`E`ZtDU69eTp(@6gZLl zGT2d^Q`*V^koi1c7hBqj|WpJ2Kkss($rXDuSfcZ7Nty1NAiYz zrSZ>UX|vL9;H7DoUJHx3YyX>x`Icx~k+bHWnorUx{5{&JViZsD&s-}Yq+QID_4qml zdwgWGa>O7L^s@Y38NBOKNidlsIaE-F>@J)z!{( zu_(MA7kzqaRtHBk@9oCM3x5jfs^oeMdw7KYTwYkOs&#kPGBdZD@>BKe*8*kv&#FM* z^K%y>?t%C6Ukd3W*pymGNNCuPl<#@n_lBTvLomt#{~(z? z<@-*eB+*HU6w+sfA3GAiLBeQM(jSi2(wkN~K z)9<1}NVPxw&)?=f&(4cV&OF`nV}6k5DG0^rCPWd_PIies6@_Gxa+LY$D2l*{(r0C< z3y6vc#6nC$2VqIT{U;Gf9<$2Inj*s@S&;PdTFvST;4{OJ2(PP^LsZejZ9sE!TKtsW zcDqUoJrRfa+ClyLhJ!zB%%UhJ20LKP5VA@i4GNNL3UjwADj zJiSNbS^%X^m={6-5 z|5<5KAxsIhW`F;r{^){-7USo$AI$utDQ_vP+zk#T8v!{nL*x!=vg@r>86@pdrcr-+dWjpb({T5C?$F&fb z!!oNcbRQ?IZ%KEOx?)ZtM$!3=`gdxm5jy=eJEmfV{e%;2&)n&UktfkL4AD4Uj07q; zNZ>!=ccVs{fq#{of9@M7o^cX01y_|Mr{Ax-Q4amYKLesu40;vudvqG5pM~+O%%>>v zd0h}!T3q{p?gf}a8ovD+8yo8bmq7(}bvOu+u%aMz-$sof;Kc$?2S4EShJrqcdItHM z;3b=ulM;aJMp84`$)2&G2zu@i%xX-`q*>VjvaLDtHNYmCUtj2`@%PS1N_rm%XiOO6 zT7D<_0HJqtU9%=3v{^Uu-sv}Nkr;bfm6SQ#xB$v$A4Wq*&OV7>96x2yW#qn9N8LfV z%#`_y(YA~j6^%sJ7%hx2Z=G*+QwxUv)on;vxmdC`d(I&0CZth?j>J%`tJXTDRgpZ6 zQjR^`c3J$&xYW?sV;=!S79q#jU_HSLX+vg&K7og!kad)6#cuyjoE#u$K*WK^RFSL5JW>drRepW{D^XBEIPymQOa*&ouN?|qhVis_=*79ns*moG^Iq%{ zjdrpZqEF900?8;`H0S&1Kx!8vtA#!%s~6E3+4%cpj1NT-w;crUqj_Fjo`1q*ey8VZ zA9#{|!Ms!V>M^JNgDiNO6sQv{4_Bx$jxM*VVQp>f-*d2J{W1{5Fi$#DjVfl2zqpHx zH>$()K1GUhrB;KA`?uoOt1NNhotHloIYLEV9(gN}_t?C#u(DPIOeyfN0UZMAs3-}NyAIgS;zEn+ae^;h$EUfr%wJhN7*w)=QeWTtxtP4UtR zDw2VLfi_e765>n~(u{LywS=Uknlj-!Zo&ugayQd;x|G0YivIW`UW&rJzD#;1ZkEA+ z8Z~-qi*asrQUkD&fgocaWF`xN_M_!RnHpmn%*G1qfQCM&jGi*?(20k{HU_}z3#U~W z_kdWpC@=2^rChoM0EFiO(*CNEhvIxA#T(W$!Op`Jei%^Qp+Q{Uxys`|p}Zkp=ee@X zSm3um$BN{T<_;RE+u>Oh1x3+>aA2d#)-E5-j@TGYD-xvii~QUQl}jD}ykS*{l#`+!(UW!jsUW$*tr3<&aij+&89TZ&xUN?@%iz zjio&G=N))24)O+F)^><`uaMsBo9@#D_}xk9sFB&9gxo_T@_UO4J&Gf*sO23VR`LIL zNv^%Dhq?0)qx(xEr!oz6OT6VkCTxH3^rkM8V}kOa0WLTP7-aKQXw4s;upS9u;N=0x z5H~P5SlQXReyVaAsVj8VlkN70%V45=W~`?+^w%$%&k;<5aGHA-%FGRO9^j^Dz==610)%P zI~FUXq|5n`zbiqOc0shY;$wX-4VNnGNq6;Tkf~=sPg+uygE$}j!x?MWyI^UKWI^tX z4sqW#RvftkV|4`(a!s?iE|c9YZgZjN#^2GTBq?x+h@u0T7=Q2|8!X9pvMW`5pu~2T z*{Yr{lqX7Z!Yr~=!iFJ%V;F~m5WigxiIf|o!+>A*zFU!-Yh4fgZ@vwisL$T5Wx^q; z^Yr;3!*#VQ^o1&Kuh*z```r+#bCDyw&_04*Vb!IqyQ~eq>~w4&8@A)8_m0a2mdMtN zbzMLa_L+Yk4oG4HrSkvixUK{a4oV$p%E}^XHrPbYmTPqn4IzjbDMN0)2^(oA2pF(M zz1nB}Uo8MGMMKXV&m?tc=Ju;>l{@MSRW(A(`RDdNwRAt8j%M;Hu{I5|74J0-<|?WK7&`E)*|F8OW*|KrwlvL~!nidTyh)iw6pA3tPb~ z6L|e@6V4prt-VwrG3o}YPX76t^wU3`eJG1z6dFRr(uLG?gheGIG?3oo{cO1bcCUMXcQg$`Z0)y? zh*I1Rv-H9Pw)_zKbGzoeUrE5KIHjfkwd`_>5IrK|M#6?y!EswAW?P+oEgJ111B;WV zX_NK;#MYGeYt$mvrU<>`{CaPq#nUeOqMTw)c5x6Y=KQ7 zx>wXSj!pcn7G9|T7GM4)=|?#e9ZfZ1T(kLJj&jodg{oomG_#rnvsgj+W3Pyv+6 zNq5|gOIOTs>O;pXkWx|gQDhj+yF+jVDl>N@QpDTnq(kFVt|(Gh3MFiR^MU!w_R*sC z!gb-%(@{dS1YJA_rzbAlNjxfw43W9ft*q{5=Ot$<)#L1p`xrZF$?9T0O)o`I^*jRn(R zFr9?5&O8LK$Ux=z%5c`E35NP6TK_+W)e`MDZ%9-aEGfsSJ158-=69Ow!d}#$md3Og zH`LbV3~skX>o5F9L?|J$P#z^1WA45})E*^en-E1oDg?1mK_%c?sQ7tzE=f4=@aTu~ zL59XkZxCcb_>*UM2dU%%@1~K$2=TzCq4cNoID4As78{sOz~N-ngAA#Kyl4wk7fX0jEVnCh8od~xNO=wS zE^oPbIwG%6PJX!~Lnl=R`Yzq>*FBR>FV926euqq}{s>+aZ4lqvnrtLK)?Ot{k?m27BeQr7R+0GUM8o2`^>4)8 zG~f;f;J>Wq{g9OK=CXQ2)h&ZQHm!%cl3?XP^E77ITG@+R^^0+oRe`7L46PJ8Z}`8q zSiNBVKD0)Kx5DB|hG<95OwtI_Dpg}h=ku67>qHu@%vWJZS3}}KR6%UqpU@WNO?~Pc z`9X%?u>z~5ml;6Qi0;a>Q;*Q1prv(GlUpee=tO(4uj%vNMdIf4{zNSRr0CX%Q&>T? zj^?-3LIBWY!)Nd;7>(fbxsCVeG=Y&1+?`ZA9VpT3G-Kd#+WZABcZe#5w}Zl8Qg?Nl z3_!W**0bXfY>B#6%>7Jow5ysD33h}A4T}h&k4;^ns?Q98!+SgSKJJ0e{%VBN(`TQk zv$In{hRBY|BZmrNUAC2GMJsZKk1Trzi6@`VzgKYb%-hw zf`fjcM2z>Hs2Srx(6bK11e%>X}nWo^@k>KNi%K9IkgBE}aTm$w+WWVbnDvdM4X`U9iF$F$drt&Y?!HJvNdn zaHsZa8uZYX#bJ?({~%c&;JajRrCibSPjDNNeSiSRAjZgs@Eb^`*I@$fZFX>#cWx^b zvYvI~)IpsnKIg&wnVsm9ZEEN6KAqW=SBG%FN!{OpsxriJ;tvC6{?#_$=bQzxMFOA= zoCV%5fA=I8+z{m3cR{@lWD3%U@C;41C{7leTS$Zy!iuZ5T-cP7+(uAy}8!Xlv| zK!8*XVAQ7Am;S(2WqpPsTZXMXihi9O=%$W{t2oN))+}?bA*{(}*EE6)lJM@mXh}5q zxNFq@b0-Q(m|5hJQGDu92xF66u2~hGhZIgwjyA+Sd5_$RbF`F|Cs(afS8fh4ZAuh& zj2*0m)tnM!!gx8KO}k$j*ACv*anozo!M#Tz6ggXM(+Q{q>AeBb9(I7{cJuU%ErO2jQdme^2>;>2&`hzFUv#l!&ofYubX@HnUxy1^g3EAhs0rbs3U74 z_S>pa06Sgi@6q;7ytq5#szmuW*5XoW3Z+#uTx+J5g3Mt}q!n3L-u&vG%c_~DFN@-O z6KZl}`1!flG~S1oI`t}av9K$$o}A-IjB_E!F9&pwgP{?4zzNCJy8cB>8dFu$d+MUa z%Ivtf2FUDVzG7mSPtXT|*ExDySM`qe1ASFrZ2WRQ4+Rv-tdQ{VpL|_S zMoC)bB9gQ@c#a&6NY^`Ng6mEzBG(e6dpnn-Vp#7u`Y{u(m+w4_Z4X+&Fv)mljrH!d zj8DcmCzNes$HNFApf_3AaXIy3MnU%H%PxyfLE(XU(orM>9Ig>Y)1A_Rncz51@cw_^ z631N*02MWP(~I>kaw3*Tx4Lk6uKn;B%`ddSt#EjLUVU(ADEHpe)|qbG?K1x1{A{j? zUEXR`dDnlS%?w`FzG+p(ju{V}8S_tAkPuRZPMQJ;Kc?oHBZ4MMR7+Dasd0@^PO??& zN(P>QNzKKx4XGa0<84mQm*E3Osd@bpu}7vIS#{Fn?z^yFw^-dgV=0$rm+gL3P;C(h zUQ&qpQy#O0?E5tfq&f5XU+=d{rru`M!A)g7V*oln^wx{&IVtJgFp(f4As^1c!9g;w zDh-h%*|*7?w@-uYwv+{VsMpwHt6^>nS!1?c0)8FuyWzs}K>Vn}rmzRGrj zH7Ta4lE3vwH9bPE^tb3w;$aou#?_9r`myKiIM^pdByY;m zd;C2Zw1N4J1it!X8o0iVW9oqYg;vcYt&Br|j`4f9pZ&g5ytHis51JXmvI-W$j-a9& zsS#3AB2L2dWPO)yfj*?K=K$~?zPuBBHZ{Ux19SyAspxgYJ_jfe#6C@>p z@|*LvUH`4~0qp@p-#Ow}Z&+i4seijM=8zqtICDVCK3)a{P6Up}S}B}xvhtEU!!tbD z+9Tmb`N@j|1S~NWD%Tei=6wh)*c(wjCxQ2JX*v-{E~Z;clOET{3s>?-byRZcAz-NY z@TvN!-Dn31o^}SnAiPd{LabN!5q1Mi@bN>}Hw7IX5Tt*>_~msu@XKQiL?*{8_4t=T z8E__%4eY-N6v(9kG8&MrqhewPf&|;p$qVohXM?G!FKzVO`P?RxW1BYvH^$qXph$=Y z&UfKo1VT4C77>qT7>@=}+c9Lg6O)cD)p$+)2^9oI5W3@Xoyy)8RlOTcpK!i=OoPSy z0gN%i$mlX4-b&;&Q8jq>_l96-VzLrl2F`9Um*_E@VbPGbKx)o2hYC62M^3~c>O?}N zix3q+W);?5eIkkt`SF40z=K){HB_Q-59&WZx&0r)T<=(i8&Nq)i5~XDD!2m3(3&oh zm;DL%{kOOn-97NXf7*{+b;FOAK5NBn*o0m7o8n?X<+J@82_}#dA~}B_&%)c?pybz0 zpXpIIz`P{Lka{wPQX|bthzhaK&X^ebgG473{l9R0c_U_$9r$J@v|E#lkzzM-O?UEx zDM_y(J=o^fkSPZhiOJ0XiZbgRh1|_KMDjUSq?t*>`3a^E+0em| z5FWO4eib?IurKenrhi+9v>xfoz@gvz^yq{_=+F$krqR>TCW4Ro3 z1kp!J#HLFP_f6gMW}HERX)f;>$wXjORK6LG{r+g?ePyP-_M-Jp@xS))RDV21n9e!G zd)#sy=QkyhCoy3yZNHMKzE7f9VKtw%s@q54weLD$M~91@t{JUieoBTDHH-+9uD+Zf zkI(G;A>Z39A=arrZo=>den6)r{_=&8fD(lHbdfoQ_duW@((Dm$6n z$C3fh&8^0$j3(y9`Dp>)}`Op(h&P? zcdJiFqp}tMaoh}bzNr&3S0*p^SSCi$~*nTc~exSWEA@sEpJ@o!t$Eo7 z5@1=L)bCOz+AS32g?4MFZ=*Wo;S0ixEMI9f%D=|-9A`_@VJq*7BS>P^2A6$v5y>y5 zrqCEBl=5=GO?Yij!Js-`)67Wyw;!j?QU8D29@2Zp2!d5;=dY1WyqiO4U*|$dE{@ z9-f}i{W>TxN~m#L9NT9VXcIDt9>YOiT@swU&yd8nwziKOb0>A@Pzb&rZMBR(zT6XM z`D$2@@gZiqmkFY}DH{mfZXevsb1C`0wU9lh+HMQ~`Usfl~Ey}o(XDZ1V_ z&?j?*G$y?kf}07?5g}%_pb4|Q#YN3Alvm;VhMTmGTt2m(;6HtR?}3?-88?y{hf86s z{#qE=)9pttU4lVP-Sd_^;BsM2OiY-qwSO-AN{7YA{XT!d9u6Kppo9O3nivXARUj6t zv64(20@Hs1UWOaS%aQEAkLwTaTDmPm{v1A?^Db6Vhet=@9EU)MKi#ERkP{8wiUO zRSk??PlxGd-vVe*_@0xn&-t}KvMBvLzu&eWw>aFu#t*apL^V zm{Q1~Aa@@^{f+#56uTzmWILF&!EShc0XPLys7E;X5_5|zOXB|u-xWCjCv8**wO8s7 z=gVl?muPr^R^qp@Ay9_aD16>h5-A}0y}O0cUqF3JR_DpU?LO`E{lS4G^K9wGy!X$G zXWI{4dn+(oi;z;#p4}LNDahkmjcq6c{#XH2xKFfx6V?ypB5ak%Jj8i0203eXR{<#`JMki9_Jh6g$K6ki=4>zv=eO4Wi5V@>>&-`m?~0y-vwpQRJimMFeXz)~ zr6bf**7nwD3hYHRo~d46?v3uNg_Bdcq|vsbV3xC+Dr7!apVn+l&-vp~7qK@s%#+D0 zqL2mY)q4MCRH?5FJ_jPg*2S89jH7RLVqdQYCbLG>D#Kq#gS|wrxCM%FM_j)v9Q?r> zp9Xzh=WoP?gy(m=Q?nca!8Cms%fAat+-%VOWl*M5{czElnd9eRQIAX4TOAI2=K5Pb zh&$gmYNNWH><%{+Z%EoFe{cN}9e0rug^FcHP*^L)^KfBd0|EJp>9vH*Dv|ox@eG9Hz15^SFk-0KW3MG5r)Yv`|wlUzboPr~56;ZYHv9^w#-PTvAnTZ{a z+?AL$-Mdm~=6g#W)ZLUn`iG(l5JCEHYO^z0%}{1(5!>0KLwD=wqQ26r%S64aK>rUH zWe74q_Gq)08QEv|11!S1H_3m;-9L}o<{-0W;V`PVD#ica5A5HqT8Jdn5WN@@Fz2w_ z>0CF!9Zy1^^sb)7oh~n%A&f$a2TbU$4*{AmGC%bT&{TlXk}GXxhxJc7?53z zI74tFWt_W!+G)6Da7w+v3Gi&n#7}SaWbVb6>dxoUWvjy?o+L`fBJSH6TkXn{_py^y zZgxcX`W}Gle&ToAlA|;>7P23aY0^b3Gltr~zUQ2I3B3^cP*NdwV(i1>gM0OlTRxWf1!FML@-E6-Ql5J+CQ>6A(mDpEYzYA3Qd5=F8L3X0DA28GitW^r$Bi`H=#b!FG z@?+ZxoK4jr@?sZ8 zUxt@hz8gI$gxOxKM@U1rJ4#R_8N$zOlD) znyx9lj&=+0*tYGYvDw&YjK)pUn2l{GjT<}pW7}?Q+qQM47bnltyV*0-*|WcIt@R3{ z?@qKAvfBlBdlF+wa4{SX;}pR!>+1s-HX8MRQQZ&UviA5IF%7naJ0az*VJOuhR4E36 z!be>gmDTY43B(3&J*c8-u8f%$+rn7TiXrKv+jl!CMCE}iY0f_1iJKew*U%aDLIWj6 z=lf6Y0B`>U_3lh2^($Xj>c5XRVjnN+(plW99vTj3px=k%L6zmWGPmYN3dBm4Uxh?A zD=x{rd*pF3v4DdxYH>Z)R`%T4<6qB*N_grqNG=5eKPvElS^tqw#Tgf$Pt!8>u16iY zHJAA*+xQ*^9BIUfZ*M&~EXgPVLF4rND74{a4{*DfTj)?D<`ra}Ev}5Cxti;V}@tJwL-kG}QplSFOisE?5Vf zO+qOL+uU9-wY>YY5(9(Uhrx*KA~j$rIgG`q8eACD{xzg&%Yl(x-YaSJ_I8AxSeLw4 zyc9Vs-l^-Hh*79#j^Hy<JOwQfSUH#;Acg`}Tvmwm2=}a*t3zHf$ZT_`^-NnW3W=a_aHOiC z@g2+5j6xkQ839ypWj6JCcN6E(&C#%5DQM$@N(JnZhwS&5DgnQbPW2kmQF}C7#1GZP z6L!L*0yGdk5T@B>#Q9~zebu{IUz*oG?=RC|w;xcV{~Xg( ztTX(RkR*;l;;UkrpT=(fdtj#}2Sc&?=h1J(>-I+Mx}Qh+;XC(=;?AEx8^NE24BDfG zC#hx2$o{x}Yu~U^5J&hH=EFqIr%a}QSyhCleiB}kjXlXwmVC4;C(gi(QK%Mq$a#vG ze(*&at?Y4k_hssjJM+xsvl;N_N#~l+Dnw3;KoNA2FHgUlvaaAgYDA_nN_^?w#|ZgT+}LuRXF;L<_jk1>KT|DO0H{LM+s|rf(SY5F51c z$@5;(!%X=uH1kXtS_6g_-!Lyo@|t%kRUA2Eai(kT#e^ma9;x+~d3??YW6LTAcHEi9 zN&i;tI!44)urW=q;<$J6Xwz*BDp6gp+*;(hb!4kvuhbRfX5^(}Dyfxk-+$$(TL01h zAduOA+fGY8gppag z&`#j{3N7?;4cS8MCIt0l3OW@zeB3ixE~*B^-MAIqmp{D9o5~26d?HgPy3}9vQ?sYAa(k!iSlRh=}M#U~Zo>#V_<%((5FE_tFG0o*(iuBU~#g{McLH z4t-CrbWM^C2B-lLTNstazx@WduS$Dn*VFAx{N}+_3K<7JzafgpI#)gxDd$1y%kHYu zAY9wdj7GjHsFrX;Slwy|-!DiQ9#$B;@6SUBW8cV(Zz&r}ryg=uvN{T%#5Lnp6+svv zp;_&&T~OqBSfJJK1rD{{#Eb%1CV+Kp?Vlsrk&w7GRg;*wyT9iAJqbl(@9G)UeXlOW zbvyN_bhR@u@M$D_PwN-Q#JT;|f3h3eAJde#em4}absjw**c{!_-f`}}JhOUpbM3!& z7Fw0{OjHHbQRO;Y)0If>4Hi8Mv>Ok#;CjFEn@D2r+fXQq*MjeZ^?7))ODg~Czh2Th z=5?iA0FV4~Bctrced!@M@0l4sv=JHKG^;-__u3JxIpD(2)#@hceUNo)k#w|pNsFeWIAB9bzE1|QMKUzLxwL5fc z=VYU&qT>H9rDW)We5HkR@cu5KSH8h+=`Xl1!eFo!^B|(+k1<70ais`-^(?YgN4T{M zHsNlwRNX@ro#dAwyYY@=;m7@2O+SXQ=OI+1&l`8R2}Qgh?Wd&kD~TP(+78P8aDXIu zahN8-kN3OQ>=a?;AF*o};wgRyh=Ykt1W-v;m6USat@}I~-swE~+w+pV_;|c73Sk_W zV1U-*`@y~OkCpEe`#1OC7uJ)MUzDUZ>wFxfX>a+mE-rYZCwhq#OtvKsYbtHS;%)6U z23{7(jM_`Wk8sMOx;Gp(xD!wMPj}p?PUAfxuM1qQs*znc+>0^E9QOYvxxKp_TKuNc zG3<(3Jqh?jBZMYC>L=&oRlvuQfuo|-tT%N0QorNdMQ`Y0Oht?c-}r5CPMY=po27yn zLFhH9S6=!d2R{2FO@5Ng<0Pz3Go{*f&1H^qmh=T0&qIYZ=?L^owW3?RApwax$dg+t zH@^yu!feukEJA&dB*ZrzHt4P)eH8Pj|2vkS&#ie$`g7|l*Tnk+#@(O8vlBO8sJK7L zPzKs$MGDz_j*$ADkKFa0?i}Fc=b3zE$*1e)=ek!}pK$ul$f9tnnfO?*`BVF3o@zRE zNvDE`YJ|hgASHSH3vr+E=MypUD5rg8N_BRBdwbfZMGEj_i3VyzC8$S+LNKkV$k=E> zGW`(*+b&dFcC?*by-6FwnSjHl0d6-%2zvur^*Ycxdzehn@94Sza{=nIlykNn)(WZ0 zj5pB6tn7FnyF^IzOC(@D@Q`c-XcM81-30}US-I*RqQlkMP=2AS3TBiY+?(3d-r^BE z@8h@S3|i2*jv@(6hQVUcFu2EG&PE(Q@I$H1?DJs{>%3ljJyqtA{oci2W)_zpkJp^E zS)&MB-Kt>FqL6cG&srnyITqXwSP}BY{&{Y5pOV1VH36|g@(fj0meJd9 zOJ`(UhNfx;?W&)co3mhkHyak7@e?y-u8Y`MapxKSOGZ=q>;I`6K--O8!hoN~NigyukEG({bAc_w z+)m76Sju-dqT3o6*ijQko{9J}7#T^~93aJpv8WX6`&d9dZt@10oVIyo&DNV4ni5cl zdy2OHf#U7Jg1v_#?hI(*TS^)FBsyQto50vf9CIjRugkd;2K%~h4dp{yj}ZQ-M`Jc! z(-p8PZ)2?Q56fKi7j(vWct0^o;8s$Hdw7-tEhJizR`Jsoduw3N%B|0>&}y&A-HR=^ z4wSzN@`h5J|83=0kFPkp5*y4DTQC+701gz`)`LBP69vV9>{ISj$n}EIlr3%6TbB^U z*Vk7jb$EEVdjy@K|169 z>6q|P6IuJNKFLUWLe)^b_{ps&%-OE=<_-?5GqdOZzw`3)C>`|`0(p^R z9l>l<8`JWcwvF$$ z{7$h!=Uhz@1n84szLdDnsN%a_2~5GojhN2x6QtY%-aX2+m~Kp&ui~=5z*;qaNcZ-~ z5b+n7o0ymsb}}c7RNrj5mqe8>wDFF?%gultF9*V)089=D5I`@9i#I~qyWgg|IMpy_ zo8R_sEARyJhXD&1i0&F4WWp_1Tfi2Zm^Z9WmK{1Nd&tEFs@d&2FY(J|6B6J;M;v9f zSos}b8CS&`NX~Z}pzVwXAfOq2e!PSH{_M@N(CWsy=Z6hLz3l537Y; zwY6-BNG3h6l@Gs+b^v;0XiY2pe@@pkp4RjOiTH-6Bbb!?DMp60kL$pZ1qB7o0tg^D zI5_1}H8<91*Ebh4>Xqf7@b7JB_r7;WDo4)N+21uZLX=Pnb2i4>CVPb&WqZX%MZp0^ z-`Rb3N@#gGzrue*wVQ2e7~;@9qhck+8z_8Y}-?0F^4x+ta0{k!V@L1CM)1* zoD-MMj5OWebrX=*HmG{Ny4CnY)?9Lzkbdv4kA024^+~s*&{$_JH+=QOd}MGKG4JmH zUDsZZrgPmL)j_th>%!k}Kj_sZud))krlw}59;D ze&;k36s5``1bu$j6&agw%?30Z&E35b6TOgQTs{-K?{DslE;|XS&Z*^I#>yTXvgp)1 zJ39ppL+gCkKZvtUpFAOY@L2tpX*=q!S4{dQCA>VmI{$D>8_7O8@fjM>09`MRxm#wK z#S$qVBD75O8c(K8=955r1H`gRyW~nx_@Gm(%?Bqg;?uz+F6Do2(e2J&b_q11(Ti}Y z@@H0?mJPoZ56?8U^J_vaxo{CpZAmSYEYs|71_|s?K_^Q!`FCqoP?9UUmU%Rj?Eb^n zT>LG@HYb^}SrifRHDzW5D*e~m9s0~@@HUrkY@xKJe=OdNkmm8fZ_4yvWJOEzCzOg- zFT#K<;i{7H~5Sm5uPt;vWvuC)voY8%^Yzon-} z<#-CCSgf!IDZa7{HE)JtxsNvBM^ zFFRbnye4Wg#2+5C9MWhzigKeBDle_|6v^{;ESZ=(hrQ_}&44ZXB$Bx>RVJ6lrVnC9h)w+6w^;_hF-K%Y;yA1*cF8J6gZ(HXSshi z=+G>#0=j~n1RAB^dS8mKcZU)Na*Fw)W8|?H`4oNb9oW?9N`SyPHEnIWcO)Xd#Bz;1 zK2Mw+z-tH{gtNGc9~~lFFD}O(zM!|`7*SuNUsZhgn^Db%(t|5adN)pU_r=w^i9N|o z$7w_aIO@whmmo8z?d~i3(=!#`+8|%%#1G`Uo&{phziAnd;sG&g(2G8^%^8iG?2{}l z&#MBCtAo*9fRJWdGbP$tXJo&eRZH(B8Y#wG#k_Hb-Q2mpB53`w&C0~pkb@v;!1- zk3*`-FsHHL49A`HJcwtGGDXB8H$(%;>XK zjTU}%8s2MhB5=mNMGPkSLq}o^=STx9N*!l^+O`-POf;>EvA_E6X-AQS*lOV!Chn$Q zPxw@0gmObPYa(#$L*J(DPD@w1&mKx(sHfiy(2w^D2uJ14oT5`ndU42 zKU1#D$;#%@WVr?0_`U_8o5fJoW$}lzZR7sC0h$ZKBlJYMZ(&B$-^6g8x zTQZ4N0gMGGl{1<>S^izxa%M6?erQ4Ql_AyT3wU(6#lR1BEOwV+xY?lf{BE#k&nr;@uLj*%JzQyW%8G`|)K2^MZEW9~QHwKJRuBQQ zI8l5PZqQ;j?Rgm&FIG-v*x7o%wk_%qgf^G%b}myv?Xd+vcrC$+P`r6~%Y)&+B7Nsb zubbJPN}oJvyx(wms)wBz!I?FSdX4{lrTyhlO0!y0GU;k_v~*{I5MJ;Qt87bX6E_+v zV(>|Id(>vA6TqB$uVoin>Th>V#L;ZOc80{-XP*pGVxT#OCuyaWa#VlZMsJANKK#)0 z^}Y>fxANbV^&e`how&yX11}mJTMN+Wl>hIo#@&|>{r7*%baTzW%1_bB?$z-8*0H*~ zQNJ7>Y#Y00T9Ss}!<*eK-54~yl)4Vf#uulL`GhAq~Cq? zi>i;0kjLH8_U|v95%s{zffKEC1{Wtq!y((lV5qC zeeQIswPZ2YI#497BPAwMNJx!)F@ul^L&Vq~j8ECGg~ra&Vb_rjfzKAV+rHi4q;lRj zUp>^#GypuEC<1Q9m-Y9Nlquj}!KRI5)KD1OZhYqz-SHp2FGIi#*#W2@&emEmPVR1T zYnZT~pPwz#Riffh+w!=L-%OwC5yk)D^Q4aQ=@qKThn91(#15G zS*21Vc6h#zyS(Rc=ABYyeG|77lU;Cl?5TCu+J5 zg8h~ARJi3zRAq`U5+tk(6CU>$0e@k*W!;SloyIB z;7BleK6w6KeyX;IrfI_kzXSzu6R82r=L7GMj3i5F_7>Q+Ybu0Kvn0~~BZzFyd-HDo z$`4iB*}+S>$O6%&OL}@K9t7vdplM>3`APA;U$1zO3s%EBAPt3F6dmYWSiq_$PNId3 z_g6K03i@K0meFGQiy2&8T&7O%XD%Iy_!aS7CK<=vte`*_T7V5nuw?#Ewkm^&v^%VY z02yNlNNK^8(`F%ZaIG-U(l$ImJViWfLvIFF7R;?gPp%j;p?(WKMG+S6lobRAqAe>C zQKQ2!M8e)0sWdKfl)|zWoM8{6kwZI_;iS$&_3Bs(nj)Gt$W?CETm3!}*yasV5}|+^ zcBj&L$iSHi7^h3Qf6Rb3RP973LYU%yTFA3P=r|Nd8Xg{@9C-&*rvs6GE*|T+tr1Oo%9dZ^)PzK8-h`aJXb60f z2!iVf#&Ki6)_XA)dj_hcesBBGBWQ%kO8+r_GzXz#7SOcgFJIEdx}PPmjY&7qts3peAE(!vBG)&cP(D*_e{0qFC^ga2Z?rytP<0I0~YY$uw~M2+e}@~GxQ6LyNsr?D5zvFq-`g#I`_YT>ref4Uh5=3=%Uiex{A{HWiHS}fQpG3 z5B6$sC^=hwJa2S(QV0kT z%CSB7*H4Vm)RSNHDr#OANf>Q8Mzmh&`-BH$F{E}AFBp#hYo~?0*Dfa>G_RVOXKs#z z!UkeS{^mlxwWs;<)+Ly4qJXj`LpWg{`=A!33H;YZ8PCG3<3Rdy9z2!t^=Py zloG6(EBG3n8$oTxVLvr8jCPfsNqB&=y^1dOx4d$;Vt*FMmGkK8E}%7YvTpelummX| z#qigg^cc9j)h_<>w?Qj)f-vrxPJAAEauNfraDm0w)Eyf`LV}eh{zx(%>+~Yu_z+bqmHT>o$g+9QG@ef<@giDzvT^b}Y z*lA789BxNIOSEX2=o$wA439`px}@38MEVQwtBi=(nb$P=e)NGr^Oj4W)O~qAYvfn_ zVRrx)`kP+zxD@_Q`#Oq}Q7H&)?mm~d<7yuC3pTCw+y{K`A41u*mA?gD1_gwNZ1B}@ z@aR9zrH-2h#p|EQ<8>;EfE1r(Ha50lE`1l(b=kLxmQR;eya50X>zAl903?}PSa z{AL~T07o#h--aomTqkdSc?^Tk35qxnaT_jPLIXPr_f~Wj8g>)NNLo%Bdat|0XefpPB#Tw>Yz0 zrjvsrHR*+z|!Wj4*}=-Bn6?*b=OV*FjvcG{Dq&LGi^VGaZOqK)bhc*|7%Gz_m>bvTyo$e z>O1)&qPqW|C>TgAi4fFuUFo>7Z_5n9wE-D4cijOZAG&9Zli zKCAwsmg_bl1JqFga&mH142-}=o6Oj69Qa7`8V~O*jYP`YiIG%t$)t>oh=AB#60ny* z!@z(5!NS7&+0Dp6>d8t1smG|q#K>-*yYty70ePK+hWiq^Tt31WZlyR^j(pX|IjJZq zXM9^>gmFnpc~TPO%0AkBov!^S^JRs;r;KOwuDdK6G>m7v%W!|j`SaGgcb_u}`sY0G z+3h!fZZ&MQ=X>Q5kXZQw$`XYlR^8<`>(Jz}(q#aImjA-D*9(gU;7zs(!)*P8@WPEhORd>JbxN+umiRwgLQ9N)49nYm#Fh z)Ft(OJ>W~c>aia%pT|)vM^ijK4>GmFl`I;t56rwdKw&T246*J%$GQ_$yPX8Jeh3sJ zls%>gF8@89ysucAluAtJoFPiC(g{qNeNr2nOkdUM|5UC+O)$w&`R~VrqlGvO_&=uE zO=?k2783-z`i{JMLa>Dr?{uqxP%diH)tC9&s<&PE2LN$GG z44se=RYMD&;Gketx8~O_V3zx@1phY<5bf)wBP}4af!(}ZYhgzs5z5%`dA0dHy8q?* zVyPj0#r0UxKR1KAe7gez2HBawb+6?xD<25AhKEC-`HZvo*d5KL=Y_A7!=+%-!Yf? z0s_SP=y>KDkXv0&B>~yH1HE}+*3m5je(T|J%J5=Wp{hQh*)9Bhzx3sBzcC$gt|%!P z*1sg%#>D*lY5U)XX1Uhap`rWS#FRs2+ply?yv0Q@S?=L);DLpJ2&UsWCcbGJFf$1c zlcr&&QJ?v#!%~1F(P$;tgLF=Si?2vVMmERCk;n(tR3Kv_HM?-XDYuy?%cl^myP$Fq zTBWKH{u}%I7A`-7>Rf>=pUtP75L4ka=Aqo;{xMyBN20~W-|P~XLt;gj={hT%l{<*T zADrpZNzQ+2yWFxh1h^u}t4_tYxAhMF$&4`3x0H;?$ZStd0Lv~wgnXQg)}&(ZMgR}L z-?^mr4#<#a`@=B`Z>Tjf1Y4>6JrMB^)H(r8vd2kju|>BZSUnISN^YFc*LK;NzEW=^ z<&Wgu+m+T1kouLx#Q~F-FE(pyOD|`2lLa?eR$<9M96Fe>>JO^5o+)=v^`*yrc41AcnQv}FgG#k zl$I7hA;8#svn$wzh?ef^dV1tFSklK!Dl4%WFqhzf(Ab!S1Y&|DC77JnR(vWdDjopD z-~tqR0CS%2n%0NU8UDt&Es)@Q-)C7_8L*fIwq5>0?jj;0Iv1yY`88rSoX!a+;t80F z^Yg~kcF=HgVnNsuhJ4@eZq+0a+u1Of#+8wgk(QMO2LU5$X^$IN9A;|p7pS_kY zE}V)PJpMq339+?4ne`39$IXoXOQz7p&09DIO@S{C4o*IxHm=sG&{VtRDwgA=f-fME z8GMP|^ke+2on^-^!wOZR+Dg{7{i>#hX?wbatZp8&YpqRXnshN@Ne?Qd*|EP8Cp1>f z9E=tI2>XwHD7T7MLe?KVLN4iOu^4G)uKO(vKk-}$S>0Gb=l(bp+sFphhvHU*1BzGK zKwfnDG969$T0*?oD>&%9vIg-cBI5dJc9N8piO9gK5goXgm}+X|I^0NHQc5ef@}-9{ zhy{$fs{qkliH1jbL!M|wdS42&`@_WH>3)RYkc+R&phCms{pB9Cgf<0e3{`Y>BZ24K zYs$tec-mi#sobM*^l(Y=6Yz& zTn~h{K<~VCE&Wkuuzyvxk|p!6H@EiRG7&CjJ{u~j_IG*})PkBM7S_A^wc0QYlOGuE>)58<-EiH$2 zNNt1%ukNz$RUU)<09A&}Bp_6WvunSG23(d%6GrR-yh`p^!we#}>lrNTeH+xdhPiLd z)?&NPmvU1fh#EU2{G#|$QL3`NpJD(F0`g2Kcz&JXcD~)n9e>z$y@voJIdFzr|F?cE znN+v!V4N$Uw&*crA*G{(qmW#(fs2-WaCX%~E*VC-buHd`N8(2XZWSEsvqOYi-fbq9$KOD2LYiWDY`Rc#1{Bd*s2K7-j04%mh^VyS6-)x-J< zWvUWEN)Asu6N&j%MAk1TC~m_u-Sqd!UD~6U@0u!L!02@Kc<%JQg*46mP|#_@eRB5V z&vS$04>%2K=^gGvPO@J;++%U`_IdJABb_b~6Wq&gU`|plt9d-wi z=29@XQ*fw_cf>E!8mty~MynS79aoas06DvO+2>?%Ddk2(PM+;?LRaqNL*J?UT0$5%!9=jQc zGf&gx)&Mq9(L% zjddHZwAQn4@lo9&$+I5F&#DTcRXwu*fobc!HSkt)Jol$A%=TM0-~&KopFi7%lu51_ zwd!D1Q?2X(4CL~}Z%BGuCelLBz$C-xL6AHQyNxOxS2g|UuLM3vb?j9%TpM}a;X4DF zN{X;`3y6u2UspxWreVygJQWdo)qUS1?nT>uawqVx-vFyTfzC+_D!1EWQFQC!067g) z7D(R1|MXys^YTP7PjHQO(#Nk7t^e*+m=;N6>!-lf>Q#~t=zXdF;otthzPkk;7U25EU-WjK8&8v9w*_0b9<&GNdDIrMzJ zJEj1IecCQjVi&_+dv~HH;4or?`T?{z-TMJp_cOB7v$L6n6wPVvvxwr7Gc%dg=#xOC zKmrLF2v%5FSVe6wBGAkVPQc^Sxl>mQ-;c-nDDKu94-apm3)nFtfz}zG-SR^U+z*$- zA`VrwxzKRVvH{Rjpo98>t!5y59&xkNw@=Wt#r0AjOe82Y)H94!&aU@|^xM`*_Ca_F zmHs{yVMb6Gpfi`9OAT`K9{O>;jk6i~UN|n}I3uJ@TdzI7dnKnDtabmyvrQm^-r)Oj zn?11@rM^%8bK5$XHX@bXJO)Gxtatq_XO1;b>E6cM2fI6Z4X6$d4!|w8{i;Zyt7Bz1 zu(mD-krIELnL8--#a)}1Ey9D0fvRcZQJWFl9R7TixeF82f8KY2wu%ts#?aZr`&g{R z4O7cE$PJX0WI?FAv1$>U48f^w+kPO@-rnAf%Lbha#b5nBfiMW5opifSH2%n-B}djF zcaot_{Z6kI5a~tdtL>q=z*RQ{a3hV@X>RP{V-dob^%;^D@B#0Ck-NPv*d{IUN?BOO zI)ze>VYER9JD5e%8`9*koxmU7uDz;EBgB%h3=K$C<3MkJ`Bmi);nJ)%SENDR>A(be zkv*GT9@KM286(z`F$hRZ;*@&Ou!>+eNQ&3UZlfc zF33>#s*MjcIs*P zS_!F!oP5oy3-hbr>?U+qBKkqF~C!zrVvXgjF; zPZ-Il-;V2aI3e*>np^FUpLm5;JfYL63}F?337FiLw<)WQv&=;@cZN;pONlLMJ*;{k z2#CiJh64NyW1znQ{VOZUODZ7`vkW3_`tY)#x6Up>AXl4LB>E&^N4I|iO1en{DC%gu zkS|JO{Xw)vq`|1DT&)c6*xnI2#9#7gB&xk^L@}$mPQEUUQHx7SnE*sQR4#%bRM@j6 zJP6@+Sb!`FBqEZrv7vi(5T~HL1yR+J3=~hsIxyrS!o!PKxnCL{2A9DiBma6h!hOB! zgGG+*A5uabdgV?ESDj+S6MVvf z!hmZKFymTwIxt?L+8Sbwe`;A`{~Y!MgP3Y_wZn~;1TYn3K;(6G&J7MtV6X4wWYyj> zOj75`ruHe%Ai#r+T8_Ghe!PnP#73~h79}EOXN=J;oZxl=z0zXP4#tAjV{WCx2o{-* zVj3pQ!GQ?|#zetdrVzCi{4$cFOb_mMkKfaf{o}^^7Wx4bTzdYQVhB4zfappTr3KwN z9Yn29+SQ-ycmiYh<4u%hWKDuN4@yD*(HYJY1?Iu3hNLG}(y zM~90fCt%fk{Mffd5^S${zR~b%@h4uH$Ja?>%cCV+Jz@NyOq!1b{9MC;dXHywmrnHh zukK}7^%1f0=Dc+cd7!Uud$W6xXoW^35Cs%bLJRJe@3~3dIoc3j82#5&fjZ%6t~3Ee zD)bijBkr=}F$+Z6`sNi0c zFfcGkXlbR+5@fL$1!w^)ShLr2?X0Il#ctM9VQQ9+>NRF=3D=AX&-Y`U-MKk6G*nbl zP}sxyY9!#r5DvU`;eeeie^pz_f&M3#QrHuHS@UJ*Yd;|0&olu(s@3S&0DF!}F`?f~ z2^3Ws@i71|0iG=A$!W%We9*d9OCnv_=Th6?eFyT8mz*u;n-Q=(09DUFhmnk1*U1c& zeyRpk1G5%ivt4~+QCRaiDLbs4&{NBreVwGIMa-=JMWm+U z*7&@8)R>N-A3n0C$?r%KQ55q>9+kpvTT*~T5D9sd8MUfK&Ne!9EjSRB)bWC~vLO>nrKPAF;lV^sMTKgf;OKYrGQ*KzUa4D>)G!MK>nv^!p6 zj(3;KCRzTYU}5a{V*Wm1aXIBrT)}1i;lpo|^ifeWdTOJyKM}sJ*O3SA%2y<`6-iIB z^Nno4h)c?Ion$Gv6Bb+l?8py#l*gh#WScSE)_#?%Y5Ve=tzwt%QVAo>%rtaYd_LiBetE)mm)xr0d0bS=EC>~3qW zwi>&TpoYF9?&Lm15`oq6q|dcBS7v$!hV9GCSR4b>4^Lpg^#mlys_5xO?F$q21`Ux& z)%X`MKtWY{0^be_@I4T3_lr(<4SWgx5ZmkQN zR~cL>Ri#1nwE%}6{~od3VVuF3xlynpz9wS|>QN-P*xCeKsx_?FTd@E@FGMoG=VHCB z#`Dn@Bmz{htatG;xO|7+cPDFLHDujaF`Z8@r&ZHkzN2%VP#&Z=BhOmGKZGtW;Fr!e zgh0-h8;-vp4TG6iB%S>gbz>`wBFwhh71Fu3he&+Iy}bpkxio(}PxWD3YYl<=7s0DI zwM~@BAqDGq*1Wnc2iF{kSQ}dZo<8kZfxd?l1C+c!__3GEDfa8E(N3`SbKW8}0wJv5fm1KJ*dA!rYHNKn$y0C916WtvqX+1Vr^8!jjz z6K+VZpWftO7C?3Ju-*481krjgMXb_xA@6q8dXJzU%huph*jQjUM*=9@)|3?2dK0hRBGB zDZp;PthqIk(;9^>+_?2!cMdc4Ov_}^-soA9^)6{ws*vk!m6yZhYbJ?88 zmS+=ct;^q;pO?&3*BKCg%lPqX-@c&(I`g12Xk+S-;M?-Y{y(cT9rW^1#_|{RnmXA9 zV2YXv&CFt_F_A65&hep9h}X{W>v}o4==>)7!&=MV_7g*8HrH~77vn9p3yqui6egV? z$M?#tYk{~M$drDWpdxh6?gqXP8yit#=ci4=7^JO0n!XIz{~|WWH3!6o2{Ic|!8Hcv zFZKQI``MBxJY`{tZ`9*YG@$*oZH(Pw9?d)ouC>GyP%Fh6_na=gL`+1Jksp*Ayf?Oe zm_xM~vF8>RTJbP?jLvIJHzk}eR!J!MYiWYTfY}rX6c!%d1E{8}y`CMOaN0=NyNU~2OXBGwc|jpef!q(>Pilzv}(EN{0mb(xwSUxWJMX)dkn9WrQ+D; zkyppE&9-4n`{z4lCcnmef+p}@r34c8jS{Ser1EUyHyB;5h2}jK^3N>*{R?6Ja*uC- z3jQoy847q#b(0M z0?jG-+KKpFPWI%himX}|!5$c{F_V#zkq4)8#nwe)uq1_ai6GpD`3iua7SKGQ0!u|8 z6au#4Qnk_5?QP(^|Dz7>u0au0D z{GANXQ;0lTc=)?H8qnc8(+H=2df%-E6kkIvVsE&Mz@|-}`+$j@hmlRp>9R+CBq3%u znJ(ok(ns^%aacBhetNmeigiBF`e7bwaSt-(o6J&4q`6{q0NxGSj96R19z%EDl+2EoF;I)jfw#D_T)OELto3RzrE)Iff@ z`hhlO!hL0rcaCLCBY*$)UUsQI?;jqT06B#d+5fTaK(I}Gg!CM=V-I1WqWS@jWZi&; z06;31UOph_vpOpUsHzqm`1Mwc$iRv-0FdHTzI_YGgmZWMb&UT6)8t=NkGkmUMw0{P z*Hk(C#7W=1t8x(%sZkcJQ6^EWm|1Pu1AeyJgrcsluJta(XZA%8n#4djv51E3bc*Yc z69T63EPz+})yB)8=8RHINJwa+-C8yf>ieJ&*k(7WGu|uY%HVp0oumJ1uixl&UrwoM zcu(~;#x~B$N1Py#wZ(JQ=el%tEOmrFAfeFe3|+$1D>%}XH@ZZF>JwT9U$py4i2$kS;BK?9ubku3%$deU#vj4_+Ais_8=I_kfTE8#SDw~=2)rQL474OV#! zmv644O!prjVgE0dUWW&kzrTQYo0RKd_&4@iu8!E&(XNK(N@SI@ry8!#ei#9?^UO6k z()hVs3YgvUKcQWSnT`4XbsOdz|E6<=0c2}oN^*-gXj;~mn=JQs8zIg{|b(;Iz-QN z*+;d4){lf6Pyr=S%n#)l{bTP|UgM;HG0sq4QtIGD+Dh4O8*l5loS3b^eb{T;<=d~r zC6@xkA08&ppT^2T!NJ?h42YSWTBUP9y8)&nnomCR>&d~lr6(N=yfZK0`9me%5oM!| z?ye}MFdjyXnliO`H>9*-3jYzZ`;M}%62t&gO*h@H?>N}sVyUxmINwd+;Ft~#8q6r% zPt#G_N|q;y%aq`N<=O|cf*y6@g2Y9`H+rn67H=W=5=});4h}5BJz^+=LHsG_-Dlj( z+cz&8Oiv%9pUr?Ieb8AC2+6_~;PF6gUFU>;za$8pdLYW7h3B$eTRK^~XgMTC{PO}2 z+Pa#!6znv=JDLuQh!EA!8s!<80)HkGWU*f!R#`SR%@kDYH%l>A2v z|MGYl3otg?IK^H&(2u5ev7Nms+|P1bL{7$A7`;$j?V5v9^}P+* zj;h}Z=}I`$(0;8N201&mROdQ0k>4eQT_ODptX_qt$PxU`j-{5$e}mnQ_d#jd zaonTz(Yp)sizsjkt16cYphC>`whJwNJ%8$q3$?1|!{Dx*$-!kc4q9FE0$<}C0j8ui zJGaa^1do3fOH55kmu(HY${4af-lWE!PBa-$ihE08{6<*2OV}Z`00a{Qa2WxDJmOdH z*%MX`*XvB|7d`iKODamge%EC?)6&lyre02z&PGD%`RmSqN>| z)bVrCo5;V7GVzOu${w~zuO!;cCY&)r ziN22{-0hRLgz%)WD$Iu13ql&y^t{!t z<*O}ya|!HKv@8UM-z;rRLaos)e>6QtRrEe}MC*??zI_XBEXcqId?8c4lgQXBf;CcN z;s2GQy6uwYh5Nn+Qd{}XhM%x4MS{K@Jqy~>L_|KvH0_Q^PiA4R^&BFR zFZF_mT)ZJfY8^5S;bI2~ps@BINZVs067nH>gN zn~h%f24`ea4jpBwA#$PGB-_t8VbT8_CchYTjybytNew1G|9l-^YzCwjfKhkJfB*FYd_2HXAI+doOwJ05 zxZaeUldfjD1E{DD9(ZFs@2&gh{^sfask-LBY!CGF1MThYZH3ch`O%TX!{OuKjWlpM z^EYFrcNL0e2s;RPdFu)dWVL~p6U<`OaT5U@G_IwkW%Zk6>CjZk-yxW<-;M1HG8C>s zA(2fu;9XBy{ljB@uycrj$`WU@wjFPozmUO-{_2gAwjZ5TFoA zDUY#Za6bcG%doI8*n$`@qOPcOSYc*QWhkK`Pg#E{8l@qpSizvxzUD(aBnU;}?hdCd za#k@wrt`1IS1~bzTAEq)E9Az!ll@4`F8-DaFis{gzpL_AL4#kq;jT*whP!uw4Tuzv zPK!?`a4ao0d&1i15W3~ZKHkpevD9Be6iHvSePqr(Ya8c$OJ7YeKd#rhoUG}Q91toY za!2uLtGd6v1;Pzz>FB=t6F>0)4!h(K$M+um!lz8m*yg`XP6L_x_5hXHeMYRZa1jp? zd}n$2ShRR$P04t{nLaD%Ngxt3aN{8%kgY>x()<3`<^h&ORzdHuY_HID`n4yO0}UIW zqY@oaKg5r2CD*^F!#I_-js*+7cV2QVBvEs$u-5rX% z!*`x{t&hL3P7-pmv-iy0*DUL!=J=wf6l89{84U~!M91uaH`~rZ`wEUMuZBmGJ=D$| zFaE%ox0ICO^+Kmkfdgd8p?D(qWPUg@7V|+wgAQ*rE-)MXyPs8bQNX<|=ywMKJ-xo} z0U~}sP+JX3R4I2A{{HI<_O8_$k$g3xsEC0C&N?V+!YZu0TNoW3eLXl6XiE2fSg|fz zoEJ6Jhsl#d+6or=dWjEVY;4@|uxdX!nGXyFKE2&exjvlf`jMc9BqpLF;&Z?PY*3&m zPFxb|8XXuyra7D{Kmo+gt-x0#;3H@LdJC zyO$vR@T6yHNtMoI2p=Z}0bFIxxtl1D-%HBYmUeb-jx1cn+nYz| z?MhrjON$)foB>wCf0JzhK?^QtBJ$TS7T|ye7$@e#iDb*2e%zA_P{qsJ-864ar;-GUY$$qE_YTX;~N@{(^IJy*sMwY((2ve5G>@_%6N}^(;MRRX-HTv_w@b8nG*gkf&i+l_*TyP&!b!6 zUqma&mM-8{5l7Z}5w@Kth6g23(r4C9Mh#GHgUBXcEGDD4S|FFQZ*pDEU(`d{N;Q8# z)7ip609n};u7uUKJTG_)NQ+E~eyaA6r)DEZT*>qW1V8x-X>fns=L|>o%W3@xUtTk| zusA3*zV`Usg-#E-FhNhZ=JeT(pp`;zn@vBN*9-G-kF(e&?NQb_ze;$}FQyD1u@teY zrUJ-z?a(kV^_`47rj`7cQ-jc8Ocu)-O>za`X#IW0h$wxza>$FfXgrq|1uF zTtZVX{5tmNpzLPp`mtFf;+3hNT^5Y9Js6{AXWDZY{~1acDmYM=G%))^9t;G$&4Wea zQ7&&!t{nh`n=x=Rm)AWve-%)*53dW6;p`KtB<=1HO4@SL(<6aDWf6OfAF=j`I*AjR^M^Afb7rWM^_vQ8wsp}G$60AV&m?>;n7jCHP4-&b(-9- zu>j@FMlr=hBs}Bj!(hW5(>L_@tztwKwB8 zPs0=mCa(|YuqY_fK#~Pmk@RCTIn&CZ2LKfQ^}731)6)ll2{ZwwLy3*oGNsK2eont; z?8pF)7R$spKY2gr2BxSJ&ML7V)G2Db`V;eEo1?#@5tVw1r^P+Jw-cntG+784D8?!l zCqvlvzZr!8t(=Y!6fzQo>=CbwgXO<}IMZ~kr$~9K8?}KQdXW4vl^*H79l?yKl7+w{< z;aFnjq|~WzY?R_Hu%7YIIuJEn@qwjk+w-=u@pd;ZP~wg_6@rng*TR858^Q#~5PQ@O zLxdA9oXfsskLII09kVa^_rS;!B5FmD(Mm^k43%`6Qzs`U|7vF<3~Fy8Ku%*f++o=r zw_-Q)-hp!1Qi2SsGxFuN4gdcvK&J~ZX##9&VI=RZ7}h+%S^l9Ci%ggGxxm4~_5gG8 zdGEsEs66h6h=9;pU!E$mQp&fT#wva-5~O`$STbV*tb@Ql;lJgsvZ~6oUPt984g7ky zI=2F$M+Uo``}M9QCKeWN-Q(lq5Cn=q@K^?ONmm!~7LJR-LI|49+k99w@*xPwIl2Cu zrv=^75v4%@u`!-pqmW-hVf9|0f%`;n$N~HjWR#SU;*p5Gz=EHhGzAi|vZCG;$_y8B z%(825598O>nR^BW-`#yBWN0n~aL~)Gd)gA|lz*$4B!Sz76`(jO1WHF2VSfnqOg}IQBm6!2kt zxp%LVud1yb1PpD}qDJ!0kf>9~Br5)Amazv=Rbt%DR<3h zhAEqkSGjqRCN$J5GD(QrIj$Yu7#a~#Tog$lE{gCQ3veWkL{ql|H{2sXT<7kzis$-|fqw&-O+HX3!fTOXISbfPgP&m)h*-J4mEUtka!b zICywBGeeMwx!ToX0u7qYH0g4bAQmCn;o_pV2UlK*_(9L@hGEgWao{tQvfzE{kk6E@ z32&RC%1Qh=Wfbr0Ba-jy(R|OiP8)}VKhjlS#hA#Dj>75IKupUGwKJvr#m!eruVgS2 zVy1F+--<|D7v;hmKmy!9IM}Iezi|6Ff7jT4P%(Oy_)TbKW)G&`5;b~!Kla7DDGSnQ z=VLu8C;|N8y0?rZ2b82tPAV1jfwAUQoe;Myt+ijIiFf2 zbw*4LUjhZ%L?Zu1;-AAY2DJzw-*`h3O9^x8jiW~>N<6z5CH->uBi;c1EJH5q!Ld1| z@`XnIwQq=?Kh!Bl^sELOzi;V}FxeS&c6l((r(lUWFq>q|lFP1YkcH!Z(zG|#jwhD0 zZsj^+OUpBwNeLXyk?GZF0p8=JY(G12n{m_q!>NOH{iP7?2sKfWuAe-`CR4@#p^Rgz zX%0x&PQORQ5)}?RF_Za;>Jn*2e9p5`)1=6ZTFJWyW%0=tEmAP4b?5xIep9M5C5xPI zVEWjtQ+VFwKQGDHGf?<;8VUI1TgX&3%2-fPB*9}m5+sQRK z03?k(6@oEo+tSLQn~v|v-j-#kO9Cp>{G~F=f15mbfq#N+909|b*;%4bjM%#Sx4n5E zojnXJ%AfWO*LKPLop}uXNV3UffJ?T9M|L4N;OeVyKGe0MFQ)gFfw5n47l8^`u#~%zrhc98HqfHcH;C_HV0R zTG4*?AMYNF|H0-~n|(CUPFvGL0fY^mIJOh=_uM}VIG%6awb7<}acWx&3N|gQ1g09D zZw-#hTW+m9usx=pgbzF{Iw^u^uDO}*cnD>%JBdk`Eg@XD2!BY=ubtN*?nU~X zaM-EBPJTx!`_bYI$>{5>=187+ki_KqZI+2K>Vc@~LW+Q1dQck7*$AeKf?oq)uzklB-G?)=~oHw3u zOZ=^g?k?M3V?3|6TYPe_k`)4j8NJEatWsnbsKt{V`W(1P1?`I68q{@u=Y5! zcks|RzjU-8K4fQSTreS3B=@u?*1KamZ?m%Qo2j{T@`8w1zKAiniRGb~J=CTGbXTJ~ zZjejWBPTLEa<30(uTK`z{@S(F50pe>t3@m=n7rD<&8y}?>;X;9U+tJrrrx)g2PYk> zIU!jYZO!-y^5UH#$Z=fY9haA|46+cOn^fAITDE3)&krnx&aa?#oRic0w|V_%h0fKS z-&<8MBVdHi^FVSDBbMd&Vejk93ppt%=pNfd6y3Ixd;fa;!84F9H0hd-eePV|IYr)l zDLdrkx;i0h4KRo$cN*SR^D#Plzi; zCix~MjZw4|T}(QWyfnGjcP)?-aBBMTBR0#h4noTk14Z2|b?n)eaXiQq5mHGL{h5Ix z!#+6fnZXC?)(gy-osCVeqihz^fw`D`J(sz=V6u|eOI1`MYs4fR{=Q>qzn0-3&Cxcf z7=3t_)&I2vhb2v%tw|xFVG`J>I>X0URPrj1EF35}{4j0eG zy))uq5ilK-;7zs!3HP*lYmB`rpeHePjzn7T!E9wm<2cwzj%6G&s&gw2mHmRYd*j_K zm!A1*&tr8=q?7y+Zp^VDO_%g-g2TOe-iQiSqe|^hbUJ5qd_1xq=Aj8hlLCQrNF8Ms zIR+;O^#`%#rNck{6D(&9`?8dk^0=x|Whthg72eKBREb*zk72zgJx!tT4)mps4Ri=k zqwZ*`?7W1^XR&Q;@y50BxEZ{HGN}u{p?o|&Jsa&YdjpJY%{Ye%0`}<`)J~rj z#xKAuIEFnI7uP&22!ywb@pUj4{RQ%YxA^}0SZSZxR`Fv>05cyyjMu_GBu{DWB4BiX z2c2V`M^G$St%Ojmsk$1G|9ize1N>OL#lKc%?d!mRwb{m0GVd9^HeC%my^qful@+xE zuw{=zM41IPG<3kvIl+E@1kv}tsaNT zAQ~vK@7dZSInkSxE+_RhY(R8cgSRz#ULomNw95d-8Gz&cuKiDtZ&MM?!GsCq)Al)C zQG{ay8Up$4c3w4QkkloY00RN{SG(2UV`TUUOJmBClC3()_+HMmw^?~%KT$2+h9!N4 zN=-jF7}90wPA?InAK~sP_|1&r`VOQ7u}n2shE8G*=E?5w?^*EMoSSjf;GTXSV~vu& zGyk+5zPBCwLgR0mqs^FXV=g<(YDR}CroYz%HAY6ziHQC8?%Tufa z-YTM{zLVQ5k4kt=YO1f?Aso2#GNx0_QKwW4*duanYx8qQEXo~8N+GY&GyI8Y&DQrx zF{{B7wwxy$&KT8ucw5(%*drwmJ{SOOt#0jPA71GpSV?tT#Bq;dFDQ{8NvieT9?5%I zGV{~67sO&w>VqbbI=;1yYz@361S-ZG{sM{z2~vEs)E$iyp9Sr4&6q_`>zjBDs|>~y zNoqqQm5sCHxwJm8M5jFPc@S;7Lmo*GCLgMjC_mPRM|=&Eo6f#7X!CrIV2dPqZJu24 z0orL8mG;oja0T0+n{p^CfUm2D-PBH&0AJHDaYdj}3dyfROkwPiF-SxyNls}W#VcP!IN3Njg-cIk z#jR50;DEB(7N&0-v~RE#(a5w>_&peWLJBc9oouOXZ61F1OzigfLW&iQyeklY&QLy2 zNLwI>5$7R&SD{=WM4aVAbR!E*!4DriRJ;MVqn8}^(1i}=3*JSN98Io|Y2xL`e|NdG zv_c{mxo&F@hSV+A>CZjyMu9|JRak%PkAOT2l(-!|yyECy@ZD(1mXnH#QwUP)bh+(! z63ip`5|muc^iB-TX-sO|o>jBlIAl~TKMPBL5x~PVwqO-#5XvCK!`r-eqhQpQWJx1c z2Z#Li*UZQ{GS12~1woN&fmLt2aJx%+_X;L6B^Oh?|2ehREkGo&YTJv?P;pTqYt=Hi zt=@EpgMm09eazjlsfB4%ug7o035D1ZXyQzvRtz<&la`Tz1yEF{)$T1{96Z)p6NMAL zCiz_nO>C2OHw9PF;&Heo<)h?pj$S@+!}Y-fBdumn55GIfr3Otp4EpXBm)s^S#I`{! z=je|TwejBu^>ZwOi*`jwYVY58e54kZQrebkLht^vsW!#=^b|h$H-F5?$E#{O(|o|+ z9^I0qEJEl^ur|?mE*<#|ry(7#zCO?E@f?-#vCVQ_7r#!OQDYU=QL>eiU?}Xh*_Kwx zqjqldRlROWOH9N0P;1p1L-)KUoR9apC0oQiUZB(c?ffzRI)>{CLb>TG;?NoNN-{_N za7NApzH0A;oRd^IJRTNdLWDG~P-OE9zB{vsJ=xRV?y(I@{7IKG&q_M_9ea%ka!1d+ zk(~z3{XtjXQv^nW+YPICh*N2oAcOHTVxUxWGTl;oDbKzXlQoxQ+Hz&3a)>a@9L(vsH7&P0Z^(HYTlXXrqFE1_{|jfJjJ7>hae_hkQQUNZS1C?yb0COw6OVO8M>A1}zW*miGK+8TzXa|S zp2-xf%`Ij~v|eY*daA2f@0dq|I5E}eWy7Ri*RqAN4S8oQvll8dIV6e7v1m=ST@zY; z`0k|rc<|4sy@b3pLL$DesKBTeK-f1y+f8y8qnvLT_!Fz+;85UKEEa7|dH9!1?>p+0 zaa-Hs0Cf)P*lf5=1%}zW?z7*)3O!rb1jep|=GY}WuEFb|iKV?|Q%tlIo+>68)6WQ< zl!1Ryi>OC&l0d?(0)g;o7jtPVN2`YeyGrcq{Umw3dh=>&FL}TD(MqyzvtZK-b$>Qu zBafyjma<`D`{Byix)-yd<$d3#R^ql8EbET)hkZkRC8;`neha-gp6!~DYsDy?^vYw|w*@bP&KZ))#1ZFS)@pL8^e)76=MhqE4f zUc?qFaHXgUqb;WJZTw4y-Sy6|nq9lH_B(+uCEupXVly49=~{mtek7*RQ9N!o!Jan~}te=H%!&q>E+9>90 z5^NOd@O|qmqhOJ>nXRRAI&8?2{xR#F<5*C_Z{j@nQBI`JYttRX^A_xYmuKsX96F3& zv;`HZD04czB4jc7b+sFqm&sA^GUb0WH7=;jd6c~Q5>$Dfx+;V?GXx3I{qbU7wS@UE zgt-B3^tE0FncWm4)+6rr!*8vGb&#Gip*iaRS_^vu ztbW*kfhbdpfZ3h%&e#@Dx&}iLvyn7`$+ORlrhhPxi;C0RIs(`NtbPv;4$S)!&#$0I z>EV!mbi0^R$0KD+Du~HOIASsC2&czA)o~=B6!wMOYUB?@#xW2JC$@<*+-(4Lgrx%; z*;wBJ&b1c-$*I<;LsZe1#Ger%4Zpkk_q$ z1UIYJ5-@3*yGzbG@$0U?$bNl2(EA8~bw9U2lxh^C_*?37QSdF@nY}=5iWB+P3&y0G zlGBP97QACCm)MPL48oElcGp_)S?VolF>CJFGZC@E^oZD&L3#jjZ16VoJy(3#{TWZggHNb7}JC-<+ z#)1UX8W_8JFV{IUrOtZU=tRS6cvWVuv+B55D~axgiUJX3$4sc*JUG}O0?vN)phxnF z^p#BhJ-zt1EvMZ~J>tRPDfVtdISg6`E0aRxnjG3{A4bE^(^fx;glTo5*#t~n)J{d0 zyn>U%w%m>iU~etWzK%SYRy4=dxQFYnx!zqTBc$Ih@9n5BY=&#Wf}x8fU>_RrY%rN& zxF=S21|E=!oGNQcW%uOYbW4N#{!9#fH=&mv>;Cvl3?;tj2P7{)rO9$>S z#c`>fHDOc|%0w+A8d?tgY%{Ee4FyHDP7>ACG&Eegz)0D1F#}2!AXTS=+*`7An&#ot0Wi zV-{gSFSLAJoONsyY^<6$itN<^r!0EIQ5RNEwPn zVK#GkzG3t)L#tK8U_T8W2ODE3@wZr3*NRA(>di?o3UdYjqyf4L5t4RwR7UL^-`@bB zrZa7CeyzjUT+0Ub^`}j>-)dNQDu|g&bx;`l+GSpEB=(EBLMj2Tlq5?iz?lM%&Vs$& zb0@b~C|#UW;a)lUZ5bD1Y3qMc17BjtHrQWamruY)AiBmj2-$Oau)UIrOJS`*_+>A5 zNjEs3%xy4ybJThkhQqVNm5OKI&yU_UFKsdtcr^}%y*7<=wqyAPRgH#xZsDb@Ne0p- zD;9g}f?MJjMkM9#pR}P0nZP5EXhL#v>%=%-Yfa1~zfpyWE&a^+TmPaG8%x_X=2^gE%78j@oGf4Z-5CANMaA#NI2M=n?@Ev<&`I&1IXiFnP-FA!=8p+U z@gK{VRFDQo!q=T_#S=Oh(otIz%+f_Mi8l(v|1!3O!hszNr$+MR**rgxGcg%21=H|@ z3H0#M*)%yo?e?21A+|L%#Rs5FKRIvGg6e(zvDwC;)Je52Qj*$wn!P(fes+0%&oS`b z7RH(h%Q#t6v8Vnyu01e&Dos^TgEDvQkz0Gnu9fD72E-R?jP^iBnz(ICEy(5;g`Cd} zVMdeI@4CXmw1cl9+CT_`s$HpQa2&$Z3~}VBcERV<=u@T;t*oisG&@~9&{$;KR;47x zMVqz6AZiQ_)iGPm_0GYTj-LHwJN(6!WE8jD7?c4|ui6*gu#xc@3DtGO5Lg^6FgBY`B zph|W(;|n`F2(2e`ue~Q8#I#5KeX9u*SF5LOoSe(&h)Jk2(q9C=D-DIPnb^hV#xu;O zux%9n`g?SlJaxUcic|SIRhB1M}Ni(gtw3g4_dYTk%nj~xFn(KFTtd#RmE$`Srj`JHesjliDr`nJ|}G+L1iV9zoR(b9WZshRT+o+RG+ zl3nmCZr4b=4=yz=DF@yc-wwAs7BB9nBrZ^NL1o2>e*z?-hiDN@TIfp2>$u>qeUslhk<;L= z&B=yNDkMeZA79o;)`RHT4=$U?GE7!tlDDnMT7|6#G45W>i`F^0B97#nwW3wIizwvp z1iZKpuGg=qH$}40MSmX7@`xol!3|K$ZfoTuKPmHxzhvpPVej+#5j(l?FK!k1i(D9G z={0Qe%3)Ym8^Q$J_-d!u2QY*6KnC~o>7@vY9rKRpd|-KkW`dloc^o1jLF%xF7vIh% z__o?2o}U1KiOFoKEC5IUFFg#HsFD9Q*ZCOMhuDUHt}CIYE9Cg3#1+o62nTZOvS`-E zleLta^D1Rsl`IlB5Tc1PD`N*|6xu`$-9faL*Ke88;! zN6)EL`u0GifijQ*OrseNCrBy=>`5lsr9uV8KV6n(%cqHOc+rMJ(<4K~cR1?eJ`kzr zc(R)t4D3-nv#MK1Y%KxUzb5^+sAyJX#|h ziwk-eD0sBf?0T)F@v3@wxxt%q%pKMQlY6!!x9n9RN3$h{-q2&gxBnOn9^q7b)@X06 zrQ`&!+~G>tS$o}x{nKJ{`M*1XrI&%*Q{u5qRm5b#JsiA^l$y#RGTa&nMwdpiNqAXB z=%A8d)hiHOBoY{R#m|iM3bs93%2a*TG82VvhUglBP}&HOe{*J{K>yYnGdr!-Uk)IX zK|q!fh*@kHIm9M1($Tp!$6YKo;zV{o@Dlqu(&iJPhwa{39K8bK{mzpD$i~J-#MG1w zol_zwKEdq8)KmF!iX%&5;)?|{4YjE;EzXg@9+T0f>DO0DR+H>I3jP2ILZ;$Pr zNvtr2-|uldweEHS31O%4tcr??FSEBs0pfUv`mDhPCxt(thv~AivQFOKf&JsM_F%m( zhi0pyMv*o_?b?4`ctD>WFa@-8R1oB8FPc|Nb$s;!yZz`!pC9~c-OMqW=L2n^Fcl^X zX5|6VpkB*sOwY*pAH$AovZ|?L>FJ9$&M-jN=HU26K5W9$I+IL8MJ4Lo7)B12R=g)t zTUY0G%CYJlhk$_KT3QJ9Di;z$CWVQ$-}Yl7ImPgm6(}zsS=V;l?Ief5JIpC}`&1a> z0V}g69QTwS4e=;Xo}mcWq&c@YD5zMl+Zdz`|BrPIf7h{eZn`h?+}izW%|%4>AFRT} zn0BdJ)G);K!>&KFRcMY3C<#@;9N?YYVjruoi>=6a$FdUm=Fl?rRYp=Wg8iKea_GqB z^G!{el#_Pmc{4c~eSU$p+=MG9@2v8gv!_b-Oi$Mq`~!RYMF9kW{D!BdhGLyc>4O$% z(CndEG2gv8kS3L1+Jnl(*=mjZ$ochzgoR0>5bl<>;qQ>9#SN1$5eKNL-EzG=L6Ki- zUBNl#AJb;G{RFZfw**qneT2zL?41|PZ&gf8QR3&wV8((Kt<_M85D{S^#3~!|&PPuF z{Zmm>+x4&8`)K1cnHccDL)zxa*pxz4-=m8fye-JfLuy=!4Y2H3+%n>wrHaf>F38QjPl}Z(yBs4+1D(Hc8cB9nm7*Drq&M;I_&nbvXU-$xc0A5 zd;B^S-Hh2{tf9)l`FP!f##-qASjqWW&LHlP0J`5C7S z&fY0Jx;p5Qg}X!W_q*C~1_;uX(K`hkA~Aek1t4B-&Ybfp7o_OWCu&H$lqe>`j?DSx z7(HsHgAc(DdZ8U}Up{xn4f#~cks8x%SD7)Lj~u6ORegaENBy-LqA$i`%+g4}I97J) z_(JrU`b$oX3bP-&-R-d^pj@sbRQwiQY5pd(9?ecmMFDl82Y6ihWW^~GOW4dj*k zqz8Dvva~iemt#uyz8Lge$J3N-$VTevwd`Sm5BTmvuD&9~UY_|*%sql1$6bDDJ__p* zT%I-H_=p$A!SDko%UvMceDKQ>-L~Nki30B-V61kXJ6$~bq5^s3BI6gbCN9|Ba(z^4 z55@Eo%3p+eFU^Q?N`LQEXlgfKrC0L%)~f%PZ=ncwbO^zqA?rUrA4-aspN>M0d?IHl z6hj+Nfr>%G2GlB6`}kgnsJKfN2bcS((i|y4|~G0sryWRR7Yv(F~}R*(nwk*MXR zDkjjP7!W6XWWYIvl%|4eVV&vlwwYeLrnl`IUq1AQMU3&>iwqJu6;2}yWXvM>ph9nd z)~aO=_KH|s~R<*rZGJ19gK>JZiB{NAC72?gl7FA*w*usaUY+vf_ebTGd{ z?ERg?5!@GNU%-1f<3x%hkJ=SMQ(pFlk31HR$d8aBAU}1Y6I&)FZC3PRba2PFXjwbQ zEqKw5TXC{&p?`;$@A8%S1miH?6EC`5?+sSZqU}vJj41_E?a1W^`hb^oLMf>Lw z)m~1cUO?bZMMERpsMFw=TT|AOBs6-~y9~}{9unAg%Gd3gFPo5d5)loK5vIWE25vLxoylkJ;x}|n^FN0-DkKqxgiCV2e zwofA??&SxOkGhMOmo+eDZ1<8NtVl~n?*92Z%;K3zvSjN(!%Mn7t3gc8|83+a9Z-K~ zBt6wMI6l19nY=TjjeaiDgYPCaJpaV6CbY!SGq*7e|Ahh}S*K0Ku9O_mLIC$=b8k~^ zyNRa_p0gybB1*{dSwS%oQmt-;I{I;leEXiKZQiCRf9FhuL`y5~gmTHm^%_Zt#7_<{ zAX#?Cu*UMWgWVKu6C0AN+qddY23RZu`^W!TLzC;Us9_nVkzQ_UFSe&POOml^y;j} zbJsmT{D{Cm5ZdUdI!?q>XR-;wiqEG&%J$D0>){4!3J)tXYy*m_)g88HzfMzY0snu+ zZ}{blaP^XODN zs+d54Z1mPBTy{#H7tFEaJjuay7Cz+5nsMdjU4hwF~I}&#L%K`daw{ z%G7Hu$p=fzV2A}7U&06Zi;C5Wh3NYi@Z;4zmvqzMxyHKwb_TG?#{T2?Vk=?*(MQg5%Wj8 zJZO@AO+IT7Q+YJ_1#u2ssfMWMz^$8zb3sQm&j2!oHUF2t`7>^GpV zSTkd=2tLyFE`DN^DLAW{6&~<0rUzF8Q8s-;U;55}NkqDX%|YP?bNQ0=OQs%NE`DEp z&H#i+Lg>8ia>DKckBJfa)dVW<8YAMwsc6~9aS>iXp@a_615I=ua^ zL4ZMc>%DQHcc|B-<*UqQbr3SA2aeUpwds;j%v3?P8PbirvbP` zzRm5A)?+s2^A*neZu+^th4(()E<51BbgW7#%x0(P6i2pI^fUarIT;S?(L6fV95e9$+kkNQd3+!NAUpt-!iB zXt*yUd_xZ||MfuoqkO&V8ob9YlPWl$ytNu*nS2ETaT{0Eihv_LMkD^78JQG=J)hYI zqm8^T`038C?o<W+2<{%naoIEJuz|xn;wjc_{SL^zfJ=l+L zI3i%~U)$h&pG*r=->h{LiMJhlh%ehZ3P^lgn(m3vp24l(;vPG+A5)a#bb*H#%C{E( zsT01HF*WkL!bU(_k~{9KwM0eE5UA$NuUGv6#v4v(ASMwhqFx zF%1)AI4Iwe{Hetr&yG4o(RAG%cLQfKlD@lIH^)QfrPjseLKe+^MGj9;d%`#SD=U&V z)b}j9?buahhldP`8ahswIDW`0g%rp4!1>LK4)sDJb~3cpDW~nmg({?&K8xeuU^pd} z-Fb#uk)gtE@hZWh=RdS4j7sUJKq4g-p1=9Pj^JW|80}%&VjW<5)HQ$CQb5?&$7dXqnEV2|K<8%J=x-c6 z{p3&TBVn#clY8M(33S~~%6Fj?18|$MufUM54dTQkYWwYP`AmgIGJ zu&SDw(AIXNpt$Rro4E_26q1sl2LaEp;-151?S(ap;kA=%Usd+C64x|wfWnd^aeJhC z7!N~?g>R3A)XFo1ojN{!4s?bqvL_-nV>4cje+#yx;Msl2K+eNFjuLGTh?%uQgry`brpgr`IJLksrzIyddGseXQHda)6h?6|up?AU zK_oamMuV9=I)gT9F#NQs3V3!+F_C}jzR785^*S?K^#86Q$)m?J|0~*$vp?2JgU=jb9ULUdQ_w2_?f? z;~h|UTEtq}k2urL*IM{LKiyeL7J<8Ig>5jLqW|nt9Ewvnx%T1IAktU8Db|fw&-8Mo zv3$TD{vNRx-yt@CSj7Nh-ye55K5|MtkBWAVvq#w)Juy@N+sFC7t+%nV6;D&I+y^+G zXaAn(;QBapI`9dlp10#R$y#ufiDVuhG*P2Y#0;rDlRnx zQcxd+b|;BXu$x=}itw;nW^ICvx+Ql4yZObscINf?soJ_xR=6x<=W3wVz2NY-wN#;! zJVs7AIj#6e#je&f>3A=VEw10jXTVA*3~B$QTL7qt;b}Ir!unI0m7}K(On&;xEPI9$ zicbyq0EBx&>p4$Ifa(UMLS8H8DbjPMla!LeEL*?Rs94p+oHuv3gxy+%FgVj-pzebL zhlk_%z+ts5U|pNEqM`E$+1#W$P$T%jTkeuUdH)O1lDg+J@E04^;b44FKG|4C3S_$F zbQ+$;KIK5fblSi;Invu8$Fk*2{57)`sxPqob$$UvJy&+=Vl7D5Q>9(<8G?_S>>;_j zyd?wUFzf-dTG7R*!Q#{m;H|hN1^<3j>u7meTzQ-@^^?DUUUEVX=DiL@4blQoIHc8z zK9HS?ZO5BdMz9wI%4X`}nHi-0bpw!SqoG?uav0<#`N5Jk`yDtZaO-+`PUtjaHM8~t z%&O7&7^2I3BYp!_5l@4g*aDqco)S3|hl{K4Be#$aOtNXtdtFPDt1oJys7>704uH$K;ky6%(0)DnxCW9Nu&jA3MWa5uL`7awzu(yBu8Fu@{9}k$ z$IgA+#Ui6a^jFg369!;9zw`{5^Q)*cA2%?CG8%4(A;ZfQaNYMPrs z>m*d^v4dLMUst{Ib>$7|Y2kWgLP`{LT(W8vw&SHQjEe}@brx;*)$lVfIX65?xF*WX*ON8yWA=r#n3Q3vT4t}>3$e{+6;-AVIj5)Jih}**q<&)y zdGjJk430$?!@9~&L|uGW@EJ9Z%Zjo$f~FS&G(mc1&G{ZHQRLL84( z&nKFMDWx16C)8fxh;HavzJ8sP?>lNi+3mFNF7=lu_J6i_jw9u&)D-vFS}<7u_8)5% zO+5zwF_5KO57Rg4v7;<0Fe2gLv98%5zUg?oE6F#Vd^UL<>}aM*2VG1^VZ%a#qmzP( zzS(F>IBqNj)--w4Y1Qau*i|iP>96Un**R`hE&n0E*oCJf4J92;M{PhuZ@BbxbAJpF zKI+&a>2|+BcXFfojahIsCV-Lv=6>OG**-qbf19%vT=r)kFe~DpsNOEL2d`%u2_RgQ zmXO3?T>3GjpbYcEl*<_*nHW&GO>@%}^!%-1k;fAMwYo@X719{}>*smR!V%U-Z7v^y zgZWNDhSz+w2gVa@P~Jl*(lp0}F-a}`hE6B#nG@0KM9Aef9VNe>9jF2823&eUu@Rx! zpF{RgA-qUSE#_;!{$TFc-`-53({0+Fu|jzu&K9?n!WVN1EX?iMYQIG*vi< zOb;uJ4*_yAdF?s*vT_Nbc;%{oCEz9Oh$kh-!VYDNj9f06L-6|k=FjoE zGi@7%8NBR37CzaAIqRXM@nn#g@VswA&EeV4Aqa9bh*kN4PH zh3T``Mp^{Ye@qBQjfmz@NqF4JS6kWd*6z>x`cEF+3KQ}oJ47*8MKK}P_Gu7 zYj{z3^cB!F3|1{ieF`137^taml;?-cIJtAZ{P;Q@7V_n}V`#L}NM-Mzhj|NW-Orze z>dKN3;ZiukNqj_II5_Ks?SnY`x3CSP)!qe0mKpEY1}eA!nJMk&23>zU5j?S`c~Bek z3O25b<8QCROz&_|!H$AX=ubM*zpqYoyS(KEh@hz-OCd?gdn}%Q^(aGp`hE{*MU9i+ zoOv+#FUt?Fhg<*U@)-LPeYo^athPr7^Wq7pNR=1l7xK!TWin0^_!8x!#1~zUfmZD7 z{W-%Js!K}3DogS9M>6GT`L-FmfL{Q2y9xSEfWfb$e}U6sryiNNI&4hkbFu*WJf4@D zxPEOXL~!SLN+-r}kG*WDF*lz2m8cc=)I&n^z|VG|$vXDbP$J5TqP!eJm@7RsJ$-go zeX4YUmFs5J$2^6R+WiYMUh2v*cvZH1sM$6jMvzlD%}GX)0uM&h8X|- zgB=r1WRa3*3lU)}LmWL@o}tvTU)vyT9wa|aN~96V4P%R0jwNU&Iw~n4|0qu&UiB`; ziw=$p|680?knq!JYo%I_s z0k>i>#b{-upiV;T;)yu@4sEFgq@^tHR=DoVB-5V=jnM$>!Ve-tSM`q_vRN*nbttTW zp!!^Ki>nf*MT<4t2(9h>k$7p^oWKY3+~&cE1NMO%m2qzqvI4#<-2lIihGKT0K8XtZSVA}N$N{N;E2AgyEqiE#owL{10;;%o8IiWQSa(J!ajI(ZI6p3zYo)()tpJmu5>VH2)gcHP85=V{3IES*;DxHvs1m+ z$I^<^zdQo8l&UEy?Z&xjBR!~QA*GJxvdyc}=T_>$5UMLhK%vc~9W48ag9Y+FmxsB) z3K4(@ys=RWz82sY#m?QiBuiD%@jNWsDDKGTu~j9SUZ#I^ThSh* z7s2j~RFoYiUjD8s9DA27iposoHs9FLaQw4mw{uZi-=)?~&DC}%vGBc-$lBbJd&cqB z=kBbG^ye!UnnyJ@vr>LWS2yt=v+qgAa~sD{S!{9W>m!A0h=uy^C_bi>6) zP`*`z?wZAF2=PopZ#zs?7+c!vqf+80E^(5<_1^BoGNo%<`#@Y5ToBqF5tOiNxu-+8b^X%-3ExDe_hk3bs4AB-zlm@p07BQ7zR-%lL^zY zZJtU>k^|Rwm`V(C09jRkfgkkTyy%nY3|RciaUn}h3Ck^7JhcLCBWHcX6(7WZ2mzv+Mzy-}5PUJ`j zIA!29ohYTzy21AmTt1)+Zo>Z>N4^ELt3EsVvq(|j>;C;IRI=jRa_C}$L$bP&oh+9q zuyMoOu+Ko&QT%oTCOT&3gtyK~**iHLa#416R3;_rbkgH7=6s~e18$|mfI4`7k>)nr zWs=)Q>AJo}i|K^5k$@r8&c!|m6Znuj#cmYtn=QgV`M$3{F~qiHFLU*<5$>>Y;B3F3 zvS>l|og=y5t#F+7gtNn7HHxon3=Itl3xe5WoxOQ+ISu4u$9)ekrXPan|)=a3sJA77(C{(_Ebp7+&P?ZUfG zuCH}<_yr__><6D~yr`A6(aFv_Jz-~BYNU!2x&p2)9hQIX6b9LUH5E22m1^bb(PngW z(<7ci$SX`d91es5#SiWOUr}cr71bBL{Q;yqq#Nn(1{sGAK>P&+_jMgF4>G-6t zL}9wpB=3achw9OS8YEAI51|{Dx?@{Up>KsXjvA+w!40|4<$ZViwt=vD3_Q>4e39FPXm)8E~W0`xfo+_-__ zVwurMvJ*_UejEKqR`j*eE<0Ru1V-FBgV?>l8VNs-YV>+r$?e^7B>747K-bhP&GU;{mrYq>Gs*TM}Ej zTs6N|ew<7q%_-{JD!LeP!HBn6OFPImJd!W*#4@suT4mS@Nz%_6V1%^X4M?wwzeR~P zlDFe5DC>2$HCoXoemmwQhG*FBf}&mHzOZBH%IDlInFwqf70TwqvAX*@)jY~T!#L8^ z#U}h&in2TX$JRT9Q`_2>G%muMzjRnWhfYei@&Xd`^?0JUnFU$kDEQaaTvgo1}X7Y;9Ob5s%3Tl`St?uU3i)f zYUnNq#hHs*N571IA1rrW3D_)_<6RPvy%ntKXnwFmKT)+le+TO&H5@pbh!(iqG0ahe zm&a>k+}(XwZvZAo1GQIs}#$bu`Hfvq&K!6w*t*X;nV@W~GGq3RbX798K~?Mn{Fy0V~cDVfj5 z>B3@^0%DH21fmtFiu4F74UcobAvSVu$hw+?AW;@F%z?z>42~&J(7K4uoL2A#+buz` z+6sjD{fZ4WLGY{A6UU3fQ|#h1li7%-^C4ix;n5 z$#vdYh`lgOI&`NQ@AJrb2?Tp1-Ho{7%mrO)xq^h+RGGV;dIm?d0qyuP!`z*X?r_X_ zQWHYWa>E$=_*Q=UbJdn?LopLM&-kX%9;Oko>y*%6&9ir%|Ca?g0A?{611tW3#`k5& z;{F}$>tsj=KQJ5wZl=3+ri~maBI~3)-P?;rI_iDgDQ>H)!r z;HU32VhQ=7rR>0f-5Dv#(yC>hvJn2$#6M<&W9*p3M|+$Ec`j)&lZ_I9s7~!ekAeWw z!G2`bbdDK>9PyS2Ronrumu@dQNE=jnsOavqlENWbfJ#H_prLUnKsY{fUv<(4{n*wA z+IAGke<+zjF1O=RI_P9saP#C)1T(rUDcLQF`h(g&3W8pozl#)HO)hv;#}bHE=YE24 zLIdX2ARk7U-Gn}9d3#@HB0T&wosTh42!jcUF>a{p|JoE{o0eN(A*^c0nZCC(z5%_S zkF`FBi!l}$lt*i1VKI67BKgRt{JCSOKzI?yZ7VU?xor-HBc!K7#izmB)Pu|EMxd#m zw9q&HQr%fq5hwS4H1GHlXpUKfVW5td01;^A2OwaM<92fK$V8sm|AQ0Y>njc%r5iV@ zfBmJwH@~qF3qjlW<3SNMAZf;^o{y9kb6ky^#zrLD7B~WenWrxZJ#U-EIH50bMj@nL zeefV3Rn1&!A(M=5lg3rK+G}cg;_L%~ds8&OXJn>x^+d-^>rSlTKJa3!)oc zw?=E(e--(J!ww1NrYin@_GwVH-!kgG_!+|MU^*U6bJu-h8AS$S_aHz$JU;pvI0Kw2 zE3)!%yvt({~kh@%WSL8GIs+@CENjt#4s8h-Qg}%yTk{@X}AA z=N72;R7pq^>#zdQ#g!e|lpUM+)jNz3-*!{cE1nD*Ev=5Y#y@;AePCsz2#;zBjf4R# zXm|b9X%4qF#^elL`8u#iH=u(u*OB>NqE>_@3Y)_Xz4Vm{*C^9t9)40jHUFZB@M%V0 zuX|;!m(a3bVFWUk9ko!@CTX$GCS2c$xoT|_2xUlTstLWdcf)eM;(2NwMjGyxl=h*! zHfpcvY;i}*j0t^gXu&;5F$6XLS{^~-qj6uZ{{ubu^u}$Mhk7O0AA+U2EeLc;;N6Q> zajBeUI1L#^t+xGNc00GHB<$LA`6bU&FJAvSK+5rUfhk3|)qC%TxS zFGU0Y>Te>J<{N~vd!u&JVGGy7yGG~|3z^%~P;o+K;l{pnqgMO8;>DT3QuEbEq_OPJ z3DUjM7!(f>geT+hla}oGGI>Q}3bH^glB~z*hxVRd}1Y#`EyN zvKg~Jzrry@&Ng}A?D8i*EJ9Si4lE>Ph9`@5zX@+ro(-kMSjm^KRTzcE$>_IhIk-NEqDS1+=1PLbP zc+2tgxqd@@9l5ovo%9LcPJr%pHh>q*110HG|)pd?tqz3+QQ$MKwMo zxxZWUHz8`tQX+0YYK5Tr)k&WVpS7f#k}8>H=L(BT84eDPrjwIXxaQzWKc#gs{laJy zJ>lwsw@;O^;ot=xK8M+vpi9Cr*GbZdRbf|GwP+jK)$mwhw_w!8F%-oVfjaAwDb|=7 zSnoM0^Z3F|RnM8{y4#rZ_54S>RCA`o^7zT1r+)=u%|>)$F3`_B@z+PcC{{Ixhg2Mx z@TuN!DfyOhs=V~WOci&I!ZbE6r=_K`E_fw>=*NDc;ME14>2A zXs$mo3jDJa4&!+ux5z@usFYH9kbEk!$3qIeOc z&wEW$)}PM#;DtizqH|8p$>WbaT5~moxc8DV^k6hVP+|qHG$1!=Nd20I1&U; zn~+|Ll}W@o3I!-sw7G^yPn9XCGXx3uHwVHfi*}&zSH*~>jmdp-{C?HB!w=dX!01zY zB`A1_>uQr?F8u1_tNJ_xSGOWr7MIk(#<&f{mnat5H{LV#4cvywr=MrGt9GtDLi5{-dTbW((qBTB*dR*I7*lWoCcY@EBw- ziMt(+WKgqd!aZK4e~iu_urBI$Z>@l$1Dj6v&cyq~LK+sRyBP3Ok{>Gai>8{)o-0TvIVX2)U!$BZ|zs4R55m;7M{Id9=1Sfdr&$MD;|wQHR`dgtGT=J$24VZ zGL~tM_R4;B(b5z4;Cj9_TF$K;bDPgO0a%*%wmw%iv(flVO8VmwHT9pcyN2O*39BIZ z-=t6vg92aK)$lO)@L=c2GbfKuO?ObHrv}6}5(Nf#c?W|)yr+>*tgzOo-Q(uGh~bTB zWF6Ut%onD_HieeL0g}2gJd%>xq+EKh=`Po;TKJ)=+S@6T2r=nJcGYI zO}a)^&)q%Ka8CmvqZJlm)ig6R3pakQCau!n^9=Bs)oJyy$}X1`r#DE1To}tz1P*%{E6PM3jQ z-Tk5}emEcl&F@}=|Ji7ifP8mp9~B0>=fQ=0>xc)(XTwbu9~C(}v=)Thim7ojuP4u@ zeX<9Yyq&q*FPjPU*8R}?{ur${_;)CItKZ&C-9)O?l?FFt$Q~yOqg;3`IX$&xqnJ7d z)>55!s?~Q=JAdfrRcc18KSI9+#ZZz27zSf`%Kn9 zG=uz_1CD{u{2T}lkO_Bno4%>!fIfB+J+zf{~&)SPlzHm#Hj3)ZZDYuV-*Ew=$OD$2?X zwJCD=!VEpO7$@Kr<9ZLzQwykHqgq@JEgwygJ!Omek2>X)S7-N+=)wmKV4sT!JfBHk zk24Cs?+2O93qon~uJ7=6ZDUtf|WLf1dgDvl zQ++*OFQzZ|;wcoGq-DJOfIfI?yvO*l^93>~74sbbPRZ_jmcQQCryagq^m^>Utpq;` z#kmK%flN#|oZzlCmMDYO;K!5_lPKfLi=g*!JrH0Bwm6)WQeQ835PFMDd{d6(*Di~_ z-ug+yV>yoHCm*!3WE1KBN)Gwg2xMXh8RTvzBHL<#9pZaY4Bm0T1HIQ$CAOy?`vwRY zpo3x%qtP`NxH0IJxvdy=u_+(98){qs(U#s)!jX@DEdcD~#xma> z`E^IjfS{rAHgKQQ0Yf%7I}uLVpH+p16vr_b3Z~mtBOp9nYQ4`7p0`9Jw@LOR7aN7N z6*)R#kJI~MIAnZ7Bs%eJLoId%mdog99B-v)K`y0Vaj99v+oBBWzerV-Z{7h?$; z*sXtKy)SQXR$36+v{4eQbh{>2s5LhiQbjSJ_KN~#=!AuZX)faQ)o-a&!;bqsS-di< zSg`~{EVjgmTV+-RM-hrXfGRS5-jvB<}JdyLs5pHG_Jac-S#*eZ#;!7*I0AR2&ilsod24jXvxwR%*}60pef`-|gcvyU_yH$;uSt8Tmvm=wy#%x}?8<@@ z1nPPFCFZg7$JUG$*m9~)Nc-Le9<71TBc3secEd;b*fg|RaCLRn7(6c(=f0Wl!VYLE zml`{DRM<#pz)F17`E0B>xyRslCRWhuf6Ws3^Id)N_O_zrEeo+dhP_TEra_m{dcQ2^ zxA^X{W<^2U)6_oAwLbLKG<%1mObk)Oe{OFr*&el}rSdql7SX10SEXq& zH`S>lM5#L%Siw9;ec&K$qgK47orW`?BF-O9J{u{>cBfQX(54KDWP3dJ8;w|s+Y1${ zG~?G4bnZ(rJYq^;)KDwyR+I%~Ys= zV@h%}8S)~ru;Ey5t#7!3L1akvN$Eii_1P;$3>^-?F8wwu9^SmR-*jsEw3zOr40-#P zW^@VO@g_P3Md&02f&k7Fysr(~YOn1B;!YxLw_O>`mtc5JXH~(Gk!L(F?@Ia`Cn5ST z8@jWWO`GlZQ3dt^k5b0jx7Ru+`HG=O8z4SO=~B&963D5O#n00rBZxS=-g<4xIUn&o zP3aB>+q!v1{J%Uys;0kj*m;Uwxxf#HL?L3+BI5Q)Fy$>JGC>YQBn(FD6dIb=hcs#+`(j;tyN4SvY&AnIeH>0>OZmL6)-r`_svkyt z3`Aa$ptz5E3}13EKWijNjmIF4&sV1qt}J^wrCU{zF170t#Ml2AYSuB%bE0Wj7!Al( zj_3dS0J2-#had@@g-x}smPGV?r7KC$fA>?+<;u6+&Q!OT#C#0}*t7wuR18W9g5xFc zp6)Eu*xb{{m_{%p@ovp*fO23EIwM0j61m)e6|2vGZ}l6?9#7bE1*`vIpiXXutZfVM z^EgMfDUF-O1LZCBKp4S6EmJzM7Z{p}F|bRt9QO}DJ{h7jvO3a+{}*bbjsE3PGPGX% z%F;4K4*d$uEL{X5fc7{;_n#=qaMzSI6GfJYG#Z<(UhZV)*6j-n7ow$d<{{1KLv6j5 zV|>s|iT-W{C{7afL}+114gGM?UqXAF-DSShkU;>M8%otK#v3{}#3>3cq<`!6v74hs z*-OG)8M?kdmI^_on0Mk8^hU$g$$7Y)ZT}Lx6;!a`M;7;&V>B@yv<(5Nv859U-6!3J zFb&H{ouH2q0)?%*KKbV9Ux7C2a9Dm%E0NvWu|C9uvActq(8wqxLKJmX%_VNmKTz|= z`7$4eTZH6(E898YZlPPfaaLVJ-j_V;?3&IIl0l8S?vG$hgRuN37?{_)S=jP`qp5El zX?)11aEKP$fW3{{g`Q+)weum+o}?EzFJZIZ7oXg2@&R-7{t>STwV0n|$*LY{i>mQ%XpVhMaOs;BR}TY#Q87F?(U{g${Nep+;IHhl7cuL6 zN7bN=Dnv*v0!R}HjSOQ1{~a<9IxoxNy)l`vmZ5-9T3v!9THI`gqhUcG5(O)#S3gPU zZZ58@&^ylmiZ|Zruw1DoJ(+dk_y#wFc-Oph^pcK;VD} zut8(Vqa2bkxLJeh3C54|NY6ODyh?TSnMoNJx5#Ei&IaA$9RIqcm~5=RUbp1hJJj2~ z4zcp|Y$q^?^36NMMCn{uTYDdY6=Hn&whr{tpugzBGd%ppSGWyvyzhfh!Wz4C_P{RM6>&WMDX&LHH|R#O-cz#GRwC&{VtyZz^TIT;EX1K-WP% zzQU^h+vDFy1CUE4=##tDK<1cd+xt+@#~w_>kbm4P{TlB6ipzZT!=-7SWR?!<6g>wy zN5xDOHKtPOz>LERA_53+=f6kHh`s7zMQO2aF=NPeAOwo@SZF`%egh_OD1Cpv^?FBW z(o7z&9~5L0aVfHdN9uYsR>Xxyy#KQA-9&T zJsTw@S)0R(JI7?P^izsFy!8RCuj@iHQA)k$EQ!{*SuB5>bY(3&0;M~Fl{cPqUxFBZ z#dRyl%)qd!_WRA4><_?FOL16aJlt)9;pdV&#A|K8p9L7jmpX>fCII|MLIsTYm2E{w#EP8FxVx3ET+ zojTz`kL)j%ZDp|OnV+MWua8l0Gv8`7E>b9z3aTeQ-p~_c=-Hw)I?QSpp@`R?^npgF z7SsyfQH{SJuB{)6%l_E;D!fRXzS@`$n!&2xs~(T-^6-hg=|)?+AQfI}5=)h~xV_Ev zuA9N`>^n#;G2F8)5Q&$|XupFpFE3Ghv_8(ISvtH_LziQ&Pj)z;l(h@)OdH7)`(uz& z6(@*~34sWG+CZN1&Llv}%0i;09>r~%kFE9mNDG)g^6k{U45<}fK}lE@V7Y!%%ULcv zFKtfz({?Srs!tBJtlxxp?c3#*WKw~oJz9Ys^#q4K8&=UzccRCfrau24pmy496!K0z zL&h&ScZA9kBIudvtcmx$@0|MwodikTzTi=%rJlP-eSHw#YT4g9HQ4z%s|zNByNiq< zwmd7T&k7|O2*H!ocwUSfp3(w*l&bwZgw;T&VvJec?^J!7l{MwA%a^)u)4m-qP_$?| zq|?N(=|pL+Tt+}zTU*m|a&oFFK4UCD=PdbrexI;j)BWOIjSvku0}jt)dch0{zh2ZE zz*eoKi>bNb9$dY|-+8Yb=^=F2l984+HfxHY=T7W0~ zO=tk4yE${<{k-|~Mf!Zwz1lw{#jZaLQ6xpcuif@Z!>#{Yb8|LFSkuPv08qc7Ne+vx zMk}-&iFw_avkniEz_83J4?`iNn)7a6wBRGRIbHL4+cF=U^yGt>ns0TPxs)g}Z2}Er zQT2WJ_)(?OB$#F2XpV9pBQq5osWopd7sI9=B{KI1M;(w-xF4r%FU*2H;w!%lISW?u z&yJ3~@M6u%M26&j|LQDHuqZ;OmI{FYiy;(vcM(?l6uojIuKjDxS#34qN>a*2=$Yox zSAiB^P;0$|nN=rhyYa`r<-N`()-DPPTr5ppT>uaZk|6AzAFx&H5>A{`v<1SPLWV&L zgS40G%Rs;Mj8U=mxNrnHvdi!2HOGzMct3;GMJt>>lJK)_WZ2UzA@^!i3g)PRD27Xd zs684@1^_g*5J_oD%P}l>yqIfQiZ{4)0p)jB4{8cqso=uNwDUWhvjG9^KR#;|xCO;W zA@L}YBZPgm)88AWDk``J2gs$Ht~81JNZ)}vHpGZcvNXSlQNIpK#-{fx!*Pr9>H(Ugq5<@+tEvkN zsm0$+Lu7~x`TRoTE~(Wil5L_8N>OS0SJwi1=3ED?oeA49`~ZUfEKNw=M@DsY%~$%2{?)>!ry$`gg zU1asTRRBl0KZ~uFaqkmmD1WNEeU40^g!F8TuOF1~9x|fS(mC*#v>jQc>73FJYt>48!csl10hAx$sN>zA1rrNM<9J2#(Y3IXEOEa z4Z8MMJF2$RR0WT}zdHr?y3D@*#T6EfIh81v>P$ z38`;amFdpdr4a(t{B{=4&gYUbO1tQ)U-OERF8BvkS@MTwt-MjBXkX@sATN%tGQ+zY z#V?(hXMgBPGDw|F>TE8gluM#YXK;Q#3YMJ1tr-iN?Wz(79UI48{2?%Q!Lm1lU-O37 zb@T`bjou?g2$QU2RQ{lW2=}9*jPoXHsOiYsPQ2n^gH8X&7YT7;SH}nNI_{^T94)t! zdD0Ux?gIvRuaNZ8b!!%+pEes#z0s*qafpUO{Sj~Amp*D0J}O_LA^oN7LjTy?ExBi7 zNiwoLi<-Z2+A2-B_hE0$H6R{;We#Nh$+v^gV8Xe=_N129{o9E$2T{pS76h;5HNKG$ ze#9Og>bkvK)souQW(xPKr-H6S4lxvBl-0XALXY(bzs77OppLy2C1rVA1~@Bc?!jSv zS2y{*Vq~uvL41{L(4|&CJbY-=KMZu222{Twig ze5sc>a|;XPp-u=Y=H%+R{6@b0FfZmK*?R`66Tf{kB! zZFVaOfHa|l<5OZ@aAQp9gcJU2Riu!+@nN0$E>RY)x5f-A zBQ-u>h3~SM5L)yEuol@@*r)H%p-~^wXu;6n`sgmrj+`n<(Sb!gju6)E`L_u^5K{SMdB3yF zuDcv=!SFPT2Rdc`R+x94ye@=FavD1b)m!B4*;=ih*1I2uzHo7`6vgVGwvVqzRCr^Y z`e1idQbMK2eWxJ?>)GYueCQ;u*31Zv0j!6@0yhx}e=n#Y#@ zHd!w0>T?rUW;gf;015c z5By&^FV?R{lpd*?`+ysvH~%f6sP=#F&wEcYlUrhNS~C0cIV-xY@K@io4hH|u`yT0g zB485v!^!(!kN4k1Cvpwd0e(zk*5-gcNOGRNo!x+i0JDcmuFvr~M$Xl1MQobG#Lu{Z zKYjVnp9v3vfVU7NJYbiq6$Fz0r*Lsb#GC&4b6?=m-Q_-$Y({!|^88_OAYOqEE-9r= zY4P9ll@Pl;UC;z@_fg8%H3PM1!(R*y`KsyL3&0;>il7!PsLQDD-q%1^AV}t z``tRS>w_X3LKem}CSef~7HaE;jGa?mP=QxwbdXZc+ zD{8{h1xT>Qe;PG2wgXL?wgwQuGkkJ(R+-zWKvtMwQ`iZhr3VG8ofdx&9E%7EjjhnH zJ%81Ni8#zF(_Jy?oEpoHlUer#7qjqW!~z{(n1Y|@=l6Hgd3=6OC7^L&L1Y1s#~j!5 z;vZ4WN*5pTyAJS0iMdTkp0ldYr)FlzDXb%Mb_&smJ%uL~=BoRDx&mG#`ag6T!9lGp zEpW8Q#+(IjoTajmbfCuiXaACjF!_%~!Q9;3z;=akYviwN(3mjV_^M9xE>Poor>d&D z;Vt|8a6W)IcH;haf9<>bWF9S2m${>DeIXb@PzPjm1IrE0%NjLY?_Z;x2y?y!jf=ib zcvfSK;Vg5sw=c}irM2_=O2XZ2M&*QqI*xe7xVOJwxV5!4;2zYTCvwF0_4>|*IG{Dk z=5co%5yy?X_}kNd;r{uokM%->7hIT|An}EYIw8OcNO?D|0qYo&Ti>^VREzC}**|kt zFG>^&6k`vx0sqF^=e&S3<&Ev%za=PX`>c-uae0JBcXtEJ(*bAffo0>i_nMI^5a5cnKJhdE z)=PQ*MHAwDe^Nq6M~dS2hQL^9tO3iU*+VZz@QnW^zl9(BAJ%LUiy{ORQ(%`X5Enkh-SMlyH7@e9`6aqmnkiO&kWZRGm{p5 zVh+Kunavn~T$-35qF~jU)VR1a#n-UWdqaf-g7xotR9>4(p8lD;tkaJl6Zu^@(>Csa zPbgDcHw3{KVc0XAka#_`5bfz#k+UQCarX&PE>|KAsXHAG^Yjx7sLHW%2~FH=2`IW2 zkR1B6=_a4Me;_rZF#}fO-KF%NFvn%^yEeEPj*N^PIA*RP{%1E79jXS&ty40rz-5SO zAfCSx9((K!^XMOkycLezVH_H5L{O_M%tZ%NrRje{B5+Ya>-r1F^G_gv8pOQbTeX&N zA^mNFgW{W=kzj|X;dlb2D}5A_1_!i%%0G?<1@WUMmq?gljVs5w_{UO_r@*ztUnu@6 zp;ZTP`9xy_!v){&#{;tGTaq>vP~KU+|GT2(0uH5={PdfaJjH@!`b)rMqN~+6(?IdBGYVu^4{6#LLo1rx z#7L|wS_a?UX9+nS^tLH>2jbmfo(}@Bb}$ynt>?K>sqgX)#dY*OH3)Fk)w{m{Cu5E; zk$Atd4%0=I0LgLFJ;iROA|6GC0T^aXq_<6l?R$IiL!qb$_!itD1{Nwjs6V}YRMW~{ zU42-oKz2&ZXbbg3IUYWbnLfK%#)9xu72URs5k3#8hK_DL3f^Iz5S#)p=NDK0_eZ2Uvo!`$lMR7J2)tyGK`&lgBYL8+L3O0n;})jdXlJ2hmOQL^>Uu3c&Uo~;T#}K z;4}|&o4;&Z3d1d*4vEZIR|}AU2}m})m=Z`3T*W|YR1P2M)4_4RSb^SI7FYtBc)?I? zcFC)i5Wq$BucO#&Gt|D+bv5nzLXWxk6E_!KL-Tyop@8z>sE~$aXUd!)$bh|~Q78QL zM+zuk`hm{3Kd(r?$5GuM-d{^W&aDXg2X@eD6tO= zehQ9nb<)BG@CKK+B?`DA{^z*KNVn#S6XtL(wKXGKHxv-^t$6|;?gLAGP6h`)0H6H^ zl*uk{8h`w0^gfnDCr}f~D|B5==y!p~+$?)Q&gr!k++UyJ?g#)Fwd~{}e_6XhE?Lqa zJdjD0dsx@*7oeA(QAjGAV#LOn*6$R3mbulWYs<;*rdSz}yIfiB0zv*m$dTtC)E;ju zuWTek1+zwbkXUg7G|@Wg`+;*P;<-irGA%X$xH$9DoatQfM(tOnS9ixgMk5$VDFEi9 z>zjZ-pomzD*)jdS=pcQRbQ{3ym661I6NR3gsygm>E(2|eLn;``QgBQ~Quz+>hI`5M z)#;ocSOSjzhdTUS-RWC>W`$2`ehGr-e8VYfm&EC?iljATaXwZ2L&xYac8us6*)MNe z8CPPVp`DzU?1Gf2_4d)KmY0{80*UdFG&E&Qfvnu7v4QmssNsvM)p-b1_In?2zKvY? z$Db5{4ykOr<5(5kkIL_8$TpP{SAk(1c%x=z-m9kYZQIt=U_1DKN6+sOR|+;z()lTI zP(~+E*898^7ijE&MDEEIbqcsjurfl=uV!5pH1bAE_}jmeDxKru!~K7D)kb}_2-YUp zbYTa8a%^Q*ziG@SK8cKx$iJy-y7((C&LYTC1D5j-?paxs*GENg?F#;W@xW^0e|iA4 z*+-r9L+fyx3A5;hqI-pL@Z)7;yX*``Nz(!vifo=MJ3xTqEFGiYq^O!doj(E!=ADZw zJWH<5?q4~7-mF#l*RrY*MCcoxw-65#Y3lo`(AXE~@M~iLIJ{$MiS7PHk)~yqo*nt< z%1_+U)N`UhZ;nHzako zeV2Qx*-0y;G7@ybTB5WXYqnD?t@{b3Y}s$0`_A2*gd}L!fi}1=lMzo2EdYelI>FpS z%L1g!7_R#=(9cd5HU72-FkUZ^#dK=Xhh~-<#-3{xP^d4obEm9zKNYEJ;?W_Gz>MYl zmkQ8~o*C*&!u`+fxrovlq{;4dD30drM^6AfTr}bBNeT`)-n+m!omODTJzf#qX2+UQ zM>)G8=ZhUNrJ3yeL#R)<*0N~2_h8TTY(#f~Sq+efq`LY@RnMcU388qr&tPcAKOrQb;+QGs;Rb@dbE(kr> zy@ZNS6RADLUHugF`UFoDK3~4{!e0`lEmk`8cND}r#Y+WnN4}p{WPN{Lx`WQE%F*c^ zj+&1a5`CZf&;5b166V=%8IkerKC12gA?8>54&qoY)L$TOG`|W2*lT)T|90%QJi|VyluyctiSud51`o zpP2)z6e!`6nJM60rl1$oonV8%iq`JI0nV*2Z%dM81`t(}`sASm8Gq@f zQFxn>MS;QUHjmlRn0zHJjsMjT574t;gl4=y2a)<8zFKPLa3r6vP-dgpSg?si0TmdD zl8LKpV7uwl-;IAQCj6PzZ$I~U8-;g3U4Ed+?d7sLF8dp z){#{#rYOVA2t(0^k$}`Wult^|fiibV6<|}Rx@-=F@Cq6v9oI7^N(tlQl)y#K-vkwG zOY$Gv-$g$Sn!ZRQDd1HfdwWGN$ROUr(JBM~ksS-O%W2X6{1$w{q@EZcm0{bk80K~s zo6u!#*sJ6&)-{$L>;4+&8q0lYKc^#pHEh9p=7BDU7`bvcsyGJR1oA8WIvE}zf35`NW6q+-Nc@(Ewo9eidDOQH;H z!ak>e!K+>o;*gk!oYPmIEQ~k27|KP$=5iygRvzC{0lJSlZw&|atVU?eD)FJ+$@e4E4wkzP$5hIJWy$!;VKDynNV z!?1n}o;fpSf$pp$QAcXi$a9dVDA&`}_hA3S%cq`rnHRU9_c|n`xjG4e9INRYn)#@9 zQSkV)TY;JAZ4^z`5VrU`S`-&vv~db+6DYW68IUnJU1c46fMdX#A$rQ9+F4yvC)iQ69?#hpr(0;u9h- z$)B7$#vkv55$zX&lW%BMJ~f&sBdE7ckT@z7Wr4BFAB^Spwq*14;|`Ho&1hCg{K#S3 z)Bzf}aq17N5MyY_M|c?SkT?z`GweAl&bq0>E{MYSbU|oXyu_Z3Fb*KiVZX9(jYvl1 z(u~k70z@@raxg^qu+M-K;DY=BOLy?im~JX=9ZtkB0TkJ<(12$)aJWDa5|$b9$@=DJQJF1FJA3 z`-P3Q^-mHyD%W`U?L$n2B%6QU^J_R=4@$6}K)&g2B#AR(KRm(J#Kx(UxPc)mzhCWe z0T6#N5*pf_8u2WtVG7{CsDk_&JiZf2bo=($tM7U{;e?%Kj|p@4p{g>|MIqp! zP)lOjz_QfSq9xZ1$~c|ANQhw@X;zj0$@ zu>k+S*Qd>-K?O_w1oK;oiBHvf2^R!w5cAP{2^eC#(3H=oC`t|jNqHqtzTJj*<~c7a zl6|Oz&%kJ0nF0PfmQ0IA82ES<-#2mRnOym|?bJt#uy}xpd(|aViWZSfs{mSFgZEtQ4~d(TshxXR$3}H z@dl>!;luQFpJvmdlbxfZ^h7sINm=<%GA$UMmYznUiI1t^?7Z4mIM MEUy9i2{sM=U()%TfdBvi literal 0 HcmV?d00001 diff --git a/Documents/LibreCell.svg b/Documents/LibreCell.svg new file mode 100644 index 00000000..2462c1a7 --- /dev/null +++ b/Documents/LibreCell.svg @@ -0,0 +1,703 @@ + + + +GATE LENGTH NMOSGATE EXTENSIONTRANSISTOR OFFSETUNIT CELL HEIGHT From 4c9737769f434de03bcd761c664ec60b53ef5925 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 17 Sep 2025 22:13:26 +0200 Subject: [PATCH 647/673] Correcting and disabling DRC rules --- Tech.SG13G2/README.md | 5 +++++ Tech.SG13G2/librecell_tech.py | 2 +- Tech.SG13G2/libresilicon.tech | 2 +- 3 files changed, 7 insertions(+), 2 deletions(-) create mode 100644 Tech.SG13G2/README.md diff --git a/Tech.SG13G2/README.md b/Tech.SG13G2/README.md new file mode 100644 index 00000000..f7021379 --- /dev/null +++ b/Tech.SG13G2/README.md @@ -0,0 +1,5 @@ +After updating the magic .tech file (libresilicon.tech), the following line needs to be commented out to disable this DRC rule: + +# area allm2,obsm2 144000 200 "Metal2 minimum area < %a (M2.d)" # THIS RULE CANNOT BE FULFILLED + BY STANDARD CELLS + diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index c43617d3..6181b5b1 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -249,7 +249,7 @@ l_poly_contact: 160*nm, # Cnt.a l_ndiff_contact: 160*nm, # Cnt.a l_pdiff_contact: 160*nm, # Cnt.a - l_via1: 190*nm, # V1.a + l_via1: 200*nm, # V1.a + 2 * M2.c # This is for MAGIC export, for GDS export it would be 190*nm } # Minimum width rules. diff --git a/Tech.SG13G2/libresilicon.tech b/Tech.SG13G2/libresilicon.tech index 166657ba..0fb411bd 100644 --- a/Tech.SG13G2/libresilicon.tech +++ b/Tech.SG13G2/libresilicon.tech @@ -3924,7 +3924,7 @@ variants * # ORIGINAL RULE: width allm2,sealv2 200 "Metal2 width < %d (M2.a)" width allm2,sealv2 200 "Metal2 width < %d (M2.a) [paint m2contact,m3contact,metal2,rmetal2,sealvia2]" spacing allm2,sealv2 allm2,sealv2,obsm2 210 touching_ok "Metal2 spacing < %d (M2.b) [erase m2contact m3contact metal2 rmetal2 sealvia2,m2contact m3contact metal2 obsm2 rmetal2 sealvia2]" - area allm2,obsm2 144000 200 "Metal2 minimum area < %a (M2.d)" +# area allm2,obsm2 144000 200 "Metal2 minimum area < %a (M2.d)" # THIS RULE CANNOT BE FULFILLED BY STANDARD CELLS angles allm2 45 "Only 45 and 90 degree angles permitted on metal2 (Grid Rules)" From 7a642ea452a35ffb5fd5f82accb1ed3b9510d6e2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Wed, 17 Sep 2025 21:26:17 +0100 Subject: [PATCH 648/673] Adjusting layer name for poly contact --- Tech.SG13G2/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 5e37f33c..6c4be2ed 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -100,7 +100,7 @@ l_metal2_pin: l_metal2, l_ndiffusion: l_ndiffusion, l_pdiffusion: l_pdiffusion, - l_poly_contact: "allcont", + l_poly_contact: "polyc", l_pdiff_contact: "pdc", l_ndiff_contact: "ndc", l_nplus: "nsd", From a09b32ab3f2e27f229bb143f208ea526baadc5db Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Wed, 17 Sep 2025 22:36:22 +0200 Subject: [PATCH 649/673] Fixed a metal2 spacing violation --- Tech.SG13G2/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 4c6a22f9..d44362b1 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -199,7 +199,7 @@ # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. #transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 180/2*nm might have to be removed #transistor_offset_y = 0 -transistor_offset_y = 330*nm +transistor_offset_y = 380*nm # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. From fb0f2e670eb0b5d66e3c1517584d2bf93352f901 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 18 Sep 2025 21:18:05 +0200 Subject: [PATCH 650/673] Avoiding metal2 DRC errors for bigger cells --- Tech.SG13G2/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index d44362b1..75105f97 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -199,7 +199,7 @@ # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. #transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 180/2*nm might have to be removed #transistor_offset_y = 0 -transistor_offset_y = 380*nm +transistor_offset_y = 420*nm # 380 seems to be the minimum but it causes some violations with larger cells, 420 should do it, more might be useful against congestions, not sure what the upper limit is # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. From 2ff5171e1aa15c3c634922db3c62bd8bce4369cb Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 19 Sep 2025 23:56:19 +0200 Subject: [PATCH 651/673] Adding cell templates --- Catalog/async/ASYNC1.cell | 16 ++++++++++++++ Catalog/async/ASYNC2.cell | 12 +++++++++++ Catalog/async/ASYNC3.cell | 16 ++++++++++++++ Catalog/async/MARTIN1989.cell | 12 +++++++++++ Catalog/async/sutherland1989.cell | 16 ++++++++++++++ Catalog/async/vanberkel1991.cell | 16 ++++++++++++++ Catalog/padcells/PADINOUT.cell | 31 +++++++++++++++++++++++++++ Catalog/popcorn/AAAAOAI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAAAOI3322.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAAOI3332.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAAOAAOI22212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AAAOAI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAAOAI22212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAAOAI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAAOAI32211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOAI3321.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOAI33211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOAI3322.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOAI3331.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOAI33311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAAOAOAI222111.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOAOI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAAOAOI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOAOI32211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOAOI33211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOAOI33311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAAOI222.cell | 18 ++++++++++++++++ Catalog/popcorn/AAAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AAAOI322.cell | 20 +++++++++++++++++ Catalog/popcorn/AAAOI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAAOI3222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOI332.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAAOI3321.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOI333.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOI3331.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOOAAOI22222.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOOAI22212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAAOOAI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOOAI2223.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOOAI22231.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOOAI2224.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOOAI3222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOOAI3322.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOOAI3332.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAAOOAOAI222211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOOAOI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAAOOAOI222211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOOAOI22231.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAAOOOAI22222.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOAAAOI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAAOI22132.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOAAOAI221121.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOAI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAAOAI221211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOAI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOAI22131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOAI221311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOAAOAOI221211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOAOI221311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOAAOI22112.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAAOI22113.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAAOI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAAOI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOI2213.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAAOI22131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOI2214.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOI22141.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOAAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAAOI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOI2223.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOI3212.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAAOOAI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAAOOAI22132.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOAI221.cell | 16 ++++++++++++++ Catalog/popcorn/AAOAI2211.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOAI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAI222.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAI321.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOAI3211.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAI331.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAI3311.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAI3321.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAOAAOI221112.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAOAI22111.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAOAI221111.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOAI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOAOI221111.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOI2211.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOAOI22111.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAOI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAOI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOI3211.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOAOI32111.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOI3212.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOI3311.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOI33111.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAOOAI221112.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAOOAI22112.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOAOOAI22113.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAOOAI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOAOOAOI221121.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOI22.cell | 14 ++++++++++++ Catalog/popcorn/AAOI221.cell | 16 ++++++++++++++ Catalog/popcorn/AAOI222.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOI32.cell | 16 ++++++++++++++ Catalog/popcorn/AAOI321.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOI322.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOI33.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOI331.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOI332.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOI42.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOI421.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOI43.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOI44.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAAAOI22222.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAAAOI22232.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAOOAAOAI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAAOAI222211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAAOAI22231.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAAOAI222311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAOOAAOAOI222211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAAOAOI222311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAOOAAOI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAAOI22132.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAAOI22212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAAOI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAAOI2223.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAAOI22231.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAAOI2224.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAAOI22241.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAOOAAOI2232.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAAOOAI22222.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAAOOAI22232.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/AAOOAI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOOAI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAI2213.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAI22131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAI2214.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAI22141.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAI222.cell | 18 ++++++++++++++++ Catalog/popcorn/AAOOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAI22221.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAI2223.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAI223.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOOAI2231.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAI224.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAI2241.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAI3212.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAI322.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOOAI323.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAI3312.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAI332.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAOAI221211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAOAI221311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAOAI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAOAI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAOAI22311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAOAOI222111.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAOI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAOI221211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAOI22131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAOI221311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AAOOAOI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAOI22212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAOI2231.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAOI22311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOAOI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOAOOAI22212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOOAI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOOAI22132.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AAOOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AAOOOAI2232.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AAOOOAOI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAAOAI211221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAAOI21122.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAAOI21132.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAAOI21222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOAAOI211212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOAI21121.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAAOAI211211.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOAI21131.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOAI211311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOAOI211211.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOAOI211311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOI21112.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAAOI2112.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAAOI21121.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAAOI2113.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAAOI21131.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOI2114.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOI21141.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAAOI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOI2123.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOI21231.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOI2124.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAAOI3112.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAAOI31121.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOI3113.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOI3212.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOOAI211212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOOAI21122.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAAOOAI21123.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOOAI21132.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAAOOAOI211221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAI211.cell | 14 ++++++++++++ Catalog/popcorn/AOAI212.cell | 16 ++++++++++++++ Catalog/popcorn/AOAI221.cell | 16 ++++++++++++++ Catalog/popcorn/AOAI222.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAI231.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAI232.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAI311.cell | 16 ++++++++++++++ Catalog/popcorn/AOAI321.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAI331.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOAAOI211112.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAOAAOI211113.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAOAI21111.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAOAI21121.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOAI22111.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOAOI211111.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOI2111.cell | 16 ++++++++++++++ Catalog/popcorn/AOAOI2112.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAOI2121.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAOI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOI2211.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOI3111.cell | 18 ++++++++++++++++ Catalog/popcorn/AOAOI3112.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOI3211.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOOAAOI211122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAOOAI21112.cell | 20 +++++++++++++++++ Catalog/popcorn/AOAOOAI21113.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAOOAI21114.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAOOAI21122.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAOOAI21123.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOAOOAOI211121.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOAOOAOI211131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOI21.cell | 12 +++++++++++ Catalog/popcorn/AOI22.cell | 14 ++++++++++++ Catalog/popcorn/AOI23.cell | 16 ++++++++++++++ Catalog/popcorn/AOI31.cell | 14 ++++++++++++ Catalog/popcorn/AOI32.cell | 16 ++++++++++++++ Catalog/popcorn/AOI33.cell | 18 ++++++++++++++++ Catalog/popcorn/AOI41.cell | 16 ++++++++++++++ Catalog/popcorn/AOI42.cell | 18 ++++++++++++++++ Catalog/popcorn/AOI43.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAAAOI21222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAAOI21232.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AOOAAOAI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAAOAI212211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOAI21231.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOAI212311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AOOAAOAI21321.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOAOI212211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOAOI212311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AOOAAOI21212.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAAOI212121.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOI21213.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAAOI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAAOI2123.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAAOI21231.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOI2124.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOI21241.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AOOAAOI21312.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOI2132.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAAOI21321.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AOOAAOI2133.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAAOI3122.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAAOOAI21222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAAOOAI21232.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/AOOAI212.cell | 16 ++++++++++++++ Catalog/popcorn/AOOAI2121.cell | 18 ++++++++++++++++ Catalog/popcorn/AOOAI213.cell | 18 ++++++++++++++++ Catalog/popcorn/AOOAI2131.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAI214.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAI2141.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAI222.cell | 18 ++++++++++++++++ Catalog/popcorn/AOOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAI223.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAI232.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAI2321.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAI233.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAI2331.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAI234.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAI312.cell | 18 ++++++++++++++++ Catalog/popcorn/AOOAI313.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAI314.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAI322.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAI323.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAI332.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOAAOI212112.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAOAI21211.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAOAI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOAI21311.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOAI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOAOI212111.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOI2121.cell | 18 ++++++++++++++++ Catalog/popcorn/AOOAOI21211.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAOI21212.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAOI2131.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAOI21311.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAOI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOI3121.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOAOI3122.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOI3131.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOOAI21212.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOAOOAI21213.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAOOAI21222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAOOAI21312.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOAOOAOI212121.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOOAAOI21222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOOAI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/AOOOAI2132.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOOAI2133.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOOAI2142.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOOAI2322.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOOAOI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/AOOOAOI21222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/AOOOAOI21321.cell | 24 +++++++++++++++++++++ Catalog/popcorn/NAND2.cell | 10 +++++++++ Catalog/popcorn/NAND3.cell | 12 +++++++++++ Catalog/popcorn/NAND4.cell | 14 ++++++++++++ Catalog/popcorn/NOR2.cell | 10 +++++++++ Catalog/popcorn/NOR3.cell | 12 +++++++++++ Catalog/popcorn/NOR4.cell | 14 ++++++++++++ Catalog/popcorn/OAAAOAI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAAOI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAAOI2132.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAAOI2232.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOAAOI21212.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAI2121.cell | 18 ++++++++++++++++ Catalog/popcorn/OAAOAI21211.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOAI21212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOAI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOAI2131.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOAI21311.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOAI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAI2231.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAI22311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOAI3121.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOAI3122.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAI3131.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAOAI212111.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAOI21211.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOAOI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAOI21311.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAOI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOAOI22311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOI212.cell | 16 ++++++++++++++ Catalog/popcorn/OAAOI2121.cell | 18 ++++++++++++++++ Catalog/popcorn/OAAOI213.cell | 18 ++++++++++++++++ Catalog/popcorn/OAAOI2131.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOI214.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOI2141.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOI222.cell | 18 ++++++++++++++++ Catalog/popcorn/OAAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOI223.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOI2231.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOI224.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOI2241.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOI232.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOI233.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOI312.cell | 18 ++++++++++++++++ Catalog/popcorn/OAAOI313.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOI314.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOI322.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOI323.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAAOI21222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOOAAOI21232.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OAAOOAI21212.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/OAAOOAI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAI2123.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAI21231.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOOAI2124.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOOAI21241.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OAAOOAI2132.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAI2232.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAI3122.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAOAI212211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOOAOAI212311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OAAOOAOI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAAOOAOI212211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOOAOI21231.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOOAOI212311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OAAOOAOI21241.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OAAOOOAI21222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAAOOOAI21232.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OAI21.cell | 12 +++++++++++ Catalog/popcorn/OAI22.cell | 14 ++++++++++++ Catalog/popcorn/OAI23.cell | 16 ++++++++++++++ Catalog/popcorn/OAI31.cell | 14 ++++++++++++ Catalog/popcorn/OAI32.cell | 16 ++++++++++++++ Catalog/popcorn/OAI33.cell | 18 ++++++++++++++++ Catalog/popcorn/OAI41.cell | 16 ++++++++++++++ Catalog/popcorn/OAI42.cell | 18 ++++++++++++++++ Catalog/popcorn/OAI43.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAAOAI211121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOAAOI21112.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAAOI21113.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOAAOI21122.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOAAOI22112.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOAI2111.cell | 16 ++++++++++++++ Catalog/popcorn/OAOAI2112.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOAI2121.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOAI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAI2211.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAI3111.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOAI3112.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAI3211.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAOAI211111.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAOI21111.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOAOI21121.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAOI22111.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOAOI32111.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOAOOAI211112.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOI211.cell | 14 ++++++++++++ Catalog/popcorn/OAOI212.cell | 16 ++++++++++++++ Catalog/popcorn/OAOI221.cell | 16 ++++++++++++++ Catalog/popcorn/OAOI222.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOI231.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOI232.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOI311.cell | 16 ++++++++++++++ Catalog/popcorn/OAOI321.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOOAAOI21122.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAAOI21132.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAOOAI2112.cell | 18 ++++++++++++++++ Catalog/popcorn/OAOOAI21121.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOOAI2113.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOOAI21131.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAI2114.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAI21141.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAOOAI2122.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOOAI21221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAI2123.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOOAI2213.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAI3112.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOOAI31121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAI3113.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAI3212.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAOAI211211.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAOAI211311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAOOAOI21121.cell | 20 +++++++++++++++++ Catalog/popcorn/OAOOAOI211211.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAOI21131.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOAOI211311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OAOOAOI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOOAI21122.cell | 22 +++++++++++++++++++ Catalog/popcorn/OAOOOAI21132.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAAOI22132.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAAOI2232.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAAOI22212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOAI221211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAI22131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAI221311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAAOAI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOAI2231.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOAI22311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOAOAI222111.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAOI221211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAOI221311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOAOI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOAOI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOAOI22311.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAAOI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOI2213.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOI22131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOI2214.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOI22141.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOI222.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOI223.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAAOI2231.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOI224.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOI2241.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOI3212.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOI322.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAAOI323.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOI3312.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOI332.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOOAAOI22222.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOOAAOI22232.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOAAOOAI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOOAI22132.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAAOOAI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOOAI2223.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOOAI22231.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOOAI2224.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOOAI22241.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOAAOOAI2232.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOOAOAI222211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOOAOAI222311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOAAOOAOI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAAOOAOI222211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOOAOI22231.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOOAOI222311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOAAOOOAI22222.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAAOOOAI22232.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOAI22.cell | 14 ++++++++++++ Catalog/popcorn/OOAI221.cell | 16 ++++++++++++++ Catalog/popcorn/OOAI222.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAI32.cell | 16 ++++++++++++++ Catalog/popcorn/OOAI321.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAI322.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAI33.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAI331.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAI332.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAI42.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAI421.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAI422.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAI43.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAI431.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAI44.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAAOI22112.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAI2211.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAOAI22111.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOAI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOAI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAI3211.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOAI32111.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAI3212.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAI3311.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAI33111.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOAOAI221111.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAOI22111.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOAOI221111.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOAOI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOI221.cell | 16 ++++++++++++++ Catalog/popcorn/OOAOI2211.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAOI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOI222.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOI321.cell | 18 ++++++++++++++++ Catalog/popcorn/OOAOI3211.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOI331.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOI3311.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOI3321.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOOAAOI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOOAAOI22132.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAOOAI22112.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOOAI2212.cell | 20 +++++++++++++++++ Catalog/popcorn/OOAOOAI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOOAI2213.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOOAI22131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOOAI2214.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOOAI22141.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOOAI3212.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOOAOAI221211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOOAOAI221311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAOOAOI22121.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOAOOAOI221211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOOAOI22131.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOOAOI221311.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOAOOOAI22122.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOAOOOAI22132.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAAOI22222.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAAOI22232.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOOAAOAI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAAOAI222211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAOAI22231.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAOAI222311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOOAAOAOI222211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAOAOI222311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOOAAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOOAAOI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAAOI2223.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAAOI22231.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAOI2224.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAOI22241.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOOAAOI3222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAAOI3322.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAOI3332.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOOAAOOAI22222.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAAOOAI22232.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOOAI222.cell | 18 ++++++++++++++++ Catalog/popcorn/OOOAI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OOOAI322.cell | 20 +++++++++++++++++ Catalog/popcorn/OOOAI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOOAI332.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOOAI3321.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAI333.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAI3331.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAI422.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOOAOAI22211.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOOAOAI22221.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAOAI32211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAOAI33211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAOAI33311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOOAOAOI222111.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAOI2221.cell | 20 +++++++++++++++++ Catalog/popcorn/OOOAOI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOOAOI2223.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAOI3221.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOOAOI32211.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAOI3321.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOAOI33211.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAOI3331.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOAOI33311.cell | 28 ++++++++++++++++++++++++ Catalog/popcorn/OOOAOOAI22212.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOOAI2222.cell | 22 +++++++++++++++++++ Catalog/popcorn/OOOOAI3222.cell | 24 +++++++++++++++++++++ Catalog/popcorn/OOOOAI3322.cell | 26 ++++++++++++++++++++++ Catalog/popcorn/OOOOAI3332.cell | 28 ++++++++++++++++++++++++ 645 files changed, 14215 insertions(+) create mode 100644 Catalog/async/ASYNC1.cell create mode 100644 Catalog/async/ASYNC2.cell create mode 100644 Catalog/async/ASYNC3.cell create mode 100644 Catalog/async/MARTIN1989.cell create mode 100644 Catalog/async/sutherland1989.cell create mode 100644 Catalog/async/vanberkel1991.cell create mode 100644 Catalog/padcells/PADINOUT.cell create mode 100644 Catalog/popcorn/AAAAOAI22221.cell create mode 100644 Catalog/popcorn/AAAAOI2222.cell create mode 100644 Catalog/popcorn/AAAAOI3322.cell create mode 100644 Catalog/popcorn/AAAAOI3332.cell create mode 100644 Catalog/popcorn/AAAOAAOI22212.cell create mode 100644 Catalog/popcorn/AAAOAI2221.cell create mode 100644 Catalog/popcorn/AAAOAI22211.cell create mode 100644 Catalog/popcorn/AAAOAI22212.cell create mode 100644 Catalog/popcorn/AAAOAI2222.cell create mode 100644 Catalog/popcorn/AAAOAI3221.cell create mode 100644 Catalog/popcorn/AAAOAI32211.cell create mode 100644 Catalog/popcorn/AAAOAI3321.cell create mode 100644 Catalog/popcorn/AAAOAI33211.cell create mode 100644 Catalog/popcorn/AAAOAI3322.cell create mode 100644 Catalog/popcorn/AAAOAI3331.cell create mode 100644 Catalog/popcorn/AAAOAI33311.cell create mode 100644 Catalog/popcorn/AAAOAOAI222111.cell create mode 100644 Catalog/popcorn/AAAOAOI22211.cell create mode 100644 Catalog/popcorn/AAAOAOI22221.cell create mode 100644 Catalog/popcorn/AAAOAOI32211.cell create mode 100644 Catalog/popcorn/AAAOAOI33211.cell create mode 100644 Catalog/popcorn/AAAOAOI33311.cell create mode 100644 Catalog/popcorn/AAAOI222.cell create mode 100644 Catalog/popcorn/AAAOI2221.cell create mode 100644 Catalog/popcorn/AAAOI322.cell create mode 100644 Catalog/popcorn/AAAOI3221.cell create mode 100644 Catalog/popcorn/AAAOI3222.cell create mode 100644 Catalog/popcorn/AAAOI332.cell create mode 100644 Catalog/popcorn/AAAOI3321.cell create mode 100644 Catalog/popcorn/AAAOI333.cell create mode 100644 Catalog/popcorn/AAAOI3331.cell create mode 100644 Catalog/popcorn/AAAOOAAOI22222.cell create mode 100644 Catalog/popcorn/AAAOOAI22212.cell create mode 100644 Catalog/popcorn/AAAOOAI2222.cell create mode 100644 Catalog/popcorn/AAAOOAI22221.cell create mode 100644 Catalog/popcorn/AAAOOAI2223.cell create mode 100644 Catalog/popcorn/AAAOOAI22231.cell create mode 100644 Catalog/popcorn/AAAOOAI2224.cell create mode 100644 Catalog/popcorn/AAAOOAI3222.cell create mode 100644 Catalog/popcorn/AAAOOAI3322.cell create mode 100644 Catalog/popcorn/AAAOOAI3332.cell create mode 100644 Catalog/popcorn/AAAOOAOAI222211.cell create mode 100644 Catalog/popcorn/AAAOOAOI22221.cell create mode 100644 Catalog/popcorn/AAAOOAOI222211.cell create mode 100644 Catalog/popcorn/AAAOOAOI22231.cell create mode 100644 Catalog/popcorn/AAAOOOAI22222.cell create mode 100644 Catalog/popcorn/AAOAAAOI22122.cell create mode 100644 Catalog/popcorn/AAOAAAOI22132.cell create mode 100644 Catalog/popcorn/AAOAAOAI221121.cell create mode 100644 Catalog/popcorn/AAOAAOAI22121.cell create mode 100644 Catalog/popcorn/AAOAAOAI221211.cell create mode 100644 Catalog/popcorn/AAOAAOAI22122.cell create mode 100644 Catalog/popcorn/AAOAAOAI22131.cell create mode 100644 Catalog/popcorn/AAOAAOAI221311.cell create mode 100644 Catalog/popcorn/AAOAAOAOI221211.cell create mode 100644 Catalog/popcorn/AAOAAOAOI221311.cell create mode 100644 Catalog/popcorn/AAOAAOI22112.cell create mode 100644 Catalog/popcorn/AAOAAOI22113.cell create mode 100644 Catalog/popcorn/AAOAAOI2212.cell create mode 100644 Catalog/popcorn/AAOAAOI22121.cell create mode 100644 Catalog/popcorn/AAOAAOI22122.cell create mode 100644 Catalog/popcorn/AAOAAOI2213.cell create mode 100644 Catalog/popcorn/AAOAAOI22131.cell create mode 100644 Catalog/popcorn/AAOAAOI2214.cell create mode 100644 Catalog/popcorn/AAOAAOI22141.cell create mode 100644 Catalog/popcorn/AAOAAOI2222.cell create mode 100644 Catalog/popcorn/AAOAAOI22221.cell create mode 100644 Catalog/popcorn/AAOAAOI2223.cell create mode 100644 Catalog/popcorn/AAOAAOI3212.cell create mode 100644 Catalog/popcorn/AAOAAOOAI22122.cell create mode 100644 Catalog/popcorn/AAOAAOOAI22132.cell create mode 100644 Catalog/popcorn/AAOAI221.cell create mode 100644 Catalog/popcorn/AAOAI2211.cell create mode 100644 Catalog/popcorn/AAOAI2212.cell create mode 100644 Catalog/popcorn/AAOAI222.cell create mode 100644 Catalog/popcorn/AAOAI2221.cell create mode 100644 Catalog/popcorn/AAOAI2222.cell create mode 100644 Catalog/popcorn/AAOAI321.cell create mode 100644 Catalog/popcorn/AAOAI3211.cell create mode 100644 Catalog/popcorn/AAOAI3221.cell create mode 100644 Catalog/popcorn/AAOAI331.cell create mode 100644 Catalog/popcorn/AAOAI3311.cell create mode 100644 Catalog/popcorn/AAOAI3321.cell create mode 100644 Catalog/popcorn/AAOAOAAOI221112.cell create mode 100644 Catalog/popcorn/AAOAOAI22111.cell create mode 100644 Catalog/popcorn/AAOAOAI221111.cell create mode 100644 Catalog/popcorn/AAOAOAI22121.cell create mode 100644 Catalog/popcorn/AAOAOAOI221111.cell create mode 100644 Catalog/popcorn/AAOAOI2211.cell create mode 100644 Catalog/popcorn/AAOAOI22111.cell create mode 100644 Catalog/popcorn/AAOAOI2212.cell create mode 100644 Catalog/popcorn/AAOAOI22121.cell create mode 100644 Catalog/popcorn/AAOAOI2221.cell create mode 100644 Catalog/popcorn/AAOAOI2222.cell create mode 100644 Catalog/popcorn/AAOAOI3211.cell create mode 100644 Catalog/popcorn/AAOAOI32111.cell create mode 100644 Catalog/popcorn/AAOAOI3212.cell create mode 100644 Catalog/popcorn/AAOAOI3311.cell create mode 100644 Catalog/popcorn/AAOAOI33111.cell create mode 100644 Catalog/popcorn/AAOAOOAI221112.cell create mode 100644 Catalog/popcorn/AAOAOOAI22112.cell create mode 100644 Catalog/popcorn/AAOAOOAI22113.cell create mode 100644 Catalog/popcorn/AAOAOOAI22122.cell create mode 100644 Catalog/popcorn/AAOAOOAOI221121.cell create mode 100644 Catalog/popcorn/AAOI22.cell create mode 100644 Catalog/popcorn/AAOI221.cell create mode 100644 Catalog/popcorn/AAOI222.cell create mode 100644 Catalog/popcorn/AAOI32.cell create mode 100644 Catalog/popcorn/AAOI321.cell create mode 100644 Catalog/popcorn/AAOI322.cell create mode 100644 Catalog/popcorn/AAOI33.cell create mode 100644 Catalog/popcorn/AAOI331.cell create mode 100644 Catalog/popcorn/AAOI332.cell create mode 100644 Catalog/popcorn/AAOI42.cell create mode 100644 Catalog/popcorn/AAOI421.cell create mode 100644 Catalog/popcorn/AAOI43.cell create mode 100644 Catalog/popcorn/AAOI44.cell create mode 100644 Catalog/popcorn/AAOOAAAOI22222.cell create mode 100644 Catalog/popcorn/AAOOAAAOI22232.cell create mode 100644 Catalog/popcorn/AAOOAAOAI22221.cell create mode 100644 Catalog/popcorn/AAOOAAOAI222211.cell create mode 100644 Catalog/popcorn/AAOOAAOAI22231.cell create mode 100644 Catalog/popcorn/AAOOAAOAI222311.cell create mode 100644 Catalog/popcorn/AAOOAAOAOI222211.cell create mode 100644 Catalog/popcorn/AAOOAAOAOI222311.cell create mode 100644 Catalog/popcorn/AAOOAAOI22122.cell create mode 100644 Catalog/popcorn/AAOOAAOI22132.cell create mode 100644 Catalog/popcorn/AAOOAAOI22212.cell create mode 100644 Catalog/popcorn/AAOOAAOI2222.cell create mode 100644 Catalog/popcorn/AAOOAAOI22221.cell create mode 100644 Catalog/popcorn/AAOOAAOI2223.cell create mode 100644 Catalog/popcorn/AAOOAAOI22231.cell create mode 100644 Catalog/popcorn/AAOOAAOI2224.cell create mode 100644 Catalog/popcorn/AAOOAAOI22241.cell create mode 100644 Catalog/popcorn/AAOOAAOI2232.cell create mode 100644 Catalog/popcorn/AAOOAAOOAI22222.cell create mode 100644 Catalog/popcorn/AAOOAAOOAI22232.cell create mode 100644 Catalog/popcorn/AAOOAI2212.cell create mode 100644 Catalog/popcorn/AAOOAI22121.cell create mode 100644 Catalog/popcorn/AAOOAI2213.cell create mode 100644 Catalog/popcorn/AAOOAI22131.cell create mode 100644 Catalog/popcorn/AAOOAI2214.cell create mode 100644 Catalog/popcorn/AAOOAI22141.cell create mode 100644 Catalog/popcorn/AAOOAI222.cell create mode 100644 Catalog/popcorn/AAOOAI2221.cell create mode 100644 Catalog/popcorn/AAOOAI2222.cell create mode 100644 Catalog/popcorn/AAOOAI22221.cell create mode 100644 Catalog/popcorn/AAOOAI2223.cell create mode 100644 Catalog/popcorn/AAOOAI223.cell create mode 100644 Catalog/popcorn/AAOOAI2231.cell create mode 100644 Catalog/popcorn/AAOOAI224.cell create mode 100644 Catalog/popcorn/AAOOAI2241.cell create mode 100644 Catalog/popcorn/AAOOAI3212.cell create mode 100644 Catalog/popcorn/AAOOAI322.cell create mode 100644 Catalog/popcorn/AAOOAI323.cell create mode 100644 Catalog/popcorn/AAOOAI3312.cell create mode 100644 Catalog/popcorn/AAOOAI332.cell create mode 100644 Catalog/popcorn/AAOOAOAI221211.cell create mode 100644 Catalog/popcorn/AAOOAOAI221311.cell create mode 100644 Catalog/popcorn/AAOOAOAI22211.cell create mode 100644 Catalog/popcorn/AAOOAOAI22221.cell create mode 100644 Catalog/popcorn/AAOOAOAI22311.cell create mode 100644 Catalog/popcorn/AAOOAOAOI222111.cell create mode 100644 Catalog/popcorn/AAOOAOI22121.cell create mode 100644 Catalog/popcorn/AAOOAOI221211.cell create mode 100644 Catalog/popcorn/AAOOAOI22131.cell create mode 100644 Catalog/popcorn/AAOOAOI221311.cell create mode 100644 Catalog/popcorn/AAOOAOI2221.cell create mode 100644 Catalog/popcorn/AAOOAOI22211.cell create mode 100644 Catalog/popcorn/AAOOAOI22212.cell create mode 100644 Catalog/popcorn/AAOOAOI2222.cell create mode 100644 Catalog/popcorn/AAOOAOI2231.cell create mode 100644 Catalog/popcorn/AAOOAOI22311.cell create mode 100644 Catalog/popcorn/AAOOAOI3221.cell create mode 100644 Catalog/popcorn/AAOOAOOAI22212.cell create mode 100644 Catalog/popcorn/AAOOOAI22122.cell create mode 100644 Catalog/popcorn/AAOOOAI22132.cell create mode 100644 Catalog/popcorn/AAOOOAI2222.cell create mode 100644 Catalog/popcorn/AAOOOAI2232.cell create mode 100644 Catalog/popcorn/AAOOOAOI22221.cell create mode 100644 Catalog/popcorn/AOAAAOAI211221.cell create mode 100644 Catalog/popcorn/AOAAAOI21122.cell create mode 100644 Catalog/popcorn/AOAAAOI21132.cell create mode 100644 Catalog/popcorn/AOAAAOI21222.cell create mode 100644 Catalog/popcorn/AOAAOAAOI211212.cell create mode 100644 Catalog/popcorn/AOAAOAI21121.cell create mode 100644 Catalog/popcorn/AOAAOAI211211.cell create mode 100644 Catalog/popcorn/AOAAOAI21131.cell create mode 100644 Catalog/popcorn/AOAAOAI211311.cell create mode 100644 Catalog/popcorn/AOAAOAOI211211.cell create mode 100644 Catalog/popcorn/AOAAOAOI211311.cell create mode 100644 Catalog/popcorn/AOAAOI21112.cell create mode 100644 Catalog/popcorn/AOAAOI2112.cell create mode 100644 Catalog/popcorn/AOAAOI21121.cell create mode 100644 Catalog/popcorn/AOAAOI2113.cell create mode 100644 Catalog/popcorn/AOAAOI21131.cell create mode 100644 Catalog/popcorn/AOAAOI2114.cell create mode 100644 Catalog/popcorn/AOAAOI21141.cell create mode 100644 Catalog/popcorn/AOAAOI2122.cell create mode 100644 Catalog/popcorn/AOAAOI21221.cell create mode 100644 Catalog/popcorn/AOAAOI2123.cell create mode 100644 Catalog/popcorn/AOAAOI21231.cell create mode 100644 Catalog/popcorn/AOAAOI2124.cell create mode 100644 Catalog/popcorn/AOAAOI2212.cell create mode 100644 Catalog/popcorn/AOAAOI3112.cell create mode 100644 Catalog/popcorn/AOAAOI31121.cell create mode 100644 Catalog/popcorn/AOAAOI3113.cell create mode 100644 Catalog/popcorn/AOAAOI3212.cell create mode 100644 Catalog/popcorn/AOAAOOAI211212.cell create mode 100644 Catalog/popcorn/AOAAOOAI21122.cell create mode 100644 Catalog/popcorn/AOAAOOAI21123.cell create mode 100644 Catalog/popcorn/AOAAOOAI21132.cell create mode 100644 Catalog/popcorn/AOAAOOAOI211221.cell create mode 100644 Catalog/popcorn/AOAI211.cell create mode 100644 Catalog/popcorn/AOAI212.cell create mode 100644 Catalog/popcorn/AOAI221.cell create mode 100644 Catalog/popcorn/AOAI222.cell create mode 100644 Catalog/popcorn/AOAI231.cell create mode 100644 Catalog/popcorn/AOAI232.cell create mode 100644 Catalog/popcorn/AOAI311.cell create mode 100644 Catalog/popcorn/AOAI321.cell create mode 100644 Catalog/popcorn/AOAI331.cell create mode 100644 Catalog/popcorn/AOAOAAOI211112.cell create mode 100644 Catalog/popcorn/AOAOAAOI211113.cell create mode 100644 Catalog/popcorn/AOAOAI21111.cell create mode 100644 Catalog/popcorn/AOAOAI21121.cell create mode 100644 Catalog/popcorn/AOAOAI22111.cell create mode 100644 Catalog/popcorn/AOAOAOI211111.cell create mode 100644 Catalog/popcorn/AOAOI2111.cell create mode 100644 Catalog/popcorn/AOAOI2112.cell create mode 100644 Catalog/popcorn/AOAOI2121.cell create mode 100644 Catalog/popcorn/AOAOI2122.cell create mode 100644 Catalog/popcorn/AOAOI2211.cell create mode 100644 Catalog/popcorn/AOAOI2221.cell create mode 100644 Catalog/popcorn/AOAOI3111.cell create mode 100644 Catalog/popcorn/AOAOI3112.cell create mode 100644 Catalog/popcorn/AOAOI3211.cell create mode 100644 Catalog/popcorn/AOAOOAAOI211122.cell create mode 100644 Catalog/popcorn/AOAOOAI21112.cell create mode 100644 Catalog/popcorn/AOAOOAI21113.cell create mode 100644 Catalog/popcorn/AOAOOAI21114.cell create mode 100644 Catalog/popcorn/AOAOOAI21122.cell create mode 100644 Catalog/popcorn/AOAOOAI21123.cell create mode 100644 Catalog/popcorn/AOAOOAOI211121.cell create mode 100644 Catalog/popcorn/AOAOOAOI211131.cell create mode 100644 Catalog/popcorn/AOI21.cell create mode 100644 Catalog/popcorn/AOI22.cell create mode 100644 Catalog/popcorn/AOI23.cell create mode 100644 Catalog/popcorn/AOI31.cell create mode 100644 Catalog/popcorn/AOI32.cell create mode 100644 Catalog/popcorn/AOI33.cell create mode 100644 Catalog/popcorn/AOI41.cell create mode 100644 Catalog/popcorn/AOI42.cell create mode 100644 Catalog/popcorn/AOI43.cell create mode 100644 Catalog/popcorn/AOOAAAOI21222.cell create mode 100644 Catalog/popcorn/AOOAAAOI21232.cell create mode 100644 Catalog/popcorn/AOOAAOAI21221.cell create mode 100644 Catalog/popcorn/AOOAAOAI212211.cell create mode 100644 Catalog/popcorn/AOOAAOAI21231.cell create mode 100644 Catalog/popcorn/AOOAAOAI212311.cell create mode 100644 Catalog/popcorn/AOOAAOAI21321.cell create mode 100644 Catalog/popcorn/AOOAAOAOI212211.cell create mode 100644 Catalog/popcorn/AOOAAOAOI212311.cell create mode 100644 Catalog/popcorn/AOOAAOI21212.cell create mode 100644 Catalog/popcorn/AOOAAOI212121.cell create mode 100644 Catalog/popcorn/AOOAAOI21213.cell create mode 100644 Catalog/popcorn/AOOAAOI2122.cell create mode 100644 Catalog/popcorn/AOOAAOI21221.cell create mode 100644 Catalog/popcorn/AOOAAOI2123.cell create mode 100644 Catalog/popcorn/AOOAAOI21231.cell create mode 100644 Catalog/popcorn/AOOAAOI2124.cell create mode 100644 Catalog/popcorn/AOOAAOI21241.cell create mode 100644 Catalog/popcorn/AOOAAOI21312.cell create mode 100644 Catalog/popcorn/AOOAAOI2132.cell create mode 100644 Catalog/popcorn/AOOAAOI21321.cell create mode 100644 Catalog/popcorn/AOOAAOI2133.cell create mode 100644 Catalog/popcorn/AOOAAOI2222.cell create mode 100644 Catalog/popcorn/AOOAAOI3122.cell create mode 100644 Catalog/popcorn/AOOAAOOAI21222.cell create mode 100644 Catalog/popcorn/AOOAAOOAI21232.cell create mode 100644 Catalog/popcorn/AOOAI212.cell create mode 100644 Catalog/popcorn/AOOAI2121.cell create mode 100644 Catalog/popcorn/AOOAI213.cell create mode 100644 Catalog/popcorn/AOOAI2131.cell create mode 100644 Catalog/popcorn/AOOAI214.cell create mode 100644 Catalog/popcorn/AOOAI2141.cell create mode 100644 Catalog/popcorn/AOOAI222.cell create mode 100644 Catalog/popcorn/AOOAI2221.cell create mode 100644 Catalog/popcorn/AOOAI223.cell create mode 100644 Catalog/popcorn/AOOAI232.cell create mode 100644 Catalog/popcorn/AOOAI2321.cell create mode 100644 Catalog/popcorn/AOOAI233.cell create mode 100644 Catalog/popcorn/AOOAI2331.cell create mode 100644 Catalog/popcorn/AOOAI234.cell create mode 100644 Catalog/popcorn/AOOAI312.cell create mode 100644 Catalog/popcorn/AOOAI313.cell create mode 100644 Catalog/popcorn/AOOAI314.cell create mode 100644 Catalog/popcorn/AOOAI322.cell create mode 100644 Catalog/popcorn/AOOAI323.cell create mode 100644 Catalog/popcorn/AOOAI332.cell create mode 100644 Catalog/popcorn/AOOAOAAOI212112.cell create mode 100644 Catalog/popcorn/AOOAOAI21211.cell create mode 100644 Catalog/popcorn/AOOAOAI21221.cell create mode 100644 Catalog/popcorn/AOOAOAI21311.cell create mode 100644 Catalog/popcorn/AOOAOAI22211.cell create mode 100644 Catalog/popcorn/AOOAOAOI212111.cell create mode 100644 Catalog/popcorn/AOOAOI2121.cell create mode 100644 Catalog/popcorn/AOOAOI21211.cell create mode 100644 Catalog/popcorn/AOOAOI21212.cell create mode 100644 Catalog/popcorn/AOOAOI2122.cell create mode 100644 Catalog/popcorn/AOOAOI2131.cell create mode 100644 Catalog/popcorn/AOOAOI21311.cell create mode 100644 Catalog/popcorn/AOOAOI2221.cell create mode 100644 Catalog/popcorn/AOOAOI22211.cell create mode 100644 Catalog/popcorn/AOOAOI3121.cell create mode 100644 Catalog/popcorn/AOOAOI3122.cell create mode 100644 Catalog/popcorn/AOOAOI3131.cell create mode 100644 Catalog/popcorn/AOOAOI3221.cell create mode 100644 Catalog/popcorn/AOOAOOAI21212.cell create mode 100644 Catalog/popcorn/AOOAOOAI21213.cell create mode 100644 Catalog/popcorn/AOOAOOAI21222.cell create mode 100644 Catalog/popcorn/AOOAOOAI21312.cell create mode 100644 Catalog/popcorn/AOOAOOAOI212121.cell create mode 100644 Catalog/popcorn/AOOOAAOI21222.cell create mode 100644 Catalog/popcorn/AOOOAI2122.cell create mode 100644 Catalog/popcorn/AOOOAI2132.cell create mode 100644 Catalog/popcorn/AOOOAI2133.cell create mode 100644 Catalog/popcorn/AOOOAI2142.cell create mode 100644 Catalog/popcorn/AOOOAI2222.cell create mode 100644 Catalog/popcorn/AOOOAI2322.cell create mode 100644 Catalog/popcorn/AOOOAOI21221.cell create mode 100644 Catalog/popcorn/AOOOAOI21222.cell create mode 100644 Catalog/popcorn/AOOOAOI21321.cell create mode 100644 Catalog/popcorn/NAND2.cell create mode 100644 Catalog/popcorn/NAND3.cell create mode 100644 Catalog/popcorn/NAND4.cell create mode 100644 Catalog/popcorn/NOR2.cell create mode 100644 Catalog/popcorn/NOR3.cell create mode 100644 Catalog/popcorn/NOR4.cell create mode 100644 Catalog/popcorn/OAAAOAI21221.cell create mode 100644 Catalog/popcorn/OAAAOI2122.cell create mode 100644 Catalog/popcorn/OAAAOI2132.cell create mode 100644 Catalog/popcorn/OAAAOI2222.cell create mode 100644 Catalog/popcorn/OAAAOI2232.cell create mode 100644 Catalog/popcorn/OAAOAAOI21212.cell create mode 100644 Catalog/popcorn/OAAOAI2121.cell create mode 100644 Catalog/popcorn/OAAOAI21211.cell create mode 100644 Catalog/popcorn/OAAOAI21212.cell create mode 100644 Catalog/popcorn/OAAOAI2122.cell create mode 100644 Catalog/popcorn/OAAOAI2131.cell create mode 100644 Catalog/popcorn/OAAOAI21311.cell create mode 100644 Catalog/popcorn/OAAOAI2221.cell create mode 100644 Catalog/popcorn/OAAOAI22211.cell create mode 100644 Catalog/popcorn/OAAOAI2231.cell create mode 100644 Catalog/popcorn/OAAOAI22311.cell create mode 100644 Catalog/popcorn/OAAOAI3121.cell create mode 100644 Catalog/popcorn/OAAOAI3122.cell create mode 100644 Catalog/popcorn/OAAOAI3131.cell create mode 100644 Catalog/popcorn/OAAOAI3221.cell create mode 100644 Catalog/popcorn/OAAOAOAI212111.cell create mode 100644 Catalog/popcorn/OAAOAOI21211.cell create mode 100644 Catalog/popcorn/OAAOAOI21221.cell create mode 100644 Catalog/popcorn/OAAOAOI21311.cell create mode 100644 Catalog/popcorn/OAAOAOI22211.cell create mode 100644 Catalog/popcorn/OAAOAOI22311.cell create mode 100644 Catalog/popcorn/OAAOI212.cell create mode 100644 Catalog/popcorn/OAAOI2121.cell create mode 100644 Catalog/popcorn/OAAOI213.cell create mode 100644 Catalog/popcorn/OAAOI2131.cell create mode 100644 Catalog/popcorn/OAAOI214.cell create mode 100644 Catalog/popcorn/OAAOI2141.cell create mode 100644 Catalog/popcorn/OAAOI222.cell create mode 100644 Catalog/popcorn/OAAOI2221.cell create mode 100644 Catalog/popcorn/OAAOI223.cell create mode 100644 Catalog/popcorn/OAAOI2231.cell create mode 100644 Catalog/popcorn/OAAOI224.cell create mode 100644 Catalog/popcorn/OAAOI2241.cell create mode 100644 Catalog/popcorn/OAAOI232.cell create mode 100644 Catalog/popcorn/OAAOI233.cell create mode 100644 Catalog/popcorn/OAAOI312.cell create mode 100644 Catalog/popcorn/OAAOI313.cell create mode 100644 Catalog/popcorn/OAAOI314.cell create mode 100644 Catalog/popcorn/OAAOI322.cell create mode 100644 Catalog/popcorn/OAAOI323.cell create mode 100644 Catalog/popcorn/OAAOOAAOI21222.cell create mode 100644 Catalog/popcorn/OAAOOAAOI21232.cell create mode 100644 Catalog/popcorn/OAAOOAI21212.cell create mode 100644 Catalog/popcorn/OAAOOAI2122.cell create mode 100644 Catalog/popcorn/OAAOOAI21221.cell create mode 100644 Catalog/popcorn/OAAOOAI2123.cell create mode 100644 Catalog/popcorn/OAAOOAI21231.cell create mode 100644 Catalog/popcorn/OAAOOAI2124.cell create mode 100644 Catalog/popcorn/OAAOOAI21241.cell create mode 100644 Catalog/popcorn/OAAOOAI2132.cell create mode 100644 Catalog/popcorn/OAAOOAI2222.cell create mode 100644 Catalog/popcorn/OAAOOAI2232.cell create mode 100644 Catalog/popcorn/OAAOOAI3122.cell create mode 100644 Catalog/popcorn/OAAOOAOAI212211.cell create mode 100644 Catalog/popcorn/OAAOOAOAI212311.cell create mode 100644 Catalog/popcorn/OAAOOAOI21221.cell create mode 100644 Catalog/popcorn/OAAOOAOI212211.cell create mode 100644 Catalog/popcorn/OAAOOAOI21231.cell create mode 100644 Catalog/popcorn/OAAOOAOI212311.cell create mode 100644 Catalog/popcorn/OAAOOAOI21241.cell create mode 100644 Catalog/popcorn/OAAOOOAI21222.cell create mode 100644 Catalog/popcorn/OAAOOOAI21232.cell create mode 100644 Catalog/popcorn/OAI21.cell create mode 100644 Catalog/popcorn/OAI22.cell create mode 100644 Catalog/popcorn/OAI23.cell create mode 100644 Catalog/popcorn/OAI31.cell create mode 100644 Catalog/popcorn/OAI32.cell create mode 100644 Catalog/popcorn/OAI33.cell create mode 100644 Catalog/popcorn/OAI41.cell create mode 100644 Catalog/popcorn/OAI42.cell create mode 100644 Catalog/popcorn/OAI43.cell create mode 100644 Catalog/popcorn/OAOAAOAI211121.cell create mode 100644 Catalog/popcorn/OAOAAOI21112.cell create mode 100644 Catalog/popcorn/OAOAAOI21113.cell create mode 100644 Catalog/popcorn/OAOAAOI21122.cell create mode 100644 Catalog/popcorn/OAOAAOI22112.cell create mode 100644 Catalog/popcorn/OAOAI2111.cell create mode 100644 Catalog/popcorn/OAOAI2112.cell create mode 100644 Catalog/popcorn/OAOAI2121.cell create mode 100644 Catalog/popcorn/OAOAI2122.cell create mode 100644 Catalog/popcorn/OAOAI2211.cell create mode 100644 Catalog/popcorn/OAOAI2221.cell create mode 100644 Catalog/popcorn/OAOAI3111.cell create mode 100644 Catalog/popcorn/OAOAI3112.cell create mode 100644 Catalog/popcorn/OAOAI3211.cell create mode 100644 Catalog/popcorn/OAOAOAI211111.cell create mode 100644 Catalog/popcorn/OAOAOI21111.cell create mode 100644 Catalog/popcorn/OAOAOI21121.cell create mode 100644 Catalog/popcorn/OAOAOI22111.cell create mode 100644 Catalog/popcorn/OAOAOI32111.cell create mode 100644 Catalog/popcorn/OAOAOOAI211112.cell create mode 100644 Catalog/popcorn/OAOI211.cell create mode 100644 Catalog/popcorn/OAOI212.cell create mode 100644 Catalog/popcorn/OAOI221.cell create mode 100644 Catalog/popcorn/OAOI222.cell create mode 100644 Catalog/popcorn/OAOI231.cell create mode 100644 Catalog/popcorn/OAOI232.cell create mode 100644 Catalog/popcorn/OAOI311.cell create mode 100644 Catalog/popcorn/OAOI321.cell create mode 100644 Catalog/popcorn/OAOOAAOI21122.cell create mode 100644 Catalog/popcorn/OAOOAAOI21132.cell create mode 100644 Catalog/popcorn/OAOOAI2112.cell create mode 100644 Catalog/popcorn/OAOOAI21121.cell create mode 100644 Catalog/popcorn/OAOOAI2113.cell create mode 100644 Catalog/popcorn/OAOOAI21131.cell create mode 100644 Catalog/popcorn/OAOOAI2114.cell create mode 100644 Catalog/popcorn/OAOOAI21141.cell create mode 100644 Catalog/popcorn/OAOOAI2122.cell create mode 100644 Catalog/popcorn/OAOOAI21221.cell create mode 100644 Catalog/popcorn/OAOOAI2123.cell create mode 100644 Catalog/popcorn/OAOOAI2212.cell create mode 100644 Catalog/popcorn/OAOOAI2213.cell create mode 100644 Catalog/popcorn/OAOOAI2222.cell create mode 100644 Catalog/popcorn/OAOOAI3112.cell create mode 100644 Catalog/popcorn/OAOOAI31121.cell create mode 100644 Catalog/popcorn/OAOOAI3113.cell create mode 100644 Catalog/popcorn/OAOOAI3212.cell create mode 100644 Catalog/popcorn/OAOOAOAI211211.cell create mode 100644 Catalog/popcorn/OAOOAOAI211311.cell create mode 100644 Catalog/popcorn/OAOOAOI21121.cell create mode 100644 Catalog/popcorn/OAOOAOI211211.cell create mode 100644 Catalog/popcorn/OAOOAOI21131.cell create mode 100644 Catalog/popcorn/OAOOAOI211311.cell create mode 100644 Catalog/popcorn/OAOOAOI22121.cell create mode 100644 Catalog/popcorn/OAOOOAI21122.cell create mode 100644 Catalog/popcorn/OAOOOAI21132.cell create mode 100644 Catalog/popcorn/OOAAAOI22132.cell create mode 100644 Catalog/popcorn/OOAAAOI2222.cell create mode 100644 Catalog/popcorn/OOAAAOI2232.cell create mode 100644 Catalog/popcorn/OOAAOAAOI22212.cell create mode 100644 Catalog/popcorn/OOAAOAI22121.cell create mode 100644 Catalog/popcorn/OOAAOAI221211.cell create mode 100644 Catalog/popcorn/OOAAOAI22122.cell create mode 100644 Catalog/popcorn/OOAAOAI22131.cell create mode 100644 Catalog/popcorn/OOAAOAI221311.cell create mode 100644 Catalog/popcorn/OOAAOAI2221.cell create mode 100644 Catalog/popcorn/OOAAOAI22211.cell create mode 100644 Catalog/popcorn/OOAAOAI2222.cell create mode 100644 Catalog/popcorn/OOAAOAI2231.cell create mode 100644 Catalog/popcorn/OOAAOAI22311.cell create mode 100644 Catalog/popcorn/OOAAOAI3221.cell create mode 100644 Catalog/popcorn/OOAAOAOAI222111.cell create mode 100644 Catalog/popcorn/OOAAOAOI221211.cell create mode 100644 Catalog/popcorn/OOAAOAOI221311.cell create mode 100644 Catalog/popcorn/OOAAOAOI22211.cell create mode 100644 Catalog/popcorn/OOAAOAOI22221.cell create mode 100644 Catalog/popcorn/OOAAOAOI22311.cell create mode 100644 Catalog/popcorn/OOAAOI2212.cell create mode 100644 Catalog/popcorn/OOAAOI22121.cell create mode 100644 Catalog/popcorn/OOAAOI2213.cell create mode 100644 Catalog/popcorn/OOAAOI22131.cell create mode 100644 Catalog/popcorn/OOAAOI2214.cell create mode 100644 Catalog/popcorn/OOAAOI22141.cell create mode 100644 Catalog/popcorn/OOAAOI222.cell create mode 100644 Catalog/popcorn/OOAAOI2221.cell create mode 100644 Catalog/popcorn/OOAAOI2222.cell create mode 100644 Catalog/popcorn/OOAAOI223.cell create mode 100644 Catalog/popcorn/OOAAOI2231.cell create mode 100644 Catalog/popcorn/OOAAOI224.cell create mode 100644 Catalog/popcorn/OOAAOI2241.cell create mode 100644 Catalog/popcorn/OOAAOI3212.cell create mode 100644 Catalog/popcorn/OOAAOI322.cell create mode 100644 Catalog/popcorn/OOAAOI323.cell create mode 100644 Catalog/popcorn/OOAAOI3312.cell create mode 100644 Catalog/popcorn/OOAAOI332.cell create mode 100644 Catalog/popcorn/OOAAOOAAOI22222.cell create mode 100644 Catalog/popcorn/OOAAOOAAOI22232.cell create mode 100644 Catalog/popcorn/OOAAOOAI22122.cell create mode 100644 Catalog/popcorn/OOAAOOAI22132.cell create mode 100644 Catalog/popcorn/OOAAOOAI2222.cell create mode 100644 Catalog/popcorn/OOAAOOAI22221.cell create mode 100644 Catalog/popcorn/OOAAOOAI2223.cell create mode 100644 Catalog/popcorn/OOAAOOAI22231.cell create mode 100644 Catalog/popcorn/OOAAOOAI2224.cell create mode 100644 Catalog/popcorn/OOAAOOAI22241.cell create mode 100644 Catalog/popcorn/OOAAOOAI2232.cell create mode 100644 Catalog/popcorn/OOAAOOAOAI222211.cell create mode 100644 Catalog/popcorn/OOAAOOAOAI222311.cell create mode 100644 Catalog/popcorn/OOAAOOAOI22221.cell create mode 100644 Catalog/popcorn/OOAAOOAOI222211.cell create mode 100644 Catalog/popcorn/OOAAOOAOI22231.cell create mode 100644 Catalog/popcorn/OOAAOOAOI222311.cell create mode 100644 Catalog/popcorn/OOAAOOOAI22222.cell create mode 100644 Catalog/popcorn/OOAAOOOAI22232.cell create mode 100644 Catalog/popcorn/OOAI22.cell create mode 100644 Catalog/popcorn/OOAI221.cell create mode 100644 Catalog/popcorn/OOAI222.cell create mode 100644 Catalog/popcorn/OOAI32.cell create mode 100644 Catalog/popcorn/OOAI321.cell create mode 100644 Catalog/popcorn/OOAI322.cell create mode 100644 Catalog/popcorn/OOAI33.cell create mode 100644 Catalog/popcorn/OOAI331.cell create mode 100644 Catalog/popcorn/OOAI332.cell create mode 100644 Catalog/popcorn/OOAI42.cell create mode 100644 Catalog/popcorn/OOAI421.cell create mode 100644 Catalog/popcorn/OOAI422.cell create mode 100644 Catalog/popcorn/OOAI43.cell create mode 100644 Catalog/popcorn/OOAI431.cell create mode 100644 Catalog/popcorn/OOAI44.cell create mode 100644 Catalog/popcorn/OOAOAAOI22112.cell create mode 100644 Catalog/popcorn/OOAOAI2211.cell create mode 100644 Catalog/popcorn/OOAOAI22111.cell create mode 100644 Catalog/popcorn/OOAOAI2212.cell create mode 100644 Catalog/popcorn/OOAOAI22121.cell create mode 100644 Catalog/popcorn/OOAOAI2221.cell create mode 100644 Catalog/popcorn/OOAOAI2222.cell create mode 100644 Catalog/popcorn/OOAOAI3211.cell create mode 100644 Catalog/popcorn/OOAOAI32111.cell create mode 100644 Catalog/popcorn/OOAOAI3212.cell create mode 100644 Catalog/popcorn/OOAOAI3311.cell create mode 100644 Catalog/popcorn/OOAOAI33111.cell create mode 100644 Catalog/popcorn/OOAOAOAI221111.cell create mode 100644 Catalog/popcorn/OOAOAOI22111.cell create mode 100644 Catalog/popcorn/OOAOAOI221111.cell create mode 100644 Catalog/popcorn/OOAOAOI22121.cell create mode 100644 Catalog/popcorn/OOAOI221.cell create mode 100644 Catalog/popcorn/OOAOI2211.cell create mode 100644 Catalog/popcorn/OOAOI2212.cell create mode 100644 Catalog/popcorn/OOAOI222.cell create mode 100644 Catalog/popcorn/OOAOI2221.cell create mode 100644 Catalog/popcorn/OOAOI2222.cell create mode 100644 Catalog/popcorn/OOAOI321.cell create mode 100644 Catalog/popcorn/OOAOI3211.cell create mode 100644 Catalog/popcorn/OOAOI3221.cell create mode 100644 Catalog/popcorn/OOAOI331.cell create mode 100644 Catalog/popcorn/OOAOI3311.cell create mode 100644 Catalog/popcorn/OOAOI3321.cell create mode 100644 Catalog/popcorn/OOAOOAAOI22122.cell create mode 100644 Catalog/popcorn/OOAOOAAOI22132.cell create mode 100644 Catalog/popcorn/OOAOOAI22112.cell create mode 100644 Catalog/popcorn/OOAOOAI2212.cell create mode 100644 Catalog/popcorn/OOAOOAI22121.cell create mode 100644 Catalog/popcorn/OOAOOAI2213.cell create mode 100644 Catalog/popcorn/OOAOOAI22131.cell create mode 100644 Catalog/popcorn/OOAOOAI2214.cell create mode 100644 Catalog/popcorn/OOAOOAI22141.cell create mode 100644 Catalog/popcorn/OOAOOAI2222.cell create mode 100644 Catalog/popcorn/OOAOOAI3212.cell create mode 100644 Catalog/popcorn/OOAOOAOAI221211.cell create mode 100644 Catalog/popcorn/OOAOOAOAI221311.cell create mode 100644 Catalog/popcorn/OOAOOAOI22121.cell create mode 100644 Catalog/popcorn/OOAOOAOI221211.cell create mode 100644 Catalog/popcorn/OOAOOAOI22131.cell create mode 100644 Catalog/popcorn/OOAOOAOI221311.cell create mode 100644 Catalog/popcorn/OOAOOOAI22122.cell create mode 100644 Catalog/popcorn/OOAOOOAI22132.cell create mode 100644 Catalog/popcorn/OOOAAAOI22222.cell create mode 100644 Catalog/popcorn/OOOAAAOI22232.cell create mode 100644 Catalog/popcorn/OOOAAOAI22221.cell create mode 100644 Catalog/popcorn/OOOAAOAI222211.cell create mode 100644 Catalog/popcorn/OOOAAOAI22231.cell create mode 100644 Catalog/popcorn/OOOAAOAI222311.cell create mode 100644 Catalog/popcorn/OOOAAOAOI222211.cell create mode 100644 Catalog/popcorn/OOOAAOAOI222311.cell create mode 100644 Catalog/popcorn/OOOAAOI2222.cell create mode 100644 Catalog/popcorn/OOOAAOI22221.cell create mode 100644 Catalog/popcorn/OOOAAOI2223.cell create mode 100644 Catalog/popcorn/OOOAAOI22231.cell create mode 100644 Catalog/popcorn/OOOAAOI2224.cell create mode 100644 Catalog/popcorn/OOOAAOI22241.cell create mode 100644 Catalog/popcorn/OOOAAOI3222.cell create mode 100644 Catalog/popcorn/OOOAAOI3322.cell create mode 100644 Catalog/popcorn/OOOAAOI3332.cell create mode 100644 Catalog/popcorn/OOOAAOOAI22222.cell create mode 100644 Catalog/popcorn/OOOAAOOAI22232.cell create mode 100644 Catalog/popcorn/OOOAI222.cell create mode 100644 Catalog/popcorn/OOOAI2221.cell create mode 100644 Catalog/popcorn/OOOAI322.cell create mode 100644 Catalog/popcorn/OOOAI3221.cell create mode 100644 Catalog/popcorn/OOOAI332.cell create mode 100644 Catalog/popcorn/OOOAI3321.cell create mode 100644 Catalog/popcorn/OOOAI333.cell create mode 100644 Catalog/popcorn/OOOAI3331.cell create mode 100644 Catalog/popcorn/OOOAI422.cell create mode 100644 Catalog/popcorn/OOOAOAI22211.cell create mode 100644 Catalog/popcorn/OOOAOAI22221.cell create mode 100644 Catalog/popcorn/OOOAOAI32211.cell create mode 100644 Catalog/popcorn/OOOAOAI33211.cell create mode 100644 Catalog/popcorn/OOOAOAI33311.cell create mode 100644 Catalog/popcorn/OOOAOAOI222111.cell create mode 100644 Catalog/popcorn/OOOAOI2221.cell create mode 100644 Catalog/popcorn/OOOAOI2222.cell create mode 100644 Catalog/popcorn/OOOAOI2223.cell create mode 100644 Catalog/popcorn/OOOAOI3221.cell create mode 100644 Catalog/popcorn/OOOAOI32211.cell create mode 100644 Catalog/popcorn/OOOAOI3321.cell create mode 100644 Catalog/popcorn/OOOAOI33211.cell create mode 100644 Catalog/popcorn/OOOAOI3331.cell create mode 100644 Catalog/popcorn/OOOAOI33311.cell create mode 100644 Catalog/popcorn/OOOAOOAI22212.cell create mode 100644 Catalog/popcorn/OOOOAI2222.cell create mode 100644 Catalog/popcorn/OOOOAI3222.cell create mode 100644 Catalog/popcorn/OOOOAI3322.cell create mode 100644 Catalog/popcorn/OOOOAI3332.cell diff --git a/Catalog/async/ASYNC1.cell b/Catalog/async/ASYNC1.cell new file mode 100644 index 00000000..67bb09b0 --- /dev/null +++ b/Catalog/async/ASYNC1.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from ASYNC1.spice +.inputs A B +.outputs C CN +.ORDER "MOSFET Gate Drain Source" +nmos B CN net3 +pmos A net4 VDD +pmos B CN net4 +pmos A net1 VDD +pmos B net1 VDD +pmos C CN net1 +pmos CN C VDD +nmos C CN net2 +nmos A net3 GND +nmos B net2 GND +nmos A net2 GND +nmos CN C GND diff --git a/Catalog/async/ASYNC2.cell b/Catalog/async/ASYNC2.cell new file mode 100644 index 00000000..b604db8f --- /dev/null +++ b/Catalog/async/ASYNC2.cell @@ -0,0 +1,12 @@ +.AUTOGENERATED by spice2cell script from ASYNC2.spice +.inputs A B +.outputs C CN +.ORDER "MOSFET Gate Drain Source" +nmos C CN GND +pmos A net1 VDD +pmos B CN net1 +pmos CN C VDD +pmos C CN VDD +nmos B CN net2 +nmos A net2 GND +nmos CN C GND diff --git a/Catalog/async/ASYNC3.cell b/Catalog/async/ASYNC3.cell new file mode 100644 index 00000000..c4ec28a6 --- /dev/null +++ b/Catalog/async/ASYNC3.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from ASYNC3.spice +.inputs A B +.outputs C CN +.ORDER "MOSFET Gate Drain Source" +nmos B CN net2 +nmos A net2 GND +nmos A CN net1 +nmos CN C GND +nmos B net1 GND +nmos C net2 net1 +pmos B CN net3 +pmos A net3 VDD +pmos B net4 VDD +pmos A CN net4 +pmos CN C VDD +pmos C net4 net3 diff --git a/Catalog/async/MARTIN1989.cell b/Catalog/async/MARTIN1989.cell new file mode 100644 index 00000000..02cff51d --- /dev/null +++ b/Catalog/async/MARTIN1989.cell @@ -0,0 +1,12 @@ +.AUTOGENERATED by spice2cell script from martin1989.spice +.inputs A B +.outputs C +.ORDER "MOSFET Gate Drain Source" +nmos B NET2 NET3 +pmos A NET1 VDD +pmos B NET2 NET1 +pmos NET2 C VDD +nmos A NET3 GND +nmos NET2 C GND +pmos C NET2 VDD +nmos C NET2 GND diff --git a/Catalog/async/sutherland1989.cell b/Catalog/async/sutherland1989.cell new file mode 100644 index 00000000..04da3895 --- /dev/null +++ b/Catalog/async/sutherland1989.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from sutherland1989.spice +.inputs B A +.outputs C +.ORDER "MOSFET Gate Drain Source" +nmos B net6 net5 +pmos A net2 VDD +pmos B net6 net2 +pmos A net1 VDD +pmos B net1 VDD +pmos C net3 net1 +pmos net3 C VDD +nmos C net3 net4 +nmos A net5 GND +nmos B net4 GND +nmos A net4 GND +nmos net3 C GND diff --git a/Catalog/async/vanberkel1991.cell b/Catalog/async/vanberkel1991.cell new file mode 100644 index 00000000..cf2ea8b3 --- /dev/null +++ b/Catalog/async/vanberkel1991.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from vanberkel1991.spice +.inputs A B +.outputs C +.ORDER "MOSFET Gate Drain Source" +nmos B net3 net5 +pmos A net2 VDD +pmos B net3 net2 +pmos B net1 VDD +pmos A net3 net1 +pmos net3 C VDD +nmos A net3 net4 +nmos A net5 GND +nmos B net4 GND +nmos C net4 net5 +nmos net3 C GND +pmos C net1 net2 diff --git a/Catalog/padcells/PADINOUT.cell b/Catalog/padcells/PADINOUT.cell new file mode 100644 index 00000000..7434c6ac --- /dev/null +++ b/Catalog/padcells/PADINOUT.cell @@ -0,0 +1,31 @@ +.AUTOGENERATED by spice2cell script from PADINOUTSPI.spi +.inputs OE OEB EN DI YPAD +.outputs DO YPAD +.ORDER "MOSFET Gate Drain Source" +nmos 1 YPAD gnd +nmos 2 vdd YPAD +nmos OE vdd 3 +nmos DO vdd 3 +nmos OEB 3 4 +nmos DO 4 gnd +nmos OEB 4 gnd +nmos OE 3 4 +nmos EN OEB 5 +nmos EN 6 OEB +nmos OEB OE 7 +nmos OEB 8 OE +nmos 9 10 11 +nmos 9 12 10 +nmos 10 DI 13 +nmos 10 14 DI +nmos 3 15 16 +nmos 3 17 15 +nmos 15 2 18 +nmos 15 19 2 +nmos 4 20 21 +nmos 4 22 20 +nmos 20 1 23 +nmos 20 24 1 +nmos vdd vdd YPAD +nmos gnd YPAD gnd +res 9 YPAD 100 diff --git a/Catalog/popcorn/AAAAOAI22221.cell b/Catalog/popcorn/AAAAOAI22221.cell new file mode 100644 index 00000000..2be9037f --- /dev/null +++ b/Catalog/popcorn/AAAAOAI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-AND-AND-OR-AND-Invert gate +.cell AAAAOAI22221 +.origin AAAAOI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 6 1 +pmos D1 N5 vdd vdd g 4 5 4 +pmos D N5 vdd vdd g 4 4 4 +pmos C1 N3 N5 vdd g 3 4 3 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N4 N7 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N7 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N7 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAAOI2222.cell b/Catalog/popcorn/AAAAOI2222.cell new file mode 100644 index 00000000..c52c7ca4 --- /dev/null +++ b/Catalog/popcorn/AAAAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-AND-AND-OR-Invert gate +.cell AAAAOI2222 +.origin AAAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 4 5 4 +pmos D N5 vdd vdd g 4 4 4 +pmos C1 N3 N5 vdd g 3 4 3 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAAOI3322.cell b/Catalog/popcorn/AAAAOI3322.cell new file mode 100644 index 00000000..ffd4dadd --- /dev/null +++ b/Catalog/popcorn/AAAAOI3322.cell @@ -0,0 +1,26 @@ +3-3-2-2-input AND-AND-AND-AND-OR-Invert gate +.cell AAAAOI3322 +.origin AAAOI3321 +.inputs D1 D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N7 vdd vdd g 4 7 4 +pmos D N7 vdd vdd g 4 6 4 +pmos C1 N5 N7 vdd g 3 6 3 +pmos C N5 N7 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N8 gnd gnd 1 2 4 -2 +nmos D N7 N8 gnd 1 1 4 -1 +nmos C1 N6 gnd gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAAOI3332.cell b/Catalog/popcorn/AAAAOI3332.cell new file mode 100644 index 00000000..04bc0d0d --- /dev/null +++ b/Catalog/popcorn/AAAAOI3332.cell @@ -0,0 +1,28 @@ +3-3-3-2-input AND-AND-AND-AND-OR-Invert gate +.cell AAAAOI3332 +.origin AAAOI3331 +.inputs D1 D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N8 vdd vdd g 4 8 4 +pmos D N8 vdd vdd g 4 7 4 +pmos C2 N5 N8 vdd g 3 7 3 +pmos C1 N5 N8 vdd g 3 6 3 +pmos C N5 N8 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N9 gnd gnd 1 2 4 -2 +nmos D N8 N9 gnd 1 1 4 -1 +nmos C2 N7 gnd gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAAOI22212.cell b/Catalog/popcorn/AAAOAAOI22212.cell new file mode 100644 index 00000000..0bdfaa59 --- /dev/null +++ b/Catalog/popcorn/AAAOAAOI22212.cell @@ -0,0 +1,24 @@ +2-2-2-1-2-input AND-AND-AND-OR-AND-AND-OR-Invert gate +.cell AAAOAAOI22212 +.origin AAAOAOI22211 +.inputs E1 E D C1 C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 6 2 +pmos E N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N6 vdd g 3 4 3 +pmos C N3 N6 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI2221.cell b/Catalog/popcorn/AAAOAI2221.cell new file mode 100644 index 00000000..2dcc16fd --- /dev/null +++ b/Catalog/popcorn/AAAOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-AND-OR-AND-Invert gate +.cell AAAOAI2221 +.origin AAAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 5 1 +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI22211.cell b/Catalog/popcorn/AAAOAI22211.cell new file mode 100644 index 00000000..6eaea7fa --- /dev/null +++ b/Catalog/popcorn/AAAOAI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI22211 +.origin AAAOI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D N5 vdd vdd g 4 4 4 +pmos C1 N3 N5 vdd g 3 4 3 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N6 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI22212.cell b/Catalog/popcorn/AAAOAI22212.cell new file mode 100644 index 00000000..4f967414 --- /dev/null +++ b/Catalog/popcorn/AAAOAI22212.cell @@ -0,0 +1,24 @@ +2-2-2-1-2-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI22212 +.origin AAAOAI22211 +.inputs E1 E D C1 C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 1 6 1 +pmos E N6 vdd vdd g 1 5 1 +pmos D N5 vdd vdd g 4 4 4 +pmos C1 N3 N5 vdd g 3 4 3 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 3 4 -3 +nmos E N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N6 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI2222.cell b/Catalog/popcorn/AAAOAI2222.cell new file mode 100644 index 00000000..8d6b908e --- /dev/null +++ b/Catalog/popcorn/AAAOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI2222 +.origin AAAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 1 6 1 +pmos D N5 vdd vdd g 1 5 1 +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 4 3 -4 +nmos D N5 N6 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI3221.cell b/Catalog/popcorn/AAAOAI3221.cell new file mode 100644 index 00000000..238b68fc --- /dev/null +++ b/Catalog/popcorn/AAAOAI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI3221 +.origin AAAOI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 1 6 1 +pmos C1 N4 vdd vdd g 3 5 3 +pmos C N4 vdd vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N6 gnd gnd 1 3 3 -3 +nmos C1 N5 N6 gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 N6 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N6 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI32211.cell b/Catalog/popcorn/AAAOAI32211.cell new file mode 100644 index 00000000..28ebbd28 --- /dev/null +++ b/Catalog/popcorn/AAAOAI32211.cell @@ -0,0 +1,24 @@ +3-2-2-1-1-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI32211 +.origin AAAOI3221 +.inputs E D C1 C B1 B A2 A1 A +.outputs Y +pmos E N7 vdd vdd g 1 6 1 +pmos D N6 vdd vdd g 4 5 4 +pmos C1 N4 N6 vdd g 3 5 3 +pmos C N4 N6 vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N7 gnd gnd 1 2 4 -2 +nmos D N6 N7 gnd 1 1 4 -1 +nmos C1 N5 N7 gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 N7 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N7 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI3321.cell b/Catalog/popcorn/AAAOAI3321.cell new file mode 100644 index 00000000..c35add61 --- /dev/null +++ b/Catalog/popcorn/AAAOAI3321.cell @@ -0,0 +1,24 @@ +3-3-2-1-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI3321 +.origin AAAOI332 +.inputs D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N7 vdd vdd g 1 7 1 +pmos C1 N5 vdd vdd g 3 6 3 +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N7 gnd gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N7 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N7 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI33211.cell b/Catalog/popcorn/AAAOAI33211.cell new file mode 100644 index 00000000..fd27ff93 --- /dev/null +++ b/Catalog/popcorn/AAAOAI33211.cell @@ -0,0 +1,26 @@ +3-3-2-1-1-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI33211 +.origin AAAOI3321 +.inputs E D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos E N8 vdd vdd g 1 7 1 +pmos D N7 vdd vdd g 4 6 4 +pmos C1 N5 N7 vdd g 3 6 3 +pmos C N5 N7 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N8 gnd gnd 1 2 4 -2 +nmos D N7 N8 gnd 1 1 4 -1 +nmos C1 N6 N8 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N8 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N8 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI3322.cell b/Catalog/popcorn/AAAOAI3322.cell new file mode 100644 index 00000000..cdf89959 --- /dev/null +++ b/Catalog/popcorn/AAAOAI3322.cell @@ -0,0 +1,26 @@ +3-3-2-2-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI3322 +.origin AAAOI3321 +.inputs D1 D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N7 vdd vdd g 4 7 4 +pmos D N7 vdd vdd g 4 6 4 +pmos C1 N5 N7 vdd g 3 6 3 +pmos C N5 N7 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N8 gnd gnd 1 2 4 -2 +nmos D N7 N8 gnd 1 1 4 -1 +nmos C1 N6 gnd gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI3331.cell b/Catalog/popcorn/AAAOAI3331.cell new file mode 100644 index 00000000..71a319b8 --- /dev/null +++ b/Catalog/popcorn/AAAOAI3331.cell @@ -0,0 +1,26 @@ +3-3-3-1-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI3331 +.origin AAAOI333 +.inputs D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N8 vdd vdd g 1 8 1 +pmos C2 N5 vdd vdd g 3 7 3 +pmos C1 N5 vdd vdd g 3 6 3 +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N8 gnd gnd 1 4 3 -4 +nmos C2 N7 N8 gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N8 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N8 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAI33311.cell b/Catalog/popcorn/AAAOAI33311.cell new file mode 100644 index 00000000..55944bcc --- /dev/null +++ b/Catalog/popcorn/AAAOAI33311.cell @@ -0,0 +1,28 @@ +3-3-3-1-1-input AND-AND-AND-OR-AND-Invert gate +.cell AAAOAI33311 +.origin AAAOI3331 +.inputs E D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos E N9 vdd vdd g 1 8 1 +pmos D N8 vdd vdd g 4 7 4 +pmos C2 N5 N8 vdd g 3 7 3 +pmos C1 N5 N8 vdd g 3 6 3 +pmos C N5 N8 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N9 gnd gnd 1 2 4 -2 +nmos D N8 N9 gnd 1 1 4 -1 +nmos C2 N7 N9 gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N9 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N9 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAOAI222111.cell b/Catalog/popcorn/AAAOAOAI222111.cell new file mode 100644 index 00000000..948c3991 --- /dev/null +++ b/Catalog/popcorn/AAAOAOAI222111.cell @@ -0,0 +1,24 @@ +2-2-2-1-1-1-input AND-AND-AND-OR-AND-OR-AND-Invert gate +.cell AAAOAOAI222111 +.origin AAAOAOI22211 +.inputs F E D C1 C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 6 1 +pmos E N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N6 vdd g 3 4 3 +pmos C N3 N6 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D N5 N7 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAOI22211.cell b/Catalog/popcorn/AAAOAOI22211.cell new file mode 100644 index 00000000..d6daea31 --- /dev/null +++ b/Catalog/popcorn/AAAOAOI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input AND-AND-AND-OR-AND-OR-Invert gate +.cell AAAOAOI22211 +.origin AAAOAI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N6 vdd g 3 4 3 +pmos C N3 N6 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAOI22221.cell b/Catalog/popcorn/AAAOAOI22221.cell new file mode 100644 index 00000000..28a996dc --- /dev/null +++ b/Catalog/popcorn/AAAOAOI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-AND-OR-AND-OR-Invert gate +.cell AAAOAOI22221 +.origin AAAOAI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 2 6 2 +pmos D1 N5 N7 vdd g 1 6 1 +pmos D N5 N7 vdd g 1 5 1 +pmos C1 N3 N7 vdd g 3 4 3 +pmos C N3 N7 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 4 -1 +nmos D1 N6 gnd gnd 1 4 3 -4 +nmos D N5 N6 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAOI32211.cell b/Catalog/popcorn/AAAOAOI32211.cell new file mode 100644 index 00000000..6dad5d55 --- /dev/null +++ b/Catalog/popcorn/AAAOAOI32211.cell @@ -0,0 +1,24 @@ +3-2-2-1-1-input AND-AND-AND-OR-AND-OR-Invert gate +.cell AAAOAOI32211 +.origin AAAOAI3221 +.inputs E D C1 C B1 B A2 A1 A +.outputs Y +pmos E N7 vdd vdd g 2 6 2 +pmos D N6 N7 vdd g 1 6 1 +pmos C1 N4 N7 vdd g 3 5 3 +pmos C N4 N7 vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 4 -1 +nmos D N6 gnd gnd 1 3 3 -3 +nmos C1 N5 N6 gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 N6 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N6 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAOI33211.cell b/Catalog/popcorn/AAAOAOI33211.cell new file mode 100644 index 00000000..618d6397 --- /dev/null +++ b/Catalog/popcorn/AAAOAOI33211.cell @@ -0,0 +1,26 @@ +3-3-2-1-1-input AND-AND-AND-OR-AND-OR-Invert gate +.cell AAAOAOI33211 +.origin AAAOAI3321 +.inputs E D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos E N8 vdd vdd g 2 7 2 +pmos D N7 N8 vdd g 1 7 1 +pmos C1 N5 N8 vdd g 3 6 3 +pmos C N5 N8 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 4 -1 +nmos D N7 gnd gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N7 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N7 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOAOI33311.cell b/Catalog/popcorn/AAAOAOI33311.cell new file mode 100644 index 00000000..3ceb2ef5 --- /dev/null +++ b/Catalog/popcorn/AAAOAOI33311.cell @@ -0,0 +1,28 @@ +3-3-3-1-1-input AND-AND-AND-OR-AND-OR-Invert gate +.cell AAAOAOI33311 +.origin AAAOAI3331 +.inputs E D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos E N9 vdd vdd g 2 8 2 +pmos D N8 N9 vdd g 1 8 1 +pmos C2 N5 N9 vdd g 3 7 3 +pmos C1 N5 N9 vdd g 3 6 3 +pmos C N5 N9 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N9 gnd gnd 1 1 4 -1 +nmos D N8 gnd gnd 1 4 3 -4 +nmos C2 N7 N8 gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N8 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N8 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI222.cell b/Catalog/popcorn/AAAOI222.cell new file mode 100644 index 00000000..cdc1cc49 --- /dev/null +++ b/Catalog/popcorn/AAAOI222.cell @@ -0,0 +1,18 @@ +2-2-2-input AND-AND-AND-OR-Invert gate +.cell AAAOI222 +.origin AAOI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI2221.cell b/Catalog/popcorn/AAAOI2221.cell new file mode 100644 index 00000000..ab1105cb --- /dev/null +++ b/Catalog/popcorn/AAAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-AND-AND-OR-Invert gate +.cell AAAOI2221 +.origin AAAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 4 4 4 +pmos C1 N3 N5 vdd g 3 4 3 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI322.cell b/Catalog/popcorn/AAAOI322.cell new file mode 100644 index 00000000..475f884f --- /dev/null +++ b/Catalog/popcorn/AAAOI322.cell @@ -0,0 +1,20 @@ +3-2-2-input AND-AND-AND-OR-Invert gate +.cell AAAOI322 +.origin AAOI321 +.inputs C1 C B1 B A2 A1 A +.outputs Y +pmos C1 N4 vdd vdd g 3 5 3 +pmos C N4 vdd vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI3221.cell b/Catalog/popcorn/AAAOI3221.cell new file mode 100644 index 00000000..fea6025d --- /dev/null +++ b/Catalog/popcorn/AAAOI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input AND-AND-AND-OR-Invert gate +.cell AAAOI3221 +.origin AAAOI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 4 5 4 +pmos C1 N4 N6 vdd g 3 5 3 +pmos C N4 N6 vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 4 -1 +nmos C1 N5 gnd gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI3222.cell b/Catalog/popcorn/AAAOI3222.cell new file mode 100644 index 00000000..089f01a1 --- /dev/null +++ b/Catalog/popcorn/AAAOI3222.cell @@ -0,0 +1,24 @@ +3-2-2-2-input AND-AND-AND-OR-Invert gate +.cell AAAOI3222 +.origin AAAOI3221 +.inputs D1 D C1 C B1 B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 4 6 4 +pmos D N6 vdd vdd g 4 5 4 +pmos C1 N4 N6 vdd g 3 5 3 +pmos C N4 N6 vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N7 gnd gnd 1 2 4 -2 +nmos D N6 N7 gnd 1 1 4 -1 +nmos C1 N5 gnd gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI332.cell b/Catalog/popcorn/AAAOI332.cell new file mode 100644 index 00000000..9e7f18f2 --- /dev/null +++ b/Catalog/popcorn/AAAOI332.cell @@ -0,0 +1,22 @@ +3-3-2-input AND-AND-AND-OR-Invert gate +.cell AAAOI332 +.origin AAOI331 +.inputs C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C1 N5 vdd vdd g 3 6 3 +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N6 gnd gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI3321.cell b/Catalog/popcorn/AAAOI3321.cell new file mode 100644 index 00000000..0cf4a4c2 --- /dev/null +++ b/Catalog/popcorn/AAAOI3321.cell @@ -0,0 +1,24 @@ +3-3-2-1-input AND-AND-AND-OR-Invert gate +.cell AAAOI3321 +.origin AAAOI332 +.inputs D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N7 vdd vdd g 4 6 4 +pmos C1 N5 N7 vdd g 3 6 3 +pmos C N5 N7 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N7 gnd gnd 1 1 4 -1 +nmos C1 N6 gnd gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI333.cell b/Catalog/popcorn/AAAOI333.cell new file mode 100644 index 00000000..4ea358a5 --- /dev/null +++ b/Catalog/popcorn/AAAOI333.cell @@ -0,0 +1,24 @@ +3-3-3-input AND-AND-AND-OR-Invert gate +.cell AAAOI333 +.origin AAAOI332 +.inputs C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C2 N5 vdd vdd g 3 7 3 +pmos C1 N5 vdd vdd g 3 6 3 +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C2 N7 gnd gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOI3331.cell b/Catalog/popcorn/AAAOI3331.cell new file mode 100644 index 00000000..c94cfa27 --- /dev/null +++ b/Catalog/popcorn/AAAOI3331.cell @@ -0,0 +1,26 @@ +3-3-3-1-input AND-AND-AND-OR-Invert gate +.cell AAAOI3331 +.origin AAAOI333 +.inputs D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N8 vdd vdd g 4 7 4 +pmos C2 N5 N8 vdd g 3 7 3 +pmos C1 N5 N8 vdd g 3 6 3 +pmos C N5 N8 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N8 gnd gnd 1 1 4 -1 +nmos C2 N7 gnd gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAAOI22222.cell b/Catalog/popcorn/AAAOOAAOI22222.cell new file mode 100644 index 00000000..3dbb3e0c --- /dev/null +++ b/Catalog/popcorn/AAAOOAAOI22222.cell @@ -0,0 +1,26 @@ +2-2-2-2-2-input AND-AND-AND-OR-OR-AND-OR-Invert gate +.cell AAAOOAAOI22222 +.origin AAAOOAOI22221 +.inputs E1 E D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 3 6 3 +pmos E N7 vdd vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N7 vdd g 3 4 3 +pmos C N3 N7 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 5 -2 +nmos E N7 N8 gnd 1 1 5 -1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI22212.cell b/Catalog/popcorn/AAAOOAI22212.cell new file mode 100644 index 00000000..1f2bd87d --- /dev/null +++ b/Catalog/popcorn/AAAOOAI22212.cell @@ -0,0 +1,24 @@ +2-2-2-1-2-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI22212 +.origin AAAOAI22211 +.inputs E1 E D C1 C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D N5 vdd vdd g 4 4 4 +pmos C1 N3 N5 vdd g 3 4 3 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 5 -2 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N6 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI2222.cell b/Catalog/popcorn/AAAOOAI2222.cell new file mode 100644 index 00000000..ec5814c7 --- /dev/null +++ b/Catalog/popcorn/AAAOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI2222 +.origin AAAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI22221.cell b/Catalog/popcorn/AAAOOAI22221.cell new file mode 100644 index 00000000..d1ad4989 --- /dev/null +++ b/Catalog/popcorn/AAAOOAI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI22221 +.origin AAAOOAI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 6 1 +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D1 N5 N7 gnd 1 3 4 -3 +nmos D N5 N7 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI2223.cell b/Catalog/popcorn/AAAOOAI2223.cell new file mode 100644 index 00000000..697f2886 --- /dev/null +++ b/Catalog/popcorn/AAAOOAI2223.cell @@ -0,0 +1,24 @@ +2-2-2-3-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI2223 +.origin AAAOOAI2222 +.inputs D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D2 N7 vdd vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N5 gnd gnd 1 3 5 -3 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI22231.cell b/Catalog/popcorn/AAAOOAI22231.cell new file mode 100644 index 00000000..905db9c4 --- /dev/null +++ b/Catalog/popcorn/AAAOOAI22231.cell @@ -0,0 +1,26 @@ +2-2-2-3-1-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI22231 +.origin AAAOOAI2223 +.inputs E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 1 6 1 +pmos D2 N7 vdd vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N8 gnd gnd 1 4 5 -4 +nmos D2 N5 N8 gnd 1 3 5 -3 +nmos D1 N5 N8 gnd 1 3 4 -3 +nmos D N5 N8 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI2224.cell b/Catalog/popcorn/AAAOOAI2224.cell new file mode 100644 index 00000000..97fb54df --- /dev/null +++ b/Catalog/popcorn/AAAOOAI2224.cell @@ -0,0 +1,26 @@ +2-2-2-4-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI2224 +.origin AAAOOAI2223 +.inputs D3 D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D3 N8 vdd vdd g 4 5 4 +pmos D2 N7 N8 vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D3 N5 gnd gnd 1 3 6 -3 +nmos D2 N5 gnd gnd 1 3 5 -3 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI3222.cell b/Catalog/popcorn/AAAOOAI3222.cell new file mode 100644 index 00000000..7ed5dcdd --- /dev/null +++ b/Catalog/popcorn/AAAOOAI3222.cell @@ -0,0 +1,24 @@ +3-2-2-2-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI3222 +.origin AAAOAI3221 +.inputs D1 D C1 C B1 B A2 A1 A +.outputs Y +pmos D1 N7 vdd vdd g 2 6 2 +pmos D N6 N7 vdd g 1 6 1 +pmos C1 N4 vdd vdd g 3 5 3 +pmos C N4 vdd vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 3 4 -3 +nmos D N6 gnd gnd 1 3 3 -3 +nmos C1 N5 N6 gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 N6 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N6 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI3322.cell b/Catalog/popcorn/AAAOOAI3322.cell new file mode 100644 index 00000000..6467666b --- /dev/null +++ b/Catalog/popcorn/AAAOOAI3322.cell @@ -0,0 +1,26 @@ +3-3-2-2-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI3322 +.origin AAAOAI3321 +.inputs D1 D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N8 vdd vdd g 2 7 2 +pmos D N7 N8 vdd g 1 7 1 +pmos C1 N5 vdd vdd g 3 6 3 +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N7 gnd gnd 1 3 4 -3 +nmos D N7 gnd gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N7 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N7 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAI3332.cell b/Catalog/popcorn/AAAOOAI3332.cell new file mode 100644 index 00000000..27a322ff --- /dev/null +++ b/Catalog/popcorn/AAAOOAI3332.cell @@ -0,0 +1,28 @@ +3-3-3-2-input AND-AND-AND-OR-OR-AND-Invert gate +.cell AAAOOAI3332 +.origin AAAOAI3331 +.inputs D1 D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N9 vdd vdd g 2 8 2 +pmos D N8 N9 vdd g 1 8 1 +pmos C2 N5 vdd vdd g 3 7 3 +pmos C1 N5 vdd vdd g 3 6 3 +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N8 gnd gnd 1 4 4 -4 +nmos D N8 gnd gnd 1 4 3 -4 +nmos C2 N7 N8 gnd 1 3 3 -3 +nmos C1 N6 N7 gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N8 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N8 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAOAI222211.cell b/Catalog/popcorn/AAAOOAOAI222211.cell new file mode 100644 index 00000000..39b35af6 --- /dev/null +++ b/Catalog/popcorn/AAAOOAOAI222211.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-1-input AND-AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell AAAOOAOAI222211 +.origin AAAOOAOI22221 +.inputs F E D1 D C1 C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 6 1 +pmos E N7 vdd vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N7 vdd g 3 4 3 +pmos C N3 N7 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 5 -2 +nmos E N7 N8 gnd 1 1 5 -1 +nmos D1 N5 N8 gnd 1 3 4 -3 +nmos D N5 N8 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAOI22221.cell b/Catalog/popcorn/AAAOOAOI22221.cell new file mode 100644 index 00000000..3045e95f --- /dev/null +++ b/Catalog/popcorn/AAAOOAOI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-AND-OR-OR-AND-OR-Invert gate +.cell AAAOOAOI22221 +.origin AAAOOAI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N7 vdd g 3 4 3 +pmos C N3 N7 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 5 -1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAOI222211.cell b/Catalog/popcorn/AAAOOAOI222211.cell new file mode 100644 index 00000000..6df4b554 --- /dev/null +++ b/Catalog/popcorn/AAAOOAOI222211.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-1-input AND-AND-AND-OR-OR-AND-OR-Invert gate +.cell AAAOOAOI222211 +.origin AAAOOAI22221 +.inputs F E D1 D C1 C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 6 2 +pmos E N7 N8 vdd g 1 6 1 +pmos D1 N6 N8 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N8 vdd g 3 4 3 +pmos C N3 N8 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 5 -1 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D1 N5 N7 gnd 1 3 4 -3 +nmos D N5 N7 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOAOI22231.cell b/Catalog/popcorn/AAAOOAOI22231.cell new file mode 100644 index 00000000..a65ebbe1 --- /dev/null +++ b/Catalog/popcorn/AAAOOAOI22231.cell @@ -0,0 +1,26 @@ +2-2-2-3-1-input AND-AND-AND-OR-OR-AND-OR-Invert gate +.cell AAAOOAOI22231 +.origin AAAOOAI2223 +.inputs E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 4 5 4 +pmos D2 N7 N8 vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N8 vdd g 3 4 3 +pmos C N3 N8 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 6 -1 +nmos D2 N5 gnd gnd 1 3 5 -3 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAAOOOAI22222.cell b/Catalog/popcorn/AAAOOOAI22222.cell new file mode 100644 index 00000000..7633da3a --- /dev/null +++ b/Catalog/popcorn/AAAOOOAI22222.cell @@ -0,0 +1,26 @@ +2-2-2-2-2-input AND-AND-AND-OR-OR-OR-AND-Invert gate +.cell AAAOOOAI22222 +.origin AAAOOAI22221 +.inputs E1 E D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 6 2 +pmos E N7 N8 vdd g 1 6 1 +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 vdd vdd g 3 4 3 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 4 5 -4 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D1 N5 N7 gnd 1 3 4 -3 +nmos D N5 N7 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAAOI22122.cell b/Catalog/popcorn/AAOAAAOI22122.cell new file mode 100644 index 00000000..6cd9cff4 --- /dev/null +++ b/Catalog/popcorn/AAOAAAOI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input AND-AND-OR-AND-AND-AND-OR-Invert gate +.cell AAOAAAOI22122 +.origin AAOAAOI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 3 6 3 +pmos E N6 vdd vdd g 3 5 3 +pmos D1 N4 N6 vdd g 2 5 2 +pmos D N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D1 N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAAOI22132.cell b/Catalog/popcorn/AAOAAAOI22132.cell new file mode 100644 index 00000000..01261079 --- /dev/null +++ b/Catalog/popcorn/AAOAAAOI22132.cell @@ -0,0 +1,26 @@ +2-2-1-3-2-input AND-AND-OR-AND-AND-AND-OR-Invert gate +.cell AAOAAAOI22132 +.origin AAOAAOI22131 +.inputs E1 E D2 D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 3 7 3 +pmos E N7 vdd vdd g 3 6 3 +pmos D2 N4 N7 vdd g 2 6 2 +pmos D1 N4 N7 vdd g 2 5 2 +pmos D N4 N7 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 4 -2 +nmos E N7 N8 gnd 1 1 4 -1 +nmos D2 N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOAI221121.cell b/Catalog/popcorn/AAOAAOAI221121.cell new file mode 100644 index 00000000..88f286bb --- /dev/null +++ b/Catalog/popcorn/AAOAAOAI221121.cell @@ -0,0 +1,24 @@ +2-2-1-1-2-1-input AND-AND-OR-AND-AND-OR-AND-Invert gate +.cell AAOAAOAI221121 +.origin AAOAAOI22112 +.inputs F E1 E D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 6 1 +pmos E1 N5 vdd vdd g 2 5 2 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 3 4 -3 +nmos E1 N6 N7 gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 N7 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOAI22121.cell b/Catalog/popcorn/AAOAAOAI22121.cell new file mode 100644 index 00000000..567a71e0 --- /dev/null +++ b/Catalog/popcorn/AAOAAOAI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input AND-AND-OR-AND-AND-OR-AND-Invert gate +.cell AAOAAOAI22121 +.origin AAOAAOI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 6 1 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOAI221211.cell b/Catalog/popcorn/AAOAAOAI221211.cell new file mode 100644 index 00000000..b06c742f --- /dev/null +++ b/Catalog/popcorn/AAOAAOAI221211.cell @@ -0,0 +1,24 @@ +2-2-1-2-1-1-input AND-AND-OR-AND-AND-OR-AND-Invert gate +.cell AAOAAOAI221211 +.origin AAOAAOI22121 +.inputs F E D1 D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 6 1 +pmos E N6 vdd vdd g 3 5 3 +pmos D1 N4 N6 vdd g 2 5 2 +pmos D N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D1 N5 N7 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOAI22122.cell b/Catalog/popcorn/AAOAAOAI22122.cell new file mode 100644 index 00000000..4140d3bf --- /dev/null +++ b/Catalog/popcorn/AAOAAOAI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input AND-AND-OR-AND-AND-OR-AND-Invert gate +.cell AAOAAOAI22122 +.origin AAOAAOAI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 1 7 1 +pmos E N6 vdd vdd g 1 6 1 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 4 3 -4 +nmos E N6 N7 gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOAI22131.cell b/Catalog/popcorn/AAOAAOAI22131.cell new file mode 100644 index 00000000..99235acb --- /dev/null +++ b/Catalog/popcorn/AAOAAOAI22131.cell @@ -0,0 +1,24 @@ +2-2-1-3-1-input AND-AND-OR-AND-AND-OR-AND-Invert gate +.cell AAOAAOAI22131 +.origin AAOAAOI2213 +.inputs E D2 D1 D C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 7 1 +pmos D2 N4 vdd vdd g 2 6 2 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 4 3 -4 +nmos D2 N6 N7 gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOAI221311.cell b/Catalog/popcorn/AAOAAOAI221311.cell new file mode 100644 index 00000000..67c29291 --- /dev/null +++ b/Catalog/popcorn/AAOAAOAI221311.cell @@ -0,0 +1,26 @@ +2-2-1-3-1-1-input AND-AND-OR-AND-AND-OR-AND-Invert gate +.cell AAOAAOAI221311 +.origin AAOAAOI22131 +.inputs F E D2 D1 D C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 7 1 +pmos E N7 vdd vdd g 3 6 3 +pmos D2 N4 N7 vdd g 2 6 2 +pmos D1 N4 N7 vdd g 2 5 2 +pmos D N4 N7 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 4 -2 +nmos E N7 N8 gnd 1 1 4 -1 +nmos D2 N6 N8 gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N8 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOAOI221211.cell b/Catalog/popcorn/AAOAAOAOI221211.cell new file mode 100644 index 00000000..53988155 --- /dev/null +++ b/Catalog/popcorn/AAOAAOAOI221211.cell @@ -0,0 +1,24 @@ +2-2-1-2-1-1-input AND-AND-OR-AND-AND-OR-AND-OR-Invert gate +.cell AAOAAOAOI221211 +.origin AAOAAOAI22121 +.inputs F E D1 D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 6 2 +pmos E N6 N7 vdd g 1 6 1 +pmos D1 N4 N7 vdd g 2 5 2 +pmos D N4 N7 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 4 -1 +nmos E N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOAOI221311.cell b/Catalog/popcorn/AAOAAOAOI221311.cell new file mode 100644 index 00000000..603579b2 --- /dev/null +++ b/Catalog/popcorn/AAOAAOAOI221311.cell @@ -0,0 +1,26 @@ +2-2-1-3-1-1-input AND-AND-OR-AND-AND-OR-AND-OR-Invert gate +.cell AAOAAOAOI221311 +.origin AAOAAOAI22131 +.inputs F E D2 D1 D C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 7 2 +pmos E N7 N8 vdd g 1 7 1 +pmos D2 N4 N8 vdd g 2 6 2 +pmos D1 N4 N8 vdd g 2 5 2 +pmos D N4 N8 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 4 -1 +nmos E N7 gnd gnd 1 4 3 -4 +nmos D2 N6 N7 gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI22112.cell b/Catalog/popcorn/AAOAAOI22112.cell new file mode 100644 index 00000000..954ca95f --- /dev/null +++ b/Catalog/popcorn/AAOAAOI22112.cell @@ -0,0 +1,22 @@ +2-2-1-1-2-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI22112 +.origin AAOAOI22111 +.inputs E1 E D C B1 B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 2 5 2 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI22113.cell b/Catalog/popcorn/AAOAAOI22113.cell new file mode 100644 index 00000000..38f6d5b9 --- /dev/null +++ b/Catalog/popcorn/AAOAAOI22113.cell @@ -0,0 +1,24 @@ +2-2-1-1-3-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI22113 +.origin AAOAAOI22112 +.inputs E2 E1 E D C B1 B A1 A +.outputs Y +pmos E2 N5 vdd vdd g 2 6 2 +pmos E1 N5 vdd vdd g 2 5 2 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E2 N7 gnd gnd 1 3 4 -3 +nmos E1 N6 N7 gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI2212.cell b/Catalog/popcorn/AAOAAOI2212.cell new file mode 100644 index 00000000..2ac59ffd --- /dev/null +++ b/Catalog/popcorn/AAOAAOI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI2212 +.origin AAOAOI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI22121.cell b/Catalog/popcorn/AAOAAOI22121.cell new file mode 100644 index 00000000..41224e38 --- /dev/null +++ b/Catalog/popcorn/AAOAAOI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI22121 +.origin AAOAAOI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 5 3 +pmos D1 N4 N6 vdd g 2 5 2 +pmos D N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D1 N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI22122.cell b/Catalog/popcorn/AAOAAOI22122.cell new file mode 100644 index 00000000..c2428452 --- /dev/null +++ b/Catalog/popcorn/AAOAAOI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI22122 +.origin AAOAOI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 6 2 +pmos E N6 vdd vdd g 2 5 2 +pmos D1 N4 N6 vdd g 1 5 1 +pmos D N4 N6 vdd g 1 4 1 +pmos C N3 N6 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D1 N5 gnd gnd 1 3 3 -3 +nmos D N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI2213.cell b/Catalog/popcorn/AAOAAOI2213.cell new file mode 100644 index 00000000..848f8a4a --- /dev/null +++ b/Catalog/popcorn/AAOAAOI2213.cell @@ -0,0 +1,22 @@ +2-2-1-3-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI2213 +.origin AAOAAOI2212 +.inputs D2 D1 D C B1 B A1 A +.outputs Y +pmos D2 N4 vdd vdd g 2 6 2 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI22131.cell b/Catalog/popcorn/AAOAAOI22131.cell new file mode 100644 index 00000000..722ce256 --- /dev/null +++ b/Catalog/popcorn/AAOAAOI22131.cell @@ -0,0 +1,24 @@ +2-2-1-3-1-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI22131 +.origin AAOAAOI2213 +.inputs E D2 D1 D C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 6 3 +pmos D2 N4 N7 vdd g 2 6 2 +pmos D1 N4 N7 vdd g 2 5 2 +pmos D N4 N7 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 4 -1 +nmos D2 N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI2214.cell b/Catalog/popcorn/AAOAAOI2214.cell new file mode 100644 index 00000000..6fc4fbd3 --- /dev/null +++ b/Catalog/popcorn/AAOAAOI2214.cell @@ -0,0 +1,24 @@ +2-2-1-4-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI2214 +.origin AAOAAOI2213 +.inputs D3 D2 D1 D C B1 B A1 A +.outputs Y +pmos D3 N4 vdd vdd g 2 7 2 +pmos D2 N4 vdd vdd g 2 6 2 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D3 N7 gnd gnd 1 4 3 -4 +nmos D2 N6 N7 gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI22141.cell b/Catalog/popcorn/AAOAAOI22141.cell new file mode 100644 index 00000000..8b48372a --- /dev/null +++ b/Catalog/popcorn/AAOAAOI22141.cell @@ -0,0 +1,26 @@ +2-2-1-4-1-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI22141 +.origin AAOAAOI2214 +.inputs E D3 D2 D1 D C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 3 7 3 +pmos D3 N4 N8 vdd g 2 7 2 +pmos D2 N4 N8 vdd g 2 6 2 +pmos D1 N4 N8 vdd g 2 5 2 +pmos D N4 N8 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 4 -1 +nmos D3 N7 gnd gnd 1 4 3 -4 +nmos D2 N6 N7 gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI2222.cell b/Catalog/popcorn/AAOAAOI2222.cell new file mode 100644 index 00000000..bf126fc7 --- /dev/null +++ b/Catalog/popcorn/AAOAAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI2222 +.origin AAOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 6 2 +pmos D N5 vdd vdd g 2 5 2 +pmos C1 N3 N5 vdd g 1 5 1 +pmos C N3 N5 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 3 -2 +nmos D N5 N6 gnd 1 1 3 -1 +nmos C1 N4 gnd gnd 1 4 2 -4 +nmos C N3 N4 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI22221.cell b/Catalog/popcorn/AAOAAOI22221.cell new file mode 100644 index 00000000..694485db --- /dev/null +++ b/Catalog/popcorn/AAOAAOI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI22221 +.origin AAOAAOI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 6 3 +pmos D1 N5 N7 vdd g 2 6 2 +pmos D N5 N7 vdd g 2 5 2 +pmos C1 N3 N5 vdd g 1 5 1 +pmos C N3 N5 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 4 -1 +nmos D1 N6 gnd gnd 1 2 3 -2 +nmos D N5 N6 gnd 1 1 3 -1 +nmos C1 N4 gnd gnd 1 4 2 -4 +nmos C N3 N4 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI2223.cell b/Catalog/popcorn/AAOAAOI2223.cell new file mode 100644 index 00000000..4ce5b0a4 --- /dev/null +++ b/Catalog/popcorn/AAOAAOI2223.cell @@ -0,0 +1,24 @@ +2-2-2-2-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI2223 +.origin AAOAOI2222 +.inputs D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D2 N6 vdd vdd g 3 6 3 +pmos D1 N6 vdd vdd g 3 5 3 +pmos D N5 N6 vdd g 2 5 2 +pmos C1 N3 N5 vdd g 1 5 1 +pmos C N3 N5 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N7 gnd gnd 1 2 4 -2 +nmos D1 N5 N7 gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 1 3 -1 +nmos C1 N4 gnd gnd 1 4 2 -4 +nmos C N3 N4 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOI3212.cell b/Catalog/popcorn/AAOAAOI3212.cell new file mode 100644 index 00000000..33105371 --- /dev/null +++ b/Catalog/popcorn/AAOAAOI3212.cell @@ -0,0 +1,22 @@ +3-2-1-2-input AND-AND-OR-AND-AND-OR-Invert gate +.cell AAOAAOI3212 +.origin AAOAOI3211 +.inputs D1 D C B1 B A2 A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 6 2 +pmos D N5 vdd vdd g 2 5 2 +pmos C N4 N5 vdd g 1 5 1 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 3 -2 +nmos D N5 N6 gnd 1 1 3 -1 +nmos C N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOOAI22122.cell b/Catalog/popcorn/AAOAAOOAI22122.cell new file mode 100644 index 00000000..28371dcc --- /dev/null +++ b/Catalog/popcorn/AAOAAOOAI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input AND-AND-OR-AND-AND-OR-OR-AND-Invert gate +.cell AAOAAOOAI22122 +.origin AAOAAOAI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 6 2 +pmos E N6 N7 vdd g 1 6 1 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 3 4 -3 +nmos E N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAAOOAI22132.cell b/Catalog/popcorn/AAOAAOOAI22132.cell new file mode 100644 index 00000000..6a4d3513 --- /dev/null +++ b/Catalog/popcorn/AAOAAOOAI22132.cell @@ -0,0 +1,26 @@ +2-2-1-3-2-input AND-AND-OR-AND-AND-OR-OR-AND-Invert gate +.cell AAOAAOOAI22132 +.origin AAOAAOAI22131 +.inputs E1 E D2 D1 D C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 7 2 +pmos E N7 N8 vdd g 1 7 1 +pmos D2 N4 vdd vdd g 2 6 2 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 4 4 -4 +nmos E N7 gnd gnd 1 4 3 -4 +nmos D2 N6 N7 gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI221.cell b/Catalog/popcorn/AAOAI221.cell new file mode 100644 index 00000000..74ddd9c3 --- /dev/null +++ b/Catalog/popcorn/AAOAI221.cell @@ -0,0 +1,16 @@ +2-2-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI221 +.origin AAOI22 +.inputs C B1 B A1 A +.outputs Y +pmos C N3 vdd vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI2211.cell b/Catalog/popcorn/AAOAI2211.cell new file mode 100644 index 00000000..6e39a0f0 --- /dev/null +++ b/Catalog/popcorn/AAOAI2211.cell @@ -0,0 +1,18 @@ +2-2-1-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI2211 +.origin AAOI221 +.inputs D C B1 B A1 A +.outputs Y +pmos D N4 vdd vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI2212.cell b/Catalog/popcorn/AAOAI2212.cell new file mode 100644 index 00000000..56c92f4d --- /dev/null +++ b/Catalog/popcorn/AAOAI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input AND-AND-OR-AND-Invert gate +.cell AAOAI2212 +.origin AAOAI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 1 5 1 +pmos D N4 vdd vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 3 -3 +nmos D N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI222.cell b/Catalog/popcorn/AAOAI222.cell new file mode 100644 index 00000000..23564c89 --- /dev/null +++ b/Catalog/popcorn/AAOAI222.cell @@ -0,0 +1,18 @@ +2-2-2-input AND-AND-OR-AND-Invert gate +.cell AAOAI222 +.origin AAOAI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N3 vdd vdd g 1 5 1 +pmos C N3 vdd vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 4 2 -4 +nmos C N3 N4 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI2221.cell b/Catalog/popcorn/AAOAI2221.cell new file mode 100644 index 00000000..54d5e91b --- /dev/null +++ b/Catalog/popcorn/AAOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI2221 +.origin AAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N4 vdd vdd g 4 3 4 +pmos C N3 N4 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 2 4 -2 +nmos C1 N3 N5 gnd 1 1 4 -1 +nmos C N3 N5 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI2222.cell b/Catalog/popcorn/AAOAI2222.cell new file mode 100644 index 00000000..5322a6ff --- /dev/null +++ b/Catalog/popcorn/AAOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-OR-AND-Invert gate +.cell AAOAI2222 +.origin AAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 1 5 1 +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N4 vdd vdd g 4 3 4 +pmos C N3 N4 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 3 4 -3 +nmos D N5 N6 gnd 1 2 4 -2 +nmos C1 N3 N5 gnd 1 1 4 -1 +nmos C N3 N5 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N5 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI321.cell b/Catalog/popcorn/AAOAI321.cell new file mode 100644 index 00000000..7f75f984 --- /dev/null +++ b/Catalog/popcorn/AAOAI321.cell @@ -0,0 +1,18 @@ +3-2-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI321 +.origin AAOI32 +.inputs C B1 B A2 A1 A +.outputs Y +pmos C N4 vdd vdd g 1 5 1 +pmos B1 N2 vdd vdd g 2 4 2 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI3211.cell b/Catalog/popcorn/AAOAI3211.cell new file mode 100644 index 00000000..31eb6d48 --- /dev/null +++ b/Catalog/popcorn/AAOAI3211.cell @@ -0,0 +1,20 @@ +3-2-1-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI3211 +.origin AAOI321 +.inputs D C B1 B A2 A1 A +.outputs Y +pmos D N5 vdd vdd g 1 5 1 +pmos C N4 vdd vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N5 gnd gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 N5 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N5 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI3221.cell b/Catalog/popcorn/AAOAI3221.cell new file mode 100644 index 00000000..2bf7ce18 --- /dev/null +++ b/Catalog/popcorn/AAOAI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI3221 +.origin AAOI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 1 5 1 +pmos C1 N5 vdd vdd g 4 4 4 +pmos C N4 N5 vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N6 gnd gnd 1 2 4 -2 +nmos C1 N4 N6 gnd 1 1 4 -1 +nmos C N4 N6 gnd 1 1 3 -1 +nmos B1 N3 N6 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N6 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI331.cell b/Catalog/popcorn/AAOAI331.cell new file mode 100644 index 00000000..11641c76 --- /dev/null +++ b/Catalog/popcorn/AAOAI331.cell @@ -0,0 +1,20 @@ +3-3-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI331 +.origin AAOI33 +.inputs C B2 B1 B A2 A1 A +.outputs Y +pmos C N5 vdd vdd g 1 6 1 +pmos B2 N2 vdd vdd g 2 5 2 +pmos B1 N2 vdd vdd g 2 4 2 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C N5 gnd gnd 1 4 2 -4 +nmos B2 N4 N5 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N5 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI3311.cell b/Catalog/popcorn/AAOAI3311.cell new file mode 100644 index 00000000..19a7bfe5 --- /dev/null +++ b/Catalog/popcorn/AAOAI3311.cell @@ -0,0 +1,22 @@ +3-3-1-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI3311 +.origin AAOI331 +.inputs D C B2 B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 1 6 1 +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N6 gnd gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N6 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N6 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAI3321.cell b/Catalog/popcorn/AAOAI3321.cell new file mode 100644 index 00000000..2964f10f --- /dev/null +++ b/Catalog/popcorn/AAOAI3321.cell @@ -0,0 +1,24 @@ +3-3-2-1-input AND-AND-OR-AND-Invert gate +.cell AAOAI3321 +.origin AAOI332 +.inputs D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N7 vdd vdd g 1 6 1 +pmos C1 N6 vdd vdd g 4 5 4 +pmos C N5 N6 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N7 gnd gnd 1 2 4 -2 +nmos C1 N5 N7 gnd 1 1 4 -1 +nmos C N5 N7 gnd 1 1 3 -1 +nmos B2 N4 N7 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N7 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOAAOI221112.cell b/Catalog/popcorn/AAOAOAAOI221112.cell new file mode 100644 index 00000000..10e9a6de --- /dev/null +++ b/Catalog/popcorn/AAOAOAAOI221112.cell @@ -0,0 +1,24 @@ +2-2-1-1-1-2-input AND-AND-OR-AND-OR-AND-AND-OR-Invert gate +.cell AAOAOAAOI221112 +.origin AAOAOAOI221111 +.inputs F1 F E D C B1 B A1 A +.outputs Y +pmos F1 N6 vdd vdd g 2 6 2 +pmos F N6 vdd vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F1 N7 gnd gnd 1 2 4 -2 +nmos F N6 N7 gnd 1 1 4 -1 +nmos E N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOAI22111.cell b/Catalog/popcorn/AAOAOAI22111.cell new file mode 100644 index 00000000..e2f45fff --- /dev/null +++ b/Catalog/popcorn/AAOAOAI22111.cell @@ -0,0 +1,20 @@ +2-2-1-1-1-input AND-AND-OR-AND-OR-AND-Invert gate +.cell AAOAOAI22111 +.origin AAOAOI2211 +.inputs E D C B1 B A1 A +.outputs Y +pmos E N5 vdd vdd g 1 5 1 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOAI221111.cell b/Catalog/popcorn/AAOAOAI221111.cell new file mode 100644 index 00000000..8eb5fb82 --- /dev/null +++ b/Catalog/popcorn/AAOAOAI221111.cell @@ -0,0 +1,22 @@ +2-2-1-1-1-1-input AND-AND-OR-AND-OR-AND-Invert gate +.cell AAOAOAI221111 +.origin AAOAOI22111 +.inputs F E D C B1 B A1 A +.outputs Y +pmos F N6 vdd vdd g 1 5 1 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOAI22121.cell b/Catalog/popcorn/AAOAOAI22121.cell new file mode 100644 index 00000000..ae28391e --- /dev/null +++ b/Catalog/popcorn/AAOAOAI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input AND-AND-OR-AND-OR-AND-Invert gate +.cell AAOAOAI22121 +.origin AAOAOI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D1 N4 N6 gnd 1 1 4 -1 +nmos D N4 N6 gnd 1 1 3 -1 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOAOI221111.cell b/Catalog/popcorn/AAOAOAOI221111.cell new file mode 100644 index 00000000..522ea52d --- /dev/null +++ b/Catalog/popcorn/AAOAOAOI221111.cell @@ -0,0 +1,22 @@ +2-2-1-1-1-1-input AND-AND-OR-AND-OR-AND-OR-Invert gate +.cell AAOAOAOI221111 +.origin AAOAOAI22111 +.inputs F E D C B1 B A1 A +.outputs Y +pmos F N6 vdd vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N6 gnd gnd 1 1 4 -1 +nmos E N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI2211.cell b/Catalog/popcorn/AAOAOI2211.cell new file mode 100644 index 00000000..f46b86eb --- /dev/null +++ b/Catalog/popcorn/AAOAOI2211.cell @@ -0,0 +1,18 @@ +2-2-1-1-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI2211 +.origin AAOAI221 +.inputs D C B1 B A1 A +.outputs Y +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N4 gnd gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI22111.cell b/Catalog/popcorn/AAOAOI22111.cell new file mode 100644 index 00000000..442a6e4c --- /dev/null +++ b/Catalog/popcorn/AAOAOI22111.cell @@ -0,0 +1,20 @@ +2-2-1-1-1-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI22111 +.origin AAOAI2211 +.inputs E D C B1 B A1 A +.outputs Y +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI2212.cell b/Catalog/popcorn/AAOAOI2212.cell new file mode 100644 index 00000000..3271c388 --- /dev/null +++ b/Catalog/popcorn/AAOAOI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI2212 +.origin AAOAOI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI22121.cell b/Catalog/popcorn/AAOAOI22121.cell new file mode 100644 index 00000000..2a378a54 --- /dev/null +++ b/Catalog/popcorn/AAOAOI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI22121 +.origin AAOAI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 5 2 +pmos D1 N4 N6 vdd g 1 5 1 +pmos D N4 N6 vdd g 1 4 1 +pmos C N3 N6 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D1 N5 gnd gnd 1 3 3 -3 +nmos D N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI2221.cell b/Catalog/popcorn/AAOAOI2221.cell new file mode 100644 index 00000000..65cc815b --- /dev/null +++ b/Catalog/popcorn/AAOAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI2221 +.origin AAOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 2 5 2 +pmos C1 N3 N5 vdd g 1 5 1 +pmos C N3 N5 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 3 -1 +nmos C1 N4 gnd gnd 1 4 2 -4 +nmos C N3 N4 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI2222.cell b/Catalog/popcorn/AAOAOI2222.cell new file mode 100644 index 00000000..88b9cb91 --- /dev/null +++ b/Catalog/popcorn/AAOAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI2222 +.origin AAOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 3 5 3 +pmos D N5 N6 vdd g 2 5 2 +pmos C1 N3 N5 vdd g 1 5 1 +pmos C N3 N5 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 1 3 -1 +nmos C1 N4 gnd gnd 1 4 2 -4 +nmos C N3 N4 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI3211.cell b/Catalog/popcorn/AAOAOI3211.cell new file mode 100644 index 00000000..636bc60f --- /dev/null +++ b/Catalog/popcorn/AAOAOI3211.cell @@ -0,0 +1,20 @@ +3-2-1-1-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI3211 +.origin AAOAI321 +.inputs D C B1 B A2 A1 A +.outputs Y +pmos D N5 vdd vdd g 2 5 2 +pmos C N4 N5 vdd g 1 5 1 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 3 -1 +nmos C N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI32111.cell b/Catalog/popcorn/AAOAOI32111.cell new file mode 100644 index 00000000..8f97921a --- /dev/null +++ b/Catalog/popcorn/AAOAOI32111.cell @@ -0,0 +1,22 @@ +3-2-1-1-1-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI32111 +.origin AAOAI3211 +.inputs E D C B1 B A2 A1 A +.outputs Y +pmos E N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C N4 N6 vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 N5 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N5 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI3212.cell b/Catalog/popcorn/AAOAOI3212.cell new file mode 100644 index 00000000..6d24561a --- /dev/null +++ b/Catalog/popcorn/AAOAOI3212.cell @@ -0,0 +1,22 @@ +3-2-1-2-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI3212 +.origin AAOAOI3211 +.inputs D1 D C B1 B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 3 5 3 +pmos D N5 N6 vdd g 2 5 2 +pmos C N4 N5 vdd g 1 5 1 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 1 3 -1 +nmos C N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI3311.cell b/Catalog/popcorn/AAOAOI3311.cell new file mode 100644 index 00000000..eca079e7 --- /dev/null +++ b/Catalog/popcorn/AAOAOI3311.cell @@ -0,0 +1,22 @@ +3-3-1-1-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI3311 +.origin AAOAI331 +.inputs D C B2 B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 2 6 2 +pmos C N5 N6 vdd g 1 6 1 +pmos B2 N2 N6 vdd g 2 5 2 +pmos B1 N2 N6 vdd g 2 4 2 +pmos B N2 N6 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 3 -1 +nmos C N5 gnd gnd 1 4 2 -4 +nmos B2 N4 N5 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N5 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOI33111.cell b/Catalog/popcorn/AAOAOI33111.cell new file mode 100644 index 00000000..c1380823 --- /dev/null +++ b/Catalog/popcorn/AAOAOI33111.cell @@ -0,0 +1,24 @@ +3-3-1-1-1-input AND-AND-OR-AND-OR-Invert gate +.cell AAOAOI33111 +.origin AAOAI3311 +.inputs E D C B2 B1 B A2 A1 A +.outputs Y +pmos E N7 vdd vdd g 2 6 2 +pmos D N6 N7 vdd g 1 6 1 +pmos C N5 N7 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 4 -1 +nmos D N6 gnd gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N6 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N6 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOOAI221112.cell b/Catalog/popcorn/AAOAOOAI221112.cell new file mode 100644 index 00000000..ccc814cb --- /dev/null +++ b/Catalog/popcorn/AAOAOOAI221112.cell @@ -0,0 +1,24 @@ +2-2-1-1-1-2-input AND-AND-OR-AND-OR-OR-AND-Invert gate +.cell AAOAOOAI221112 +.origin AAOAOAI221111 +.inputs F1 F E D C B1 B A1 A +.outputs Y +pmos F1 N7 vdd vdd g 2 5 2 +pmos F N6 N7 vdd g 1 5 1 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F1 N6 gnd gnd 1 2 5 -2 +nmos F N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOOAI22112.cell b/Catalog/popcorn/AAOAOOAI22112.cell new file mode 100644 index 00000000..a112fdff --- /dev/null +++ b/Catalog/popcorn/AAOAOOAI22112.cell @@ -0,0 +1,22 @@ +2-2-1-1-2-input AND-AND-OR-AND-OR-OR-AND-Invert gate +.cell AAOAOOAI22112 +.origin AAOAOAI22111 +.inputs E1 E D C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 2 4 -2 +nmos E N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOOAI22113.cell b/Catalog/popcorn/AAOAOOAI22113.cell new file mode 100644 index 00000000..55545320 --- /dev/null +++ b/Catalog/popcorn/AAOAOOAI22113.cell @@ -0,0 +1,24 @@ +2-2-1-1-3-input AND-AND-OR-AND-OR-OR-AND-Invert gate +.cell AAOAOOAI22113 +.origin AAOAOOAI22112 +.inputs E2 E1 E D C B1 B A1 A +.outputs Y +pmos E2 N7 vdd vdd g 3 5 3 +pmos E1 N6 N7 vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E2 N5 gnd gnd 1 2 5 -2 +nmos E1 N5 gnd gnd 1 2 4 -2 +nmos E N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOOAI22122.cell b/Catalog/popcorn/AAOAOOAI22122.cell new file mode 100644 index 00000000..98d5ad1f --- /dev/null +++ b/Catalog/popcorn/AAOAOOAI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input AND-AND-OR-AND-OR-OR-AND-Invert gate +.cell AAOAOOAI22122 +.origin AAOAOAI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 5 -2 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D1 N4 N6 gnd 1 1 4 -1 +nmos D N4 N6 gnd 1 1 3 -1 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOAOOAOI221121.cell b/Catalog/popcorn/AAOAOOAOI221121.cell new file mode 100644 index 00000000..8f4da345 --- /dev/null +++ b/Catalog/popcorn/AAOAOOAOI221121.cell @@ -0,0 +1,24 @@ +2-2-1-1-2-1-input AND-AND-OR-AND-OR-OR-AND-OR-Invert gate +.cell AAOAOOAOI221121 +.origin AAOAOOAI22112 +.inputs F E1 E D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 3 5 3 +pmos E1 N6 N7 vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D N4 N7 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 2 3 2 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 5 -1 +nmos E1 N5 gnd gnd 1 2 4 -2 +nmos E N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI22.cell b/Catalog/popcorn/AAOI22.cell new file mode 100644 index 00000000..d3572c3b --- /dev/null +++ b/Catalog/popcorn/AAOI22.cell @@ -0,0 +1,14 @@ +2-2-input AND-AND-OR-Invert gate +.cell AAOI22 +.origin AOI21 +.inputs B1 B A1 A +.outputs Y +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos B1 N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI221.cell b/Catalog/popcorn/AAOI221.cell new file mode 100644 index 00000000..3b695819 --- /dev/null +++ b/Catalog/popcorn/AAOI221.cell @@ -0,0 +1,16 @@ +2-2-1-input AND-AND-OR-Invert gate +.cell AAOI221 +.origin AAOI22 +.inputs C B1 B A1 A +.outputs Y +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C N3 gnd gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI222.cell b/Catalog/popcorn/AAOI222.cell new file mode 100644 index 00000000..18e718d6 --- /dev/null +++ b/Catalog/popcorn/AAOI222.cell @@ -0,0 +1,18 @@ +2-2-2-input AND-AND-OR-Invert gate +.cell AAOI222 +.origin AAOI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N4 vdd vdd g 4 3 4 +pmos C N3 N4 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI32.cell b/Catalog/popcorn/AAOI32.cell new file mode 100644 index 00000000..ac5e5939 --- /dev/null +++ b/Catalog/popcorn/AAOI32.cell @@ -0,0 +1,16 @@ +3-2-input AND-AND-OR-Invert gate +.cell AAOI32 +.origin AOI31 +.inputs B1 B A2 A1 A +.outputs Y +pmos B1 N2 vdd vdd g 2 4 2 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos B1 N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI321.cell b/Catalog/popcorn/AAOI321.cell new file mode 100644 index 00000000..d990ef83 --- /dev/null +++ b/Catalog/popcorn/AAOI321.cell @@ -0,0 +1,18 @@ +3-2-1-input AND-AND-OR-Invert gate +.cell AAOI321 +.origin AAOI32 +.inputs C B1 B A2 A1 A +.outputs Y +pmos C N4 vdd vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C N4 gnd gnd 1 1 3 -1 +nmos B1 N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI322.cell b/Catalog/popcorn/AAOI322.cell new file mode 100644 index 00000000..9c7d55b4 --- /dev/null +++ b/Catalog/popcorn/AAOI322.cell @@ -0,0 +1,20 @@ +3-2-2-input AND-AND-OR-Invert gate +.cell AAOI322 +.origin AAOI321 +.inputs C1 C B1 B A2 A1 A +.outputs Y +pmos C1 N5 vdd vdd g 4 4 4 +pmos C N4 N5 vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 1 4 -1 +nmos C N4 gnd gnd 1 1 3 -1 +nmos B1 N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI33.cell b/Catalog/popcorn/AAOI33.cell new file mode 100644 index 00000000..07d5be9d --- /dev/null +++ b/Catalog/popcorn/AAOI33.cell @@ -0,0 +1,18 @@ +3-3-input AND-AND-OR-Invert gate +.cell AAOI33 +.origin AAOI32 +.inputs B2 B1 B A2 A1 A +.outputs Y +pmos B2 N2 vdd vdd g 2 5 2 +pmos B1 N2 vdd vdd g 2 4 2 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI331.cell b/Catalog/popcorn/AAOI331.cell new file mode 100644 index 00000000..a0c8a7e4 --- /dev/null +++ b/Catalog/popcorn/AAOI331.cell @@ -0,0 +1,20 @@ +3-3-1-input AND-AND-OR-Invert gate +.cell AAOI331 +.origin AAOI33 +.inputs C B2 B1 B A2 A1 A +.outputs Y +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C N5 gnd gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI332.cell b/Catalog/popcorn/AAOI332.cell new file mode 100644 index 00000000..70652618 --- /dev/null +++ b/Catalog/popcorn/AAOI332.cell @@ -0,0 +1,22 @@ +3-3-2-input AND-AND-OR-Invert gate +.cell AAOI332 +.origin AAOI331 +.inputs C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C1 N6 vdd vdd g 4 5 4 +pmos C N5 N6 vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 1 4 -1 +nmos C N5 gnd gnd 1 1 3 -1 +nmos B2 N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI42.cell b/Catalog/popcorn/AAOI42.cell new file mode 100644 index 00000000..0facab0a --- /dev/null +++ b/Catalog/popcorn/AAOI42.cell @@ -0,0 +1,18 @@ +4-2-input AND-AND-OR-Invert gate +.cell AAOI42 +.origin AOI41 +.inputs B1 B A3 A2 A1 A +.outputs Y +pmos B1 N3 vdd vdd g 2 5 2 +pmos B N3 vdd vdd g 2 4 2 +pmos A3 Y N3 vdd g 1 4 1 +pmos A2 Y N3 vdd g 1 3 1 +pmos A1 Y N3 vdd g 1 2 1 +pmos A Y N3 vdd g 1 1 1 +nmos B1 N4 gnd gnd 1 2 2 -2 +nmos B N3 N4 gnd 1 1 2 -1 +nmos A3 N2 gnd gnd 1 4 1 -4 +nmos A2 N1 N2 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI421.cell b/Catalog/popcorn/AAOI421.cell new file mode 100644 index 00000000..4cf5aaea --- /dev/null +++ b/Catalog/popcorn/AAOI421.cell @@ -0,0 +1,20 @@ +4-2-1-input AND-AND-OR-Invert gate +.cell AAOI421 +.origin AAOI42 +.inputs C B1 B A3 A2 A1 A +.outputs Y +pmos C N5 vdd vdd g 3 5 3 +pmos B1 N3 N5 vdd g 2 5 2 +pmos B N3 N5 vdd g 2 4 2 +pmos A3 Y N3 vdd g 1 4 1 +pmos A2 Y N3 vdd g 1 3 1 +pmos A1 Y N3 vdd g 1 2 1 +pmos A Y N3 vdd g 1 1 1 +nmos C N5 gnd gnd 1 1 3 -1 +nmos B1 N4 gnd gnd 1 2 2 -2 +nmos B N3 N4 gnd 1 1 2 -1 +nmos A3 N2 gnd gnd 1 4 1 -4 +nmos A2 N1 N2 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI43.cell b/Catalog/popcorn/AAOI43.cell new file mode 100644 index 00000000..8770f7bf --- /dev/null +++ b/Catalog/popcorn/AAOI43.cell @@ -0,0 +1,20 @@ +4-3-input AND-AND-OR-Invert gate +.cell AAOI43 +.origin AAOI42 +.inputs B2 B1 B A3 A2 A1 A +.outputs Y +pmos B2 N3 vdd vdd g 2 6 2 +pmos B1 N3 vdd vdd g 2 5 2 +pmos B N3 vdd vdd g 2 4 2 +pmos A3 Y N3 vdd g 1 4 1 +pmos A2 Y N3 vdd g 1 3 1 +pmos A1 Y N3 vdd g 1 2 1 +pmos A Y N3 vdd g 1 1 1 +nmos B2 N5 gnd gnd 1 3 2 -3 +nmos B1 N4 N5 gnd 1 2 2 -2 +nmos B N3 N4 gnd 1 1 2 -1 +nmos A3 N2 gnd gnd 1 4 1 -4 +nmos A2 N1 N2 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOI44.cell b/Catalog/popcorn/AAOI44.cell new file mode 100644 index 00000000..5cfae47b --- /dev/null +++ b/Catalog/popcorn/AAOI44.cell @@ -0,0 +1,22 @@ +4-4-input AND-AND-OR-Invert gate +.cell AAOI44 +.origin AAOI43 +.inputs B3 B2 B1 B A3 A2 A1 A +.outputs Y +pmos B3 N3 vdd vdd g 2 7 2 +pmos B2 N3 vdd vdd g 2 6 2 +pmos B1 N3 vdd vdd g 2 5 2 +pmos B N3 vdd vdd g 2 4 2 +pmos A3 Y N3 vdd g 1 4 1 +pmos A2 Y N3 vdd g 1 3 1 +pmos A1 Y N3 vdd g 1 2 1 +pmos A Y N3 vdd g 1 1 1 +nmos B3 N6 gnd gnd 1 4 2 -4 +nmos B2 N5 N6 gnd 1 3 2 -3 +nmos B1 N4 N5 gnd 1 2 2 -2 +nmos B N3 N4 gnd 1 1 2 -1 +nmos A3 N2 gnd gnd 1 4 1 -4 +nmos A2 N1 N2 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAAOI22222.cell b/Catalog/popcorn/AAOOAAAOI22222.cell new file mode 100644 index 00000000..feba0874 --- /dev/null +++ b/Catalog/popcorn/AAOOAAAOI22222.cell @@ -0,0 +1,26 @@ +2-2-2-2-2-input AND-AND-OR-OR-AND-AND-AND-OR-Invert gate +.cell AAOOAAAOI22222 +.origin AAOOAAOI22221 +.inputs E1 E D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 4 6 4 +pmos E N7 vdd vdd g 4 5 4 +pmos D1 N5 N7 vdd g 3 5 3 +pmos D N5 N7 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 5 -2 +nmos E N7 N8 gnd 1 1 5 -1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAAOI22232.cell b/Catalog/popcorn/AAOOAAAOI22232.cell new file mode 100644 index 00000000..f60d636b --- /dev/null +++ b/Catalog/popcorn/AAOOAAAOI22232.cell @@ -0,0 +1,28 @@ +2-2-2-3-2-input AND-AND-OR-OR-AND-AND-AND-OR-Invert gate +.cell AAOOAAAOI22232 +.origin AAOOAAOI22231 +.inputs E1 E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 4 7 4 +pmos E N8 vdd vdd g 4 6 4 +pmos D2 N5 N8 vdd g 3 6 3 +pmos D1 N5 N8 vdd g 3 5 3 +pmos D N5 N8 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N9 gnd gnd 1 2 5 -2 +nmos E N8 N9 gnd 1 1 5 -1 +nmos D2 N7 gnd gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOAI22221.cell b/Catalog/popcorn/AAOOAAOAI22221.cell new file mode 100644 index 00000000..8fa45b5b --- /dev/null +++ b/Catalog/popcorn/AAOOAAOAI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AAOOAAOAI22221 +.origin AAOOAAOI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 6 1 +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N7 gnd 1 3 3 -3 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOAI222211.cell b/Catalog/popcorn/AAOOAAOAI222211.cell new file mode 100644 index 00000000..86e486fe --- /dev/null +++ b/Catalog/popcorn/AAOOAAOAI222211.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-1-input AND-AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AAOOAAOAI222211 +.origin AAOOAAOI22221 +.inputs F E D1 D C1 C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 6 1 +pmos E N7 vdd vdd g 4 5 4 +pmos D1 N5 N7 vdd g 3 5 3 +pmos D N5 N7 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 5 -2 +nmos E N7 N8 gnd 1 1 5 -1 +nmos D1 N6 N8 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N8 gnd 1 3 3 -3 +nmos C N3 N8 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOAI22231.cell b/Catalog/popcorn/AAOOAAOAI22231.cell new file mode 100644 index 00000000..771f8f12 --- /dev/null +++ b/Catalog/popcorn/AAOOAAOAI22231.cell @@ -0,0 +1,26 @@ +2-2-2-3-1-input AND-AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AAOOAAOAI22231 +.origin AAOOAAOI2223 +.inputs E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 1 7 1 +pmos D2 N5 vdd vdd g 3 6 3 +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N8 gnd gnd 1 4 4 -4 +nmos D2 N7 N8 gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N8 gnd 1 3 3 -3 +nmos C N3 N8 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOAI222311.cell b/Catalog/popcorn/AAOOAAOAI222311.cell new file mode 100644 index 00000000..a81fe03c --- /dev/null +++ b/Catalog/popcorn/AAOOAAOAI222311.cell @@ -0,0 +1,28 @@ +2-2-2-3-1-1-input AND-AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AAOOAAOAI222311 +.origin AAOOAAOI22231 +.inputs F E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos F N9 vdd vdd g 1 7 1 +pmos E N8 vdd vdd g 4 6 4 +pmos D2 N5 N8 vdd g 3 6 3 +pmos D1 N5 N8 vdd g 3 5 3 +pmos D N5 N8 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N9 gnd gnd 1 2 5 -2 +nmos E N8 N9 gnd 1 1 5 -1 +nmos D2 N7 N9 gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N9 gnd 1 3 3 -3 +nmos C N3 N9 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOAOI222211.cell b/Catalog/popcorn/AAOOAAOAOI222211.cell new file mode 100644 index 00000000..b1673561 --- /dev/null +++ b/Catalog/popcorn/AAOOAAOAOI222211.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-1-input AND-AND-OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell AAOOAAOAOI222211 +.origin AAOOAAOAI22221 +.inputs F E D1 D C1 C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 6 2 +pmos E N7 N8 vdd g 1 6 1 +pmos D1 N5 N8 vdd g 3 5 3 +pmos D N5 N8 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 5 -1 +nmos E N7 gnd gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N7 gnd 1 3 3 -3 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOAOI222311.cell b/Catalog/popcorn/AAOOAAOAOI222311.cell new file mode 100644 index 00000000..a518f42a --- /dev/null +++ b/Catalog/popcorn/AAOOAAOAOI222311.cell @@ -0,0 +1,28 @@ +2-2-2-3-1-1-input AND-AND-OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell AAOOAAOAOI222311 +.origin AAOOAAOAI22231 +.inputs F E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos F N9 vdd vdd g 2 7 2 +pmos E N8 N9 vdd g 1 7 1 +pmos D2 N5 N9 vdd g 3 6 3 +pmos D1 N5 N9 vdd g 3 5 3 +pmos D N5 N9 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N9 gnd gnd 1 1 5 -1 +nmos E N8 gnd gnd 1 4 4 -4 +nmos D2 N7 N8 gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N8 gnd 1 3 3 -3 +nmos C N3 N8 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI22122.cell b/Catalog/popcorn/AAOOAAOI22122.cell new file mode 100644 index 00000000..d04c5179 --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI22122 +.origin AAOOAOI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 3 5 3 +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N6 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI22132.cell b/Catalog/popcorn/AAOOAAOI22132.cell new file mode 100644 index 00000000..1553e1d4 --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI22132.cell @@ -0,0 +1,26 @@ +2-2-1-3-2-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI22132 +.origin AAOOAOI22131 +.inputs E1 E D2 D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 4 5 4 +pmos E N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N7 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 6 -2 +nmos E N7 N8 gnd 1 1 6 -1 +nmos D2 N4 gnd gnd 1 2 5 -2 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI22212.cell b/Catalog/popcorn/AAOOAAOI22212.cell new file mode 100644 index 00000000..dbaf7c22 --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI22212.cell @@ -0,0 +1,24 @@ +2-2-2-1-2-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI22212 +.origin AAOOAOI22211 +.inputs E1 E D C1 C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 6 2 +pmos E N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N6 vdd g 2 3 2 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI2222.cell b/Catalog/popcorn/AAOOAAOI2222.cell new file mode 100644 index 00000000..3ab1f6ef --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI2222 +.origin AAOOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI22221.cell b/Catalog/popcorn/AAOOAAOI22221.cell new file mode 100644 index 00000000..f8b193ff --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI22221 +.origin AAOOAAOI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 4 5 4 +pmos D1 N5 N7 vdd g 3 5 3 +pmos D N5 N7 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 5 -1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI2223.cell b/Catalog/popcorn/AAOOAAOI2223.cell new file mode 100644 index 00000000..c06ee507 --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI2223.cell @@ -0,0 +1,24 @@ +2-2-2-3-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI2223 +.origin AAOOAAOI2222 +.inputs D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D2 N5 vdd vdd g 3 6 3 +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N7 gnd gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI22231.cell b/Catalog/popcorn/AAOOAAOI22231.cell new file mode 100644 index 00000000..8ca2b83d --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI22231.cell @@ -0,0 +1,26 @@ +2-2-2-3-1-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI22231 +.origin AAOOAAOI2223 +.inputs E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 4 6 4 +pmos D2 N5 N8 vdd g 3 6 3 +pmos D1 N5 N8 vdd g 3 5 3 +pmos D N5 N8 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 5 -1 +nmos D2 N7 gnd gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI2224.cell b/Catalog/popcorn/AAOOAAOI2224.cell new file mode 100644 index 00000000..a19a2da9 --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI2224.cell @@ -0,0 +1,26 @@ +2-2-2-4-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI2224 +.origin AAOOAAOI2223 +.inputs D3 D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D3 N5 vdd vdd g 3 7 3 +pmos D2 N5 vdd vdd g 3 6 3 +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D3 N8 gnd gnd 1 4 4 -4 +nmos D2 N7 N8 gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI22241.cell b/Catalog/popcorn/AAOOAAOI22241.cell new file mode 100644 index 00000000..38e825df --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI22241.cell @@ -0,0 +1,28 @@ +2-2-2-4-1-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI22241 +.origin AAOOAAOI2224 +.inputs E D3 D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N9 vdd vdd g 4 7 4 +pmos D3 N5 N9 vdd g 3 7 3 +pmos D2 N5 N9 vdd g 3 6 3 +pmos D1 N5 N9 vdd g 3 5 3 +pmos D N5 N9 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N9 gnd gnd 1 1 5 -1 +nmos D3 N8 gnd gnd 1 4 4 -4 +nmos D2 N7 N8 gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOI2232.cell b/Catalog/popcorn/AAOOAAOI2232.cell new file mode 100644 index 00000000..74b28468 --- /dev/null +++ b/Catalog/popcorn/AAOOAAOI2232.cell @@ -0,0 +1,24 @@ +2-2-3-2-input AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell AAOOAAOI2232 +.origin AAOOAOI2231 +.inputs D1 D C2 C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 4 5 4 +pmos D N6 vdd vdd g 4 4 4 +pmos C2 N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N6 vdd g 2 3 2 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N7 gnd gnd 1 2 5 -2 +nmos D N6 N7 gnd 1 1 5 -1 +nmos C2 N3 gnd gnd 1 3 4 -3 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOOAI22222.cell b/Catalog/popcorn/AAOOAAOOAI22222.cell new file mode 100644 index 00000000..d14f386b --- /dev/null +++ b/Catalog/popcorn/AAOOAAOOAI22222.cell @@ -0,0 +1,26 @@ +2-2-2-2-2-2-input AND-AND-OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell AAOOAAOOAI22222 +.origin AAOOAAOAI22221 +.inputs E1 E D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 6 2 +pmos E N7 N8 vdd g 1 6 1 +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 3 5 -3 +nmos E N7 gnd gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N7 gnd 1 3 3 -3 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAAOOAI22232.cell b/Catalog/popcorn/AAOOAAOOAI22232.cell new file mode 100644 index 00000000..f75efb9c --- /dev/null +++ b/Catalog/popcorn/AAOOAAOOAI22232.cell @@ -0,0 +1,28 @@ +2-2-2-2-3-2-input AND-AND-OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell AAOOAAOOAI22232 +.origin AAOOAAOAI22231 +.inputs E1 E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N9 vdd vdd g 2 7 2 +pmos E N8 N9 vdd g 1 7 1 +pmos D2 N5 vdd vdd g 3 6 3 +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 4 5 -4 +nmos E N8 gnd gnd 1 4 4 -4 +nmos D2 N7 N8 gnd 1 3 4 -3 +nmos D1 N6 N7 gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N8 gnd 1 3 3 -3 +nmos C N3 N8 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI2212.cell b/Catalog/popcorn/AAOOAI2212.cell new file mode 100644 index 00000000..7f05fbe8 --- /dev/null +++ b/Catalog/popcorn/AAOOAI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI2212 +.origin AAOAI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI22121.cell b/Catalog/popcorn/AAOOAI22121.cell new file mode 100644 index 00000000..f42a2880 --- /dev/null +++ b/Catalog/popcorn/AAOOAI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI22121 +.origin AAOOAI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N4 N6 gnd 1 2 4 -2 +nmos D N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI2213.cell b/Catalog/popcorn/AAOOAI2213.cell new file mode 100644 index 00000000..8929075c --- /dev/null +++ b/Catalog/popcorn/AAOOAI2213.cell @@ -0,0 +1,22 @@ +2-2-1-3-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI2213 +.origin AAOOAI2212 +.inputs D2 D1 D C B1 B A1 A +.outputs Y +pmos D2 N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N4 gnd gnd 1 2 5 -2 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI22131.cell b/Catalog/popcorn/AAOOAI22131.cell new file mode 100644 index 00000000..1c04bcd5 --- /dev/null +++ b/Catalog/popcorn/AAOOAI22131.cell @@ -0,0 +1,24 @@ +2-2-1-3-1-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI22131 +.origin AAOOAI2213 +.inputs E D2 D1 D C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 5 1 +pmos D2 N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 3 5 -3 +nmos D2 N4 N7 gnd 1 2 5 -2 +nmos D1 N4 N7 gnd 1 2 4 -2 +nmos D N4 N7 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI2214.cell b/Catalog/popcorn/AAOOAI2214.cell new file mode 100644 index 00000000..3a5a6218 --- /dev/null +++ b/Catalog/popcorn/AAOOAI2214.cell @@ -0,0 +1,24 @@ +2-2-1-4-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI2214 +.origin AAOOAI2213 +.inputs D3 D2 D1 D C B1 B A1 A +.outputs Y +pmos D3 N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D3 N4 gnd gnd 1 2 6 -2 +nmos D2 N4 gnd gnd 1 2 5 -2 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI22141.cell b/Catalog/popcorn/AAOOAI22141.cell new file mode 100644 index 00000000..9fc7f9c1 --- /dev/null +++ b/Catalog/popcorn/AAOOAI22141.cell @@ -0,0 +1,26 @@ +2-2-1-4-1-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI22141 +.origin AAOOAI2214 +.inputs E D3 D2 D1 D C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 1 5 1 +pmos D3 N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N8 gnd gnd 1 3 6 -3 +nmos D3 N4 N8 gnd 1 2 6 -2 +nmos D2 N4 N8 gnd 1 2 5 -2 +nmos D1 N4 N8 gnd 1 2 4 -2 +nmos D N4 N8 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI222.cell b/Catalog/popcorn/AAOOAI222.cell new file mode 100644 index 00000000..83f22ab5 --- /dev/null +++ b/Catalog/popcorn/AAOOAI222.cell @@ -0,0 +1,18 @@ +2-2-2-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI222 +.origin AAOAI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI2221.cell b/Catalog/popcorn/AAOOAI2221.cell new file mode 100644 index 00000000..3dcf2241 --- /dev/null +++ b/Catalog/popcorn/AAOOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI2221 +.origin AAOOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 5 1 +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI2222.cell b/Catalog/popcorn/AAOOAI2222.cell new file mode 100644 index 00000000..00ff022a --- /dev/null +++ b/Catalog/popcorn/AAOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI2222 +.origin AAOOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 4 4 -4 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI22221.cell b/Catalog/popcorn/AAOOAI22221.cell new file mode 100644 index 00000000..379f688a --- /dev/null +++ b/Catalog/popcorn/AAOOAI22221.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI22221 +.origin AAOOAI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs +pmos O Z vdd vdd g 1 7 1 +pmos E N7 vdd vdd g 1 6 1 +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 O N1 vdd g 1 2 1 +pmos A O N1 vdd g 1 1 1 +nmos O Z gnd gnd 1 1 7 -1 +nmos E N7 gnd gnd 1 5 4 -5 +nmos D1 N5 N7 gnd 1 4 4 -4 +nmos D N5 N7 gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A O N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI2223.cell b/Catalog/popcorn/AAOOAI2223.cell new file mode 100644 index 00000000..3292079b --- /dev/null +++ b/Catalog/popcorn/AAOOAI2223.cell @@ -0,0 +1,24 @@ +2-2-2-3-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI2223 +.origin AAOOAI2222 +.inputs D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D2 N7 vdd vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N5 gnd gnd 1 4 5 -4 +nmos D1 N5 gnd gnd 1 4 4 -4 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI223.cell b/Catalog/popcorn/AAOOAI223.cell new file mode 100644 index 00000000..b25a3d17 --- /dev/null +++ b/Catalog/popcorn/AAOOAI223.cell @@ -0,0 +1,20 @@ +2-2-3-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI223 +.origin AAOOAI222 +.inputs C2 C1 C B1 B A1 A +.outputs Y +pmos C2 N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C2 N3 gnd gnd 1 3 4 -3 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI2231.cell b/Catalog/popcorn/AAOOAI2231.cell new file mode 100644 index 00000000..6675132e --- /dev/null +++ b/Catalog/popcorn/AAOOAI2231.cell @@ -0,0 +1,22 @@ +2-2-3-1-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI2231 +.origin AAOOAI223 +.inputs D C2 C1 C B1 B A1 A +.outputs Y +pmos D N6 vdd vdd g 1 5 1 +pmos C2 N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N6 gnd gnd 1 4 4 -4 +nmos C2 N3 N6 gnd 1 3 4 -3 +nmos C1 N3 N6 gnd 1 3 3 -3 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI224.cell b/Catalog/popcorn/AAOOAI224.cell new file mode 100644 index 00000000..2764d811 --- /dev/null +++ b/Catalog/popcorn/AAOOAI224.cell @@ -0,0 +1,22 @@ +2-2-4-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI224 +.origin AAOOAI223 +.inputs C3 C2 C1 C B1 B A1 A +.outputs Y +pmos C3 N6 vdd vdd g 4 4 4 +pmos C2 N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C3 N3 gnd gnd 1 3 5 -3 +nmos C2 N3 gnd gnd 1 3 4 -3 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI2241.cell b/Catalog/popcorn/AAOOAI2241.cell new file mode 100644 index 00000000..f4ac250b --- /dev/null +++ b/Catalog/popcorn/AAOOAI2241.cell @@ -0,0 +1,24 @@ +2-2-4-1-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI2241 +.origin AAOOAI224 +.inputs D C3 C2 C1 C B1 B A1 A +.outputs Y +pmos D N7 vdd vdd g 1 5 1 +pmos C3 N6 vdd vdd g 4 4 4 +pmos C2 N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N7 gnd gnd 1 4 5 -4 +nmos C3 N3 N7 gnd 1 3 5 -3 +nmos C2 N3 N7 gnd 1 3 4 -3 +nmos C1 N3 N7 gnd 1 3 3 -3 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI3212.cell b/Catalog/popcorn/AAOOAI3212.cell new file mode 100644 index 00000000..65a03418 --- /dev/null +++ b/Catalog/popcorn/AAOOAI3212.cell @@ -0,0 +1,22 @@ +3-2-1-2-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI3212 +.origin AAOAI3211 +.inputs D1 D C B1 B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C N4 vdd vdd g 3 4 3 +pmos B1 N2 N4 vdd g 2 4 2 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 4 -2 +nmos D N5 gnd gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B1 N3 N5 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N5 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI322.cell b/Catalog/popcorn/AAOOAI322.cell new file mode 100644 index 00000000..43655f45 --- /dev/null +++ b/Catalog/popcorn/AAOOAI322.cell @@ -0,0 +1,20 @@ +3-2-2-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI322 +.origin AAOAI321 +.inputs C1 C B1 B A2 A1 A +.outputs Y +pmos C1 N5 vdd vdd g 2 5 2 +pmos C N4 N5 vdd g 1 5 1 +pmos B1 N2 vdd vdd g 2 4 2 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 3 3 -3 +nmos C N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI323.cell b/Catalog/popcorn/AAOOAI323.cell new file mode 100644 index 00000000..e469ec26 --- /dev/null +++ b/Catalog/popcorn/AAOOAI323.cell @@ -0,0 +1,22 @@ +3-2-3-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI323 +.origin AAOOAI322 +.inputs C2 C1 C B1 B A2 A1 A +.outputs Y +pmos C2 N6 vdd vdd g 3 5 3 +pmos C1 N5 N6 vdd g 2 5 2 +pmos C N4 N5 vdd g 1 5 1 +pmos B1 N2 vdd vdd g 2 4 2 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C2 N4 gnd gnd 1 3 4 -3 +nmos C1 N4 gnd gnd 1 3 3 -3 +nmos C N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI3312.cell b/Catalog/popcorn/AAOOAI3312.cell new file mode 100644 index 00000000..90780c45 --- /dev/null +++ b/Catalog/popcorn/AAOOAI3312.cell @@ -0,0 +1,24 @@ +3-3-1-2-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI3312 +.origin AAOAI3311 +.inputs D1 D C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N7 vdd vdd g 2 6 2 +pmos D N6 N7 vdd g 1 6 1 +pmos C N5 vdd vdd g 3 5 3 +pmos B2 N2 N5 vdd g 2 5 2 +pmos B1 N2 N5 vdd g 2 4 2 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N6 gnd gnd 1 2 3 -2 +nmos C N5 N6 gnd 1 1 3 -1 +nmos B2 N4 N6 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N6 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAI332.cell b/Catalog/popcorn/AAOOAI332.cell new file mode 100644 index 00000000..f6cc5fea --- /dev/null +++ b/Catalog/popcorn/AAOOAI332.cell @@ -0,0 +1,22 @@ +3-3-2-input AND-AND-OR-OR-AND-Invert gate +.cell AAOOAI332 +.origin AAOAI331 +.inputs C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C1 N6 vdd vdd g 2 6 2 +pmos C N5 N6 vdd g 1 6 1 +pmos B2 N2 vdd vdd g 2 5 2 +pmos B1 N2 vdd vdd g 2 4 2 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 4 3 -4 +nmos C N5 gnd gnd 1 4 2 -4 +nmos B2 N4 N5 gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N5 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOAI221211.cell b/Catalog/popcorn/AAOOAOAI221211.cell new file mode 100644 index 00000000..5a7842af --- /dev/null +++ b/Catalog/popcorn/AAOOAOAI221211.cell @@ -0,0 +1,24 @@ +2-2-1-2-1-1-input AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell AAOOAOAI221211 +.origin AAOOAOI22121 +.inputs F E D1 D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 5 1 +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N6 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D1 N4 N7 gnd 1 2 4 -2 +nmos D N4 N7 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOAI221311.cell b/Catalog/popcorn/AAOOAOAI221311.cell new file mode 100644 index 00000000..842701d5 --- /dev/null +++ b/Catalog/popcorn/AAOOAOAI221311.cell @@ -0,0 +1,26 @@ +2-2-1-3-1-1-input AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell AAOOAOAI221311 +.origin AAOOAOI22131 +.inputs F E D2 D1 D C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 5 1 +pmos E N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N7 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 6 -2 +nmos E N7 N8 gnd 1 1 6 -1 +nmos D2 N4 N8 gnd 1 2 5 -2 +nmos D1 N4 N8 gnd 1 2 4 -2 +nmos D N4 N8 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOAI22211.cell b/Catalog/popcorn/AAOOAOAI22211.cell new file mode 100644 index 00000000..e0b78d45 --- /dev/null +++ b/Catalog/popcorn/AAOOAOAI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell AAOOAOAI22211 +.origin AAOOAOI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N6 gnd 1 3 3 -3 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOAI22221.cell b/Catalog/popcorn/AAOOAOAI22221.cell new file mode 100644 index 00000000..b52e7d8c --- /dev/null +++ b/Catalog/popcorn/AAOOAOAI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell AAOOAOAI22221 +.origin AAOOAOI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 5 1 +pmos D1 N6 vdd vdd g 4 4 4 +pmos D N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 2 5 -2 +nmos D1 N5 N7 gnd 1 1 5 -1 +nmos D N5 N7 gnd 1 1 4 -1 +nmos C1 N3 N7 gnd 1 3 3 -3 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOAI22311.cell b/Catalog/popcorn/AAOOAOAI22311.cell new file mode 100644 index 00000000..6a29bde1 --- /dev/null +++ b/Catalog/popcorn/AAOOAOAI22311.cell @@ -0,0 +1,24 @@ +2-2-3-1-1-input AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell AAOOAOAI22311 +.origin AAOOAOI2231 +.inputs E D C2 C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 5 1 +pmos D N6 vdd vdd g 4 4 4 +pmos C2 N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N6 vdd g 2 3 2 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 2 5 -2 +nmos D N6 N7 gnd 1 1 5 -1 +nmos C2 N3 N7 gnd 1 3 4 -3 +nmos C1 N3 N7 gnd 1 3 3 -3 +nmos C N3 N7 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOAOI222111.cell b/Catalog/popcorn/AAOOAOAOI222111.cell new file mode 100644 index 00000000..4c7c34ed --- /dev/null +++ b/Catalog/popcorn/AAOOAOAOI222111.cell @@ -0,0 +1,24 @@ +2-2-2-1-1-1-input AND-AND-OR-OR-AND-OR-AND-OR-Invert gate +.cell AAOOAOAOI222111 +.origin AAOOAOAI22211 +.inputs F E D C1 C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D N5 N7 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 5 -1 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N6 gnd 1 3 3 -3 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI22121.cell b/Catalog/popcorn/AAOOAOI22121.cell new file mode 100644 index 00000000..4945ef7c --- /dev/null +++ b/Catalog/popcorn/AAOOAOI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI22121 +.origin AAOOAI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N6 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI221211.cell b/Catalog/popcorn/AAOOAOI221211.cell new file mode 100644 index 00000000..20b46f19 --- /dev/null +++ b/Catalog/popcorn/AAOOAOI221211.cell @@ -0,0 +1,24 @@ +2-2-1-2-1-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI221211 +.origin AAOOAI22121 +.inputs F E D1 D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N5 N7 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N7 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 5 -1 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N4 N6 gnd 1 2 4 -2 +nmos D N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI22131.cell b/Catalog/popcorn/AAOOAOI22131.cell new file mode 100644 index 00000000..2c85af8b --- /dev/null +++ b/Catalog/popcorn/AAOOAOI22131.cell @@ -0,0 +1,24 @@ +2-2-1-3-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI22131 +.origin AAOOAI2213 +.inputs E D2 D1 D C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N7 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 6 -1 +nmos D2 N4 gnd gnd 1 2 5 -2 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI221311.cell b/Catalog/popcorn/AAOOAOI221311.cell new file mode 100644 index 00000000..c4c3844a --- /dev/null +++ b/Catalog/popcorn/AAOOAOI221311.cell @@ -0,0 +1,26 @@ +2-2-1-3-1-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI221311 +.origin AAOOAI22131 +.inputs F E D2 D1 D C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 5 2 +pmos E N7 N8 vdd g 1 5 1 +pmos D2 N6 N8 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N8 vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 6 -1 +nmos E N7 gnd gnd 1 3 5 -3 +nmos D2 N4 N7 gnd 1 2 5 -2 +nmos D1 N4 N7 gnd 1 2 4 -2 +nmos D N4 N7 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI2221.cell b/Catalog/popcorn/AAOOAOI2221.cell new file mode 100644 index 00000000..d791fedc --- /dev/null +++ b/Catalog/popcorn/AAOOAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI2221 +.origin AAOOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI22211.cell b/Catalog/popcorn/AAOOAOI22211.cell new file mode 100644 index 00000000..0ba158a1 --- /dev/null +++ b/Catalog/popcorn/AAOOAOI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI22211 +.origin AAOOAI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N6 vdd g 2 3 2 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI22212.cell b/Catalog/popcorn/AAOOAOI22212.cell new file mode 100644 index 00000000..31f68022 --- /dev/null +++ b/Catalog/popcorn/AAOOAOI22212.cell @@ -0,0 +1,24 @@ +2-2-2-1-2-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI22212 +.origin AAOOAOI22211 +.inputs E1 E D C1 C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 3 5 3 +pmos E N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N6 vdd g 2 3 2 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 1 5 -1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI2222.cell b/Catalog/popcorn/AAOOAOI2222.cell new file mode 100644 index 00000000..3dbee380 --- /dev/null +++ b/Catalog/popcorn/AAOOAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI2222 +.origin AAOOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 4 4 4 +pmos D N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI2231.cell b/Catalog/popcorn/AAOOAOI2231.cell new file mode 100644 index 00000000..b4cbd577 --- /dev/null +++ b/Catalog/popcorn/AAOOAOI2231.cell @@ -0,0 +1,22 @@ +2-2-3-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI2231 +.origin AAOOAI223 +.inputs D C2 C1 C B1 B A1 A +.outputs Y +pmos D N6 vdd vdd g 4 4 4 +pmos C2 N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N6 vdd g 2 3 2 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 5 -1 +nmos C2 N3 gnd gnd 1 3 4 -3 +nmos C1 N3 gnd gnd 1 3 3 -3 +nmos C N3 gnd gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI22311.cell b/Catalog/popcorn/AAOOAOI22311.cell new file mode 100644 index 00000000..d6f17eda --- /dev/null +++ b/Catalog/popcorn/AAOOAOI22311.cell @@ -0,0 +1,24 @@ +2-2-3-1-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI22311 +.origin AAOOAI2231 +.inputs E D C2 C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 2 5 2 +pmos D N6 N7 vdd g 1 5 1 +pmos C2 N5 N7 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N7 vdd g 2 3 2 +pmos B N1 N7 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 5 -1 +nmos D N6 gnd gnd 1 4 4 -4 +nmos C2 N3 N6 gnd 1 3 4 -3 +nmos C1 N3 N6 gnd 1 3 3 -3 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOI3221.cell b/Catalog/popcorn/AAOOAOI3221.cell new file mode 100644 index 00000000..7d0ebb35 --- /dev/null +++ b/Catalog/popcorn/AAOOAOI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input AND-AND-OR-OR-AND-OR-Invert gate +.cell AAOOAOI3221 +.origin AAOOAI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 3 5 3 +pmos C1 N5 N6 vdd g 2 5 2 +pmos C N4 N5 vdd g 1 5 1 +pmos B1 N2 N6 vdd g 2 4 2 +pmos B N2 N6 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 3 3 -3 +nmos C N4 gnd gnd 1 3 2 -3 +nmos B1 N3 N4 gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOAOOAI22212.cell b/Catalog/popcorn/AAOOAOOAI22212.cell new file mode 100644 index 00000000..2a371b9f --- /dev/null +++ b/Catalog/popcorn/AAOOAOOAI22212.cell @@ -0,0 +1,24 @@ +2-2-2-1-2-input AND-AND-OR-OR-AND-OR-OR-AND-Invert gate +.cell AAOOAOOAI22212 +.origin AAOOAOAI22211 +.inputs E1 E D C1 C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N5 vdd g 2 3 2 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 5 -2 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 N6 gnd 1 3 3 -3 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOOAI22122.cell b/Catalog/popcorn/AAOOOAI22122.cell new file mode 100644 index 00000000..acc52c33 --- /dev/null +++ b/Catalog/popcorn/AAOOOAI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input AND-AND-OR-OR-OR-AND-Invert gate +.cell AAOOOAI22122 +.origin AAOOAI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 3 5 -3 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N4 N6 gnd 1 2 4 -2 +nmos D N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOOAI22132.cell b/Catalog/popcorn/AAOOOAI22132.cell new file mode 100644 index 00000000..d3fd4062 --- /dev/null +++ b/Catalog/popcorn/AAOOOAI22132.cell @@ -0,0 +1,26 @@ +2-2-1-3-2-input AND-AND-OR-OR-OR-AND-Invert gate +.cell AAOOOAI22132 +.origin AAOOAI22131 +.inputs E1 E D2 D1 D C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 5 2 +pmos E N7 N8 vdd g 1 5 1 +pmos D2 N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 3 6 -3 +nmos E N7 gnd gnd 1 3 5 -3 +nmos D2 N4 N7 gnd 1 2 5 -2 +nmos D1 N4 N7 gnd 1 2 4 -2 +nmos D N4 N7 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOOAI2222.cell b/Catalog/popcorn/AAOOOAI2222.cell new file mode 100644 index 00000000..f5228dfa --- /dev/null +++ b/Catalog/popcorn/AAOOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-AND-OR-OR-OR-AND-Invert gate +.cell AAOOOAI2222 +.origin AAOOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 4 4 -4 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOOAI2232.cell b/Catalog/popcorn/AAOOOAI2232.cell new file mode 100644 index 00000000..044577da --- /dev/null +++ b/Catalog/popcorn/AAOOOAI2232.cell @@ -0,0 +1,24 @@ +2-2-3-2-input AND-AND-OR-OR-OR-AND-Invert gate +.cell AAOOOAI2232 +.origin AAOOAI2231 +.inputs D1 D C2 C1 C B1 B A1 A +.outputs Y +pmos D1 N7 vdd vdd g 2 5 2 +pmos D N6 N7 vdd g 1 5 1 +pmos C2 N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 vdd vdd g 2 3 2 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 4 5 -4 +nmos D N6 gnd gnd 1 4 4 -4 +nmos C2 N3 N6 gnd 1 3 4 -3 +nmos C1 N3 N6 gnd 1 3 3 -3 +nmos C N3 N6 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AAOOOAOI22221.cell b/Catalog/popcorn/AAOOOAOI22221.cell new file mode 100644 index 00000000..65532bb2 --- /dev/null +++ b/Catalog/popcorn/AAOOOAOI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input AND-AND-OR-OR-OR-AND-OR-Invert gate +.cell AAOOOAOI22221 +.origin AAOOOAI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 5 3 +pmos D1 N6 N7 vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N4 N7 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B1 N1 N7 vdd g 2 3 2 +pmos B N1 N7 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 5 -1 +nmos D1 N5 gnd gnd 1 4 4 -4 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C1 N3 N5 gnd 1 3 3 -3 +nmos C N3 N5 gnd 1 3 2 -3 +nmos B1 N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAAOAI211221.cell b/Catalog/popcorn/AOAAAOAI211221.cell new file mode 100644 index 00000000..b9bdbf88 --- /dev/null +++ b/Catalog/popcorn/AOAAAOAI211221.cell @@ -0,0 +1,24 @@ +2-1-1-2-2-1-input AND-OR-AND-AND-AND-OR-AND-Invert gate +.cell AOAAAOAI211221 +.origin AOAAAOI21122 +.inputs F E1 E D1 D C B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 6 1 +pmos E1 N5 vdd vdd g 3 5 3 +pmos E N5 vdd vdd g 3 4 3 +pmos D1 N3 N5 vdd g 2 4 2 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 3 4 -3 +nmos E1 N6 N7 gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D1 N4 N7 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N7 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAAOI21122.cell b/Catalog/popcorn/AOAAAOI21122.cell new file mode 100644 index 00000000..0a8e5275 --- /dev/null +++ b/Catalog/popcorn/AOAAAOI21122.cell @@ -0,0 +1,22 @@ +2-1-1-2-2-input AND-OR-AND-AND-AND-OR-Invert gate +.cell AOAAAOI21122 +.origin AOAAOI21121 +.inputs E1 E D1 D C B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 3 5 3 +pmos E N5 vdd vdd g 3 4 3 +pmos D1 N3 N5 vdd g 2 4 2 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D1 N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAAOI21132.cell b/Catalog/popcorn/AOAAAOI21132.cell new file mode 100644 index 00000000..2e65b111 --- /dev/null +++ b/Catalog/popcorn/AOAAAOI21132.cell @@ -0,0 +1,24 @@ +2-1-1-3-2-input AND-OR-AND-AND-AND-OR-Invert gate +.cell AOAAAOI21132 +.origin AOAAOI21131 +.inputs E1 E D2 D1 D C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 3 6 3 +pmos E N6 vdd vdd g 3 5 3 +pmos D2 N3 N6 vdd g 2 5 2 +pmos D1 N3 N6 vdd g 2 4 2 +pmos D N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D2 N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAAOI21222.cell b/Catalog/popcorn/AOAAAOI21222.cell new file mode 100644 index 00000000..6f1afd17 --- /dev/null +++ b/Catalog/popcorn/AOAAAOI21222.cell @@ -0,0 +1,24 @@ +2-1-2-2-2-input AND-OR-AND-AND-AND-OR-Invert gate +.cell AOAAAOI21222 +.origin AOAAOI21221 +.inputs E1 E D1 D C1 C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 3 6 3 +pmos E N6 vdd vdd g 3 5 3 +pmos D1 N4 N6 vdd g 2 5 2 +pmos D N4 N6 vdd g 2 4 2 +pmos C1 N2 N4 vdd g 1 4 1 +pmos C N2 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D1 N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOAAOI211212.cell b/Catalog/popcorn/AOAAOAAOI211212.cell new file mode 100644 index 00000000..a0e40c06 --- /dev/null +++ b/Catalog/popcorn/AOAAOAAOI211212.cell @@ -0,0 +1,24 @@ +2-1-1-2-1-2-input AND-OR-AND-AND-OR-AND-AND-OR-Invert gate +.cell AOAAOAAOI211212 +.origin AOAAOAOI211211 +.inputs F1 F E D1 D C B A1 A +.outputs Y +pmos F1 N6 vdd vdd g 2 6 2 +pmos F N6 vdd vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D1 N3 N6 vdd g 2 4 2 +pmos D N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F1 N7 gnd gnd 1 2 4 -2 +nmos F N6 N7 gnd 1 1 4 -1 +nmos E N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOAI21121.cell b/Catalog/popcorn/AOAAOAI21121.cell new file mode 100644 index 00000000..d3a1aa72 --- /dev/null +++ b/Catalog/popcorn/AOAAOAI21121.cell @@ -0,0 +1,20 @@ +2-1-1-2-1-input AND-OR-AND-AND-OR-AND-Invert gate +.cell AOAAOAI21121 +.origin AOAAOI2112 +.inputs E D1 D C B A1 A +.outputs Y +pmos E N5 vdd vdd g 1 5 1 +pmos D1 N3 vdd vdd g 2 4 2 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOAI211211.cell b/Catalog/popcorn/AOAAOAI211211.cell new file mode 100644 index 00000000..3a6c3c6a --- /dev/null +++ b/Catalog/popcorn/AOAAOAI211211.cell @@ -0,0 +1,22 @@ +2-1-1-2-1-1-input AND-OR-AND-AND-OR-AND-Invert gate +.cell AOAAOAI211211 +.origin AOAAOI21121 +.inputs F E D1 D C B A1 A +.outputs Y +pmos F N6 vdd vdd g 1 5 1 +pmos E N5 vdd vdd g 3 4 3 +pmos D1 N3 N5 vdd g 2 4 2 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D1 N4 N6 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOAI21131.cell b/Catalog/popcorn/AOAAOAI21131.cell new file mode 100644 index 00000000..c7adef3b --- /dev/null +++ b/Catalog/popcorn/AOAAOAI21131.cell @@ -0,0 +1,22 @@ +2-1-1-3-1-input AND-OR-AND-AND-OR-AND-Invert gate +.cell AOAAOAI21131 +.origin AOAAOI2113 +.inputs E D2 D1 D C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 6 1 +pmos D2 N3 vdd vdd g 2 5 2 +pmos D1 N3 vdd vdd g 2 4 2 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 4 3 -4 +nmos D2 N5 N6 gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOAI211311.cell b/Catalog/popcorn/AOAAOAI211311.cell new file mode 100644 index 00000000..56ade7cf --- /dev/null +++ b/Catalog/popcorn/AOAAOAI211311.cell @@ -0,0 +1,24 @@ +2-1-1-3-1-1-input AND-OR-AND-AND-OR-AND-Invert gate +.cell AOAAOAI211311 +.origin AOAAOI21131 +.inputs F E D2 D1 D C B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 6 1 +pmos E N6 vdd vdd g 3 5 3 +pmos D2 N3 N6 vdd g 2 5 2 +pmos D1 N3 N6 vdd g 2 4 2 +pmos D N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 4 -2 +nmos E N6 N7 gnd 1 1 4 -1 +nmos D2 N5 N7 gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N7 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOAOI211211.cell b/Catalog/popcorn/AOAAOAOI211211.cell new file mode 100644 index 00000000..b7c24a89 --- /dev/null +++ b/Catalog/popcorn/AOAAOAOI211211.cell @@ -0,0 +1,22 @@ +2-1-1-2-1-1-input AND-OR-AND-AND-OR-AND-OR-Invert gate +.cell AOAAOAOI211211 +.origin AOAAOAI21121 +.inputs F E D1 D C B A1 A +.outputs Y +pmos F N6 vdd vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D1 N3 N6 vdd g 2 4 2 +pmos D N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N6 gnd gnd 1 1 4 -1 +nmos E N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOAOI211311.cell b/Catalog/popcorn/AOAAOAOI211311.cell new file mode 100644 index 00000000..8820074f --- /dev/null +++ b/Catalog/popcorn/AOAAOAOI211311.cell @@ -0,0 +1,24 @@ +2-1-1-3-1-1-input AND-OR-AND-AND-OR-AND-OR-Invert gate +.cell AOAAOAOI211311 +.origin AOAAOAI21131 +.inputs F E D2 D1 D C B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 6 2 +pmos E N6 N7 vdd g 1 6 1 +pmos D2 N3 N7 vdd g 2 5 2 +pmos D1 N3 N7 vdd g 2 4 2 +pmos D N3 N7 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 4 -1 +nmos E N6 gnd gnd 1 4 3 -4 +nmos D2 N5 N6 gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI21112.cell b/Catalog/popcorn/AOAAOI21112.cell new file mode 100644 index 00000000..c95fa2bb --- /dev/null +++ b/Catalog/popcorn/AOAAOI21112.cell @@ -0,0 +1,20 @@ +2-1-1-1-2-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI21112 +.origin AOAAOI2112 +.inputs E D1 D C B A1 A +.outputs Y +pmos E N5 vdd vdd g 3 4 3 +pmos D1 N3 N5 vdd g 2 4 2 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 4 -1 +nmos D1 N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI2112.cell b/Catalog/popcorn/AOAAOI2112.cell new file mode 100644 index 00000000..b552c502 --- /dev/null +++ b/Catalog/popcorn/AOAAOI2112.cell @@ -0,0 +1,18 @@ +2-1-1-2-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI2112 +.origin AOAOI2111 +.inputs D1 D C B A1 A +.outputs Y +pmos D1 N3 vdd vdd g 2 4 2 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI21121.cell b/Catalog/popcorn/AOAAOI21121.cell new file mode 100644 index 00000000..87ab33d4 --- /dev/null +++ b/Catalog/popcorn/AOAAOI21121.cell @@ -0,0 +1,20 @@ +2-1-1-2-1-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI21121 +.origin AOAAOI2112 +.inputs E D1 D C B A1 A +.outputs Y +pmos E N5 vdd vdd g 3 4 3 +pmos D1 N3 N5 vdd g 2 4 2 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 4 -1 +nmos D1 N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI2113.cell b/Catalog/popcorn/AOAAOI2113.cell new file mode 100644 index 00000000..5d4f73ef --- /dev/null +++ b/Catalog/popcorn/AOAAOI2113.cell @@ -0,0 +1,20 @@ +2-1-1-3-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI2113 +.origin AOAAOI2112 +.inputs D2 D1 D C B A1 A +.outputs Y +pmos D2 N3 vdd vdd g 2 5 2 +pmos D1 N3 vdd vdd g 2 4 2 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI21131.cell b/Catalog/popcorn/AOAAOI21131.cell new file mode 100644 index 00000000..dadc88fa --- /dev/null +++ b/Catalog/popcorn/AOAAOI21131.cell @@ -0,0 +1,22 @@ +2-1-1-3-1-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI21131 +.origin AOAAOI2113 +.inputs E D2 D1 D C B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 5 3 +pmos D2 N3 N6 vdd g 2 5 2 +pmos D1 N3 N6 vdd g 2 4 2 +pmos D N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D2 N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI2114.cell b/Catalog/popcorn/AOAAOI2114.cell new file mode 100644 index 00000000..5fe78185 --- /dev/null +++ b/Catalog/popcorn/AOAAOI2114.cell @@ -0,0 +1,22 @@ +2-1-1-4-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI2114 +.origin AOAAOI2113 +.inputs D3 D2 D1 D C B A1 A +.outputs Y +pmos D3 N3 vdd vdd g 2 6 2 +pmos D2 N3 vdd vdd g 2 5 2 +pmos D1 N3 vdd vdd g 2 4 2 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D3 N6 gnd gnd 1 4 3 -4 +nmos D2 N5 N6 gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI21141.cell b/Catalog/popcorn/AOAAOI21141.cell new file mode 100644 index 00000000..a18852fb --- /dev/null +++ b/Catalog/popcorn/AOAAOI21141.cell @@ -0,0 +1,24 @@ +2-1-1-4-1-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI21141 +.origin AOAAOI2114 +.inputs E D3 D2 D1 D C B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 6 3 +pmos D3 N3 N7 vdd g 2 6 2 +pmos D2 N3 N7 vdd g 2 5 2 +pmos D1 N3 N7 vdd g 2 4 2 +pmos D N3 N7 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 4 -1 +nmos D3 N6 gnd gnd 1 4 3 -4 +nmos D2 N5 N6 gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI2122.cell b/Catalog/popcorn/AOAAOI2122.cell new file mode 100644 index 00000000..2c6d5557 --- /dev/null +++ b/Catalog/popcorn/AOAAOI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI2122 +.origin AOAOI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C1 N2 N4 vdd g 1 4 1 +pmos C N2 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI21221.cell b/Catalog/popcorn/AOAAOI21221.cell new file mode 100644 index 00000000..63a38ef1 --- /dev/null +++ b/Catalog/popcorn/AOAAOI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI21221 +.origin AOAAOI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 5 3 +pmos D1 N4 N6 vdd g 2 5 2 +pmos D N4 N6 vdd g 2 4 2 +pmos C1 N2 N4 vdd g 1 4 1 +pmos C N2 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D1 N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI2123.cell b/Catalog/popcorn/AOAAOI2123.cell new file mode 100644 index 00000000..1aac2bfd --- /dev/null +++ b/Catalog/popcorn/AOAAOI2123.cell @@ -0,0 +1,22 @@ +2-1-2-3-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI2123 +.origin AOAAOI2122 +.inputs D2 D1 D C1 C B A1 A +.outputs Y +pmos D2 N4 vdd vdd g 2 6 2 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C1 N2 N4 vdd g 1 4 1 +pmos C N2 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI21231.cell b/Catalog/popcorn/AOAAOI21231.cell new file mode 100644 index 00000000..6141a617 --- /dev/null +++ b/Catalog/popcorn/AOAAOI21231.cell @@ -0,0 +1,24 @@ +2-1-2-3-1-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI21231 +.origin AOAAOI2123 +.inputs E D2 D1 D C1 C B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 6 3 +pmos D2 N4 N7 vdd g 2 6 2 +pmos D1 N4 N7 vdd g 2 5 2 +pmos D N4 N7 vdd g 2 4 2 +pmos C1 N2 N4 vdd g 1 4 1 +pmos C N2 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 4 -1 +nmos D2 N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI2124.cell b/Catalog/popcorn/AOAAOI2124.cell new file mode 100644 index 00000000..8b3bf26d --- /dev/null +++ b/Catalog/popcorn/AOAAOI2124.cell @@ -0,0 +1,24 @@ +2-1-2-4-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI2124 +.origin AOAAOI2123 +.inputs D3 D2 D1 D C1 C B A1 A +.outputs Y +pmos D3 N4 vdd vdd g 2 7 2 +pmos D2 N4 vdd vdd g 2 6 2 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C1 N2 N4 vdd g 1 4 1 +pmos C N2 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D3 N7 gnd gnd 1 4 3 -4 +nmos D2 N6 N7 gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI2212.cell b/Catalog/popcorn/AOAAOI2212.cell new file mode 100644 index 00000000..051621e5 --- /dev/null +++ b/Catalog/popcorn/AOAAOI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI2212 +.origin AOAOI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI3112.cell b/Catalog/popcorn/AOAAOI3112.cell new file mode 100644 index 00000000..c9709d85 --- /dev/null +++ b/Catalog/popcorn/AOAAOI3112.cell @@ -0,0 +1,20 @@ +3-1-1-2-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI3112 +.origin AOAOI3111 +.inputs D1 D C B A2 A1 A +.outputs Y +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI31121.cell b/Catalog/popcorn/AOAAOI31121.cell new file mode 100644 index 00000000..ae0f9aab --- /dev/null +++ b/Catalog/popcorn/AOAAOI31121.cell @@ -0,0 +1,22 @@ +3-1-1-2-1-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI31121 +.origin AOAAOI3112 +.inputs E D1 D C B A2 A1 A +.outputs Y +pmos E N6 vdd vdd g 3 5 3 +pmos D1 N4 N6 vdd g 2 5 2 +pmos D N4 N6 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D1 N5 gnd gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI3113.cell b/Catalog/popcorn/AOAAOI3113.cell new file mode 100644 index 00000000..72683ec0 --- /dev/null +++ b/Catalog/popcorn/AOAAOI3113.cell @@ -0,0 +1,22 @@ +3-1-1-3-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI3113 +.origin AOAAOI3112 +.inputs D2 D1 D C B A2 A1 A +.outputs Y +pmos D2 N4 vdd vdd g 2 6 2 +pmos D1 N4 vdd vdd g 2 5 2 +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D2 N6 gnd gnd 1 3 3 -3 +nmos D1 N5 N6 gnd 1 2 3 -2 +nmos D N4 N5 gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOI3212.cell b/Catalog/popcorn/AOAAOI3212.cell new file mode 100644 index 00000000..64c5058e --- /dev/null +++ b/Catalog/popcorn/AOAAOI3212.cell @@ -0,0 +1,22 @@ +3-2-1-2-input AND-OR-AND-AND-OR-Invert gate +.cell AOAAOI3212 +.origin AOAOI3211 +.inputs D1 D C B1 B A2 A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 5 2 +pmos D N5 vdd vdd g 2 4 2 +pmos C N4 N5 vdd g 1 4 1 +pmos B1 N3 N5 vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C N4 gnd gnd 1 2 3 -2 +nmos B1 N2 N4 gnd 1 1 3 -1 +nmos B N2 N4 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOOAI211212.cell b/Catalog/popcorn/AOAAOOAI211212.cell new file mode 100644 index 00000000..6ed2ee30 --- /dev/null +++ b/Catalog/popcorn/AOAAOOAI211212.cell @@ -0,0 +1,24 @@ +2-1-1-2-1-2-input AND-OR-AND-AND-OR-OR-AND-Invert gate +.cell AOAAOOAI211212 +.origin AOAAOAI211211 +.inputs F1 F E D1 D C B A1 A +.outputs Y +pmos F1 N7 vdd vdd g 2 5 2 +pmos F N6 N7 vdd g 1 5 1 +pmos E N5 vdd vdd g 3 4 3 +pmos D1 N3 N5 vdd g 2 4 2 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F1 N6 gnd gnd 1 2 5 -2 +nmos F N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D1 N4 N6 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOOAI21122.cell b/Catalog/popcorn/AOAAOOAI21122.cell new file mode 100644 index 00000000..53bd9667 --- /dev/null +++ b/Catalog/popcorn/AOAAOOAI21122.cell @@ -0,0 +1,22 @@ +2-1-1-2-2-input AND-OR-AND-AND-OR-OR-AND-Invert gate +.cell AOAAOOAI21122 +.origin AOAAOAI21121 +.inputs E1 E D1 D C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D1 N3 vdd vdd g 2 4 2 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 3 4 -3 +nmos E N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOOAI21123.cell b/Catalog/popcorn/AOAAOOAI21123.cell new file mode 100644 index 00000000..1472b966 --- /dev/null +++ b/Catalog/popcorn/AOAAOOAI21123.cell @@ -0,0 +1,24 @@ +2-1-1-2-3-input AND-OR-AND-AND-OR-OR-AND-Invert gate +.cell AOAAOOAI21123 +.origin AOAAOOAI21122 +.inputs E2 E1 E D1 D C B A1 A +.outputs Y +pmos E2 N7 vdd vdd g 3 5 3 +pmos E1 N6 N7 vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D1 N3 vdd vdd g 2 4 2 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E2 N5 gnd gnd 1 3 5 -3 +nmos E1 N5 gnd gnd 1 3 4 -3 +nmos E N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOOAI21132.cell b/Catalog/popcorn/AOAAOOAI21132.cell new file mode 100644 index 00000000..946a8664 --- /dev/null +++ b/Catalog/popcorn/AOAAOOAI21132.cell @@ -0,0 +1,24 @@ +2-1-1-3-2-input AND-OR-AND-AND-OR-OR-AND-Invert gate +.cell AOAAOOAI21132 +.origin AOAAOAI21131 +.inputs E1 E D2 D1 D C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 6 2 +pmos E N6 N7 vdd g 1 6 1 +pmos D2 N3 vdd vdd g 2 5 2 +pmos D1 N3 vdd vdd g 2 4 2 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 4 4 -4 +nmos E N6 gnd gnd 1 4 3 -4 +nmos D2 N5 N6 gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAAOOAOI211221.cell b/Catalog/popcorn/AOAAOOAOI211221.cell new file mode 100644 index 00000000..8f855bd6 --- /dev/null +++ b/Catalog/popcorn/AOAAOOAOI211221.cell @@ -0,0 +1,24 @@ +2-1-1-2-2-1-input AND-OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell AOAAOOAOI211221 +.origin AOAAOOAI21122 +.inputs F E1 E D1 D C B A1 A +.outputs Y +pmos F N7 vdd vdd g 3 5 3 +pmos E1 N6 N7 vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D1 N3 N7 vdd g 2 4 2 +pmos D N3 N7 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 5 -1 +nmos E1 N5 gnd gnd 1 3 4 -3 +nmos E N5 gnd gnd 1 3 3 -3 +nmos D1 N4 N5 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI211.cell b/Catalog/popcorn/AOAI211.cell new file mode 100644 index 00000000..3c67f13a --- /dev/null +++ b/Catalog/popcorn/AOAI211.cell @@ -0,0 +1,14 @@ +2-1-1-input AND-OR-AND-Invert gate +.cell AOAI211 +.origin AOI21 +.inputs C B A1 A +.outputs Y +pmos C N2 vdd vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI212.cell b/Catalog/popcorn/AOAI212.cell new file mode 100644 index 00000000..5a75463d --- /dev/null +++ b/Catalog/popcorn/AOAI212.cell @@ -0,0 +1,16 @@ +2-1-2-input AND-OR-AND-Invert gate +.cell AOAI212 +.origin AOAI211 +.inputs C1 C B A1 A +.outputs Y +pmos C1 N2 vdd vdd g 1 4 1 +pmos C N2 vdd vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI221.cell b/Catalog/popcorn/AOAI221.cell new file mode 100644 index 00000000..38edc34e --- /dev/null +++ b/Catalog/popcorn/AOAI221.cell @@ -0,0 +1,16 @@ +2-2-1-input AND-OR-AND-Invert gate +.cell AOAI221 +.origin AOI22 +.inputs C B1 B A1 A +.outputs Y +pmos C N3 vdd vdd g 1 3 1 +pmos B1 N2 vdd vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C N3 gnd gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI222.cell b/Catalog/popcorn/AOAI222.cell new file mode 100644 index 00000000..d9564d62 --- /dev/null +++ b/Catalog/popcorn/AOAI222.cell @@ -0,0 +1,18 @@ +2-2-2-input AND-OR-AND-Invert gate +.cell AOAI222 +.origin AOAI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N3 vdd vdd g 1 4 1 +pmos C N3 vdd vdd g 1 3 1 +pmos B1 N2 vdd vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 3 3 -3 +nmos C N3 N4 gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI231.cell b/Catalog/popcorn/AOAI231.cell new file mode 100644 index 00000000..b1402de5 --- /dev/null +++ b/Catalog/popcorn/AOAI231.cell @@ -0,0 +1,18 @@ +2-3-1-input AND-OR-AND-Invert gate +.cell AOAI231 +.origin AOI23 +.inputs C B2 B1 B A1 A +.outputs Y +pmos C N4 vdd vdd g 1 3 1 +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C N4 gnd gnd 1 2 4 -2 +nmos B2 N1 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI232.cell b/Catalog/popcorn/AOAI232.cell new file mode 100644 index 00000000..16284b56 --- /dev/null +++ b/Catalog/popcorn/AOAI232.cell @@ -0,0 +1,20 @@ +2-3-2-input AND-OR-AND-Invert gate +.cell AOAI232 +.origin AOAI231 +.inputs C1 C B2 B1 B A1 A +.outputs Y +pmos C1 N4 vdd vdd g 1 4 1 +pmos C N4 vdd vdd g 1 3 1 +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 3 4 -3 +nmos C N4 N5 gnd 1 2 4 -2 +nmos B2 N1 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI311.cell b/Catalog/popcorn/AOAI311.cell new file mode 100644 index 00000000..b6d6bc96 --- /dev/null +++ b/Catalog/popcorn/AOAI311.cell @@ -0,0 +1,16 @@ +3-1-1-input AND-OR-AND-Invert gate +.cell AOAI311 +.origin AOI31 +.inputs C B A2 A1 A +.outputs Y +pmos C N3 vdd vdd g 1 4 1 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI321.cell b/Catalog/popcorn/AOAI321.cell new file mode 100644 index 00000000..a8049d57 --- /dev/null +++ b/Catalog/popcorn/AOAI321.cell @@ -0,0 +1,18 @@ +3-2-1-input AND-OR-AND-Invert gate +.cell AOAI321 +.origin AOI32 +.inputs C B1 B A2 A1 A +.outputs Y +pmos C N4 vdd vdd g 1 4 1 +pmos B1 N3 vdd vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C N4 gnd gnd 1 2 3 -2 +nmos B1 N2 N4 gnd 1 1 3 -1 +nmos B N2 N4 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAI331.cell b/Catalog/popcorn/AOAI331.cell new file mode 100644 index 00000000..27ae60d6 --- /dev/null +++ b/Catalog/popcorn/AOAI331.cell @@ -0,0 +1,20 @@ +3-3-1-input AND-OR-AND-Invert gate +.cell AOAI331 +.origin AOI33 +.inputs C B2 B1 B A2 A1 A +.outputs Y +pmos C N5 vdd vdd g 1 4 1 +pmos B2 N4 vdd vdd g 4 3 4 +pmos B1 N3 N4 vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C N5 gnd gnd 1 2 4 -2 +nmos B2 N2 N5 gnd 1 1 4 -1 +nmos B1 N2 N5 gnd 1 1 3 -1 +nmos B N2 N5 gnd 1 1 2 -1 +nmos A2 N1 N5 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOAAOI211112.cell b/Catalog/popcorn/AOAOAAOI211112.cell new file mode 100644 index 00000000..6a8bf555 --- /dev/null +++ b/Catalog/popcorn/AOAOAAOI211112.cell @@ -0,0 +1,22 @@ +2-1-1-1-1-2-input AND-OR-AND-OR-AND-AND-OR-Invert gate +.cell AOAOAAOI211112 +.origin AOAOAOI211111 +.inputs F1 F E D C B A1 A +.outputs Y +pmos F1 N5 vdd vdd g 2 5 2 +pmos F N5 vdd vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F1 N6 gnd gnd 1 2 4 -2 +nmos F N5 N6 gnd 1 1 4 -1 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOAAOI211113.cell b/Catalog/popcorn/AOAOAAOI211113.cell new file mode 100644 index 00000000..7a401a32 --- /dev/null +++ b/Catalog/popcorn/AOAOAAOI211113.cell @@ -0,0 +1,24 @@ +2-1-1-1-1-31-input AND-OR-AND-OR-AND-AND-OR-Invert gate +.cell AOAOAAOI211113 +.origin AOAOAAOI211112 +.inputs F2 F1 F E D C B A1 A +.outputs Y +pmos F2 N5 vdd vdd g 2 6 2 +pmos F1 N5 vdd vdd g 2 5 2 +pmos F N5 vdd vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F2 N7 gnd gnd 1 3 4 -3 +nmos F1 N6 N7 gnd 1 2 4 -2 +nmos F N5 N6 gnd 1 1 4 -1 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOAI21111.cell b/Catalog/popcorn/AOAOAI21111.cell new file mode 100644 index 00000000..10706704 --- /dev/null +++ b/Catalog/popcorn/AOAOAI21111.cell @@ -0,0 +1,18 @@ +2-1-1-1-1-input AND-OR-AND-OR-AND-Invert gate +.cell AOAOAI21111 +.origin AOAOI2111 +.inputs E D C B A1 A +.outputs Y +pmos E N4 vdd vdd g 1 4 1 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOAI21121.cell b/Catalog/popcorn/AOAOAI21121.cell new file mode 100644 index 00000000..89601f90 --- /dev/null +++ b/Catalog/popcorn/AOAOAI21121.cell @@ -0,0 +1,20 @@ +2-1-1-2-1-input AND-OR-AND-OR-AND-Invert gate +.cell AOAOAI21121 +.origin AOAOI2112 +.inputs E D1 D C B A1 A +.outputs Y +pmos E N5 vdd vdd g 1 4 1 +pmos D1 N4 vdd vdd g 3 3 3 +pmos D N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D1 N3 N5 gnd 1 1 4 -1 +nmos D N3 N5 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOAI22111.cell b/Catalog/popcorn/AOAOAI22111.cell new file mode 100644 index 00000000..27ede635 --- /dev/null +++ b/Catalog/popcorn/AOAOAI22111.cell @@ -0,0 +1,20 @@ +2-2-1-1-1-input AND-OR-AND-OR-AND-Invert gate +.cell AOAOAI22111 +.origin AOAOI2211 +.inputs E D C B1 B A1 A +.outputs Y +pmos E N5 vdd vdd g 1 4 1 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N5 gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOAOI211111.cell b/Catalog/popcorn/AOAOAOI211111.cell new file mode 100644 index 00000000..0746fcea --- /dev/null +++ b/Catalog/popcorn/AOAOAOI211111.cell @@ -0,0 +1,20 @@ +2-1-1-1-1-1-input AND-OR-AND-OR-AND-OR-Invert gate +.cell AOAOAOI211111 +.origin AOAOAI21111 +.inputs F E D C B A1 A +.outputs Y +pmos F N5 vdd vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N5 gnd gnd 1 1 4 -1 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI2111.cell b/Catalog/popcorn/AOAOI2111.cell new file mode 100644 index 00000000..18e2a32b --- /dev/null +++ b/Catalog/popcorn/AOAOI2111.cell @@ -0,0 +1,16 @@ +2-1-1-1-input AND-OR-AND-OR-Invert gate +.cell AOAOI2111 +.origin AOAI211 +.inputs D C B A1 A +.outputs Y +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N3 gnd gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI2112.cell b/Catalog/popcorn/AOAOI2112.cell new file mode 100644 index 00000000..b1f99f82 --- /dev/null +++ b/Catalog/popcorn/AOAOI2112.cell @@ -0,0 +1,18 @@ +2-1-1-2-input AND-OR-AND-OR-Invert gate +.cell AOAOI2112 +.origin AOAOI2111 +.inputs D1 D C B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 3 3 3 +pmos D N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N3 gnd gnd 1 1 4 -1 +nmos D N3 gnd gnd 1 1 3 -1 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI2121.cell b/Catalog/popcorn/AOAOI2121.cell new file mode 100644 index 00000000..c84a1d09 --- /dev/null +++ b/Catalog/popcorn/AOAOI2121.cell @@ -0,0 +1,18 @@ +2-1-2-1-input AND-OR-AND-OR-Invert gate +.cell AOAOI2121 +.origin AOAI212 +.inputs D C1 C B A1 A +.outputs Y +pmos D N4 vdd vdd g 2 4 2 +pmos C1 N2 N4 vdd g 1 4 1 +pmos C N2 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N4 gnd gnd 1 1 3 -1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI2122.cell b/Catalog/popcorn/AOAOI2122.cell new file mode 100644 index 00000000..4a894f0f --- /dev/null +++ b/Catalog/popcorn/AOAOI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input AND-OR-AND-OR-Invert gate +.cell AOAOI2122 +.origin AOAOI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N4 N5 vdd g 2 4 2 +pmos C1 N2 N4 vdd g 1 4 1 +pmos C N2 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 1 3 -1 +nmos C1 N3 gnd gnd 1 3 2 -3 +nmos C N2 N3 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI2211.cell b/Catalog/popcorn/AOAOI2211.cell new file mode 100644 index 00000000..482f3b9f --- /dev/null +++ b/Catalog/popcorn/AOAOI2211.cell @@ -0,0 +1,18 @@ +2-2-1-1-input AND-OR-AND-OR-Invert gate +.cell AOAOI2211 +.origin AOAI221 +.inputs D C B1 B A1 A +.outputs Y +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N4 gnd gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI2221.cell b/Catalog/popcorn/AOAOI2221.cell new file mode 100644 index 00000000..59a49fba --- /dev/null +++ b/Catalog/popcorn/AOAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-OR-AND-OR-Invert gate +.cell AOAOI2221 +.origin AOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 2 4 2 +pmos C1 N3 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 3 3 -3 +nmos C N3 N4 gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI3111.cell b/Catalog/popcorn/AOAOI3111.cell new file mode 100644 index 00000000..81e09e08 --- /dev/null +++ b/Catalog/popcorn/AOAOI3111.cell @@ -0,0 +1,18 @@ +3-1-1-1-input AND-OR-AND-OR-Invert gate +.cell AOAOI3111 +.origin AOAI311 +.inputs D C B A2 A1 A +.outputs Y +pmos D N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N4 gnd gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI3112.cell b/Catalog/popcorn/AOAOI3112.cell new file mode 100644 index 00000000..08b5f711 --- /dev/null +++ b/Catalog/popcorn/AOAOI3112.cell @@ -0,0 +1,20 @@ +3-1-1-2-input AND-OR-AND-OR-Invert gate +.cell AOAOI3112 +.origin AOAOI3111 +.inputs D1 D C B A2 A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N4 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 1 3 -1 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOI3211.cell b/Catalog/popcorn/AOAOI3211.cell new file mode 100644 index 00000000..126a21c2 --- /dev/null +++ b/Catalog/popcorn/AOAOI3211.cell @@ -0,0 +1,20 @@ +3-2-1-1-input AND-OR-AND-OR-Invert gate +.cell AOAOI3211 +.origin AOAI321 +.inputs D C B1 B A2 A1 A +.outputs Y +pmos D N5 vdd vdd g 2 4 2 +pmos C N4 N5 vdd g 1 4 1 +pmos B1 N3 N5 vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C N4 gnd gnd 1 2 3 -2 +nmos B1 N2 N4 gnd 1 1 3 -1 +nmos B N2 N4 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOOAAOI211122.cell b/Catalog/popcorn/AOAOOAAOI211122.cell new file mode 100644 index 00000000..b400d90e --- /dev/null +++ b/Catalog/popcorn/AOAOOAAOI211122.cell @@ -0,0 +1,24 @@ +2-1-1-1-2-2-input AND-OR-AND-OR-OR-AND-AND-OR-Invert gate +.cell AOAOOAAOI211122 +.origin AOAOOAOI211121 +.inputs F1 F E1 E D C B A1 A +.outputs Y +pmos F1 N6 vdd vdd g 3 5 3 +pmos F N6 vdd vdd g 3 4 3 +pmos E1 N5 N6 vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F1 N7 gnd gnd 1 2 5 -2 +nmos F N6 N7 gnd 1 1 5 -1 +nmos E1 N4 gnd gnd 1 2 4 -2 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOOAI21112.cell b/Catalog/popcorn/AOAOOAI21112.cell new file mode 100644 index 00000000..0f15775f --- /dev/null +++ b/Catalog/popcorn/AOAOOAI21112.cell @@ -0,0 +1,20 @@ +2-1-1-1-2-input AND-OR-AND-OR-OR-AND-Invert gate +.cell AOAOOAI21112 +.origin AOAOAI21111 +.inputs E1 E D C B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N4 gnd gnd 1 2 4 -2 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOOAI21113.cell b/Catalog/popcorn/AOAOOAI21113.cell new file mode 100644 index 00000000..66f1980f --- /dev/null +++ b/Catalog/popcorn/AOAOOAI21113.cell @@ -0,0 +1,22 @@ +2-1-1-1-3-input AND-OR-AND-OR-OR-AND-Invert gate +.cell AOAOOAI21113 +.origin AOAOOAI21112 +.inputs E2 E1 E D C B A1 A +.outputs Y +pmos E2 N6 vdd vdd g 3 4 3 +pmos E1 N5 N6 vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E2 N4 gnd gnd 1 2 5 -2 +nmos E1 N4 gnd gnd 1 2 4 -2 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOOAI21114.cell b/Catalog/popcorn/AOAOOAI21114.cell new file mode 100644 index 00000000..2b5db375 --- /dev/null +++ b/Catalog/popcorn/AOAOOAI21114.cell @@ -0,0 +1,24 @@ +2-1-1-1-3-input AND-OR-AND-OR-OR-AND-Invert gate +.cell AOAOOAI21114 +.origin AOAOOAI21113 +.inputs E3 E2 E1 E D C B A1 A +.outputs Y +pmos E3 N7 vdd vdd g 4 4 4 +pmos E2 N6 N7 vdd g 3 4 3 +pmos E1 N5 N6 vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E3 N4 gnd gnd 1 2 6 -2 +nmos E2 N4 gnd gnd 1 2 5 -2 +nmos E1 N4 gnd gnd 1 2 4 -2 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOOAI21122.cell b/Catalog/popcorn/AOAOOAI21122.cell new file mode 100644 index 00000000..fdf6b8f7 --- /dev/null +++ b/Catalog/popcorn/AOAOOAI21122.cell @@ -0,0 +1,22 @@ +2-1-1-2-2-input AND-OR-AND-OR-OR-AND-Invert gate +.cell AOAOOAI21122 +.origin AOAOAI21121 +.inputs E1 E D1 D C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D1 N4 vdd vdd g 3 3 3 +pmos D N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 2 5 -2 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D1 N3 N5 gnd 1 1 4 -1 +nmos D N3 N5 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOOAI21123.cell b/Catalog/popcorn/AOAOOAI21123.cell new file mode 100644 index 00000000..fc71eb11 --- /dev/null +++ b/Catalog/popcorn/AOAOOAI21123.cell @@ -0,0 +1,24 @@ +2-1-1-2-3-input AND-OR-AND-OR-OR-AND-Invert gate +.cell AOAOOAI21123 +.origin AOAOOAI21122 +.inputs E2 E1 E D1 D C B A1 A +.outputs Y +pmos E2 N7 vdd vdd g 3 4 3 +pmos E1 N6 N7 vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D1 N4 vdd vdd g 3 3 3 +pmos D N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E2 N5 gnd gnd 1 2 6 -2 +nmos E1 N5 gnd gnd 1 2 5 -2 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D1 N3 N5 gnd 1 1 4 -1 +nmos D N3 N5 gnd 1 1 3 -1 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOOAOI211121.cell b/Catalog/popcorn/AOAOOAOI211121.cell new file mode 100644 index 00000000..4c39a5a0 --- /dev/null +++ b/Catalog/popcorn/AOAOOAOI211121.cell @@ -0,0 +1,22 @@ +2-1-1-1-2-1-input AND-OR-AND-OR-OR-AND-OR-Invert gate +.cell AOAOOAOI211121 +.origin AOAOOAI21112 +.inputs F E1 E D C B A1 A +.outputs Y +pmos F N6 vdd vdd g 3 4 3 +pmos E1 N5 N6 vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N6 gnd gnd 1 1 5 -1 +nmos E1 N4 gnd gnd 1 2 4 -2 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOAOOAOI211131.cell b/Catalog/popcorn/AOAOOAOI211131.cell new file mode 100644 index 00000000..f585d357 --- /dev/null +++ b/Catalog/popcorn/AOAOOAOI211131.cell @@ -0,0 +1,24 @@ +2-1-1-1-3-1-input AND-OR-AND-OR-OR-AND-OR-Invert gate +.cell AOAOOAOI211131 +.origin AOAOOAI21113 +.inputs F E2 E1 E D C B A1 A +.outputs Y +pmos F N7 vdd vdd g 4 4 4 +pmos E2 N6 N7 vdd g 3 4 3 +pmos E1 N5 N6 vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 N7 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 6 -1 +nmos E2 N4 gnd gnd 1 2 5 -2 +nmos E1 N4 gnd gnd 1 2 4 -2 +nmos E N4 gnd gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI21.cell b/Catalog/popcorn/AOI21.cell new file mode 100644 index 00000000..e72ca0f0 --- /dev/null +++ b/Catalog/popcorn/AOI21.cell @@ -0,0 +1,12 @@ +2-1-input AND-OR-Invert gate +.cell AOI21 +.origin NAND2 +.inputs B A1 A +.outputs Y +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos B N1 gnd gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI22.cell b/Catalog/popcorn/AOI22.cell new file mode 100644 index 00000000..4b792b9f --- /dev/null +++ b/Catalog/popcorn/AOI22.cell @@ -0,0 +1,14 @@ +2-2-input AND-OR-Invert gate +.cell AOI22 +.origin AOI21 +.inputs B1 B A1 A +.outputs Y +pmos B1 N2 vdd vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos B1 N1 gnd gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI23.cell b/Catalog/popcorn/AOI23.cell new file mode 100644 index 00000000..0f0bd0db --- /dev/null +++ b/Catalog/popcorn/AOI23.cell @@ -0,0 +1,16 @@ +2-3-input AND-OR-Invert gate +.cell AOI23 +.origin AOI22 +.inputs B2 B1 B A1 A +.outputs Y +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos B2 N1 gnd gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 1 2 -1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI31.cell b/Catalog/popcorn/AOI31.cell new file mode 100644 index 00000000..acbcdd55 --- /dev/null +++ b/Catalog/popcorn/AOI31.cell @@ -0,0 +1,14 @@ +3-1-input AND-OR-Invert gate +.cell AOI31 +.origin NAND3 +.inputs B A2 A1 A +.outputs Y +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos B N2 gnd gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI32.cell b/Catalog/popcorn/AOI32.cell new file mode 100644 index 00000000..6a7212a1 --- /dev/null +++ b/Catalog/popcorn/AOI32.cell @@ -0,0 +1,16 @@ +3-2-input AND-OR-Invert gate +.cell AOI32 +.origin AOI31 +.inputs B1 B A2 A1 A +.outputs Y +pmos B1 N3 vdd vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos B1 N2 gnd gnd 1 1 3 -1 +nmos B N2 gnd gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI33.cell b/Catalog/popcorn/AOI33.cell new file mode 100644 index 00000000..abe56e14 --- /dev/null +++ b/Catalog/popcorn/AOI33.cell @@ -0,0 +1,18 @@ +3-3-input AND-OR-Invert gate +.cell AOI33 +.origin AOI32 +.inputs B2 B1 B A2 A1 A +.outputs Y +pmos B2 N4 vdd vdd g 4 3 4 +pmos B1 N3 N4 vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos B2 N2 gnd gnd 1 1 4 -1 +nmos B1 N2 gnd gnd 1 1 3 -1 +nmos B N2 gnd gnd 1 1 2 -1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI41.cell b/Catalog/popcorn/AOI41.cell new file mode 100644 index 00000000..02deb14b --- /dev/null +++ b/Catalog/popcorn/AOI41.cell @@ -0,0 +1,16 @@ +4-1-input AND-OR-Invert gate +.cell AOI41 +.origin NAND4 +.inputs B A3 A2 A1 A +.outputs Y +pmos B N3 vdd vdd g 2 4 2 +pmos A3 Y N3 vdd g 1 4 1 +pmos A2 Y N3 vdd g 1 3 1 +pmos A1 Y N3 vdd g 1 2 1 +pmos A Y N3 vdd g 1 1 1 +nmos B N3 gnd gnd 1 1 2 -1 +nmos A3 N2 gnd gnd 1 4 1 -4 +nmos A2 N1 N2 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI42.cell b/Catalog/popcorn/AOI42.cell new file mode 100644 index 00000000..f0a2eec0 --- /dev/null +++ b/Catalog/popcorn/AOI42.cell @@ -0,0 +1,18 @@ +4-2-input AND-OR-Invert gate +.cell AOI42 +.origin AOI41 +.inputs B1 B A3 A2 A1 A +.outputs Y +pmos B1 N4 vdd vdd g 3 4 3 +pmos B N3 N4 vdd g 2 4 2 +pmos A3 Y N3 vdd g 1 4 1 +pmos A2 Y N3 vdd g 1 3 1 +pmos A1 Y N3 vdd g 1 2 1 +pmos A Y N3 vdd g 1 1 1 +nmos B1 N3 gnd gnd 1 1 3 -1 +nmos B N3 gnd gnd 1 1 2 -1 +nmos A3 N2 gnd gnd 1 4 1 -4 +nmos A2 N1 N2 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOI43.cell b/Catalog/popcorn/AOI43.cell new file mode 100644 index 00000000..4c6b1807 --- /dev/null +++ b/Catalog/popcorn/AOI43.cell @@ -0,0 +1,20 @@ +4-3-input AND-OR-Invert gate +.cell AOI43 +.origin AOI42 +.inputs B2 B1 B A3 A2 A1 A +.outputs Y +pmos B2 N5 vdd vdd g 4 4 4 +pmos B1 N4 N5 vdd g 3 4 3 +pmos B N3 N4 vdd g 2 4 2 +pmos A3 Y N3 vdd g 1 4 1 +pmos A2 Y N3 vdd g 1 3 1 +pmos A1 Y N3 vdd g 1 2 1 +pmos A Y N3 vdd g 1 1 1 +nmos B2 N3 gnd gnd 1 1 4 -1 +nmos B1 N3 gnd gnd 1 1 3 -1 +nmos B N3 gnd gnd 1 1 2 -1 +nmos A3 N2 gnd gnd 1 4 1 -4 +nmos A2 N1 N2 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAAOI21222.cell b/Catalog/popcorn/AOOAAAOI21222.cell new file mode 100644 index 00000000..d7afa7ba --- /dev/null +++ b/Catalog/popcorn/AOOAAAOI21222.cell @@ -0,0 +1,24 @@ +2-1-2-2-2-input AND-OR-OR-AND-AND-AND-OR-Invert gate +.cell AOOAAAOI21222 +.origin AOOAAOI21221 +.inputs E1 E D1 D C1 C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 4 5 4 +pmos E N6 vdd vdd g 4 4 4 +pmos D1 N4 N6 vdd g 3 4 3 +pmos D N4 N6 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D1 N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAAOI21232.cell b/Catalog/popcorn/AOOAAAOI21232.cell new file mode 100644 index 00000000..0ab30c22 --- /dev/null +++ b/Catalog/popcorn/AOOAAAOI21232.cell @@ -0,0 +1,26 @@ +2-1-2-3-2-input AND-OR-OR-AND-AND-AND-OR-Invert gate +.cell AOOAAAOI21232 +.origin AOOAAOI21231 +.inputs E1 E D2 D1 D C1 C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 4 6 4 +pmos E N7 vdd vdd g 4 5 4 +pmos D2 N4 N7 vdd g 3 5 3 +pmos D1 N4 N7 vdd g 3 4 3 +pmos D N4 N7 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 5 -2 +nmos E N7 N8 gnd 1 1 5 -1 +nmos D2 N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOAI21221.cell b/Catalog/popcorn/AOOAAOAI21221.cell new file mode 100644 index 00000000..fb3d7b65 --- /dev/null +++ b/Catalog/popcorn/AOOAAOAI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AOOAAOAI21221 +.origin AOOAAOI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOAI212211.cell b/Catalog/popcorn/AOOAAOAI212211.cell new file mode 100644 index 00000000..636daf40 --- /dev/null +++ b/Catalog/popcorn/AOOAAOAI212211.cell @@ -0,0 +1,24 @@ +2-1-2-2-1-1-input AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AOOAAOAI212211 +.origin AOOAAOI21221 +.inputs F E D1 D C1 C B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 5 1 +pmos E N6 vdd vdd g 4 4 4 +pmos D1 N4 N6 vdd g 3 4 3 +pmos D N4 N6 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D1 N5 N7 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N7 gnd 1 2 3 -2 +nmos C N2 N7 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOAI21231.cell b/Catalog/popcorn/AOOAAOAI21231.cell new file mode 100644 index 00000000..9f4eda49 --- /dev/null +++ b/Catalog/popcorn/AOOAAOAI21231.cell @@ -0,0 +1,24 @@ +2-1-2-3-1-input AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AOOAAOAI21231 +.origin AOOAAOI2123 +.inputs E D2 D1 D C1 C B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 6 1 +pmos D2 N4 vdd vdd g 3 5 3 +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N7 gnd 1 2 3 -2 +nmos C N2 N7 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOAI212311.cell b/Catalog/popcorn/AOOAAOAI212311.cell new file mode 100644 index 00000000..d0cf3340 --- /dev/null +++ b/Catalog/popcorn/AOOAAOAI212311.cell @@ -0,0 +1,26 @@ +2-1-2-3-1-1-input AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AOOAAOAI212311 +.origin AOOAAOI21231 +.inputs F E D2 D1 D C1 C B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 6 1 +pmos E N7 vdd vdd g 4 5 4 +pmos D2 N4 N7 vdd g 3 5 3 +pmos D1 N4 N7 vdd g 3 4 3 +pmos D N4 N7 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 5 -2 +nmos E N7 N8 gnd 1 1 5 -1 +nmos D2 N6 N8 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N8 gnd 1 2 3 -2 +nmos C N2 N8 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOAI21321.cell b/Catalog/popcorn/AOOAAOAI21321.cell new file mode 100644 index 00000000..586b2863 --- /dev/null +++ b/Catalog/popcorn/AOOAAOAI21321.cell @@ -0,0 +1,24 @@ +2-1-3-2-1-input AND-OR-OR-AND-AND-OR-AND-Invert gate +.cell AOOAAOAI21321 +.origin AOOAAOI2132 +.inputs E D1 D C2 C1 C B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 5 1 +pmos D1 N5 vdd vdd g 4 4 4 +pmos D N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C2 N2 N7 gnd 1 2 4 -2 +nmos C1 N2 N7 gnd 1 2 3 -2 +nmos C N2 N7 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOAOI212211.cell b/Catalog/popcorn/AOOAAOAOI212211.cell new file mode 100644 index 00000000..77859ea8 --- /dev/null +++ b/Catalog/popcorn/AOOAAOAOI212211.cell @@ -0,0 +1,24 @@ +2-1-2-2-1-1-input AND-OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell AOOAAOAOI212211 +.origin AOOAAOAI21221 +.inputs F E D1 D C1 C B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N4 N7 vdd g 3 4 3 +pmos D N4 N7 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 5 -1 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOAOI212311.cell b/Catalog/popcorn/AOOAAOAOI212311.cell new file mode 100644 index 00000000..5f0805d0 --- /dev/null +++ b/Catalog/popcorn/AOOAAOAOI212311.cell @@ -0,0 +1,26 @@ +2-1-2-3-1-1-input AND-OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell AOOAAOAOI212311 +.origin AOOAAOAI21231 +.inputs F E D2 D1 D C1 C B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 6 2 +pmos E N7 N8 vdd g 1 6 1 +pmos D2 N4 N8 vdd g 3 5 3 +pmos D1 N4 N8 vdd g 3 4 3 +pmos D N4 N8 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 5 -1 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N7 gnd 1 2 3 -2 +nmos C N2 N7 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI21212.cell b/Catalog/popcorn/AOOAAOI21212.cell new file mode 100644 index 00000000..dc687806 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI21212.cell @@ -0,0 +1,22 @@ +2-1-2-1-2-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI21212 +.origin AOOAOI21211 +.inputs E1 E D C1 C B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 2 5 2 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI212121.cell b/Catalog/popcorn/AOOAAOI212121.cell new file mode 100644 index 00000000..8aedc9fa --- /dev/null +++ b/Catalog/popcorn/AOOAAOI212121.cell @@ -0,0 +1,24 @@ +2-1-2-1-2-1-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI212121 +.origin AOOAAOI21212 +.inputs F E1 E D C1 C B A1 A +.outputs Y +pmos F N7 vdd vdd g 3 5 3 +pmos E1 N5 N7 vdd g 2 5 2 +pmos E N5 N7 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 5 -1 +nmos E1 N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI21213.cell b/Catalog/popcorn/AOOAAOI21213.cell new file mode 100644 index 00000000..7d11567a --- /dev/null +++ b/Catalog/popcorn/AOOAAOI21213.cell @@ -0,0 +1,24 @@ +2-1-2-1-3-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI21213 +.origin AOOAAOI21212 +.inputs E2 E1 E D C1 C B A1 A +.outputs Y +pmos E2 N5 vdd vdd g 2 6 2 +pmos E1 N5 vdd vdd g 2 5 2 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E2 N7 gnd gnd 1 3 4 -3 +nmos E1 N6 N7 gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI2122.cell b/Catalog/popcorn/AOOAAOI2122.cell new file mode 100644 index 00000000..b60961e9 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI2122 +.origin AOOAOI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI21221.cell b/Catalog/popcorn/AOOAAOI21221.cell new file mode 100644 index 00000000..eb265957 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI21221 +.origin AOOAAOI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 4 4 4 +pmos D1 N4 N6 vdd g 3 4 3 +pmos D N4 N6 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D1 N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI2123.cell b/Catalog/popcorn/AOOAAOI2123.cell new file mode 100644 index 00000000..007a44f0 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI2123.cell @@ -0,0 +1,22 @@ +2-1-2-3-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI2123 +.origin AOOAAOI2122 +.inputs D2 D1 D C1 C B A1 A +.outputs Y +pmos D2 N4 vdd vdd g 3 5 3 +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI21231.cell b/Catalog/popcorn/AOOAAOI21231.cell new file mode 100644 index 00000000..58c531a4 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI21231.cell @@ -0,0 +1,24 @@ +2-1-2-3-1-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI21231 +.origin AOOAAOI2123 +.inputs E D2 D1 D C1 C B A1 A +.outputs Y +pmos E N7 vdd vdd g 4 5 4 +pmos D2 N4 N7 vdd g 3 5 3 +pmos D1 N4 N7 vdd g 3 4 3 +pmos D N4 N7 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 5 -1 +nmos D2 N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI2124.cell b/Catalog/popcorn/AOOAAOI2124.cell new file mode 100644 index 00000000..d3d4cfca --- /dev/null +++ b/Catalog/popcorn/AOOAAOI2124.cell @@ -0,0 +1,24 @@ +2-1-2-4-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI2124 +.origin AOOAAOI2123 +.inputs D3 D2 D1 D C1 C B A1 A +.outputs Y +pmos D3 N4 vdd vdd g 3 6 3 +pmos D2 N4 vdd vdd g 3 5 3 +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D3 N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI21241.cell b/Catalog/popcorn/AOOAAOI21241.cell new file mode 100644 index 00000000..9e7082b6 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI21241.cell @@ -0,0 +1,26 @@ +2-1-2-4-1-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI21241 +.origin AOOAAOI2124 +.inputs E D3 D2 D1 D C1 C B A1 A +.outputs Y +pmos E N8 vdd vdd g 4 6 4 +pmos D3 N4 N8 vdd g 3 6 3 +pmos D2 N4 N8 vdd g 3 5 3 +pmos D1 N4 N8 vdd g 3 4 3 +pmos D N4 N8 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 5 -1 +nmos D3 N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI21312.cell b/Catalog/popcorn/AOOAAOI21312.cell new file mode 100644 index 00000000..43aa87c7 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI21312.cell @@ -0,0 +1,24 @@ +2-1-3-1-2-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI21312 +.origin AOOAOI21311 +.inputs E1 E D C2 C1 C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 5 2 +pmos E N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C2 N4 N6 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C2 N2 N5 gnd 1 2 4 -2 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI2132.cell b/Catalog/popcorn/AOOAAOI2132.cell new file mode 100644 index 00000000..919d71f6 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI2132.cell @@ -0,0 +1,22 @@ +2-1-3-2-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI2132 +.origin AOOAOI2131 +.inputs D1 D C2 C1 C B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 4 4 4 +pmos D N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C2 N2 gnd gnd 1 2 4 -2 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI21321.cell b/Catalog/popcorn/AOOAAOI21321.cell new file mode 100644 index 00000000..09225923 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI21321.cell @@ -0,0 +1,26 @@ +2-1-3-2-1-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI21321 +.origin AOOAAOI2132 +.inputs E D1 D C2 C1 C B A1 A +.outputs +pmos O Z vdd vdd g 1 7 1 +pmos E N7 vdd vdd g 5 4 5 +pmos D1 N5 N7 vdd g 4 4 4 +pmos D N5 N7 vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 O N1 vdd g 1 2 1 +pmos A O N1 vdd g 1 1 1 +nmos O Z gnd gnd 1 1 7 -1 +nmos E N7 gnd gnd 1 1 6 -1 +nmos D1 N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C2 N2 gnd gnd 1 2 4 -2 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A O N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI2133.cell b/Catalog/popcorn/AOOAAOI2133.cell new file mode 100644 index 00000000..54cffccc --- /dev/null +++ b/Catalog/popcorn/AOOAAOI2133.cell @@ -0,0 +1,24 @@ +2-1-3-3-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI2133 +.origin AOOAAOI2132 +.inputs D2 D1 D C2 C1 C B A1 A +.outputs Y +pmos D2 N5 vdd vdd g 4 5 4 +pmos D1 N5 vdd vdd g 4 4 4 +pmos D N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N7 gnd gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C2 N2 gnd gnd 1 2 4 -2 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI2222.cell b/Catalog/popcorn/AOOAAOI2222.cell new file mode 100644 index 00000000..d20d6030 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-1-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI2222 +.origin AOOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 2 4 -2 +nmos C N3 gnd gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOI3122.cell b/Catalog/popcorn/AOOAAOI3122.cell new file mode 100644 index 00000000..1391a2b7 --- /dev/null +++ b/Catalog/popcorn/AOOAAOI3122.cell @@ -0,0 +1,22 @@ +3-1-2-2-input AND-OR-OR-AND-AND-OR-Invert gate +.cell AOOAAOI3122 +.origin AOOAOI3121 +.inputs D1 D C1 C B A2 A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOOAI21222.cell b/Catalog/popcorn/AOOAAOOAI21222.cell new file mode 100644 index 00000000..b523b902 --- /dev/null +++ b/Catalog/popcorn/AOOAAOOAI21222.cell @@ -0,0 +1,24 @@ +2-1-2-2-2-input AND-OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell AOOAAOOAI21222 +.origin AOOAAOAI21221 +.inputs E1 E D1 D C1 C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 3 5 -3 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAAOOAI21232.cell b/Catalog/popcorn/AOOAAOOAI21232.cell new file mode 100644 index 00000000..4dcf370d --- /dev/null +++ b/Catalog/popcorn/AOOAAOOAI21232.cell @@ -0,0 +1,26 @@ +2-1-2-3-2-input AND-OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell AOOAAOOAI21232 +.origin AOOAAOAI21231 +.inputs E1 E D2 D1 D C1 C B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 6 2 +pmos E N7 N8 vdd g 1 6 1 +pmos D2 N4 vdd vdd g 3 5 3 +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 4 5 -4 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N7 gnd 1 2 3 -2 +nmos C N2 N7 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI212.cell b/Catalog/popcorn/AOOAI212.cell new file mode 100644 index 00000000..584acb45 --- /dev/null +++ b/Catalog/popcorn/AOOAI212.cell @@ -0,0 +1,16 @@ +2-1-2-input AND-OR-OR-AND-Invert gate +.cell AOOAI212 +.origin AOAI211 +.inputs C1 C B A1 A +.outputs Y +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI2121.cell b/Catalog/popcorn/AOOAI2121.cell new file mode 100644 index 00000000..3afeb370 --- /dev/null +++ b/Catalog/popcorn/AOOAI2121.cell @@ -0,0 +1,18 @@ +2-1-2-1-input AND-OR-OR-AND-Invert gate +.cell AOOAI2121 +.origin AOOAI212 +.inputs D C1 C B A1 A +.outputs Y +pmos D N4 vdd vdd g 1 4 1 +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI213.cell b/Catalog/popcorn/AOOAI213.cell new file mode 100644 index 00000000..cb7e94f5 --- /dev/null +++ b/Catalog/popcorn/AOOAI213.cell @@ -0,0 +1,18 @@ +2-1-3-input AND-OR-OR-AND-Invert gate +.cell AOOAI213 +.origin AOOAI212 +.inputs C2 C1 C B A1 A +.outputs Y +pmos C2 N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C2 N2 gnd gnd 1 2 4 -2 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI2131.cell b/Catalog/popcorn/AOOAI2131.cell new file mode 100644 index 00000000..1ce1669c --- /dev/null +++ b/Catalog/popcorn/AOOAI2131.cell @@ -0,0 +1,20 @@ +2-1-3-1-input AND-OR-OR-AND-Invert gate +.cell AOOAI2131 +.origin AOOAI213 +.inputs D C2 C1 C B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 4 1 +pmos C2 N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C2 N2 N5 gnd 1 2 4 -2 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI214.cell b/Catalog/popcorn/AOOAI214.cell new file mode 100644 index 00000000..aa0551f8 --- /dev/null +++ b/Catalog/popcorn/AOOAI214.cell @@ -0,0 +1,20 @@ +2-1-4-input AND-OR-OR-AND-Invert gate +.cell AOOAI214 +.origin AOOAI213 +.inputs C3 C2 C1 C B A1 A +.outputs Y +pmos C3 N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C3 N2 gnd gnd 1 2 5 -2 +nmos C2 N2 gnd gnd 1 2 4 -2 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI2141.cell b/Catalog/popcorn/AOOAI2141.cell new file mode 100644 index 00000000..1cdc67df --- /dev/null +++ b/Catalog/popcorn/AOOAI2141.cell @@ -0,0 +1,22 @@ +2-1-4-1-input AND-OR-OR-AND-Invert gate +.cell AOOAI2141 +.origin AOOAI214 +.inputs D C3 C2 C1 C B A1 A +.outputs Y +pmos D N6 vdd vdd g 1 4 1 +pmos C3 N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N6 gnd gnd 1 3 5 -3 +nmos C3 N2 N6 gnd 1 2 5 -2 +nmos C2 N2 N6 gnd 1 2 4 -2 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI222.cell b/Catalog/popcorn/AOOAI222.cell new file mode 100644 index 00000000..13bf51b1 --- /dev/null +++ b/Catalog/popcorn/AOOAI222.cell @@ -0,0 +1,18 @@ +2-2-2-input AND-OR-OR-AND-Invert gate +.cell AOOAI222 +.origin AOAI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 vdd vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 2 4 -2 +nmos C N3 gnd gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI2221.cell b/Catalog/popcorn/AOOAI2221.cell new file mode 100644 index 00000000..8b07b4e6 --- /dev/null +++ b/Catalog/popcorn/AOOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-OR-OR-AND-Invert gate +.cell AOOAI2221 +.origin AOOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 vdd vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N3 N5 gnd 1 2 4 -2 +nmos C N3 N5 gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI223.cell b/Catalog/popcorn/AOOAI223.cell new file mode 100644 index 00000000..4d5386ae --- /dev/null +++ b/Catalog/popcorn/AOOAI223.cell @@ -0,0 +1,20 @@ +2-2-3-input AND-OR-OR-AND-Invert gate +.cell AOOAI223 +.origin AOOAI222 +.inputs C2 C1 C B1 B A1 A +.outputs Y +pmos C2 N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 vdd vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C2 N3 gnd gnd 1 2 5 -2 +nmos C1 N3 gnd gnd 1 2 4 -2 +nmos C N3 gnd gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI232.cell b/Catalog/popcorn/AOOAI232.cell new file mode 100644 index 00000000..4e9d90c4 --- /dev/null +++ b/Catalog/popcorn/AOOAI232.cell @@ -0,0 +1,20 @@ +2-3-2-input AND-OR-OR-AND-Invert gate +.cell AOOAI232 +.origin AOAI231 +.inputs C1 C B2 B1 B A1 A +.outputs Y +pmos C1 N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 2 5 -2 +nmos C N4 gnd gnd 1 2 4 -2 +nmos B2 N1 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI2321.cell b/Catalog/popcorn/AOOAI2321.cell new file mode 100644 index 00000000..b4e19e83 --- /dev/null +++ b/Catalog/popcorn/AOOAI2321.cell @@ -0,0 +1,22 @@ +2-3-2-1-input AND-OR-OR-AND-Invert gate +.cell AOOAI2321 +.origin AOOAI232 +.inputs D C1 C B2 B1 B A1 A +.outputs Y +pmos D N6 vdd vdd g 1 4 1 +pmos C1 N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N6 gnd gnd 1 3 5 -3 +nmos C1 N4 N6 gnd 1 2 5 -2 +nmos C N4 N6 gnd 1 2 4 -2 +nmos B2 N1 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI233.cell b/Catalog/popcorn/AOOAI233.cell new file mode 100644 index 00000000..d13408dc --- /dev/null +++ b/Catalog/popcorn/AOOAI233.cell @@ -0,0 +1,22 @@ +2-3-3-input AND-OR-OR-AND-Invert gate +.cell AOOAI233 +.origin AOOAI232 +.inputs C2 C1 C B2 B1 B A1 A +.outputs Y +pmos C2 N6 vdd vdd g 3 3 3 +pmos C1 N5 N6 vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C2 N4 gnd gnd 1 2 6 -2 +nmos C1 N4 gnd gnd 1 2 5 -2 +nmos C N4 gnd gnd 1 2 4 -2 +nmos B2 N1 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI2331.cell b/Catalog/popcorn/AOOAI2331.cell new file mode 100644 index 00000000..0fcc3e38 --- /dev/null +++ b/Catalog/popcorn/AOOAI2331.cell @@ -0,0 +1,24 @@ +2-3-3-1-input AND-OR-OR-AND-Invert gate +.cell AOOAI2331 +.origin AOOAI233 +.inputs D C2 C1 C B2 B1 B A1 A +.outputs Y +pmos D N7 vdd vdd g 1 4 1 +pmos C2 N6 vdd vdd g 3 3 3 +pmos C1 N5 N6 vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N7 gnd gnd 1 3 6 -3 +nmos C2 N4 N7 gnd 1 2 6 -2 +nmos C1 N4 N7 gnd 1 2 5 -2 +nmos C N4 N7 gnd 1 2 4 -2 +nmos B2 N1 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI234.cell b/Catalog/popcorn/AOOAI234.cell new file mode 100644 index 00000000..b41b1d6e --- /dev/null +++ b/Catalog/popcorn/AOOAI234.cell @@ -0,0 +1,24 @@ +3-2-4-input AND-OR-OR-AND-Invert gate +.cell AOOAI234 +.origin AOOAI233 +.inputs C3 C2 C1 C B2 B1 B A1 A +.outputs Y +pmos C3 N7 vdd vdd g 4 3 4 +pmos C2 N6 N7 vdd g 3 3 3 +pmos C1 N5 N6 vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos C3 N4 gnd gnd 1 2 7 -2 +nmos C2 N4 gnd gnd 1 2 6 -2 +nmos C1 N4 gnd gnd 1 2 5 -2 +nmos C N4 gnd gnd 1 2 4 -2 +nmos B2 N1 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI312.cell b/Catalog/popcorn/AOOAI312.cell new file mode 100644 index 00000000..27e0acb4 --- /dev/null +++ b/Catalog/popcorn/AOOAI312.cell @@ -0,0 +1,18 @@ +3-1-2-input AND-OR-OR-AND-Invert gate +.cell AOOAI312 +.origin AOAI311 +.inputs C1 C B A2 A1 A +.outputs Y +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI313.cell b/Catalog/popcorn/AOOAI313.cell new file mode 100644 index 00000000..f3000ef9 --- /dev/null +++ b/Catalog/popcorn/AOOAI313.cell @@ -0,0 +1,20 @@ +3-1-3-input AND-OR-OR-AND-Invert gate +.cell AOOAI313 +.origin AOOAI312 +.inputs C2 C1 C B A2 A1 A +.outputs Y +pmos C2 N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C2 N3 gnd gnd 1 2 4 -2 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI314.cell b/Catalog/popcorn/AOOAI314.cell new file mode 100644 index 00000000..e4a8ecad --- /dev/null +++ b/Catalog/popcorn/AOOAI314.cell @@ -0,0 +1,22 @@ +3-1-4-input AND-OR-OR-AND-Invert gate +.cell AOOAI314 +.origin AOOAI313 +.inputs C3 C2 C1 C B A2 A1 A +.outputs Y +pmos C3 N6 vdd vdd g 4 4 4 +pmos C2 N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 vdd vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C3 N3 gnd gnd 1 2 5 -2 +nmos C2 N3 gnd gnd 1 2 4 -2 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI322.cell b/Catalog/popcorn/AOOAI322.cell new file mode 100644 index 00000000..fc373834 --- /dev/null +++ b/Catalog/popcorn/AOOAI322.cell @@ -0,0 +1,20 @@ +3-2-2-input AND-OR-OR-AND-Invert gate +.cell AOOAI322 +.origin AOAI321 +.inputs C1 C B1 B A2 A1 A +.outputs Y +pmos C1 N5 vdd vdd g 2 4 2 +pmos C N4 N5 vdd g 1 4 1 +pmos B1 N3 vdd vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 2 4 -2 +nmos C N4 gnd gnd 1 2 3 -2 +nmos B1 N2 N4 gnd 1 1 3 -1 +nmos B N2 N4 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI323.cell b/Catalog/popcorn/AOOAI323.cell new file mode 100644 index 00000000..89ab9ae1 --- /dev/null +++ b/Catalog/popcorn/AOOAI323.cell @@ -0,0 +1,22 @@ +3-2-3-input AND-OR-OR-AND-Invert gate +.cell AOOAI323 +.origin AOOAI322 +.inputs C2 C1 C B1 B A2 A1 A +.outputs Y +pmos C2 N6 vdd vdd g 3 4 3 +pmos C1 N5 N6 vdd g 2 4 2 +pmos C N4 N5 vdd g 1 4 1 +pmos B1 N3 vdd vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C2 N4 gnd gnd 1 2 5 -2 +nmos C1 N4 gnd gnd 1 2 4 -2 +nmos C N4 gnd gnd 1 2 3 -2 +nmos B1 N2 N4 gnd 1 1 3 -1 +nmos B N2 N4 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAI332.cell b/Catalog/popcorn/AOOAI332.cell new file mode 100644 index 00000000..5ec6c0d6 --- /dev/null +++ b/Catalog/popcorn/AOOAI332.cell @@ -0,0 +1,22 @@ +3-2-3-input AND-OR-OR-AND-Invert gate +.cell AOOAI332 +.origin AOAI331 +.inputs C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C1 N6 vdd vdd g 2 4 2 +pmos C N5 N6 vdd g 1 4 1 +pmos B2 N4 vdd vdd g 4 3 4 +pmos B1 N3 N4 vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 2 5 -2 +nmos C N5 gnd gnd 1 2 4 -2 +nmos B2 N2 N5 gnd 1 1 4 -1 +nmos B1 N2 N5 gnd 1 1 3 -1 +nmos B N2 N5 gnd 1 1 2 -1 +nmos A2 N1 N5 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOAAOI212112.cell b/Catalog/popcorn/AOOAOAAOI212112.cell new file mode 100644 index 00000000..977ab201 --- /dev/null +++ b/Catalog/popcorn/AOOAOAAOI212112.cell @@ -0,0 +1,24 @@ +2-1-2-1-1-2-input AND-OR-OR-AND-OR-AND-AND-OR-Invert gate +.cell AOOAOAAOI212112 +.origin AOOAOAOI212111 +.inputs F1 F E D C1 C B A1 A +.outputs Y +pmos F1 N6 vdd vdd g 2 5 2 +pmos F N6 vdd vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D N4 N6 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F1 N7 gnd gnd 1 2 5 -2 +nmos F N6 N7 gnd 1 1 5 -1 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOAI21211.cell b/Catalog/popcorn/AOOAOAI21211.cell new file mode 100644 index 00000000..dcbbe7d8 --- /dev/null +++ b/Catalog/popcorn/AOOAOAI21211.cell @@ -0,0 +1,20 @@ +2-1-2-1-1-input AND-OR-OR-AND-OR-AND-Invert gate +.cell AOOAOAI21211 +.origin AOOAOI2121 +.inputs E D C1 C B A1 A +.outputs Y +pmos E N5 vdd vdd g 1 4 1 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOAI21221.cell b/Catalog/popcorn/AOOAOAI21221.cell new file mode 100644 index 00000000..11de082b --- /dev/null +++ b/Catalog/popcorn/AOOAOAI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input AND-OR-OR-AND-OR-AND-Invert gate +.cell AOOAOAI21221 +.origin AOOAOI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D1 N5 vdd vdd g 4 3 4 +pmos D N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D1 N4 N6 gnd 1 1 5 -1 +nmos D N4 N6 gnd 1 1 4 -1 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOAI21311.cell b/Catalog/popcorn/AOOAOAI21311.cell new file mode 100644 index 00000000..43ccdfcf --- /dev/null +++ b/Catalog/popcorn/AOOAOAI21311.cell @@ -0,0 +1,22 @@ +2-1-3-1-1-input AND-OR-OR-AND-OR-AND-Invert gate +.cell AOOAOAI21311 +.origin AOOAOI2131 +.inputs E D C2 C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C2 N2 N6 gnd 1 2 4 -2 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOAI22211.cell b/Catalog/popcorn/AOOAOAI22211.cell new file mode 100644 index 00000000..15b65136 --- /dev/null +++ b/Catalog/popcorn/AOOAOAI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input AND-OR-OR-AND-OR-AND-Invert gate +.cell AOOAOAI22211 +.origin AOOAOI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N6 gnd 1 2 4 -2 +nmos C N3 N6 gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOAOI212111.cell b/Catalog/popcorn/AOOAOAOI212111.cell new file mode 100644 index 00000000..b7357d0c --- /dev/null +++ b/Catalog/popcorn/AOOAOAOI212111.cell @@ -0,0 +1,22 @@ +2-1-2-1-1-1-input AND-OR-OR-AND-OR-AND-OR-Invert gate +.cell AOOAOAOI212111 +.origin AOOAOAI21211 +.inputs F E D C1 C B A1 A +.outputs Y +pmos F N6 vdd vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D N4 N6 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N6 gnd gnd 1 1 5 -1 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI2121.cell b/Catalog/popcorn/AOOAOI2121.cell new file mode 100644 index 00000000..2481269f --- /dev/null +++ b/Catalog/popcorn/AOOAOI2121.cell @@ -0,0 +1,18 @@ +2-1-2-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI2121 +.origin AOOAI212 +.inputs D C1 C B A1 A +.outputs Y +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N4 gnd gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI21211.cell b/Catalog/popcorn/AOOAOI21211.cell new file mode 100644 index 00000000..544619fd --- /dev/null +++ b/Catalog/popcorn/AOOAOI21211.cell @@ -0,0 +1,20 @@ +2-1-2-1-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI21211 +.origin AOOAI2121 +.inputs E D C1 C B A1 A +.outputs Y +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI21212.cell b/Catalog/popcorn/AOOAOI21212.cell new file mode 100644 index 00000000..488667f9 --- /dev/null +++ b/Catalog/popcorn/AOOAOI21212.cell @@ -0,0 +1,22 @@ +2-1-2-1-2-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI21212 +.origin AOOAOI21211 +.inputs E1 E D C1 C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 3 4 3 +pmos E N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 N5 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 1 5 -1 +nmos E N5 gnd gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI2122.cell b/Catalog/popcorn/AOOAOI2122.cell new file mode 100644 index 00000000..ef45cf39 --- /dev/null +++ b/Catalog/popcorn/AOOAOI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI2122 +.origin AOOAOI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 4 3 4 +pmos D N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 1 5 -1 +nmos D N4 gnd gnd 1 1 4 -1 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI2131.cell b/Catalog/popcorn/AOOAOI2131.cell new file mode 100644 index 00000000..73d1105e --- /dev/null +++ b/Catalog/popcorn/AOOAOI2131.cell @@ -0,0 +1,20 @@ +2-1-3-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI2131 +.origin AOOAI213 +.inputs D C2 C1 C B A1 A +.outputs Y +pmos D N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 5 -1 +nmos C2 N2 gnd gnd 1 2 4 -2 +nmos C1 N2 gnd gnd 1 2 3 -2 +nmos C N2 gnd gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI21311.cell b/Catalog/popcorn/AOOAOI21311.cell new file mode 100644 index 00000000..1357d4ab --- /dev/null +++ b/Catalog/popcorn/AOOAOI21311.cell @@ -0,0 +1,22 @@ +2-1-3-1-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI21311 +.origin AOOAI2131 +.inputs E D C2 C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C2 N4 N6 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C2 N2 N5 gnd 1 2 4 -2 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI2221.cell b/Catalog/popcorn/AOOAOI2221.cell new file mode 100644 index 00000000..b7af8467 --- /dev/null +++ b/Catalog/popcorn/AOOAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI2221 +.origin AOOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 2 4 -2 +nmos C N3 gnd gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI22211.cell b/Catalog/popcorn/AOOAOI22211.cell new file mode 100644 index 00000000..5c2af27d --- /dev/null +++ b/Catalog/popcorn/AOOAOI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI22211 +.origin AOOAI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N4 N6 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N6 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N3 N5 gnd 1 2 4 -2 +nmos C N3 N5 gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI3121.cell b/Catalog/popcorn/AOOAOI3121.cell new file mode 100644 index 00000000..3548bde2 --- /dev/null +++ b/Catalog/popcorn/AOOAOI3121.cell @@ -0,0 +1,20 @@ +3-1-2-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI3121 +.origin AOOAI312 +.inputs D C1 C B A2 A1 A +.outputs Y +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI3122.cell b/Catalog/popcorn/AOOAOI3122.cell new file mode 100644 index 00000000..502b1289 --- /dev/null +++ b/Catalog/popcorn/AOOAOI3122.cell @@ -0,0 +1,22 @@ +3-1-2-2-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI3122 +.origin AOOAOI3121 +.inputs D1 D C1 C B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 4 4 4 +pmos D N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N5 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI3131.cell b/Catalog/popcorn/AOOAOI3131.cell new file mode 100644 index 00000000..229e01d1 --- /dev/null +++ b/Catalog/popcorn/AOOAOI3131.cell @@ -0,0 +1,22 @@ +3-1-3-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI3131 +.origin AOOAI313 +.inputs D C2 C1 C B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 4 4 4 +pmos C2 N5 N6 vdd g 3 4 3 +pmos C1 N4 N5 vdd g 2 4 2 +pmos C N3 N4 vdd g 1 4 1 +pmos B N2 N6 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 5 -1 +nmos C2 N3 gnd gnd 1 2 4 -2 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N3 gnd gnd 1 2 2 -2 +nmos B N2 N3 gnd 1 1 2 -1 +nmos A2 N1 N3 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOI3221.cell b/Catalog/popcorn/AOOAOI3221.cell new file mode 100644 index 00000000..7e9c8ec4 --- /dev/null +++ b/Catalog/popcorn/AOOAOI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input AND-OR-OR-AND-OR-Invert gate +.cell AOOAOI3221 +.origin AOOAI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 3 4 3 +pmos C1 N5 N6 vdd g 2 4 2 +pmos C N4 N5 vdd g 1 4 1 +pmos B1 N3 N6 vdd g 3 3 3 +pmos B N2 N3 vdd g 2 3 2 +pmos A2 Y N2 vdd g 1 3 1 +pmos A1 Y N2 vdd g 1 2 1 +pmos A Y N2 vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 5 -1 +nmos C1 N4 gnd gnd 1 2 4 -2 +nmos C N4 gnd gnd 1 2 3 -2 +nmos B1 N2 N4 gnd 1 1 3 -1 +nmos B N2 N4 gnd 1 1 2 -1 +nmos A2 N1 N4 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOOAI21212.cell b/Catalog/popcorn/AOOAOOAI21212.cell new file mode 100644 index 00000000..a39fa774 --- /dev/null +++ b/Catalog/popcorn/AOOAOOAI21212.cell @@ -0,0 +1,22 @@ +2-1-2-1-2-input AND-OR-OR-AND-OR-OR-AND-Invert gate +.cell AOOAOOAI21212 +.origin AOOAOAI21211 +.inputs E1 E D C1 C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 2 5 -2 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOOAI21213.cell b/Catalog/popcorn/AOOAOOAI21213.cell new file mode 100644 index 00000000..1e88aed1 --- /dev/null +++ b/Catalog/popcorn/AOOAOOAI21213.cell @@ -0,0 +1,24 @@ +2-1-2-1-3-input AND-OR-OR-AND-OR-OR-AND-Invert gate +.cell AOOAOOAI21213 +.origin AOOAOOAI21212 +.inputs E2 E1 E D C1 C B A1 A +.outputs Y +pmos E2 N7 vdd vdd g 3 4 3 +pmos E1 N6 N7 vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E2 N5 gnd gnd 1 2 6 -2 +nmos E1 N5 gnd gnd 1 2 5 -2 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOOAI21222.cell b/Catalog/popcorn/AOOAOOAI21222.cell new file mode 100644 index 00000000..8f0c55d4 --- /dev/null +++ b/Catalog/popcorn/AOOAOOAI21222.cell @@ -0,0 +1,24 @@ +2-1-2-2-2-input AND-OR-OR-AND-OR-OR-AND-Invert gate +.cell AOOAOOAI21222 +.origin AOOAOAI21221 +.inputs E1 E D1 D C1 C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 4 2 +pmos E N6 N7 vdd g 1 4 1 +pmos D1 N5 vdd vdd g 4 3 4 +pmos D N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 6 -2 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D1 N4 N6 gnd 1 1 5 -1 +nmos D N4 N6 gnd 1 1 4 -1 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOOAI21312.cell b/Catalog/popcorn/AOOAOOAI21312.cell new file mode 100644 index 00000000..ee13aa4e --- /dev/null +++ b/Catalog/popcorn/AOOAOOAI21312.cell @@ -0,0 +1,24 @@ +2-1-3-1-2-input AND-OR-OR-AND-OR-OR-AND-Invert gate +.cell AOOAOOAI21312 +.origin AOOAOAI21311 +.inputs E1 E D C2 C1 C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 4 2 +pmos E N6 N7 vdd g 1 4 1 +pmos D N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N5 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 6 -2 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C2 N2 N6 gnd 1 2 4 -2 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOAOOAOI212121.cell b/Catalog/popcorn/AOOAOOAOI212121.cell new file mode 100644 index 00000000..567e5a88 --- /dev/null +++ b/Catalog/popcorn/AOOAOOAOI212121.cell @@ -0,0 +1,24 @@ +2-1-2-1-2-1-input AND-OR-OR-AND-OR-OR-AND-OR-Invert gate +.cell AOOAOOAOI212121 +.origin AOOAOOAI21212 +.inputs F E1 E D C1 C B A1 A +.outputs Y +pmos F N7 vdd vdd g 3 4 3 +pmos E1 N6 N7 vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D N4 N7 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N4 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 6 -1 +nmos E1 N5 gnd gnd 1 2 5 -2 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAAOI21222.cell b/Catalog/popcorn/AOOOAAOI21222.cell new file mode 100644 index 00000000..282560db --- /dev/null +++ b/Catalog/popcorn/AOOOAAOI21222.cell @@ -0,0 +1,24 @@ +2-1-2-2-2-input AND-OR-OR-OR-AND-AND-OR-Invert gate +.cell AOOOAAOI21222 +.origin AOOOAOI21221 +.inputs E1 E D1 D C1 C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 3 5 3 +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAI2122.cell b/Catalog/popcorn/AOOOAI2122.cell new file mode 100644 index 00000000..f4cd392f --- /dev/null +++ b/Catalog/popcorn/AOOOAI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input AND-OR-OR-OR-AND-Invert gate +.cell AOOOAI2122 +.origin AOOAI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAI2132.cell b/Catalog/popcorn/AOOOAI2132.cell new file mode 100644 index 00000000..06581b04 --- /dev/null +++ b/Catalog/popcorn/AOOOAI2132.cell @@ -0,0 +1,22 @@ +2-1-3-2-input AND-OR-OR-OR-AND-Invert gate +.cell AOOOAI2132 +.origin AOOAI2131 +.inputs D1 D C2 C1 C B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C2 N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C2 N2 N5 gnd 1 2 4 -2 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAI2133.cell b/Catalog/popcorn/AOOOAI2133.cell new file mode 100644 index 00000000..d756c7f1 --- /dev/null +++ b/Catalog/popcorn/AOOOAI2133.cell @@ -0,0 +1,24 @@ +2-1-3-3-input AND-OR-OR-OR-AND-Invert gate +.cell AOOOAI2133 +.origin AOOOAI2132 +.inputs D2 D1 D C2 C1 C B A1 A +.outputs Y +pmos D2 N7 vdd vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C2 N4 vdd vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D2 N5 gnd gnd 1 3 6 -3 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C2 N2 N5 gnd 1 2 4 -2 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAI2142.cell b/Catalog/popcorn/AOOOAI2142.cell new file mode 100644 index 00000000..68706e4d --- /dev/null +++ b/Catalog/popcorn/AOOOAI2142.cell @@ -0,0 +1,24 @@ +2-1-4-2-input AND-OR-OR-OR-AND-Invert gate +.cell AOOOAI2142 +.origin AOOAI2141 +.inputs D1 D C3 C2 C1 C B A1 A +.outputs Y +pmos D1 N7 vdd vdd g 2 4 2 +pmos D N6 N7 vdd g 1 4 1 +pmos C3 N5 vdd vdd g 4 3 4 +pmos C2 N4 N5 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 vdd vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 3 6 -3 +nmos D N6 gnd gnd 1 3 5 -3 +nmos C3 N2 N6 gnd 1 2 5 -2 +nmos C2 N2 N6 gnd 1 2 4 -2 +nmos C1 N2 N6 gnd 1 2 3 -2 +nmos C N2 N6 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAI2222.cell b/Catalog/popcorn/AOOOAI2222.cell new file mode 100644 index 00000000..8f484d94 --- /dev/null +++ b/Catalog/popcorn/AOOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input AND-OR-OR-OR-AND-Invert gate +.cell AOOOAI2222 +.origin AOOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 vdd vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N3 N5 gnd 1 2 4 -2 +nmos C N3 N5 gnd 1 2 3 -2 +nmos B1 N1 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 1 2 -1 +nmos A1 N N3 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAI2322.cell b/Catalog/popcorn/AOOOAI2322.cell new file mode 100644 index 00000000..21c5c6fb --- /dev/null +++ b/Catalog/popcorn/AOOOAI2322.cell @@ -0,0 +1,24 @@ +2-3-2-2-input AND-OR-OR-OR-AND-Invert gate +.cell AOOOAI2322 +.origin AOOAI2321 +.inputs D1 D C1 C B2 B1 B A1 A +.outputs Y +pmos D1 N7 vdd vdd g 2 4 2 +pmos D N6 N7 vdd g 1 4 1 +pmos C1 N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B2 N3 vdd vdd g 4 2 4 +pmos B1 N2 N3 vdd g 3 2 3 +pmos B N1 N2 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 3 6 -3 +nmos D N6 gnd gnd 1 3 5 -3 +nmos C1 N4 N6 gnd 1 2 5 -2 +nmos C N4 N6 gnd 1 2 4 -2 +nmos B2 N1 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAOI21221.cell b/Catalog/popcorn/AOOOAOI21221.cell new file mode 100644 index 00000000..bc0d756e --- /dev/null +++ b/Catalog/popcorn/AOOOAOI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input AND-OR-OR-OR-AND-OR-Invert gate +.cell AOOOAOI21221 +.origin AOOOAI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAOI21222.cell b/Catalog/popcorn/AOOOAOI21222.cell new file mode 100644 index 00000000..822c0332 --- /dev/null +++ b/Catalog/popcorn/AOOOAOI21222.cell @@ -0,0 +1,24 @@ +2-1-2-2-2-input AND-OR-OR-OR-AND-OR-Invert gate +.cell AOOOAOI21222 +.origin AOOOAOI21221 +.inputs E1 E D1 D C1 C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 4 4 4 +pmos E N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N3 N6 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N6 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 1 6 -1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N2 N4 gnd 1 2 3 -2 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/AOOOAOI21321.cell b/Catalog/popcorn/AOOOAOI21321.cell new file mode 100644 index 00000000..36200f09 --- /dev/null +++ b/Catalog/popcorn/AOOOAOI21321.cell @@ -0,0 +1,24 @@ +2-1-3-2-1-input AND-OR-OR-OR-AND-OR-Invert gate +.cell AOOOAOI21321 +.origin AOOOAI2132 +.inputs E D1 D C2 C1 C B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C2 N4 N7 vdd g 3 3 3 +pmos C1 N3 N4 vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N7 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 6 -1 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C2 N2 N5 gnd 1 2 4 -2 +nmos C1 N2 N5 gnd 1 2 3 -2 +nmos C N2 N5 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/NAND2.cell b/Catalog/popcorn/NAND2.cell new file mode 100644 index 00000000..ea302fec --- /dev/null +++ b/Catalog/popcorn/NAND2.cell @@ -0,0 +1,10 @@ +2-input Not-AND (or NAND) gate +.cell NAND2 +.origin INV +.inputs A1 A +.outputs Y +pmos A1 Y vdd vdd g 1 2 1 +pmos A Y vdd vdd g 1 1 1 +nmos A1 N gnd gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/NAND3.cell b/Catalog/popcorn/NAND3.cell new file mode 100644 index 00000000..9bc9ace0 --- /dev/null +++ b/Catalog/popcorn/NAND3.cell @@ -0,0 +1,12 @@ +3-input Not-AND (or NAND) gate +.cell NAND3 +.origin NAND2 +.inputs A2 A1 A +.outputs Y +pmos A2 Y vdd vdd g 1 3 1 +pmos A1 Y vdd vdd g 1 2 1 +pmos A Y vdd vdd g 1 1 1 +nmos A2 N1 gnd gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/NAND4.cell b/Catalog/popcorn/NAND4.cell new file mode 100644 index 00000000..1b5f63ab --- /dev/null +++ b/Catalog/popcorn/NAND4.cell @@ -0,0 +1,14 @@ +4-input Not-AND (or NAND) gate +.cell NAND4 +.origin NAND3 +.inputs A3 A2 A1 A +.outputs Y +pmos A3 Y vdd vdd g 1 4 1 +pmos A2 Y vdd vdd g 1 3 1 +pmos A1 Y vdd vdd g 1 2 1 +pmos A Y vdd vdd g 1 1 1 +nmos A3 N2 gnd gnd 1 4 1 -4 +nmos A2 N1 N2 gnd 1 3 1 -3 +nmos A1 N N1 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/NOR2.cell b/Catalog/popcorn/NOR2.cell new file mode 100644 index 00000000..c8855ccc --- /dev/null +++ b/Catalog/popcorn/NOR2.cell @@ -0,0 +1,10 @@ +2-input Not-OR (or NOR) gate +.cell NOR2 +.origin INV +.inputs A1 A +.outputs Y +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos A1 Y gnd gnd 1 1 2 -1 +nmos A Y gnd gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/NOR3.cell b/Catalog/popcorn/NOR3.cell new file mode 100644 index 00000000..3ba078f5 --- /dev/null +++ b/Catalog/popcorn/NOR3.cell @@ -0,0 +1,12 @@ +3-input Not-OR (or NOR) gate +.cell NOR3 +.origin NOR2 +.inputs A2 A1 A +.outputs Y +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos A2 Y gnd gnd 1 1 3 -1 +nmos A1 Y gnd gnd 1 1 2 -1 +nmos A Y gnd gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/NOR4.cell b/Catalog/popcorn/NOR4.cell new file mode 100644 index 00000000..fef5ecaa --- /dev/null +++ b/Catalog/popcorn/NOR4.cell @@ -0,0 +1,14 @@ +4-input Not-OR (or NOR) gate +.cell NOR4 +.origin NOR3 +.inputs A3 A2 A1 A +.outputs Y +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos A3 Y gnd gnd 1 1 4 -1 +nmos A2 Y gnd gnd 1 1 3 -1 +nmos A1 Y gnd gnd 1 1 2 -1 +nmos A Y gnd gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAAOAI21221.cell b/Catalog/popcorn/OAAAOAI21221.cell new file mode 100644 index 00000000..53141824 --- /dev/null +++ b/Catalog/popcorn/OAAAOAI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input OR-AND-AND-AND-OR-AND-Invert gate +.cell OAAAOAI21221 +.origin OAAAOI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N2 N4 vdd g 2 3 2 +pmos C N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N3 N6 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N6 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAAOI2122.cell b/Catalog/popcorn/OAAAOI2122.cell new file mode 100644 index 00000000..d902eff0 --- /dev/null +++ b/Catalog/popcorn/OAAAOI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input OR-AND-AND-AND-OR-Invert gate +.cell OAAAOI2122 +.origin OAAOI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 3 4 3 +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N2 N4 vdd g 2 3 2 +pmos C N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAAOI2132.cell b/Catalog/popcorn/OAAAOI2132.cell new file mode 100644 index 00000000..8936c86c --- /dev/null +++ b/Catalog/popcorn/OAAAOI2132.cell @@ -0,0 +1,22 @@ +2-1-3-2-input OR-AND-AND-AND-OR-Invert gate +.cell OAAAOI2132 +.origin OAAOI2131 +.inputs D1 D C2 C1 C B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C2 N2 N5 vdd g 2 4 2 +pmos C1 N2 N5 vdd g 2 3 2 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C2 N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAAOI2222.cell b/Catalog/popcorn/OAAAOI2222.cell new file mode 100644 index 00000000..5a3b0090 --- /dev/null +++ b/Catalog/popcorn/OAAAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-AND-AND-AND-OR-Invert gate +.cell OAAAOI2222 +.origin OAAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 5 3 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N3 N5 vdd g 2 4 2 +pmos C N3 N5 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAAOI2232.cell b/Catalog/popcorn/OAAAOI2232.cell new file mode 100644 index 00000000..32bfd218 --- /dev/null +++ b/Catalog/popcorn/OAAAOI2232.cell @@ -0,0 +1,24 @@ +2-2-3-2-input OR-AND-AND-AND-OR-Invert gate +.cell OAAAOI2232 +.origin OAAOI2231 +.inputs D1 D C2 C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 3 6 3 +pmos D N6 vdd vdd g 3 5 3 +pmos C2 N3 N6 vdd g 2 5 2 +pmos C1 N3 N6 vdd g 2 4 2 +pmos C N3 N6 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N7 gnd gnd 1 2 4 -2 +nmos D N6 N7 gnd 1 1 4 -1 +nmos C2 N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAAOI21212.cell b/Catalog/popcorn/OAAOAAOI21212.cell new file mode 100644 index 00000000..f1e3260a --- /dev/null +++ b/Catalog/popcorn/OAAOAAOI21212.cell @@ -0,0 +1,22 @@ +2-1-2-1-2-input OR-AND-AND-OR-AND-AND-OR-Invert gate +.cell OAAOAAOI21212 +.origin OAAOAOI21211 +.inputs E1 E D C1 C B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 2 5 2 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N5 vdd g 2 3 2 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI2121.cell b/Catalog/popcorn/OAAOAI2121.cell new file mode 100644 index 00000000..4c0cf9d7 --- /dev/null +++ b/Catalog/popcorn/OAAOAI2121.cell @@ -0,0 +1,18 @@ +2-1-2-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI2121 +.origin OAAOI212 +.inputs D C1 C B A1 A +.outputs Y +pmos D N4 vdd vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI21211.cell b/Catalog/popcorn/OAAOAI21211.cell new file mode 100644 index 00000000..72b5e427 --- /dev/null +++ b/Catalog/popcorn/OAAOAI21211.cell @@ -0,0 +1,20 @@ +2-1-2-1-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI21211 +.origin OAAOAI2121 +.inputs E D C1 C B A1 A +.outputs Y +pmos E N5 vdd vdd g 1 5 1 +pmos D N4 vdd vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N5 gnd gnd 1 4 3 -4 +nmos D N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI21212.cell b/Catalog/popcorn/OAAOAI21212.cell new file mode 100644 index 00000000..2d67898c --- /dev/null +++ b/Catalog/popcorn/OAAOAI21212.cell @@ -0,0 +1,24 @@ +2-1-2-1-2-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI21212 +.origin OAAOAI21211 +.inputs E1 E D C1 C B A1 A +.outputs +pmos O Z vdd vdd g 1 7 1 +pmos E1 N5 vdd vdd g 1 6 1 +pmos E N5 vdd vdd g 1 5 1 +pmos D N4 vdd vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A O N vdd g 1 1 1 +nmos O Z gnd gnd 1 1 7 -1 +nmos E1 N6 gnd gnd 1 5 3 -5 +nmos E N5 N6 gnd 1 4 3 -4 +nmos D N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 O N1 gnd 1 1 2 -1 +nmos A O N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI2122.cell b/Catalog/popcorn/OAAOAI2122.cell new file mode 100644 index 00000000..0136d0b0 --- /dev/null +++ b/Catalog/popcorn/OAAOAI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI2122 +.origin OAAOAI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 1 5 1 +pmos D N4 vdd vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 4 3 -4 +nmos D N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI2131.cell b/Catalog/popcorn/OAAOAI2131.cell new file mode 100644 index 00000000..00503ce0 --- /dev/null +++ b/Catalog/popcorn/OAAOAI2131.cell @@ -0,0 +1,20 @@ +2-1-3-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI2131 +.origin OAAOI213 +.inputs D C2 C1 C B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 5 1 +pmos C2 N2 vdd vdd g 2 4 2 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C2 N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI21311.cell b/Catalog/popcorn/OAAOAI21311.cell new file mode 100644 index 00000000..325a76dc --- /dev/null +++ b/Catalog/popcorn/OAAOAI21311.cell @@ -0,0 +1,22 @@ +2-1-3-1-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI21311 +.origin OAAOI2131 +.inputs E D C2 C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D N5 vdd vdd g 3 4 3 +pmos C2 N2 N5 vdd g 2 4 2 +pmos C1 N2 N5 vdd g 2 3 2 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C2 N4 N6 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N6 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI2221.cell b/Catalog/popcorn/OAAOAI2221.cell new file mode 100644 index 00000000..e650d6d9 --- /dev/null +++ b/Catalog/popcorn/OAAOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI2221 +.origin OAAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 5 1 +pmos C1 N3 vdd vdd g 2 4 2 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI22211.cell b/Catalog/popcorn/OAAOAI22211.cell new file mode 100644 index 00000000..97a3e0f2 --- /dev/null +++ b/Catalog/popcorn/OAAOAI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI22211 +.origin OAAOI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N3 N5 vdd g 2 4 2 +pmos C N3 N5 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N4 N6 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N6 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI2231.cell b/Catalog/popcorn/OAAOAI2231.cell new file mode 100644 index 00000000..d29437d8 --- /dev/null +++ b/Catalog/popcorn/OAAOAI2231.cell @@ -0,0 +1,22 @@ +2-2-3-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI2231 +.origin OAAOI223 +.inputs D C2 C1 C B1 B A1 A +.outputs Y +pmos D N6 vdd vdd g 1 6 1 +pmos C2 N3 vdd vdd g 2 5 2 +pmos C1 N3 vdd vdd g 2 4 2 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 4 3 -4 +nmos C2 N5 N6 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N6 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI22311.cell b/Catalog/popcorn/OAAOAI22311.cell new file mode 100644 index 00000000..c565f017 --- /dev/null +++ b/Catalog/popcorn/OAAOAI22311.cell @@ -0,0 +1,24 @@ +2-2-3-1-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI22311 +.origin OAAOI2231 +.inputs E D C2 C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 6 1 +pmos D N6 vdd vdd g 3 5 3 +pmos C2 N3 N6 vdd g 2 5 2 +pmos C1 N3 N6 vdd g 2 4 2 +pmos C N3 N6 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 2 4 -2 +nmos D N6 N7 gnd 1 1 4 -1 +nmos C2 N5 N7 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N7 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI3121.cell b/Catalog/popcorn/OAAOAI3121.cell new file mode 100644 index 00000000..b8b2babb --- /dev/null +++ b/Catalog/popcorn/OAAOAI3121.cell @@ -0,0 +1,20 @@ +3-1-2-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI3121 +.origin OAAOI312 +.inputs D C1 C B A2 A1 A +.outputs Y +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI3122.cell b/Catalog/popcorn/OAAOAI3122.cell new file mode 100644 index 00000000..efca0d28 --- /dev/null +++ b/Catalog/popcorn/OAAOAI3122.cell @@ -0,0 +1,22 @@ +3-1-2-2-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI3122 +.origin OAAOAI3121 +.inputs D1 D C1 C B A2 A1 A +.outputs Y +pmos D1 N5 vdd vdd g 1 5 1 +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 4 4 -4 +nmos D N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI3131.cell b/Catalog/popcorn/OAAOAI3131.cell new file mode 100644 index 00000000..c54da638 --- /dev/null +++ b/Catalog/popcorn/OAAOAI3131.cell @@ -0,0 +1,22 @@ +3-1-3-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI3131 +.origin OAAOI313 +.inputs D C2 C1 C B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 1 5 1 +pmos C2 N3 vdd vdd g 2 4 2 +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 4 4 -4 +nmos C2 N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N6 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAI3221.cell b/Catalog/popcorn/OAAOAI3221.cell new file mode 100644 index 00000000..a64d69fc --- /dev/null +++ b/Catalog/popcorn/OAAOAI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input OR-AND-AND-OR-AND-Invert gate +.cell OAAOAI3221 +.origin OAAOI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 1 5 1 +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N4 vdd vdd g 2 3 2 +pmos B1 N2 N4 vdd g 1 3 1 +pmos B N2 N4 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 3 4 -3 +nmos C1 N5 N6 gnd 1 2 4 -2 +nmos C N4 N5 gnd 1 1 4 -1 +nmos B1 N3 N6 gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAOAI212111.cell b/Catalog/popcorn/OAAOAOAI212111.cell new file mode 100644 index 00000000..fd77041c --- /dev/null +++ b/Catalog/popcorn/OAAOAOAI212111.cell @@ -0,0 +1,22 @@ +2-1-2-1-1-1-input OR-AND-AND-OR-AND-OR-AND-Invert gate +.cell OAAOAOAI212111 +.origin OAAOAOI21211 +.inputs F E D C1 C B A1 A +.outputs Y +pmos F N6 vdd vdd g 1 5 1 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N5 vdd g 2 3 2 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 N6 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAOI21211.cell b/Catalog/popcorn/OAAOAOI21211.cell new file mode 100644 index 00000000..bf250bba --- /dev/null +++ b/Catalog/popcorn/OAAOAOI21211.cell @@ -0,0 +1,20 @@ +2-1-2-1-1-input OR-AND-AND-OR-AND-OR-Invert gate +.cell OAAOAOI21211 +.origin OAAOAI2121 +.inputs E D C1 C B A1 A +.outputs Y +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N5 vdd g 2 3 2 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAOI21221.cell b/Catalog/popcorn/OAAOAOI21221.cell new file mode 100644 index 00000000..279cfb0c --- /dev/null +++ b/Catalog/popcorn/OAAOAOI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input OR-AND-AND-OR-AND-OR-Invert gate +.cell OAAOAOI21221 +.origin OAAOAI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 5 2 +pmos D1 N4 N6 vdd g 1 5 1 +pmos D N4 N6 vdd g 1 4 1 +pmos C1 N2 N6 vdd g 2 3 2 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D1 N5 gnd gnd 1 4 3 -4 +nmos D N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAOI21311.cell b/Catalog/popcorn/OAAOAOI21311.cell new file mode 100644 index 00000000..39b6c2f0 --- /dev/null +++ b/Catalog/popcorn/OAAOAOI21311.cell @@ -0,0 +1,22 @@ +2-1-3-1-1-input OR-AND-AND-OR-AND-OR-Invert gate +.cell OAAOAOI21311 +.origin OAAOAI2131 +.inputs E D C2 C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C2 N2 N6 vdd g 2 4 2 +pmos C1 N2 N6 vdd g 2 3 2 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C2 N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAOI22211.cell b/Catalog/popcorn/OAAOAOI22211.cell new file mode 100644 index 00000000..797727ef --- /dev/null +++ b/Catalog/popcorn/OAAOAOI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input OR-AND-AND-OR-AND-OR-Invert gate +.cell OAAOAOI22211 +.origin OAAOAI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 N6 vdd g 2 4 2 +pmos C N3 N6 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 4 -1 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOAOI22311.cell b/Catalog/popcorn/OAAOAOI22311.cell new file mode 100644 index 00000000..3f921408 --- /dev/null +++ b/Catalog/popcorn/OAAOAOI22311.cell @@ -0,0 +1,24 @@ +2-2-3-1-1-input OR-AND-AND-OR-AND-OR-Invert gate +.cell OAAOAOI22311 +.origin OAAOAI2231 +.inputs E D C2 C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 2 6 2 +pmos D N6 N7 vdd g 1 6 1 +pmos C2 N3 N7 vdd g 2 5 2 +pmos C1 N3 N7 vdd g 2 4 2 +pmos C N3 N7 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 4 -1 +nmos D N6 gnd gnd 1 4 3 -4 +nmos C2 N5 N6 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N6 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI212.cell b/Catalog/popcorn/OAAOI212.cell new file mode 100644 index 00000000..1851658c --- /dev/null +++ b/Catalog/popcorn/OAAOI212.cell @@ -0,0 +1,16 @@ +2-1-2-input OR-AND-AND-OR-Invert gate +.cell OAAOI212 +.origin OAOI211 +.inputs C1 C B A1 A +.outputs Y +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI2121.cell b/Catalog/popcorn/OAAOI2121.cell new file mode 100644 index 00000000..bfc1de9c --- /dev/null +++ b/Catalog/popcorn/OAAOI2121.cell @@ -0,0 +1,18 @@ +2-1-2-1-input OR-AND-AND-OR-Invert gate +.cell OAAOI2121 +.origin OAAOI212 +.inputs D C1 C B A1 A +.outputs Y +pmos D N4 vdd vdd g 3 3 3 +pmos C1 N2 N4 vdd g 2 3 2 +pmos C N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N4 gnd gnd 1 1 4 -1 +nmos C1 N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI213.cell b/Catalog/popcorn/OAAOI213.cell new file mode 100644 index 00000000..97f5f701 --- /dev/null +++ b/Catalog/popcorn/OAAOI213.cell @@ -0,0 +1,18 @@ +2-1-3-input OR-AND-AND-OR-Invert gate +.cell OAAOI213 +.origin OAAOI212 +.inputs C2 C1 C B A1 A +.outputs Y +pmos C2 N2 vdd vdd g 2 4 2 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C2 N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI2131.cell b/Catalog/popcorn/OAAOI2131.cell new file mode 100644 index 00000000..759e4b73 --- /dev/null +++ b/Catalog/popcorn/OAAOI2131.cell @@ -0,0 +1,20 @@ +2-1-3-1-input OR-AND-AND-OR-Invert gate +.cell OAAOI2131 +.origin OAAOI213 +.inputs D C2 C1 C B A1 A +.outputs Y +pmos D N5 vdd vdd g 3 4 3 +pmos C2 N2 N5 vdd g 2 4 2 +pmos C1 N2 N5 vdd g 2 3 2 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C2 N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI214.cell b/Catalog/popcorn/OAAOI214.cell new file mode 100644 index 00000000..614e555b --- /dev/null +++ b/Catalog/popcorn/OAAOI214.cell @@ -0,0 +1,20 @@ +2-1-4-input OR-AND-AND-OR-Invert gate +.cell OAAOI214 +.origin OAAOI213 +.inputs C3 C2 C1 C B A1 A +.outputs Y +pmos C3 N2 vdd vdd g 2 5 2 +pmos C2 N2 vdd vdd g 2 4 2 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C3 N5 gnd gnd 1 4 3 -4 +nmos C2 N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI2141.cell b/Catalog/popcorn/OAAOI2141.cell new file mode 100644 index 00000000..8017e36d --- /dev/null +++ b/Catalog/popcorn/OAAOI2141.cell @@ -0,0 +1,22 @@ +2-1-4-1-input OR-AND-AND-OR-Invert gate +.cell OAAOI2141 +.origin OAAOI214 +.inputs D C3 C2 C1 C B A1 A +.outputs Y +pmos D N6 vdd vdd g 3 5 3 +pmos C3 N2 N6 vdd g 2 5 2 +pmos C2 N2 N6 vdd g 2 4 2 +pmos C1 N2 N6 vdd g 2 3 2 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 4 -1 +nmos C3 N5 gnd gnd 1 4 3 -4 +nmos C2 N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI222.cell b/Catalog/popcorn/OAAOI222.cell new file mode 100644 index 00000000..8e5d1203 --- /dev/null +++ b/Catalog/popcorn/OAAOI222.cell @@ -0,0 +1,18 @@ +2-2-2-input OR-AND-AND-OR-Invert gate +.cell OAAOI222 +.origin OAOI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N3 vdd vdd g 2 4 2 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI2221.cell b/Catalog/popcorn/OAAOI2221.cell new file mode 100644 index 00000000..d999ba2c --- /dev/null +++ b/Catalog/popcorn/OAAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-AND-AND-OR-Invert gate +.cell OAAOI2221 +.origin OAAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 3 4 3 +pmos C1 N3 N5 vdd g 2 4 2 +pmos C N3 N5 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI223.cell b/Catalog/popcorn/OAAOI223.cell new file mode 100644 index 00000000..8a4a0310 --- /dev/null +++ b/Catalog/popcorn/OAAOI223.cell @@ -0,0 +1,20 @@ +2-2-3-input OR-AND-AND-OR-Invert gate +.cell OAAOI223 +.origin OAAOI222 +.inputs C2 C1 C B1 B A1 A +.outputs Y +pmos C2 N3 vdd vdd g 2 5 2 +pmos C1 N3 vdd vdd g 2 4 2 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C2 N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI2231.cell b/Catalog/popcorn/OAAOI2231.cell new file mode 100644 index 00000000..1b8cf524 --- /dev/null +++ b/Catalog/popcorn/OAAOI2231.cell @@ -0,0 +1,22 @@ +2-2-3-1-input OR-AND-AND-OR-Invert gate +.cell OAAOI2231 +.origin OAAOI223 +.inputs D C2 C1 C B1 B A1 A +.outputs Y +pmos D N6 vdd vdd g 3 5 3 +pmos C2 N3 N6 vdd g 2 5 2 +pmos C1 N3 N6 vdd g 2 4 2 +pmos C N3 N6 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 4 -1 +nmos C2 N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI224.cell b/Catalog/popcorn/OAAOI224.cell new file mode 100644 index 00000000..91ea3364 --- /dev/null +++ b/Catalog/popcorn/OAAOI224.cell @@ -0,0 +1,22 @@ +2-2-4-input OR-AND-AND-OR-Invert gate +.cell OAAOI224 +.origin OAAOI223 +.inputs C3 C2 C1 C B1 B A1 A +.outputs Y +pmos C3 N3 vdd vdd g 2 6 2 +pmos C2 N3 vdd vdd g 2 5 2 +pmos C1 N3 vdd vdd g 2 4 2 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C3 N6 gnd gnd 1 4 3 -4 +nmos C2 N5 N6 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI2241.cell b/Catalog/popcorn/OAAOI2241.cell new file mode 100644 index 00000000..ea841490 --- /dev/null +++ b/Catalog/popcorn/OAAOI2241.cell @@ -0,0 +1,24 @@ +2-2-4-1-input OR-AND-AND-OR-Invert gate +.cell OAAOI2241 +.origin OAAOI224 +.inputs D C3 C2 C1 C B1 B A1 A +.outputs Y +pmos D N7 vdd vdd g 3 6 3 +pmos C3 N3 N7 vdd g 2 6 2 +pmos C2 N3 N7 vdd g 2 5 2 +pmos C1 N3 N7 vdd g 2 4 2 +pmos C N3 N7 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N7 gnd gnd 1 1 4 -1 +nmos C3 N6 gnd gnd 1 4 3 -4 +nmos C2 N5 N6 gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI232.cell b/Catalog/popcorn/OAAOI232.cell new file mode 100644 index 00000000..8388e706 --- /dev/null +++ b/Catalog/popcorn/OAAOI232.cell @@ -0,0 +1,20 @@ +2-3-2-input OR-AND-AND-OR-Invert gate +.cell OAAOI232 +.origin OAOI231 +.inputs C1 C B2 B1 B A1 A +.outputs Y +pmos C1 N4 vdd vdd g 2 5 2 +pmos C N4 vdd vdd g 2 4 2 +pmos B2 N1 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B2 N3 gnd gnd 1 4 2 -4 +nmos B1 N2 N3 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI233.cell b/Catalog/popcorn/OAAOI233.cell new file mode 100644 index 00000000..d5b6e16e --- /dev/null +++ b/Catalog/popcorn/OAAOI233.cell @@ -0,0 +1,22 @@ +2-3-3-input OR-AND-AND-OR-Invert gate +.cell OAAOI233 +.origin OAAOI232 +.inputs C2 C1 C B2 B1 B A1 A +.outputs Y +pmos C2 N4 vdd vdd g 2 6 2 +pmos C1 N4 vdd vdd g 2 5 2 +pmos C N4 vdd vdd g 2 4 2 +pmos B2 N1 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C2 N6 gnd gnd 1 3 3 -3 +nmos C1 N5 N6 gnd 1 2 3 -2 +nmos C N4 N5 gnd 1 1 3 -1 +nmos B2 N3 gnd gnd 1 4 2 -4 +nmos B1 N2 N3 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI312.cell b/Catalog/popcorn/OAAOI312.cell new file mode 100644 index 00000000..def23204 --- /dev/null +++ b/Catalog/popcorn/OAAOI312.cell @@ -0,0 +1,18 @@ +3-1-2-input OR-AND-AND-OR-Invert gate +.cell OAAOI312 +.origin OAOI311 +.inputs C1 C B A2 A1 A +.outputs Y +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI313.cell b/Catalog/popcorn/OAAOI313.cell new file mode 100644 index 00000000..e9ad3705 --- /dev/null +++ b/Catalog/popcorn/OAAOI313.cell @@ -0,0 +1,20 @@ +3-1-3-input OR-AND-AND-OR-Invert gate +.cell OAAOI313 +.origin OAAOI312 +.inputs C2 C1 C B A2 A1 A +.outputs Y +pmos C2 N3 vdd vdd g 2 4 2 +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C2 N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI314.cell b/Catalog/popcorn/OAAOI314.cell new file mode 100644 index 00000000..e71a5791 --- /dev/null +++ b/Catalog/popcorn/OAAOI314.cell @@ -0,0 +1,22 @@ +3-1-4-input OR-AND-AND-OR-Invert gate +.cell OAAOI314 +.origin OAAOI313 +.inputs C3 C2 C1 C B A2 A1 A +.outputs Y +pmos C3 N3 vdd vdd g 2 5 2 +pmos C2 N3 vdd vdd g 2 4 2 +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C3 N6 gnd gnd 1 4 4 -4 +nmos C2 N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI322.cell b/Catalog/popcorn/OAAOI322.cell new file mode 100644 index 00000000..3c763916 --- /dev/null +++ b/Catalog/popcorn/OAAOI322.cell @@ -0,0 +1,20 @@ +3-2-2-input OR-AND-AND-OR-Invert gate +.cell OAAOI322 +.origin OAOI321 +.inputs C1 C B1 B A2 A1 A +.outputs Y +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N4 vdd vdd g 2 3 2 +pmos B1 N2 N4 vdd g 1 3 1 +pmos B N2 N4 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 2 4 -2 +nmos C N4 N5 gnd 1 1 4 -1 +nmos B1 N3 gnd gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOI323.cell b/Catalog/popcorn/OAAOI323.cell new file mode 100644 index 00000000..0f8092e8 --- /dev/null +++ b/Catalog/popcorn/OAAOI323.cell @@ -0,0 +1,22 @@ +3-2-3-input OR-AND-AND-OR-Invert gate +.cell OAAOI323 +.origin OAAOI322 +.inputs C2 C1 C B1 B A2 A1 A +.outputs Y +pmos C2 N4 vdd vdd g 2 5 2 +pmos C1 N4 vdd vdd g 2 4 2 +pmos C N4 vdd vdd g 2 3 2 +pmos B1 N2 N4 vdd g 1 3 1 +pmos B N2 N4 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C2 N6 gnd gnd 1 3 4 -3 +nmos C1 N5 N6 gnd 1 2 4 -2 +nmos C N4 N5 gnd 1 1 4 -1 +nmos B1 N3 gnd gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAAOI21222.cell b/Catalog/popcorn/OAAOOAAOI21222.cell new file mode 100644 index 00000000..6e294d87 --- /dev/null +++ b/Catalog/popcorn/OAAOOAAOI21222.cell @@ -0,0 +1,24 @@ +2-1-2-2-2-input OR-AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell OAAOOAAOI21222 +.origin OAAOOAOI21221 +.inputs E1 E D1 D C1 C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 3 5 3 +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N6 vdd g 2 3 2 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAAOI21232.cell b/Catalog/popcorn/OAAOOAAOI21232.cell new file mode 100644 index 00000000..cdf5b234 --- /dev/null +++ b/Catalog/popcorn/OAAOOAAOI21232.cell @@ -0,0 +1,26 @@ +2-1-2-3-2-input OR-AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell OAAOOAAOI21232 +.origin OAAOOAOI21231 +.inputs E1 E D2 D1 D C1 C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 4 5 4 +pmos E N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N7 vdd g 2 3 2 +pmos C N2 N7 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 6 -2 +nmos E N7 N8 gnd 1 1 6 -1 +nmos D2 N4 gnd gnd 1 3 5 -3 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI21212.cell b/Catalog/popcorn/OAAOOAI21212.cell new file mode 100644 index 00000000..0057970c --- /dev/null +++ b/Catalog/popcorn/OAAOOAI21212.cell @@ -0,0 +1,22 @@ +2-1-2-1-2-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI21212 +.origin OAAOAI21211 +.inputs E1 E D C1 C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 5 2 +pmos E N5 N6 vdd g 1 5 1 +pmos D N4 vdd vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 4 4 -4 +nmos E N5 gnd gnd 1 4 3 -4 +nmos D N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI2122.cell b/Catalog/popcorn/OAAOOAI2122.cell new file mode 100644 index 00000000..d45a475b --- /dev/null +++ b/Catalog/popcorn/OAAOOAI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input OR-AND-AND-OR-AND-AND-Invert gate +.cell OAAOOAI2122 +.origin OAAOAI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI21221.cell b/Catalog/popcorn/OAAOOAI21221.cell new file mode 100644 index 00000000..c7739694 --- /dev/null +++ b/Catalog/popcorn/OAAOOAI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI21221 +.origin OAAOOAI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 4 4 -4 +nmos D1 N4 N6 gnd 1 3 4 -3 +nmos D N4 N6 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI2123.cell b/Catalog/popcorn/OAAOOAI2123.cell new file mode 100644 index 00000000..284305ae --- /dev/null +++ b/Catalog/popcorn/OAAOOAI2123.cell @@ -0,0 +1,22 @@ +2-1-2-3-input OR-AND-AND-OR-AND-AND-Invert gate +.cell OAAOOAI2123 +.origin OAAOOAI2122 +.inputs D2 D1 D C1 C B A1 A +.outputs Y +pmos D2 N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N4 gnd gnd 1 3 5 -3 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI21231.cell b/Catalog/popcorn/OAAOOAI21231.cell new file mode 100644 index 00000000..c37f157b --- /dev/null +++ b/Catalog/popcorn/OAAOOAI21231.cell @@ -0,0 +1,24 @@ +2-1-2-3-1-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI21231 +.origin OAAOOAI2123 +.inputs E D2 D1 D C1 C B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 5 1 +pmos D2 N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 4 5 -4 +nmos D2 N4 N7 gnd 1 3 5 -3 +nmos D1 N4 N7 gnd 1 3 4 -3 +nmos D N4 N7 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI2124.cell b/Catalog/popcorn/OAAOOAI2124.cell new file mode 100644 index 00000000..8cc6b71c --- /dev/null +++ b/Catalog/popcorn/OAAOOAI2124.cell @@ -0,0 +1,24 @@ +2-1-2-4-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI2124 +.origin OAAOOAI2123 +.inputs D3 D2 D1 D C1 C B A1 A +.outputs Y +pmos D3 N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D3 N4 gnd gnd 1 3 6 -3 +nmos D2 N4 gnd gnd 1 3 5 -3 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI21241.cell b/Catalog/popcorn/OAAOOAI21241.cell new file mode 100644 index 00000000..41b71e68 --- /dev/null +++ b/Catalog/popcorn/OAAOOAI21241.cell @@ -0,0 +1,26 @@ +2-1-2-4-1-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI21241 +.origin OAAOOAI2124 +.inputs E D3 D2 D1 D C1 C B A1 A +.outputs Y +pmos E N8 vdd vdd g 1 5 1 +pmos D3 N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 4 6 -4 +nmos D3 N4 N8 gnd 1 3 6 -3 +nmos D2 N4 N8 gnd 1 3 5 -3 +nmos D1 N4 N8 gnd 1 3 4 -3 +nmos D N4 N8 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI2132.cell b/Catalog/popcorn/OAAOOAI2132.cell new file mode 100644 index 00000000..5040dfa6 --- /dev/null +++ b/Catalog/popcorn/OAAOOAI2132.cell @@ -0,0 +1,22 @@ +2-1-3-2-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI2132 +.origin OAAOAI2131 +.inputs D1 D C2 C1 C B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C2 N2 vdd vdd g 2 4 2 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 4 4 -4 +nmos D N5 gnd gnd 1 4 3 -4 +nmos C2 N4 N5 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI2222.cell b/Catalog/popcorn/OAAOOAI2222.cell new file mode 100644 index 00000000..d62a1cc8 --- /dev/null +++ b/Catalog/popcorn/OAAOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-1-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI2222 +.origin OAAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 vdd vdd g 2 4 2 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI2232.cell b/Catalog/popcorn/OAAOOAI2232.cell new file mode 100644 index 00000000..2b351305 --- /dev/null +++ b/Catalog/popcorn/OAAOOAI2232.cell @@ -0,0 +1,22 @@ +2-2-2-1-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI2232 +.origin OAAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 5 2 +pmos D N5 N6 vdd g 1 5 1 +pmos C1 N3 vdd vdd g 2 4 2 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N5 gnd gnd 1 3 3 -3 +nmos C1 N4 N5 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAI3122.cell b/Catalog/popcorn/OAAOOAI3122.cell new file mode 100644 index 00000000..462f7d87 --- /dev/null +++ b/Catalog/popcorn/OAAOOAI3122.cell @@ -0,0 +1,22 @@ +3-1-2-2-input OR-AND-AND-OR-OR-AND-Invert gate +.cell OAAOOAI3122 +.origin OAAOAI3121 +.inputs D1 D C1 C B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 2 3 2 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAOAI212211.cell b/Catalog/popcorn/OAAOOAOAI212211.cell new file mode 100644 index 00000000..16efe6dd --- /dev/null +++ b/Catalog/popcorn/OAAOOAOAI212211.cell @@ -0,0 +1,24 @@ +2-1-2-2-1-1-input OR-AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell OAAOOAOAI212211 +.origin OAAOOAOI21221 +.inputs F E D1 D C1 C B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 5 1 +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N6 vdd g 2 3 2 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D1 N4 N7 gnd 1 3 4 -3 +nmos D N4 N7 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAOAI212311.cell b/Catalog/popcorn/OAAOOAOAI212311.cell new file mode 100644 index 00000000..7cc4cb57 --- /dev/null +++ b/Catalog/popcorn/OAAOOAOAI212311.cell @@ -0,0 +1,26 @@ +2-1-2-3-1-1-input OR-AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell OAAOOAOAI212311 +.origin OAAOOAOI21231 +.inputs F E D2 D1 D C1 C B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 5 1 +pmos E N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N7 vdd g 2 3 2 +pmos C N2 N7 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 6 -2 +nmos E N7 N8 gnd 1 1 6 -1 +nmos D2 N4 N8 gnd 1 3 5 -3 +nmos D1 N4 N8 gnd 1 3 4 -3 +nmos D N4 N8 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAOI21221.cell b/Catalog/popcorn/OAAOOAOI21221.cell new file mode 100644 index 00000000..13cf7a28 --- /dev/null +++ b/Catalog/popcorn/OAAOOAOI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OAAOOAOI21221 +.origin OAAOOAI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N6 vdd g 2 3 2 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAOI212211.cell b/Catalog/popcorn/OAAOOAOI212211.cell new file mode 100644 index 00000000..9b3039f6 --- /dev/null +++ b/Catalog/popcorn/OAAOOAOI212211.cell @@ -0,0 +1,24 @@ +2-1-2-2-1-1-input OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OAAOOAOI212211 +.origin OAAOOAI21221 +.inputs F E D1 D C1 C B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N5 N7 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N7 vdd g 2 3 2 +pmos C N2 N7 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 5 -1 +nmos E N6 gnd gnd 1 4 4 -4 +nmos D1 N4 N6 gnd 1 3 4 -3 +nmos D N4 N6 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAOI21231.cell b/Catalog/popcorn/OAAOOAOI21231.cell new file mode 100644 index 00000000..6d88d12e --- /dev/null +++ b/Catalog/popcorn/OAAOOAOI21231.cell @@ -0,0 +1,24 @@ +2-1-2-3-1-input OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OAAOOAOI21231 +.origin OAAOOAI2123 +.inputs E D2 D1 D C1 C B A1 A +.outputs Y +pmos E N7 vdd vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N7 vdd g 2 3 2 +pmos C N2 N7 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 6 -1 +nmos D2 N4 gnd gnd 1 3 5 -3 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAOI212311.cell b/Catalog/popcorn/OAAOOAOI212311.cell new file mode 100644 index 00000000..462bec84 --- /dev/null +++ b/Catalog/popcorn/OAAOOAOI212311.cell @@ -0,0 +1,26 @@ +2-1-2-3-1-1-input OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OAAOOAOI212311 +.origin OAAOOAI21231 +.inputs F E D2 D1 D C1 C B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 5 2 +pmos E N7 N8 vdd g 1 5 1 +pmos D2 N6 N8 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N8 vdd g 2 3 2 +pmos C N2 N8 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 6 -1 +nmos E N7 gnd gnd 1 4 5 -4 +nmos D2 N4 N7 gnd 1 3 5 -3 +nmos D1 N4 N7 gnd 1 3 4 -3 +nmos D N4 N7 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOAOI21241.cell b/Catalog/popcorn/OAAOOAOI21241.cell new file mode 100644 index 00000000..c9403b74 --- /dev/null +++ b/Catalog/popcorn/OAAOOAOI21241.cell @@ -0,0 +1,28 @@ +2-1-2-4-1-input OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OAAOOAOI21241 +.origin OAAOOAI2124 +.inputs E D3 D2 D1 D C1 C B A1 A +.outputs +pmos O Z vdd vdd g 1 8 1 +pmos E N8 vdd vdd g 5 4 5 +pmos D3 N7 N8 vdd g 4 4 4 +pmos D2 N6 N7 vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 N8 vdd g 2 3 2 +pmos C N2 N8 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A O N vdd g 1 1 1 +nmos O Z gnd gnd 1 1 8 -1 +nmos E N8 gnd gnd 1 1 7 -1 +nmos D3 N4 gnd gnd 1 3 6 -3 +nmos D2 N4 gnd gnd 1 3 5 -3 +nmos D1 N4 gnd gnd 1 3 4 -3 +nmos D N4 gnd gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 O N1 gnd 1 1 2 -1 +nmos A O N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOOAI21222.cell b/Catalog/popcorn/OAAOOOAI21222.cell new file mode 100644 index 00000000..caf951e7 --- /dev/null +++ b/Catalog/popcorn/OAAOOOAI21222.cell @@ -0,0 +1,24 @@ +2-1-2-2-2-input OR-AND-AND-OR-OR-OR-AND-Invert gate +.cell OAAOOOAI21222 +.origin OAAOOAI21221 +.inputs E1 E D1 D C1 C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 4 5 -4 +nmos E N6 gnd gnd 1 4 4 -4 +nmos D1 N4 N6 gnd 1 3 4 -3 +nmos D N4 N6 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAAOOOAI21232.cell b/Catalog/popcorn/OAAOOOAI21232.cell new file mode 100644 index 00000000..7677e8ee --- /dev/null +++ b/Catalog/popcorn/OAAOOOAI21232.cell @@ -0,0 +1,26 @@ +2-1-2-3-2-input OR-AND-AND-OR-OR-OR-AND-Invert gate +.cell OAAOOOAI21232 +.origin OAAOOAI21231 +.inputs E1 E D2 D1 D C1 C B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 5 2 +pmos E N7 N8 vdd g 1 5 1 +pmos D2 N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C1 N2 vdd vdd g 2 3 2 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 4 6 -4 +nmos E N7 gnd gnd 1 4 5 -4 +nmos D2 N4 N7 gnd 1 3 5 -3 +nmos D1 N4 N7 gnd 1 3 4 -3 +nmos D N4 N7 gnd 1 3 3 -3 +nmos C1 N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI21.cell b/Catalog/popcorn/OAI21.cell new file mode 100644 index 00000000..2b1ee9f9 --- /dev/null +++ b/Catalog/popcorn/OAI21.cell @@ -0,0 +1,12 @@ +2-1-input OR-AND-Invert gate +.cell OAI21 +.origin NOR2 +.inputs B A1 A +.outputs Y +pmos B N1 vdd vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI22.cell b/Catalog/popcorn/OAI22.cell new file mode 100644 index 00000000..ba0c5e3e --- /dev/null +++ b/Catalog/popcorn/OAI22.cell @@ -0,0 +1,14 @@ +2-2-input OR-AND-Invert gate +.cell OAI22 +.origin OAI21 +.inputs B1 B A1 A +.outputs Y +pmos B1 N1 vdd vdd g 1 3 1 +pmos B N1 vdd vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI23.cell b/Catalog/popcorn/OAI23.cell new file mode 100644 index 00000000..ee25f82a --- /dev/null +++ b/Catalog/popcorn/OAI23.cell @@ -0,0 +1,16 @@ +2-3-input OR-AND-Invert gate +.cell OAI23 +.origin OAI22 +.inputs B2 B1 B A1 A +.outputs Y +pmos B2 N1 vdd vdd g 1 4 1 +pmos B1 N1 vdd vdd g 1 3 1 +pmos B N1 vdd vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B2 N3 gnd gnd 1 4 2 -4 +nmos B1 N2 N3 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI31.cell b/Catalog/popcorn/OAI31.cell new file mode 100644 index 00000000..1a9b8639 --- /dev/null +++ b/Catalog/popcorn/OAI31.cell @@ -0,0 +1,14 @@ +3-1-input OR-AND-Invert gate +.cell OAI31 +.origin NOR3 +.inputs B A2 A1 A +.outputs Y +pmos B N2 vdd vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI32.cell b/Catalog/popcorn/OAI32.cell new file mode 100644 index 00000000..38918257 --- /dev/null +++ b/Catalog/popcorn/OAI32.cell @@ -0,0 +1,16 @@ +3-2-input OR-AND-Invert gate +.cell OAI32 +.origin OAI31 +.inputs B1 B A2 A1 A +.outputs Y +pmos B1 N2 vdd vdd g 1 3 1 +pmos B N2 vdd vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B1 N3 gnd gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI33.cell b/Catalog/popcorn/OAI33.cell new file mode 100644 index 00000000..f50e804e --- /dev/null +++ b/Catalog/popcorn/OAI33.cell @@ -0,0 +1,18 @@ +3-3-input OR-AND-Invert gate +.cell OAI33 +.origin OAI32 +.inputs B2 B1 B A2 A1 A +.outputs Y +pmos B2 N2 vdd vdd g 1 4 1 +pmos B1 N2 vdd vdd g 1 3 1 +pmos B N2 vdd vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B2 N4 gnd gnd 1 4 3 -4 +nmos B1 N3 N4 gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI41.cell b/Catalog/popcorn/OAI41.cell new file mode 100644 index 00000000..67d18f1f --- /dev/null +++ b/Catalog/popcorn/OAI41.cell @@ -0,0 +1,16 @@ +4-1-input OR-AND-Invert gate +.cell OAI41 +.origin NOR4 +.inputs B A3 A2 A1 A +.outputs Y +pmos B N3 vdd vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B N3 gnd gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI42.cell b/Catalog/popcorn/OAI42.cell new file mode 100644 index 00000000..a41459a9 --- /dev/null +++ b/Catalog/popcorn/OAI42.cell @@ -0,0 +1,18 @@ +4-2-input OR-AND-Invert gate +.cell OAI42 +.origin OAI41 +.inputs B1 B A3 A2 A1 A +.outputs Y +pmos B1 N3 vdd vdd g 1 3 1 +pmos B N3 vdd vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B1 N4 gnd gnd 1 3 4 -3 +nmos B N3 N4 gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAI43.cell b/Catalog/popcorn/OAI43.cell new file mode 100644 index 00000000..b2dd8002 --- /dev/null +++ b/Catalog/popcorn/OAI43.cell @@ -0,0 +1,20 @@ +4-3-input OR-AND-Invert gate +.cell OAI43 +.origin OAI42 +.inputs B2 B1 B A3 A2 A1 A +.outputs Y +pmos B2 N3 vdd vdd g 1 4 1 +pmos B1 N3 vdd vdd g 1 3 1 +pmos B N3 vdd vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B2 N5 gnd gnd 1 4 4 -4 +nmos B1 N4 N5 gnd 1 3 4 -3 +nmos B N3 N4 gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAAOAI211121.cell b/Catalog/popcorn/OAOAAOAI211121.cell new file mode 100644 index 00000000..9ff37044 --- /dev/null +++ b/Catalog/popcorn/OAOAAOAI211121.cell @@ -0,0 +1,22 @@ +2-1-1-1-2-1-input OR-AND-OR-AND-AND-OR-AND-Invert gate +.cell OAOAAOAI211121 +.origin AOAOOAI21112 +.inputs F E1 E D C B A1 A +.outputs Y +pmos F N6 vdd vdd g 1 5 1 +pmos E1 N5 vdd vdd g 2 4 2 +pmos E N4 N5 vdd g 1 4 1 +pmos D N3 vdd vdd g 2 3 2 +pmos C N2 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N6 gnd gnd 1 3 4 -3 +nmos E1 N4 N6 gnd 1 2 4 -2 +nmos E N4 N6 gnd 1 2 3 -2 +nmos D N3 N4 gnd 1 1 3 -1 +nmos C N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N2 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAAOI21112.cell b/Catalog/popcorn/OAOAAOI21112.cell new file mode 100644 index 00000000..080560b9 --- /dev/null +++ b/Catalog/popcorn/OAOAAOI21112.cell @@ -0,0 +1,20 @@ +2-1-1-1-2-input OR-AND-OR-AND-AND-OR-Invert gate +.cell OAOAAOI21112 +.origin OAOAOI21111 +.inputs E1 E D C B A1 A +.outputs Y +pmos E1 N4 vdd vdd g 2 4 2 +pmos E N4 vdd vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 2 4 -2 +nmos E N4 N5 gnd 1 1 4 -1 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAAOI21113.cell b/Catalog/popcorn/OAOAAOI21113.cell new file mode 100644 index 00000000..61d705d8 --- /dev/null +++ b/Catalog/popcorn/OAOAAOI21113.cell @@ -0,0 +1,22 @@ +2-1-1-1-3-input OR-AND-OR-AND-AND-OR-Invert gate +.cell OAOAAOI21113 +.origin OAOAAOI21112 +.inputs E2 E1 E D C B A1 A +.outputs Y +pmos E2 N4 vdd vdd g 2 5 2 +pmos E1 N4 vdd vdd g 2 4 2 +pmos E N4 vdd vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E2 N6 gnd gnd 1 3 4 -3 +nmos E1 N5 N6 gnd 1 2 4 -2 +nmos E N4 N5 gnd 1 1 4 -1 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAAOI21122.cell b/Catalog/popcorn/OAOAAOI21122.cell new file mode 100644 index 00000000..db548d49 --- /dev/null +++ b/Catalog/popcorn/OAOAAOI21122.cell @@ -0,0 +1,22 @@ +2-1-1-2-2-input OR-AND-OR-AND-AND-OR-Invert gate +.cell OAOAAOI21122 +.origin OAOAOI21121 +.inputs E1 E D1 D C B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 2 5 2 +pmos E N5 vdd vdd g 2 4 2 +pmos D1 N3 N5 vdd g 1 4 1 +pmos D N3 N5 vdd g 1 3 1 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D1 N4 gnd gnd 1 3 3 -3 +nmos D N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAAOI22112.cell b/Catalog/popcorn/OAOAAOI22112.cell new file mode 100644 index 00000000..92b4d02a --- /dev/null +++ b/Catalog/popcorn/OAOAAOI22112.cell @@ -0,0 +1,22 @@ +2-2-1-1-2-input OR-AND-OR-AND-AND-OR-Invert gate +.cell OAOAAOI22112 +.origin OAOAOI22111 +.inputs E1 E D C B1 B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 2 5 2 +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 4 -2 +nmos E N5 N6 gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI2111.cell b/Catalog/popcorn/OAOAI2111.cell new file mode 100644 index 00000000..2bc3d2a2 --- /dev/null +++ b/Catalog/popcorn/OAOAI2111.cell @@ -0,0 +1,16 @@ +2-1-1-1-input OR-AND-OR-AND-Invert gate +.cell OAOAI2111 +.origin OAOI211 +.inputs D C B A1 A +.outputs Y +pmos D N3 vdd vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI2112.cell b/Catalog/popcorn/OAOAI2112.cell new file mode 100644 index 00000000..a91d4334 --- /dev/null +++ b/Catalog/popcorn/OAOAI2112.cell @@ -0,0 +1,18 @@ +2-1-1-2-input OR-AND-OR-AND-Invert gate +.cell OAOAI2112 +.origin OAOAI2111 +.inputs D1 D C B A1 A +.outputs Y +pmos D1 N3 vdd vdd g 1 4 1 +pmos D N3 vdd vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 3 3 -3 +nmos D N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI2121.cell b/Catalog/popcorn/OAOAI2121.cell new file mode 100644 index 00000000..aeb5c7d9 --- /dev/null +++ b/Catalog/popcorn/OAOAI2121.cell @@ -0,0 +1,18 @@ +2-1-2-1-input OR-AND-OR-AND-Invert gate +.cell OAOAI2121 +.origin OAOI212 +.inputs D C1 C B A1 A +.outputs Y +pmos D N4 vdd vdd g 1 3 1 +pmos C1 N3 vdd vdd g 3 2 3 +pmos C N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C1 N2 N4 gnd 1 1 4 -1 +nmos C N2 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI2122.cell b/Catalog/popcorn/OAOAI2122.cell new file mode 100644 index 00000000..3ac0574a --- /dev/null +++ b/Catalog/popcorn/OAOAI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input OR-AND-OR-AND-Invert gate +.cell OAOAI2122 +.origin OAOAI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 1 4 1 +pmos D N4 vdd vdd g 1 3 1 +pmos C1 N3 vdd vdd g 3 2 3 +pmos C N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N4 N5 gnd 1 2 4 -2 +nmos C1 N2 N4 gnd 1 1 4 -1 +nmos C N2 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI2211.cell b/Catalog/popcorn/OAOAI2211.cell new file mode 100644 index 00000000..ce1769a9 --- /dev/null +++ b/Catalog/popcorn/OAOAI2211.cell @@ -0,0 +1,18 @@ +2-2-1-1-input OR-AND-OR-AND-Invert gate +.cell OAOAI2211 +.origin OAOI221 +.inputs D C B1 B A1 A +.outputs Y +pmos D N4 vdd vdd g 1 4 1 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI2221.cell b/Catalog/popcorn/OAOAI2221.cell new file mode 100644 index 00000000..a9b305a5 --- /dev/null +++ b/Catalog/popcorn/OAOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-AND-OR-AND-Invert gate +.cell OAOAI2221 +.origin OAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N4 vdd vdd g 3 3 3 +pmos C N3 N4 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 2 4 -2 +nmos C1 N3 N5 gnd 1 1 4 -1 +nmos C N3 N5 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI3111.cell b/Catalog/popcorn/OAOAI3111.cell new file mode 100644 index 00000000..dfaefd2a --- /dev/null +++ b/Catalog/popcorn/OAOAI3111.cell @@ -0,0 +1,18 @@ +3-1-1-1-input OR-AND-OR-AND-Invert gate +.cell OAOAI3111 +.origin OAOI311 +.inputs D C B A2 A1 A +.outputs Y +pmos D N4 vdd vdd g 1 3 1 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI3112.cell b/Catalog/popcorn/OAOAI3112.cell new file mode 100644 index 00000000..fbfaf1d2 --- /dev/null +++ b/Catalog/popcorn/OAOAI3112.cell @@ -0,0 +1,20 @@ +3-1-1-2-input OR-AND-OR-AND-Invert gate +.cell OAOAI3112 +.origin OAOAI3111 +.inputs D1 D C B A2 A1 A +.outputs Y +pmos D1 N4 vdd vdd g 1 4 1 +pmos D N4 vdd vdd g 1 3 1 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAI3211.cell b/Catalog/popcorn/OAOAI3211.cell new file mode 100644 index 00000000..f21e6daa --- /dev/null +++ b/Catalog/popcorn/OAOAI3211.cell @@ -0,0 +1,20 @@ +3-2-1-1-input OR-AND-OR-AND-Invert gate +.cell OAOAI3211 +.origin OAOI321 +.inputs D C B1 B A2 A1 A +.outputs Y +pmos D N5 vdd vdd g 1 4 1 +pmos C N4 vdd vdd g 2 3 2 +pmos B1 N2 N4 vdd g 1 3 1 +pmos B N2 N4 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 2 4 -2 +nmos C N4 N5 gnd 1 1 4 -1 +nmos B1 N3 N5 gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAOAI211111.cell b/Catalog/popcorn/OAOAOAI211111.cell new file mode 100644 index 00000000..0163720d --- /dev/null +++ b/Catalog/popcorn/OAOAOAI211111.cell @@ -0,0 +1,20 @@ +2-1-1-1-1-1-input OR-AND-OR-AND-OR-AND-Invert gate +.cell OAOAOAI211111 +.origin OAOAOI21111 +.inputs F E D C B A1 A +.outputs Y +pmos F N5 vdd vdd g 1 4 1 +pmos E N4 vdd vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N5 gnd gnd 1 2 4 -2 +nmos E N4 N5 gnd 1 1 4 -1 +nmos D N3 N5 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAOI21111.cell b/Catalog/popcorn/OAOAOI21111.cell new file mode 100644 index 00000000..18b748b3 --- /dev/null +++ b/Catalog/popcorn/OAOAOI21111.cell @@ -0,0 +1,18 @@ +2-1-1-1-1-input OR-AND-OR-AND-OR-Invert gate +.cell OAOAOI21111 +.origin OAOAI2111 +.inputs E D C B A1 A +.outputs Y +pmos E N4 vdd vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N4 gnd gnd 1 1 4 -1 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAOI21121.cell b/Catalog/popcorn/OAOAOI21121.cell new file mode 100644 index 00000000..b19da03b --- /dev/null +++ b/Catalog/popcorn/OAOAOI21121.cell @@ -0,0 +1,20 @@ +2-1-1-2-1-input OR-AND-OR-AND-OR-Invert gate +.cell OAOAOI21121 +.origin OAOAI2112 +.inputs E D1 D C B A1 A +.outputs Y +pmos E N5 vdd vdd g 2 4 2 +pmos D1 N3 N5 vdd g 1 4 1 +pmos D N3 N5 vdd g 1 3 1 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 4 -1 +nmos D1 N4 gnd gnd 1 3 3 -3 +nmos D N3 N4 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAOI22111.cell b/Catalog/popcorn/OAOAOI22111.cell new file mode 100644 index 00000000..effaac40 --- /dev/null +++ b/Catalog/popcorn/OAOAOI22111.cell @@ -0,0 +1,20 @@ +2-2-1-1-1-input OR-AND-OR-AND-OR-Invert gate +.cell OAOAOI22111 +.origin OAOAI2211 +.inputs E D C B1 B A1 A +.outputs Y +pmos E N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 4 -1 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAOI32111.cell b/Catalog/popcorn/OAOAOI32111.cell new file mode 100644 index 00000000..531358f0 --- /dev/null +++ b/Catalog/popcorn/OAOAOI32111.cell @@ -0,0 +1,22 @@ +3-2-1-1-1-input OR-AND-OR-AND-OR-Invert gate +.cell OAOAOI32111 +.origin OAOAI3211 +.inputs E D C B1 B A2 A1 A +.outputs Y +pmos E N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C N4 N6 vdd g 2 3 2 +pmos B1 N2 N4 vdd g 1 3 1 +pmos B N2 N4 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 2 4 -2 +nmos C N4 N5 gnd 1 1 4 -1 +nmos B1 N3 N5 gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOAOOAI211112.cell b/Catalog/popcorn/OAOAOOAI211112.cell new file mode 100644 index 00000000..10f8d5c3 --- /dev/null +++ b/Catalog/popcorn/OAOAOOAI211112.cell @@ -0,0 +1,22 @@ +2-1-1-1-1-2-input OR-AND-OR-AND-OR-OR-AND-Invert gate +.cell OAOAOOAI211112 +.origin OAOAOAI211111 +.inputs F1 F E D C B A1 A +.outputs Y +pmos F1 N6 vdd vdd g 2 4 2 +pmos F N5 N6 vdd g 1 4 1 +pmos E N4 vdd vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F1 N5 gnd gnd 1 2 5 -2 +nmos F N5 gnd gnd 1 2 4 -2 +nmos E N4 N5 gnd 1 1 4 -1 +nmos D N3 N5 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOI211.cell b/Catalog/popcorn/OAOI211.cell new file mode 100644 index 00000000..66502e4a --- /dev/null +++ b/Catalog/popcorn/OAOI211.cell @@ -0,0 +1,14 @@ +2-1-1-input OR-AND-OR-Invert gate +.cell OAOI211 +.origin OAI21 +.inputs C B A1 A +.outputs Y +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N2 gnd gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOI212.cell b/Catalog/popcorn/OAOI212.cell new file mode 100644 index 00000000..85bdfd4d --- /dev/null +++ b/Catalog/popcorn/OAOI212.cell @@ -0,0 +1,16 @@ +2-1-2-input OR-AND-OR-Invert gate +.cell OAOI212 +.origin OAOI211 +.inputs C1 C B A1 A +.outputs Y +pmos C1 N3 vdd vdd g 3 2 3 +pmos C N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N2 gnd gnd 1 1 4 -1 +nmos C N2 gnd gnd 1 1 3 -1 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOI221.cell b/Catalog/popcorn/OAOI221.cell new file mode 100644 index 00000000..ad56118a --- /dev/null +++ b/Catalog/popcorn/OAOI221.cell @@ -0,0 +1,16 @@ +2-2-1-input OR-AND-OR-Invert gate +.cell OAOI221 +.origin OAI22 +.inputs C B1 B A1 A +.outputs Y +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N3 gnd gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOI222.cell b/Catalog/popcorn/OAOI222.cell new file mode 100644 index 00000000..1b4ccb41 --- /dev/null +++ b/Catalog/popcorn/OAOI222.cell @@ -0,0 +1,18 @@ +2-2-2-input OR-AND-OR-Invert gate +.cell OAOI222 +.origin OAOI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N4 vdd vdd g 3 3 3 +pmos C N3 N4 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 1 3 -1 +nmos B1 N2 gnd gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOI231.cell b/Catalog/popcorn/OAOI231.cell new file mode 100644 index 00000000..90ea0add --- /dev/null +++ b/Catalog/popcorn/OAOI231.cell @@ -0,0 +1,18 @@ +2-3-1-input OR-AND-OR-Invert gate +.cell OAOI231 +.origin OAI23 +.inputs C B2 B1 B A1 A +.outputs Y +pmos C N4 vdd vdd g 2 4 2 +pmos B2 N1 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N4 gnd gnd 1 1 3 -1 +nmos B2 N3 gnd gnd 1 4 2 -4 +nmos B1 N2 N3 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOI232.cell b/Catalog/popcorn/OAOI232.cell new file mode 100644 index 00000000..feb5bd41 --- /dev/null +++ b/Catalog/popcorn/OAOI232.cell @@ -0,0 +1,20 @@ +2-3-2-input OR-AND-OR-Invert gate +.cell OAOI232 +.origin OAOI231 +.inputs C1 C B2 B1 B A1 A +.outputs Y +pmos C1 N5 vdd vdd g 3 4 3 +pmos C N4 N5 vdd g 2 4 2 +pmos B2 N1 N4 vdd g 1 4 1 +pmos B1 N1 N4 vdd g 1 3 1 +pmos B N1 N4 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 1 4 -1 +nmos C N4 gnd gnd 1 1 3 -1 +nmos B2 N3 gnd gnd 1 4 2 -4 +nmos B1 N2 N3 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOI311.cell b/Catalog/popcorn/OAOI311.cell new file mode 100644 index 00000000..f879ef86 --- /dev/null +++ b/Catalog/popcorn/OAOI311.cell @@ -0,0 +1,16 @@ +3-1-1-input OR-AND-OR-Invert gate +.cell OAOI311 +.origin OAI31 +.inputs C B A2 A1 A +.outputs Y +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N3 gnd gnd 1 1 4 -1 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOI321.cell b/Catalog/popcorn/OAOI321.cell new file mode 100644 index 00000000..4a85615f --- /dev/null +++ b/Catalog/popcorn/OAOI321.cell @@ -0,0 +1,18 @@ +3-2-1-input OR-AND-OR-Invert gate +.cell OAOI321 +.origin OAI32 +.inputs C B1 B A2 A1 A +.outputs Y +pmos C N4 vdd vdd g 2 3 2 +pmos B1 N2 N4 vdd g 1 3 1 +pmos B N2 N4 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N4 gnd gnd 1 1 4 -1 +nmos B1 N3 gnd gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAAOI21122.cell b/Catalog/popcorn/OAOOAAOI21122.cell new file mode 100644 index 00000000..c22f6084 --- /dev/null +++ b/Catalog/popcorn/OAOOAAOI21122.cell @@ -0,0 +1,22 @@ +2-1-1-2-2-input OR-AND-OR-OR-AND-AND-OR-Invert gate +.cell OAOOAAOI21122 +.origin OAOOAOI21121 +.inputs E1 E D1 D C B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 3 4 3 +pmos E N5 vdd vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 5 -2 +nmos E N5 N6 gnd 1 1 5 -1 +nmos D1 N3 gnd gnd 1 2 4 -2 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAAOI21132.cell b/Catalog/popcorn/OAOOAAOI21132.cell new file mode 100644 index 00000000..300897e6 --- /dev/null +++ b/Catalog/popcorn/OAOOAAOI21132.cell @@ -0,0 +1,24 @@ +2-1-1-3-2-input OR-AND-OR-OR-AND-AND-OR-Invert gate +.cell OAOOAAOI21132 +.origin OAOOAOI21131 +.inputs E1 E D2 D1 D C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 4 4 4 +pmos E N6 vdd vdd g 4 3 4 +pmos D2 N5 N6 vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 6 -2 +nmos E N6 N7 gnd 1 1 6 -1 +nmos D2 N3 gnd gnd 1 2 5 -2 +nmos D1 N3 gnd gnd 1 2 4 -2 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI2112.cell b/Catalog/popcorn/OAOOAI2112.cell new file mode 100644 index 00000000..1830687a --- /dev/null +++ b/Catalog/popcorn/OAOOAI2112.cell @@ -0,0 +1,18 @@ +2-1-1-2-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI2112 +.origin OAOAI2111 +.inputs D1 D C B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N3 gnd gnd 1 2 4 -2 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI21121.cell b/Catalog/popcorn/OAOOAI21121.cell new file mode 100644 index 00000000..98d1122d --- /dev/null +++ b/Catalog/popcorn/OAOOAI21121.cell @@ -0,0 +1,20 @@ +2-1-1-2-1-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI21121 +.origin OAOOAI2112 +.inputs E D1 D C B A1 A +.outputs Y +pmos E N5 vdd vdd g 1 4 1 +pmos D1 N4 vdd vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N5 gnd gnd 1 3 4 -3 +nmos D1 N3 N5 gnd 1 2 4 -2 +nmos D N3 N5 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI2113.cell b/Catalog/popcorn/OAOOAI2113.cell new file mode 100644 index 00000000..a65c9569 --- /dev/null +++ b/Catalog/popcorn/OAOOAI2113.cell @@ -0,0 +1,20 @@ +2-1-1-3-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI2113 +.origin OAOOAI2112 +.inputs D2 D1 D C B A1 A +.outputs Y +pmos D2 N5 vdd vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N3 gnd gnd 1 2 5 -2 +nmos D1 N3 gnd gnd 1 2 4 -2 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI21131.cell b/Catalog/popcorn/OAOOAI21131.cell new file mode 100644 index 00000000..2efd5252 --- /dev/null +++ b/Catalog/popcorn/OAOOAI21131.cell @@ -0,0 +1,22 @@ +2-1-1-3-1-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI21131 +.origin OAOOAI2113 +.inputs E D2 D1 D C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D2 N5 vdd vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 5 -3 +nmos D2 N3 N6 gnd 1 2 5 -2 +nmos D1 N3 N6 gnd 1 2 4 -2 +nmos D N3 N6 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI2114.cell b/Catalog/popcorn/OAOOAI2114.cell new file mode 100644 index 00000000..585f2bc9 --- /dev/null +++ b/Catalog/popcorn/OAOOAI2114.cell @@ -0,0 +1,22 @@ +2-1-1-4-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI2114 +.origin OAOOAI2113 +.inputs D3 D2 D1 D C B A1 A +.outputs Y +pmos D3 N6 vdd vdd g 4 3 4 +pmos D2 N5 N6 vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D3 N3 gnd gnd 1 2 6 -2 +nmos D2 N3 gnd gnd 1 2 5 -2 +nmos D1 N3 gnd gnd 1 2 4 -2 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI21141.cell b/Catalog/popcorn/OAOOAI21141.cell new file mode 100644 index 00000000..57b6a801 --- /dev/null +++ b/Catalog/popcorn/OAOOAI21141.cell @@ -0,0 +1,24 @@ +2-1-1-4-1-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI21141 +.origin OAOOAI2114 +.inputs E D3 D2 D1 D C B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 4 1 +pmos D3 N6 vdd vdd g 4 3 4 +pmos D2 N5 N6 vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 3 6 -3 +nmos D3 N3 N7 gnd 1 2 6 -2 +nmos D2 N3 N7 gnd 1 2 5 -2 +nmos D1 N3 N7 gnd 1 2 4 -2 +nmos D N3 N7 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI2122.cell b/Catalog/popcorn/OAOOAI2122.cell new file mode 100644 index 00000000..7d768757 --- /dev/null +++ b/Catalog/popcorn/OAOOAI2122.cell @@ -0,0 +1,20 @@ +2-1-2-2-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI2122 +.origin OAOAI2121 +.inputs D1 D C1 C B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C1 N3 vdd vdd g 3 2 3 +pmos C N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C1 N2 N4 gnd 1 1 4 -1 +nmos C N2 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI21221.cell b/Catalog/popcorn/OAOOAI21221.cell new file mode 100644 index 00000000..497afc38 --- /dev/null +++ b/Catalog/popcorn/OAOOAI21221.cell @@ -0,0 +1,22 @@ +2-1-2-2-1-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI21221 +.origin OAOOAI2122 +.inputs E D1 D C1 C B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D1 N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C1 N3 vdd vdd g 3 2 3 +pmos C N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 5 -3 +nmos D1 N4 N6 gnd 1 2 5 -2 +nmos D N4 N6 gnd 1 2 4 -2 +nmos C1 N2 N4 gnd 1 1 4 -1 +nmos C N2 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI2123.cell b/Catalog/popcorn/OAOOAI2123.cell new file mode 100644 index 00000000..2e91195f --- /dev/null +++ b/Catalog/popcorn/OAOOAI2123.cell @@ -0,0 +1,22 @@ +2-1-2-3-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI2123 +.origin OAOOAI2122 +.inputs D2 D1 D C1 C B A1 A +.outputs Y +pmos D2 N6 vdd vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C1 N3 vdd vdd g 3 2 3 +pmos C N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N4 gnd gnd 1 2 6 -2 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C1 N2 N4 gnd 1 1 4 -1 +nmos C N2 N4 gnd 1 1 3 -1 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI2212.cell b/Catalog/popcorn/OAOOAI2212.cell new file mode 100644 index 00000000..520f991e --- /dev/null +++ b/Catalog/popcorn/OAOOAI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI2212 +.origin OAOAI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI2213.cell b/Catalog/popcorn/OAOOAI2213.cell new file mode 100644 index 00000000..624a8230 --- /dev/null +++ b/Catalog/popcorn/OAOOAI2213.cell @@ -0,0 +1,22 @@ +2-2-1-3-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI2213 +.origin OAOOAI2212 +.inputs D2 D1 D C B1 B A1 A +.outputs Y +pmos D2 N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N4 gnd gnd 1 2 5 -2 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI2222.cell b/Catalog/popcorn/OAOOAI2222.cell new file mode 100644 index 00000000..c9cb2864 --- /dev/null +++ b/Catalog/popcorn/OAOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI2222 +.origin OAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N4 vdd vdd g 3 3 3 +pmos C N3 N4 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 5 -2 +nmos D N5 gnd gnd 1 2 4 -2 +nmos C1 N3 N5 gnd 1 1 4 -1 +nmos C N3 N5 gnd 1 1 3 -1 +nmos B1 N2 N5 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI3112.cell b/Catalog/popcorn/OAOOAI3112.cell new file mode 100644 index 00000000..f077d01f --- /dev/null +++ b/Catalog/popcorn/OAOOAI3112.cell @@ -0,0 +1,20 @@ +3-1-1-2-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI3112 +.origin OAOAI3111 +.inputs D1 D C B A2 A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI31121.cell b/Catalog/popcorn/OAOOAI31121.cell new file mode 100644 index 00000000..74bfa6e8 --- /dev/null +++ b/Catalog/popcorn/OAOOAI31121.cell @@ -0,0 +1,22 @@ +3-1-1-2-1-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI31121 +.origin OAOOAI3112 +.inputs E D1 D C B A2 A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D1 N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 5 -3 +nmos D1 N4 N6 gnd 1 2 5 -2 +nmos D N4 N6 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI3113.cell b/Catalog/popcorn/OAOOAI3113.cell new file mode 100644 index 00000000..2be94694 --- /dev/null +++ b/Catalog/popcorn/OAOOAI3113.cell @@ -0,0 +1,22 @@ +3-1-1-3-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI3113 +.origin OAOOAI3112 +.inputs D2 D1 D C B A2 A1 A +.outputs Y +pmos D2 N6 vdd vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N3 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N4 gnd gnd 1 2 6 -2 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAI3212.cell b/Catalog/popcorn/OAOOAI3212.cell new file mode 100644 index 00000000..52214d56 --- /dev/null +++ b/Catalog/popcorn/OAOOAI3212.cell @@ -0,0 +1,22 @@ +3-2-1-2-input OR-AND-OR-OR-AND-Invert gate +.cell OAOOAI3212 +.origin OAOAI3211 +.inputs D1 D C B1 B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C N4 vdd vdd g 2 3 2 +pmos B1 N2 N4 vdd g 1 3 1 +pmos B N2 N4 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 5 -2 +nmos D N5 gnd gnd 1 2 4 -2 +nmos C N4 N5 gnd 1 1 4 -1 +nmos B1 N3 N5 gnd 1 3 3 -3 +nmos B N2 N3 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAOAI211211.cell b/Catalog/popcorn/OAOOAOAI211211.cell new file mode 100644 index 00000000..7ea3dc24 --- /dev/null +++ b/Catalog/popcorn/OAOOAOAI211211.cell @@ -0,0 +1,22 @@ +2-1-1-2-1-1-input OR-AND-OR-OR-AND-OR-AND-Invert gate +.cell OAOOAOAI211211 +.origin OAOOAOI21121 +.inputs F E D1 D C B A1 A +.outputs Y +pmos F N6 vdd vdd g 1 4 1 +pmos E N5 vdd vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N6 gnd gnd 1 2 5 -2 +nmos E N5 N6 gnd 1 1 5 -1 +nmos D1 N3 N6 gnd 1 2 4 -2 +nmos D N3 N6 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAOAI211311.cell b/Catalog/popcorn/OAOOAOAI211311.cell new file mode 100644 index 00000000..c556fa40 --- /dev/null +++ b/Catalog/popcorn/OAOOAOAI211311.cell @@ -0,0 +1,24 @@ +2-1-1-3-1-1-input OR-AND-OR-OR-AND-OR-AND-Invert gate +.cell OAOOAOAI211311 +.origin OAOOAOI21131 +.inputs F E D2 D1 D C B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 4 1 +pmos E N6 vdd vdd g 4 3 4 +pmos D2 N5 N6 vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 6 -2 +nmos E N6 N7 gnd 1 1 6 -1 +nmos D2 N3 N7 gnd 1 2 5 -2 +nmos D1 N3 N7 gnd 1 2 4 -2 +nmos D N3 N7 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAOI21121.cell b/Catalog/popcorn/OAOOAOI21121.cell new file mode 100644 index 00000000..87ca319e --- /dev/null +++ b/Catalog/popcorn/OAOOAOI21121.cell @@ -0,0 +1,20 @@ +2-1-1-2-1-input OR-AND-OR-OR-AND-OR-Invert gate +.cell OAOOAOI21121 +.origin OAOOAI2112 +.inputs E D1 D C B A1 A +.outputs Y +pmos E N5 vdd vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 5 -1 +nmos D1 N3 gnd gnd 1 2 4 -2 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAOI211211.cell b/Catalog/popcorn/OAOOAOI211211.cell new file mode 100644 index 00000000..026fd975 --- /dev/null +++ b/Catalog/popcorn/OAOOAOI211211.cell @@ -0,0 +1,22 @@ +2-1-1-2-1-1-input OR-AND-OR-OR-AND-OR-Invert gate +.cell OAOOAOI211211 +.origin OAOOAI21121 +.inputs F E D1 D C B A1 A +.outputs Y +pmos F N6 vdd vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D1 N4 N6 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N6 gnd gnd 1 1 5 -1 +nmos E N5 gnd gnd 1 3 4 -3 +nmos D1 N3 N5 gnd 1 2 4 -2 +nmos D N3 N5 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAOI21131.cell b/Catalog/popcorn/OAOOAOI21131.cell new file mode 100644 index 00000000..0bb6717e --- /dev/null +++ b/Catalog/popcorn/OAOOAOI21131.cell @@ -0,0 +1,22 @@ +2-1-1-3-1-input OR-AND-OR-OR-AND-OR-Invert gate +.cell OAOOAOI21131 +.origin OAOOAI2113 +.inputs E D2 D1 D C B A1 A +.outputs Y +pmos E N6 vdd vdd g 4 3 4 +pmos D2 N5 N6 vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N6 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 6 -1 +nmos D2 N3 gnd gnd 1 2 5 -2 +nmos D1 N3 gnd gnd 1 2 4 -2 +nmos D N3 gnd gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAOI211311.cell b/Catalog/popcorn/OAOOAOI211311.cell new file mode 100644 index 00000000..97ede10d --- /dev/null +++ b/Catalog/popcorn/OAOOAOI211311.cell @@ -0,0 +1,24 @@ +2-1-1-3-1-1-input OR-AND-OR-OR-AND-OR-Invert gate +.cell OAOOAOI211311 +.origin OAOOAI21131 +.inputs F E D2 D1 D C B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 4 2 +pmos E N6 N7 vdd g 1 4 1 +pmos D2 N5 N7 vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 N7 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 6 -1 +nmos E N6 gnd gnd 1 3 5 -3 +nmos D2 N3 N6 gnd 1 2 5 -2 +nmos D1 N3 N6 gnd 1 2 4 -2 +nmos D N3 N6 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOAOI22121.cell b/Catalog/popcorn/OAOOAOI22121.cell new file mode 100644 index 00000000..4ff78a3a --- /dev/null +++ b/Catalog/popcorn/OAOOAOI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input OR-AND-OR-OR-AND-OR-Invert gate +.cell OAOOAOI22121 +.origin OAOOAI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 N6 vdd g 2 3 2 +pmos B1 N1 N3 vdd g 1 3 1 +pmos B N1 N3 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D1 N4 gnd gnd 1 2 4 -2 +nmos D N4 gnd gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 3 2 -3 +nmos B N1 N2 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOOAI21122.cell b/Catalog/popcorn/OAOOOAI21122.cell new file mode 100644 index 00000000..70415cce --- /dev/null +++ b/Catalog/popcorn/OAOOOAI21122.cell @@ -0,0 +1,22 @@ +2-1-1-2-2-input OR-AND-OR-OR-OR-AND-Invert gate +.cell OAOOOAI21122 +.origin OAOOAI21121 +.inputs E1 E D1 D C B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D1 N4 vdd vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 3 5 -3 +nmos E N5 gnd gnd 1 3 4 -3 +nmos D1 N3 N5 gnd 1 2 4 -2 +nmos D N3 N5 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OAOOOAI21132.cell b/Catalog/popcorn/OAOOOAI21132.cell new file mode 100644 index 00000000..aaa7f083 --- /dev/null +++ b/Catalog/popcorn/OAOOOAI21132.cell @@ -0,0 +1,24 @@ +2-1-1-3-2-input OR-AND-OR-OR-OR-AND-Invert gate +.cell OAOOOAI21132 +.origin OAOOAI21131 +.inputs E1 E D2 D1 D C B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 4 2 +pmos E N6 N7 vdd g 1 4 1 +pmos D2 N5 vdd vdd g 3 3 3 +pmos D1 N4 N5 vdd g 2 3 2 +pmos D N3 N4 vdd g 1 3 1 +pmos C N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N2 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 3 6 -3 +nmos E N6 gnd gnd 1 3 5 -3 +nmos D2 N3 N6 gnd 1 2 5 -2 +nmos D1 N3 N6 gnd 1 2 4 -2 +nmos D N3 N6 gnd 1 2 3 -2 +nmos C N2 N3 gnd 1 1 3 -1 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAAOI22132.cell b/Catalog/popcorn/OOAAAOI22132.cell new file mode 100644 index 00000000..c8b9977d --- /dev/null +++ b/Catalog/popcorn/OOAAAOI22132.cell @@ -0,0 +1,26 @@ +2-2-1-3-2-input OR-OR-AND-AND-AND-OR-Invert gate +.cell OOAAAOI22132 +.origin OOAAOI22131 +.inputs E1 E D2 D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 3 6 3 +pmos E N7 vdd vdd g 3 5 3 +pmos D2 N4 N7 vdd g 2 5 2 +pmos D1 N4 N7 vdd g 2 4 2 +pmos D N4 N7 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 5 -2 +nmos E N7 N8 gnd 1 1 5 -1 +nmos D2 N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAAOI2222.cell b/Catalog/popcorn/OOAAAOI2222.cell new file mode 100644 index 00000000..8a04cdfa --- /dev/null +++ b/Catalog/popcorn/OOAAAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-AND-AND-AND-OR-Invert gate +.cell OOAAAOI2222 +.origin OOAAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 4 4 4 +pmos D N5 vdd vdd g 4 3 4 +pmos C1 N3 N5 vdd g 3 3 3 +pmos C N3 N5 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAAOI2232.cell b/Catalog/popcorn/OOAAAOI2232.cell new file mode 100644 index 00000000..1e62a22e --- /dev/null +++ b/Catalog/popcorn/OOAAAOI2232.cell @@ -0,0 +1,24 @@ +2-2-3-2-input OR-OR-AND-AND-AND-OR-Invert gate +.cell OOAAAOI2232 +.origin OOAAOI2231 +.inputs D1 D C2 C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 4 5 4 +pmos D N6 vdd vdd g 4 4 4 +pmos C2 N3 N6 vdd g 3 4 3 +pmos C1 N3 N6 vdd g 3 3 3 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N7 gnd gnd 1 2 5 -2 +nmos D N6 N7 gnd 1 1 5 -1 +nmos C2 N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAAOI22212.cell b/Catalog/popcorn/OOAAOAAOI22212.cell new file mode 100644 index 00000000..bed1a705 --- /dev/null +++ b/Catalog/popcorn/OOAAOAAOI22212.cell @@ -0,0 +1,24 @@ +2-2-2-1-2-input OR-OR-AND-AND-OR-AND-AND-OR-Invert gate +.cell OOAAOAAOI22212 +.origin OOAAOAOI22211 +.inputs E1 E D C1 C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 5 2 +pmos E N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N6 vdd g 3 3 3 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI22121.cell b/Catalog/popcorn/OOAAOAI22121.cell new file mode 100644 index 00000000..9304b5ad --- /dev/null +++ b/Catalog/popcorn/OOAAOAI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI22121 +.origin OOAAOI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 5 1 +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N6 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI221211.cell b/Catalog/popcorn/OOAAOAI221211.cell new file mode 100644 index 00000000..54760aae --- /dev/null +++ b/Catalog/popcorn/OOAAOAI221211.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI221211 +.origin OOAAOI22121 +.inputs F E D1 D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 5 1 +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N4 N6 vdd g 2 4 2 +pmos D N4 N6 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D1 N5 N7 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N7 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI22122.cell b/Catalog/popcorn/OOAAOAI22122.cell new file mode 100644 index 00000000..8ed64fb5 --- /dev/null +++ b/Catalog/popcorn/OOAAOAI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI22122 +.origin OOAAOAI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 1 6 1 +pmos E N6 vdd vdd g 1 5 1 +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 4 4 -4 +nmos E N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N6 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI22131.cell b/Catalog/popcorn/OOAAOAI22131.cell new file mode 100644 index 00000000..7401a3e4 --- /dev/null +++ b/Catalog/popcorn/OOAAOAI22131.cell @@ -0,0 +1,24 @@ +2-2-1-3-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI22131 +.origin OOAAOI2213 +.inputs E D2 D1 D C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 6 1 +pmos D2 N4 vdd vdd g 2 5 2 +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N7 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI221311.cell b/Catalog/popcorn/OOAAOAI221311.cell new file mode 100644 index 00000000..72894c4b --- /dev/null +++ b/Catalog/popcorn/OOAAOAI221311.cell @@ -0,0 +1,26 @@ +2-2-1-3-1-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI221311 +.origin AAOOAI22131 +.inputs F E D2 D1 D C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 6 1 +pmos E N7 vdd vdd g 1 5 1 +pmos D2 N6 vdd vdd g 3 4 3 +pmos D1 N5 N6 vdd g 2 4 2 +pmos D N4 N5 vdd g 1 4 1 +pmos C N3 vdd vdd g 3 3 3 +pmos B1 N1 N3 vdd g 2 3 2 +pmos B N1 N3 vdd g 2 2 2 +pmos A1 Y N1 vdd g 1 2 1 +pmos A Y N1 vdd g 1 1 1 +nmos F N8 gnd gnd 1 4 5 -4 +nmos E N7 N8 gnd 1 3 5 -3 +nmos D2 N4 N7 gnd 1 2 5 -2 +nmos D1 N4 N7 gnd 1 2 4 -2 +nmos D N4 N7 gnd 1 2 3 -2 +nmos C N3 N4 gnd 1 1 3 -1 +nmos B1 N2 N4 gnd 1 2 2 -2 +nmos B N1 N2 gnd 1 1 2 -1 +nmos A1 N N4 gnd 1 2 1 -2 +nmos A Y N gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI2221.cell b/Catalog/popcorn/OOAAOAI2221.cell new file mode 100644 index 00000000..c137eaed --- /dev/null +++ b/Catalog/popcorn/OOAAOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI2221 +.origin OOAAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI22211.cell b/Catalog/popcorn/OOAAOAI22211.cell new file mode 100644 index 00000000..511a9bbd --- /dev/null +++ b/Catalog/popcorn/OOAAOAI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI22211 +.origin OOAAOI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D N5 vdd vdd g 4 3 4 +pmos C1 N3 N5 vdd g 3 3 3 +pmos C N3 N5 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N4 N6 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N6 gnd 1 2 3 -2 +nmos B N1 N6 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI2222.cell b/Catalog/popcorn/OOAAOAI2222.cell new file mode 100644 index 00000000..d50d3ba9 --- /dev/null +++ b/Catalog/popcorn/OOAAOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI2222 +.origin OOAAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 1 5 1 +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 4 4 -4 +nmos D N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI2231.cell b/Catalog/popcorn/OOAAOAI2231.cell new file mode 100644 index 00000000..4e788d4d --- /dev/null +++ b/Catalog/popcorn/OOAAOAI2231.cell @@ -0,0 +1,22 @@ +2-2-3-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI2231 +.origin OOAAOI223 +.inputs D C2 C1 C B1 B A1 A +.outputs Y +pmos D N6 vdd vdd g 1 5 1 +pmos C2 N3 vdd vdd g 3 4 3 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 4 4 -4 +nmos C2 N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N6 gnd 1 2 3 -2 +nmos B N1 N6 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI22311.cell b/Catalog/popcorn/OOAAOAI22311.cell new file mode 100644 index 00000000..796f885e --- /dev/null +++ b/Catalog/popcorn/OOAAOAI22311.cell @@ -0,0 +1,24 @@ +2-2-3-1-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI22311 +.origin OOAAOI2231 +.inputs E D C2 C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 5 1 +pmos D N6 vdd vdd g 4 4 4 +pmos C2 N3 N6 vdd g 3 4 3 +pmos C1 N3 N6 vdd g 3 3 3 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 2 5 -2 +nmos D N6 N7 gnd 1 1 5 -1 +nmos C2 N5 N7 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N7 gnd 1 2 3 -2 +nmos B N1 N7 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAI3221.cell b/Catalog/popcorn/OOAAOAI3221.cell new file mode 100644 index 00000000..73e93e8d --- /dev/null +++ b/Catalog/popcorn/OOAAOAI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input OR-OR-AND-AND-OR-AND-Invert gate +.cell OOAAOAI3221 +.origin OOAAOI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 1 4 1 +pmos C1 N4 vdd vdd g 3 3 3 +pmos C N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 3 5 -3 +nmos C1 N5 N6 gnd 1 2 5 -2 +nmos C N4 N5 gnd 1 1 5 -1 +nmos B1 N2 N6 gnd 1 2 4 -2 +nmos B N2 N6 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAOAI222111.cell b/Catalog/popcorn/OOAAOAOAI222111.cell new file mode 100644 index 00000000..6dc39117 --- /dev/null +++ b/Catalog/popcorn/OOAAOAOAI222111.cell @@ -0,0 +1,24 @@ +2-2-2-1-1-1-input OR-OR-AND-AND-OR-AND-OR-AND-Invert gate +.cell OOAAOAOAI222111 +.origin OOAAOAOI22211 +.inputs F E D C1 C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 5 1 +pmos E N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N6 vdd g 3 3 3 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 5 -2 +nmos E N6 N7 gnd 1 1 5 -1 +nmos D N5 N7 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAOI221211.cell b/Catalog/popcorn/OOAAOAOI221211.cell new file mode 100644 index 00000000..306124a3 --- /dev/null +++ b/Catalog/popcorn/OOAAOAOI221211.cell @@ -0,0 +1,24 @@ +2-2-1-2-1-1-input OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell OOAAOAOI221211 +.origin OOAAOAI22121 +.inputs F E D1 D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N4 N7 vdd g 2 4 2 +pmos D N4 N7 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 5 -1 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N6 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAOI221311.cell b/Catalog/popcorn/OOAAOAOI221311.cell new file mode 100644 index 00000000..80cb3fe7 --- /dev/null +++ b/Catalog/popcorn/OOAAOAOI221311.cell @@ -0,0 +1,26 @@ +2-2-1-3-1-1-input OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell OOAAOAOI221311 +.origin OOAAOAI22131 +.inputs F E D2 D1 D C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 6 2 +pmos E N7 N8 vdd g 1 6 1 +pmos D2 N4 N8 vdd g 2 5 2 +pmos D1 N4 N8 vdd g 2 4 2 +pmos D N4 N8 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 5 -1 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N7 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAOI22211.cell b/Catalog/popcorn/OOAAOAOI22211.cell new file mode 100644 index 00000000..9fc71b89 --- /dev/null +++ b/Catalog/popcorn/OOAAOAOI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell OOAAOAOI22211 +.origin OOAAOAI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N6 vdd g 3 3 3 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAOI22221.cell b/Catalog/popcorn/OOAAOAOI22221.cell new file mode 100644 index 00000000..9e4d0b05 --- /dev/null +++ b/Catalog/popcorn/OOAAOAOI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell OOAAOAOI22221 +.origin OOAAOAI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 2 5 2 +pmos D1 N5 N7 vdd g 1 5 1 +pmos D N5 N7 vdd g 1 4 1 +pmos C1 N3 N7 vdd g 3 3 3 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 5 -1 +nmos D1 N6 gnd gnd 1 4 4 -4 +nmos D N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOAOI22311.cell b/Catalog/popcorn/OOAAOAOI22311.cell new file mode 100644 index 00000000..68c12a24 --- /dev/null +++ b/Catalog/popcorn/OOAAOAOI22311.cell @@ -0,0 +1,24 @@ +2-2-3-1-1-input OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell OOAAOAOI22311 +.origin OOAAOAI2231 +.inputs E D C2 C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 2 5 2 +pmos D N6 N7 vdd g 1 5 1 +pmos C2 N3 N7 vdd g 3 4 3 +pmos C1 N3 N7 vdd g 3 3 3 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 5 -1 +nmos D N6 gnd gnd 1 4 4 -4 +nmos C2 N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N6 gnd 1 2 3 -2 +nmos B N1 N6 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI2212.cell b/Catalog/popcorn/OOAAOI2212.cell new file mode 100644 index 00000000..329edf5b --- /dev/null +++ b/Catalog/popcorn/OOAAOI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI2212 +.origin OOAOI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI22121.cell b/Catalog/popcorn/OOAAOI22121.cell new file mode 100644 index 00000000..b44c4db9 --- /dev/null +++ b/Catalog/popcorn/OOAAOI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI22121 +.origin OOAAOI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 4 3 +pmos D1 N4 N6 vdd g 2 4 2 +pmos D N4 N6 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D1 N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI2213.cell b/Catalog/popcorn/OOAAOI2213.cell new file mode 100644 index 00000000..cb95a053 --- /dev/null +++ b/Catalog/popcorn/OOAAOI2213.cell @@ -0,0 +1,22 @@ +2-2-1-3-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI2213 +.origin OOAAOI2212 +.inputs D2 D1 D C B1 B A1 A +.outputs Y +pmos D2 N4 vdd vdd g 2 5 2 +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI22131.cell b/Catalog/popcorn/OOAAOI22131.cell new file mode 100644 index 00000000..78678cda --- /dev/null +++ b/Catalog/popcorn/OOAAOI22131.cell @@ -0,0 +1,24 @@ +2-2-1-3-1-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI22131 +.origin OOAAOI2213 +.inputs E D2 D1 D C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 5 3 +pmos D2 N4 N7 vdd g 2 5 2 +pmos D1 N4 N7 vdd g 2 4 2 +pmos D N4 N7 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 5 -1 +nmos D2 N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI2214.cell b/Catalog/popcorn/OOAAOI2214.cell new file mode 100644 index 00000000..4f83daa5 --- /dev/null +++ b/Catalog/popcorn/OOAAOI2214.cell @@ -0,0 +1,24 @@ +2-2-1-4-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI2214 +.origin OOAAOI2213 +.inputs D3 D2 D1 D C B1 B A1 A +.outputs Y +pmos D3 N4 vdd vdd g 2 6 2 +pmos D2 N4 vdd vdd g 2 5 2 +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D3 N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI22141.cell b/Catalog/popcorn/OOAAOI22141.cell new file mode 100644 index 00000000..aa6cc46c --- /dev/null +++ b/Catalog/popcorn/OOAAOI22141.cell @@ -0,0 +1,26 @@ +2-2-1-4-1-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI22141 +.origin OOAAOI2214 +.inputs E D3 D2 D1 D C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 3 6 3 +pmos D3 N4 N8 vdd g 2 6 2 +pmos D2 N4 N8 vdd g 2 5 2 +pmos D1 N4 N8 vdd g 2 4 2 +pmos D N4 N8 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 5 -1 +nmos D3 N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI222.cell b/Catalog/popcorn/OOAAOI222.cell new file mode 100644 index 00000000..5db16a8b --- /dev/null +++ b/Catalog/popcorn/OOAAOI222.cell @@ -0,0 +1,18 @@ +2-2-2-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI222 +.origin OOAOI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI2221.cell b/Catalog/popcorn/OOAAOI2221.cell new file mode 100644 index 00000000..c37ee016 --- /dev/null +++ b/Catalog/popcorn/OOAAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI2221 +.origin OOAAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 4 3 4 +pmos C1 N3 N5 vdd g 3 3 3 +pmos C N3 N5 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 5 -1 +nmos C1 N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI2222.cell b/Catalog/popcorn/OOAAOI2222.cell new file mode 100644 index 00000000..1bd6079a --- /dev/null +++ b/Catalog/popcorn/OOAAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI2222 +.origin OOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 5 2 +pmos D N5 vdd vdd g 2 4 2 +pmos C1 N3 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 4 -2 +nmos D N5 N6 gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 4 3 -4 +nmos C N3 N4 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI223.cell b/Catalog/popcorn/OOAAOI223.cell new file mode 100644 index 00000000..e9ce97dd --- /dev/null +++ b/Catalog/popcorn/OOAAOI223.cell @@ -0,0 +1,20 @@ +2-2-3-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI223 +.origin OOAAOI222 +.inputs C2 C1 C B1 B A1 A +.outputs Y +pmos C2 N3 vdd vdd g 3 4 3 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C2 N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI2231.cell b/Catalog/popcorn/OOAAOI2231.cell new file mode 100644 index 00000000..f866af09 --- /dev/null +++ b/Catalog/popcorn/OOAAOI2231.cell @@ -0,0 +1,22 @@ +2-2-3-1-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI2231 +.origin OOAAOI223 +.inputs D C2 C1 C B1 B A1 A +.outputs Y +pmos D N6 vdd vdd g 4 4 4 +pmos C2 N3 N6 vdd g 3 4 3 +pmos C1 N3 N6 vdd g 3 3 3 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 5 -1 +nmos C2 N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI224.cell b/Catalog/popcorn/OOAAOI224.cell new file mode 100644 index 00000000..38b5dbae --- /dev/null +++ b/Catalog/popcorn/OOAAOI224.cell @@ -0,0 +1,22 @@ +2-2-4-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI224 +.origin OOAAOI223 +.inputs C3 C2 C1 C B1 B A1 A +.outputs Y +pmos C3 N3 vdd vdd g 3 5 3 +pmos C2 N3 vdd vdd g 3 4 3 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C3 N6 gnd gnd 1 4 4 -4 +nmos C2 N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI2241.cell b/Catalog/popcorn/OOAAOI2241.cell new file mode 100644 index 00000000..e903d2b0 --- /dev/null +++ b/Catalog/popcorn/OOAAOI2241.cell @@ -0,0 +1,24 @@ +2-2-4-1-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI2241 +.origin OOAAOI224 +.inputs D C3 C2 C1 C B1 B A1 A +.outputs Y +pmos D N7 vdd vdd g 4 5 4 +pmos C3 N3 N7 vdd g 3 5 3 +pmos C2 N3 N7 vdd g 3 4 3 +pmos C1 N3 N7 vdd g 3 3 3 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N7 gnd gnd 1 1 5 -1 +nmos C3 N6 gnd gnd 1 4 4 -4 +nmos C2 N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI3212.cell b/Catalog/popcorn/OOAAOI3212.cell new file mode 100644 index 00000000..9680c2a0 --- /dev/null +++ b/Catalog/popcorn/OOAAOI3212.cell @@ -0,0 +1,22 @@ +3-2-1-2-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI3212 +.origin OOAOI3211 +.inputs D1 D C B1 B A2 A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 4 2 +pmos D N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 N5 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N5 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C N4 gnd gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI322.cell b/Catalog/popcorn/OOAAOI322.cell new file mode 100644 index 00000000..575120d7 --- /dev/null +++ b/Catalog/popcorn/OOAAOI322.cell @@ -0,0 +1,20 @@ +3-2-2-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI322 +.origin OOAOI321 +.inputs C1 C B1 B A2 A1 A +.outputs Y +pmos C1 N4 vdd vdd g 3 3 3 +pmos C N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 2 5 -2 +nmos C N4 N5 gnd 1 1 5 -1 +nmos B1 N2 gnd gnd 1 2 4 -2 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI323.cell b/Catalog/popcorn/OOAAOI323.cell new file mode 100644 index 00000000..98e7381d --- /dev/null +++ b/Catalog/popcorn/OOAAOI323.cell @@ -0,0 +1,22 @@ +3-2-3-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI323 +.origin OOAAOI322 +.inputs C2 C1 C B1 B A2 A1 A +.outputs Y +pmos C2 N4 vdd vdd g 3 4 3 +pmos C1 N4 vdd vdd g 3 3 3 +pmos C N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C2 N6 gnd gnd 1 3 5 -3 +nmos C1 N5 N6 gnd 1 2 5 -2 +nmos C N4 N5 gnd 1 1 5 -1 +nmos B1 N2 gnd gnd 1 2 4 -2 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI3312.cell b/Catalog/popcorn/OOAAOI3312.cell new file mode 100644 index 00000000..895a2cbf --- /dev/null +++ b/Catalog/popcorn/OOAAOI3312.cell @@ -0,0 +1,24 @@ +3-3-1-2-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI3312 +.origin OOAOI3311 +.inputs D1 D C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N6 vdd vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N6 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N6 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N7 gnd gnd 1 2 6 -2 +nmos D N6 N7 gnd 1 1 6 -1 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOI332.cell b/Catalog/popcorn/OOAAOI332.cell new file mode 100644 index 00000000..d9f9decd --- /dev/null +++ b/Catalog/popcorn/OOAAOI332.cell @@ -0,0 +1,22 @@ +3-3-2-input OR-OR-AND-AND-OR-Invert gate +.cell OOAAOI332 +.origin OOAOI331 +.inputs C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C1 N5 vdd vdd g 4 3 4 +pmos C N5 vdd vdd g 4 2 4 +pmos B2 N4 N5 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N5 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N6 gnd gnd 1 2 6 -2 +nmos C N5 N6 gnd 1 1 6 -1 +nmos B2 N2 gnd gnd 1 2 5 -2 +nmos B1 N2 gnd gnd 1 2 4 -2 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAAOI22222.cell b/Catalog/popcorn/OOAAOOAAOI22222.cell new file mode 100644 index 00000000..b523078b --- /dev/null +++ b/Catalog/popcorn/OOAAOOAAOI22222.cell @@ -0,0 +1,26 @@ +2-2-2-2-2-2-input OR-OR-AND-AND-OR-OR-AND-AND-OR-Invert gate +.cell OOAAOOAAOI22222 +.origin OOAAOOAOI22221 +.inputs E1 E D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 3 5 3 +pmos E N7 vdd vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N7 vdd g 3 3 3 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 6 -2 +nmos E N7 N8 gnd 1 1 6 -1 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAAOI22232.cell b/Catalog/popcorn/OOAAOOAAOI22232.cell new file mode 100644 index 00000000..f2724026 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAAOI22232.cell @@ -0,0 +1,28 @@ +2-2-2-2-3-2-input OR-OR-AND-AND-OR-OR-AND-AND-OR=Invert gate +.cell OOAAOOAAOI22232 +.origin OOAAOOAOI22231 +.inputs E1 E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 4 5 4 +pmos E N8 vdd vdd g 4 4 4 +pmos D2 N7 N8 vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N8 vdd g 3 3 3 +pmos C N3 N8 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N9 gnd gnd 1 2 7 -2 +nmos E N8 N9 gnd 1 1 7 -1 +nmos D2 N5 gnd gnd 1 3 6 -3 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI22122.cell b/Catalog/popcorn/OOAAOOAI22122.cell new file mode 100644 index 00000000..1a726490 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI22122 +.origin OOAAOAI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 5 2 +pmos E N6 N7 vdd g 1 5 1 +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 3 5 -3 +nmos E N6 gnd gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N6 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI22132.cell b/Catalog/popcorn/OOAAOOAI22132.cell new file mode 100644 index 00000000..d521cd4c --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI22132.cell @@ -0,0 +1,26 @@ +2-2-1-3-2-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI22132 +.origin OOAAOAI22131 +.inputs E1 E D2 D1 D C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 6 2 +pmos E N7 N8 vdd g 1 6 1 +pmos D2 N4 vdd vdd g 2 5 2 +pmos D1 N4 vdd vdd g 2 4 2 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 4 5 -4 +nmos E N7 gnd gnd 1 4 4 -4 +nmos D2 N6 N7 gnd 1 3 4 -3 +nmos D1 N5 N6 gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N7 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI2222.cell b/Catalog/popcorn/OOAAOOAI2222.cell new file mode 100644 index 00000000..ef87d345 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI2222 +.origin OOAAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI22221.cell b/Catalog/popcorn/OOAAOOAI22221.cell new file mode 100644 index 00000000..7e993e81 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI22221 +.origin OOAAOOAI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 5 1 +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 4 5 -4 +nmos D1 N5 N7 gnd 1 3 5 -3 +nmos D N5 N7 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI2223.cell b/Catalog/popcorn/OOAAOOAI2223.cell new file mode 100644 index 00000000..2c5d68bf --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI2223.cell @@ -0,0 +1,24 @@ +2-2-2-3-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI2223 +.origin OOAAOOAI2222 +.inputs D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D2 N7 vdd vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N5 gnd gnd 1 3 6 -3 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI22231.cell b/Catalog/popcorn/OOAAOOAI22231.cell new file mode 100644 index 00000000..4673c0f1 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI22231.cell @@ -0,0 +1,26 @@ +2-2-2-3-1-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI22231 +.origin OOAAOOAI2223 +.inputs E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 1 5 1 +pmos D2 N7 vdd vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 4 6 -4 +nmos D2 N5 N8 gnd 1 3 6 -3 +nmos D1 N5 N8 gnd 1 3 5 -3 +nmos D N5 N8 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI2224.cell b/Catalog/popcorn/OOAAOOAI2224.cell new file mode 100644 index 00000000..bb3f9639 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI2224.cell @@ -0,0 +1,26 @@ +2-2-2-4-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI2224 +.origin OOAAOOAI2223 +.inputs D3 D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D3 N8 vdd vdd g 4 4 4 +pmos D2 N7 N8 vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D3 N5 gnd gnd 1 3 7 -3 +nmos D2 N5 gnd gnd 1 3 6 -3 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI22241.cell b/Catalog/popcorn/OOAAOOAI22241.cell new file mode 100644 index 00000000..fca832db --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI22241.cell @@ -0,0 +1,28 @@ +2-2-2-4-1-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI22241 +.origin OOAAOOAI2224 +.inputs E D3 D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N9 vdd vdd g 1 5 1 +pmos D3 N8 vdd vdd g 4 4 4 +pmos D2 N7 N8 vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N9 gnd gnd 1 4 7 -4 +nmos D3 N5 N9 gnd 1 3 7 -3 +nmos D2 N5 N9 gnd 1 3 6 -3 +nmos D1 N5 N9 gnd 1 3 5 -3 +nmos D N5 N9 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAI2232.cell b/Catalog/popcorn/OOAAOOAI2232.cell new file mode 100644 index 00000000..146832da --- /dev/null +++ b/Catalog/popcorn/OOAAOOAI2232.cell @@ -0,0 +1,24 @@ +2-2-3-2-input OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOAAOOAI2232 +.origin OOAAOAI2231 +.inputs D1 D C2 C1 C B1 B A1 A +.outputs Y +pmos D1 N7 vdd vdd g 2 5 2 +pmos D N6 N7 vdd g 1 5 1 +pmos C2 N3 vdd vdd g 3 4 3 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 4 5 -4 +nmos D N6 gnd gnd 1 4 4 -4 +nmos C2 N5 N6 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N6 gnd 1 2 3 -2 +nmos B N1 N6 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAOAI222211.cell b/Catalog/popcorn/OOAAOOAOAI222211.cell new file mode 100644 index 00000000..aba58ea5 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAOAI222211.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-1-input OR-OR-AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell OOAAOOAOAI222211 +.origin OOAAOOAOI22221 +.inputs F E D1 D C1 C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 5 1 +pmos E N7 vdd vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N7 vdd g 3 3 3 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 6 -2 +nmos E N7 N8 gnd 1 1 6 -1 +nmos D1 N5 N8 gnd 1 3 5 -3 +nmos D N5 N8 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAOAI222311.cell b/Catalog/popcorn/OOAAOOAOAI222311.cell new file mode 100644 index 00000000..94f72d65 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAOAI222311.cell @@ -0,0 +1,28 @@ +2-2-2-3-1-1-input OR-OR-AND-AND-OR-OR-AND-OR-AND-Invert gate +.cell OOAAOOAOAI222311 +.origin OOAAOOAOI22231 +.inputs F E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos F N9 vdd vdd g 1 5 1 +pmos E N8 vdd vdd g 4 4 4 +pmos D2 N7 N8 vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N8 vdd g 3 3 3 +pmos C N3 N8 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N9 gnd gnd 1 2 7 -2 +nmos E N8 N9 gnd 1 1 7 -1 +nmos D2 N5 N9 gnd 1 3 6 -3 +nmos D1 N5 N9 gnd 1 3 5 -3 +nmos D N5 N9 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAOI22221.cell b/Catalog/popcorn/OOAAOOAOI22221.cell new file mode 100644 index 00000000..67011921 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAOI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input OR-OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OOAAOOAOI22221 +.origin OOAAOOAI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N7 vdd g 3 3 3 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 6 -1 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAOI222211.cell b/Catalog/popcorn/OOAAOOAOI222211.cell new file mode 100644 index 00000000..1e315b6e --- /dev/null +++ b/Catalog/popcorn/OOAAOOAOI222211.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-1-input OR-OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OOAAOOAOI222211 +.origin OOAAOOAI22221 +.inputs F E D1 D C1 C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 5 2 +pmos E N7 N8 vdd g 1 5 1 +pmos D1 N6 N8 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N8 vdd g 3 3 3 +pmos C N3 N8 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 6 -1 +nmos E N7 gnd gnd 1 4 5 -4 +nmos D1 N5 N7 gnd 1 3 5 -3 +nmos D N5 N7 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAOI22231.cell b/Catalog/popcorn/OOAAOOAOI22231.cell new file mode 100644 index 00000000..1cd6771c --- /dev/null +++ b/Catalog/popcorn/OOAAOOAOI22231.cell @@ -0,0 +1,26 @@ +2-2-2-3-1-input OR-OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OOAAOOAOI22231 +.origin OOAAOOAI2223 +.inputs E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 4 4 4 +pmos D2 N7 N8 vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N8 vdd g 3 3 3 +pmos C N3 N8 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 7 -1 +nmos D2 N5 gnd gnd 1 3 6 -3 +nmos D1 N5 gnd gnd 1 3 5 -3 +nmos D N5 gnd gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOAOI222311.cell b/Catalog/popcorn/OOAAOOAOI222311.cell new file mode 100644 index 00000000..09c23669 --- /dev/null +++ b/Catalog/popcorn/OOAAOOAOI222311.cell @@ -0,0 +1,28 @@ +2-2-2-3-1-1-input OR-OR-AND-AND-OR-OR-AND-OR-Invert gate +.cell OOAAOOAOI222311 +.origin OOAAOOAI22231 +.inputs F E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos F N9 vdd vdd g 2 5 2 +pmos E N8 N9 vdd g 1 5 1 +pmos D2 N7 N9 vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 N9 vdd g 3 3 3 +pmos C N3 N9 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N9 gnd gnd 1 1 7 -1 +nmos E N8 gnd gnd 1 4 6 -4 +nmos D2 N5 N8 gnd 1 3 6 -3 +nmos D1 N5 N8 gnd 1 3 5 -3 +nmos D N5 N8 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOOAI22222.cell b/Catalog/popcorn/OOAAOOOAI22222.cell new file mode 100644 index 00000000..aa9969e5 --- /dev/null +++ b/Catalog/popcorn/OOAAOOOAI22222.cell @@ -0,0 +1,26 @@ +2-2-2-2-2-2-input OR-OR-AND-AND-OR-OR-OR-AND-Invert gate +.cell OOAAOOOAI22222 +.origin OOAAOOAI22221 +.inputs E1 E D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 5 2 +pmos E N7 N8 vdd g 1 5 1 +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 4 6 -4 +nmos E N7 gnd gnd 1 4 5 -4 +nmos D1 N5 N7 gnd 1 3 5 -3 +nmos D N5 N7 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAAOOOAI22232.cell b/Catalog/popcorn/OOAAOOOAI22232.cell new file mode 100644 index 00000000..46da67f5 --- /dev/null +++ b/Catalog/popcorn/OOAAOOOAI22232.cell @@ -0,0 +1,28 @@ +2-2-2-3-2-input OR-OR-AND-AND-OR-OR-OR-AND-Invert gate +.cell OOAAOOOAI22232 +.origin OOAAOOAI22231 +.inputs E1 E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N9 vdd vdd g 2 5 2 +pmos E N8 N9 vdd g 1 5 1 +pmos D2 N7 vdd vdd g 3 4 3 +pmos D1 N6 N7 vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N3 vdd vdd g 3 3 3 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 4 7 -4 +nmos E N8 gnd gnd 1 4 6 -4 +nmos D2 N5 N8 gnd 1 3 6 -3 +nmos D1 N5 N8 gnd 1 3 5 -3 +nmos D N5 N8 gnd 1 3 4 -3 +nmos C1 N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI22.cell b/Catalog/popcorn/OOAI22.cell new file mode 100644 index 00000000..4de34ce9 --- /dev/null +++ b/Catalog/popcorn/OOAI22.cell @@ -0,0 +1,14 @@ +2-2-input OR-OR-AND-Invert gate +.cell OOAI22 +.origin OAI21 +.inputs B1 B A1 A +.outputs Y +pmos B1 N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI221.cell b/Catalog/popcorn/OOAI221.cell new file mode 100644 index 00000000..0b31827a --- /dev/null +++ b/Catalog/popcorn/OOAI221.cell @@ -0,0 +1,16 @@ +2-2-1-input OR-OR-AND-Invert gate +.cell OOAI221 +.origin OOAI22 +.inputs C B1 B A1 A +.outputs Y +pmos C N3 vdd vdd g 1 3 1 +pmos B1 N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI222.cell b/Catalog/popcorn/OOAI222.cell new file mode 100644 index 00000000..afec5778 --- /dev/null +++ b/Catalog/popcorn/OOAI222.cell @@ -0,0 +1,18 @@ +2-2-2-input OR-OR-AND-Invert gate +.cell OOAI222 +.origin OOAI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N3 vdd vdd g 1 4 1 +pmos C N3 vdd vdd g 1 3 1 +pmos B1 N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 4 3 -4 +nmos C N3 N4 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI32.cell b/Catalog/popcorn/OOAI32.cell new file mode 100644 index 00000000..f0bf5937 --- /dev/null +++ b/Catalog/popcorn/OOAI32.cell @@ -0,0 +1,16 @@ +3-2-input OR-OR-AND-Invert gate +.cell OOAI32 +.origin OAI31 +.inputs B1 B A2 A1 A +.outputs Y +pmos B1 N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B1 N2 gnd gnd 1 2 4 -2 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI321.cell b/Catalog/popcorn/OOAI321.cell new file mode 100644 index 00000000..dd07c81d --- /dev/null +++ b/Catalog/popcorn/OOAI321.cell @@ -0,0 +1,18 @@ +3-2-1-input OR-OR-AND-Invert gate +.cell OOAI321 +.origin OOAI32 +.inputs C B1 B A2 A1 A +.outputs Y +pmos C N4 vdd vdd g 1 3 1 +pmos B1 N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N4 gnd gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI322.cell b/Catalog/popcorn/OOAI322.cell new file mode 100644 index 00000000..b3e4a24d --- /dev/null +++ b/Catalog/popcorn/OOAI322.cell @@ -0,0 +1,20 @@ +3-2-2-input OR-OR-AND-Invert gate +.cell OOAI322 +.origin OOAI321 +.inputs C1 C B1 B A2 A1 A +.outputs Y +pmos C1 N4 vdd vdd g 1 4 1 +pmos C N4 vdd vdd g 1 3 1 +pmos B1 N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 4 4 -4 +nmos C N4 N5 gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI33.cell b/Catalog/popcorn/OOAI33.cell new file mode 100644 index 00000000..6cd147f9 --- /dev/null +++ b/Catalog/popcorn/OOAI33.cell @@ -0,0 +1,18 @@ +3-3-input OR-OR-AND-Invert gate +.cell OOAI33 +.origin OOAI32 +.inputs B2 B1 B A2 A1 A +.outputs Y +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B2 N2 gnd gnd 1 2 5 -2 +nmos B1 N2 gnd gnd 1 2 4 -2 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI331.cell b/Catalog/popcorn/OOAI331.cell new file mode 100644 index 00000000..2ba65446 --- /dev/null +++ b/Catalog/popcorn/OOAI331.cell @@ -0,0 +1,20 @@ +3-3-1-input OR-OR-AND-Invert gate +.cell OOAI331 +.origin OOAI33 +.inputs C B2 B1 B A2 A1 A +.outputs Y +pmos C N5 vdd vdd g 1 3 1 +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI332.cell b/Catalog/popcorn/OOAI332.cell new file mode 100644 index 00000000..3a08e244 --- /dev/null +++ b/Catalog/popcorn/OOAI332.cell @@ -0,0 +1,22 @@ +3-3-2-input OR-OR-AND-Invert gate +.cell OOAI332 +.origin OOAI331 +.inputs C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C1 N5 vdd vdd g 1 4 1 +pmos C N5 vdd vdd g 1 3 1 +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N6 gnd gnd 1 4 5 -4 +nmos C N5 N6 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI42.cell b/Catalog/popcorn/OOAI42.cell new file mode 100644 index 00000000..6e2c001a --- /dev/null +++ b/Catalog/popcorn/OOAI42.cell @@ -0,0 +1,18 @@ +4-2-input OR-OR-AND-Invert gate +.cell OOAI42 +.origin OAI41 +.inputs B1 B A3 A2 A1 A +.outputs Y +pmos B1 N4 vdd vdd g 2 2 2 +pmos B N3 N4 vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B1 N3 gnd gnd 1 2 5 -2 +nmos B N3 gnd gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI421.cell b/Catalog/popcorn/OOAI421.cell new file mode 100644 index 00000000..96ddb403 --- /dev/null +++ b/Catalog/popcorn/OOAI421.cell @@ -0,0 +1,20 @@ +4-2-1-input OR-OR-AND-Invert gate +.cell OOAI421 +.origin OOAI42 +.inputs C B1 B A3 A2 A1 A +.outputs Y +pmos C N5 vdd vdd g 1 3 1 +pmos B1 N4 vdd vdd g 2 2 2 +pmos B N3 N4 vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B1 N3 N5 gnd 1 2 5 -2 +nmos B N3 N5 gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI422.cell b/Catalog/popcorn/OOAI422.cell new file mode 100644 index 00000000..28c1b69f --- /dev/null +++ b/Catalog/popcorn/OOAI422.cell @@ -0,0 +1,22 @@ +4-2-2-input OR-OR-AND-Invert gate +.cell OOAI422 +.origin OOAI421 +.inputs C1 C B1 B A3 A2 A1 A +.outputs Y +pmos C1 N5 vdd vdd g 1 4 1 +pmos C N5 vdd vdd g 1 3 1 +pmos B1 N4 vdd vdd g 2 2 2 +pmos B N3 N4 vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N6 gnd gnd 1 4 5 -4 +nmos C N5 N6 gnd 1 3 5 -3 +nmos B1 N3 N5 gnd 1 2 5 -2 +nmos B N3 N5 gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI43.cell b/Catalog/popcorn/OOAI43.cell new file mode 100644 index 00000000..ba7aa097 --- /dev/null +++ b/Catalog/popcorn/OOAI43.cell @@ -0,0 +1,20 @@ +4-3-input OR-OR-AND-Invert gate +.cell OOAI43 +.origin OOAI42 +.inputs B2 B1 B A3 A2 A1 A +.outputs Y +pmos B2 N5 vdd vdd g 3 2 3 +pmos B1 N4 N5 vdd g 2 2 2 +pmos B N3 N4 vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B2 N3 gnd gnd 1 2 6 -2 +nmos B1 N3 gnd gnd 1 2 5 -2 +nmos B N3 gnd gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI431.cell b/Catalog/popcorn/OOAI431.cell new file mode 100644 index 00000000..6bd00699 --- /dev/null +++ b/Catalog/popcorn/OOAI431.cell @@ -0,0 +1,22 @@ +4-3-1-input OR-OR-AND-Invert gate +.cell OOAI431 +.origin OOAI43 +.inputs C B2 B1 B A3 A2 A1 A +.outputs Y +pmos C N6 vdd vdd g 1 3 1 +pmos B2 N5 vdd vdd g 3 2 3 +pmos B1 N4 N5 vdd g 2 2 2 +pmos B N3 N4 vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N6 gnd gnd 1 3 6 -3 +nmos B2 N3 N6 gnd 1 2 6 -2 +nmos B1 N3 N6 gnd 1 2 5 -2 +nmos B N3 N6 gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAI44.cell b/Catalog/popcorn/OOAI44.cell new file mode 100644 index 00000000..b0df90ff --- /dev/null +++ b/Catalog/popcorn/OOAI44.cell @@ -0,0 +1,22 @@ +4-4-input OR-OR-AND-Invert gate +.cell OOAI44 +.origin OOAI43 +.inputs B3 B2 B1 B A3 A2 A1 A +.outputs Y +pmos B3 N6 vdd vdd g 4 2 4 +pmos B2 N5 N6 vdd g 3 2 3 +pmos B1 N4 N5 vdd g 2 2 2 +pmos B N3 N4 vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos B3 N3 gnd gnd 1 2 7 -2 +nmos B2 N3 gnd gnd 1 2 6 -2 +nmos B1 N3 gnd gnd 1 2 5 -2 +nmos B N3 gnd gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAAOI22112.cell b/Catalog/popcorn/OOAOAAOI22112.cell new file mode 100644 index 00000000..990c3936 --- /dev/null +++ b/Catalog/popcorn/OOAOAAOI22112.cell @@ -0,0 +1,22 @@ +2-2-1-1-2-input OR-OR-AND-OR-AND-AND-OR-Invert gate +.cell OOAOAAOI22112 +.origin OOAOAOI22111 +.inputs E1 E D C B1 B A1 A +.outputs Y +pmos E1 N5 vdd vdd g 2 4 2 +pmos E N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N5 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 5 -2 +nmos E N5 N6 gnd 1 1 5 -1 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI2211.cell b/Catalog/popcorn/OOAOAI2211.cell new file mode 100644 index 00000000..b078b009 --- /dev/null +++ b/Catalog/popcorn/OOAOAI2211.cell @@ -0,0 +1,18 @@ +2-2-1-1-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI2211 +.origin OOAOI221 +.inputs D C B1 B A1 A +.outputs Y +pmos D N4 vdd vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI22111.cell b/Catalog/popcorn/OOAOAI22111.cell new file mode 100644 index 00000000..dda420ab --- /dev/null +++ b/Catalog/popcorn/OOAOAI22111.cell @@ -0,0 +1,20 @@ +2-2-1-1-1-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI22111 +.origin OOAOI2211 +.inputs E D C B1 B A1 A +.outputs Y +pmos E N5 vdd vdd g 1 4 1 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N5 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI2212.cell b/Catalog/popcorn/OOAOAI2212.cell new file mode 100644 index 00000000..9a913593 --- /dev/null +++ b/Catalog/popcorn/OOAOAI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI2212 +.origin OOAOAI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N4 vdd vdd g 1 4 1 +pmos D N4 vdd vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI22121.cell b/Catalog/popcorn/OOAOAI22121.cell new file mode 100644 index 00000000..d9ee6881 --- /dev/null +++ b/Catalog/popcorn/OOAOAI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI22121 +.origin OOAOI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D1 N5 vdd vdd g 3 3 3 +pmos D N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D1 N4 N6 gnd 1 1 5 -1 +nmos D N4 N6 gnd 1 1 4 -1 +nmos C N3 N6 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI2221.cell b/Catalog/popcorn/OOAOAI2221.cell new file mode 100644 index 00000000..f8882d5c --- /dev/null +++ b/Catalog/popcorn/OOAOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI2221 +.origin OOAOI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 3 1 +pmos C1 N4 vdd vdd g 4 2 4 +pmos C N3 N4 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 2 5 -2 +nmos C1 N3 N5 gnd 1 1 5 -1 +nmos C N3 N5 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI2222.cell b/Catalog/popcorn/OOAOAI2222.cell new file mode 100644 index 00000000..d1352123 --- /dev/null +++ b/Catalog/popcorn/OOAOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI2222 +.origin OOAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 1 4 1 +pmos D N5 vdd vdd g 1 3 1 +pmos C1 N4 vdd vdd g 4 2 4 +pmos C N3 N4 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 3 5 -3 +nmos D N5 N6 gnd 1 2 5 -2 +nmos C1 N3 N5 gnd 1 1 5 -1 +nmos C N3 N5 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI3211.cell b/Catalog/popcorn/OOAOAI3211.cell new file mode 100644 index 00000000..f865a3c2 --- /dev/null +++ b/Catalog/popcorn/OOAOAI3211.cell @@ -0,0 +1,20 @@ +3-2-1-1-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI3211 +.origin OOAOI321 +.inputs D C B1 B A2 A1 A +.outputs Y +pmos D N5 vdd vdd g 1 3 1 +pmos C N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 2 5 -2 +nmos C N4 N5 gnd 1 1 5 -1 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI32111.cell b/Catalog/popcorn/OOAOAI32111.cell new file mode 100644 index 00000000..b8bdaf6c --- /dev/null +++ b/Catalog/popcorn/OOAOAI32111.cell @@ -0,0 +1,22 @@ +3-2-1-1-1-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI32111 +.origin OOAOI3211 +.inputs E D C B1 B A2 A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 N5 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N5 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C N4 N6 gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI3212.cell b/Catalog/popcorn/OOAOAI3212.cell new file mode 100644 index 00000000..35b8df4f --- /dev/null +++ b/Catalog/popcorn/OOAOAI3212.cell @@ -0,0 +1,22 @@ +3-2-1-2-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI3212 +.origin OOAOAI3211 +.inputs D1 D C B1 B A2 A1 A +.outputs Y +pmos D1 N5 vdd vdd g 1 4 1 +pmos D N5 vdd vdd g 1 3 1 +pmos C N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 3 5 -3 +nmos D N5 N6 gnd 1 2 5 -2 +nmos C N4 N5 gnd 1 1 5 -1 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI3311.cell b/Catalog/popcorn/OOAOAI3311.cell new file mode 100644 index 00000000..d51ef568 --- /dev/null +++ b/Catalog/popcorn/OOAOAI3311.cell @@ -0,0 +1,22 @@ +3-3-1-1-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI3311 +.origin OOAOI331 +.inputs D C B2 B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 1 3 1 +pmos C N5 vdd vdd g 4 2 4 +pmos B2 N4 N5 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N5 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 2 6 -2 +nmos C N5 N6 gnd 1 1 6 -1 +nmos B2 N2 N6 gnd 1 2 5 -2 +nmos B1 N2 N6 gnd 1 2 4 -2 +nmos B N2 N6 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAI33111.cell b/Catalog/popcorn/OOAOAI33111.cell new file mode 100644 index 00000000..8082cd6d --- /dev/null +++ b/Catalog/popcorn/OOAOAI33111.cell @@ -0,0 +1,24 @@ +3-3-1-1-1-input OR-OR-AND-OR-AND-Invert gate +.cell OOAOAI33111 +.origin OOAOI3311 +.inputs E D C B2 B1 B A2 A1 A +.outputs Y +pmos E N7 vdd vdd g 1 4 1 +pmos D N6 vdd vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N6 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N6 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 2 6 -2 +nmos D N6 N7 gnd 1 1 6 -1 +nmos C N5 N7 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAOAI221111.cell b/Catalog/popcorn/OOAOAOAI221111.cell new file mode 100644 index 00000000..e9d71bad --- /dev/null +++ b/Catalog/popcorn/OOAOAOAI221111.cell @@ -0,0 +1,22 @@ +2-2-1-1-1-1-input OR-OR-AND-OR-AND-OR-AND-Invert gate +.cell OOAOAOAI221111 +.origin OOAOAOI22111 +.inputs F E D C B1 B A1 A +.outputs Y +pmos F N6 vdd vdd g 1 4 1 +pmos E N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N5 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N6 gnd gnd 1 2 5 -2 +nmos E N5 N6 gnd 1 1 5 -1 +nmos D N4 N6 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAOI22111.cell b/Catalog/popcorn/OOAOAOI22111.cell new file mode 100644 index 00000000..b049c055 --- /dev/null +++ b/Catalog/popcorn/OOAOAOI22111.cell @@ -0,0 +1,20 @@ +2-2-1-1-1-input OR-OR-AND-OR-AND-OR-Invert gate +.cell OOAOAOI22111 +.origin OOAOAI2211 +.inputs E D C B1 B A1 A +.outputs Y +pmos E N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N5 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N5 gnd gnd 1 1 5 -1 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAOI221111.cell b/Catalog/popcorn/OOAOAOI221111.cell new file mode 100644 index 00000000..45e52bb4 --- /dev/null +++ b/Catalog/popcorn/OOAOAOI221111.cell @@ -0,0 +1,22 @@ +2-2-1-1-1-1-input OR-OR-AND-OR-AND-OR-Invert gate +.cell OOAOAOI221111 +.origin OOAOAI22111 +.inputs F E D C B1 B A1 A +.outputs Y +pmos F N6 vdd vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D N4 N6 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N6 gnd gnd 1 1 5 -1 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N5 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOAOI22121.cell b/Catalog/popcorn/OOAOAOI22121.cell new file mode 100644 index 00000000..49f6f120 --- /dev/null +++ b/Catalog/popcorn/OOAOAOI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input OR-OR-AND-OR-AND-OR-Invert gate +.cell OOAOAOI22121 +.origin OOAOAI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 2 4 2 +pmos D1 N4 N6 vdd g 1 4 1 +pmos D N4 N6 vdd g 1 3 1 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 5 -1 +nmos D1 N5 gnd gnd 1 3 4 -3 +nmos D N4 N5 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI221.cell b/Catalog/popcorn/OOAOI221.cell new file mode 100644 index 00000000..9ac81c6b --- /dev/null +++ b/Catalog/popcorn/OOAOI221.cell @@ -0,0 +1,16 @@ +2-2-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI221 +.origin OOAI22 +.inputs C B1 B A1 A +.outputs Y +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N3 gnd gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI2211.cell b/Catalog/popcorn/OOAOI2211.cell new file mode 100644 index 00000000..66d56ad2 --- /dev/null +++ b/Catalog/popcorn/OOAOI2211.cell @@ -0,0 +1,18 @@ +2-2-1-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI2211 +.origin OOAI221 +.inputs D C B1 B A1 A +.outputs Y +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N4 gnd gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI2212.cell b/Catalog/popcorn/OOAOI2212.cell new file mode 100644 index 00000000..d5175661 --- /dev/null +++ b/Catalog/popcorn/OOAOI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input OR-OR-AND-OR-Invert gate +.cell OOAOI2212 +.origin OOAOI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 3 3 +pmos D N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 1 5 -1 +nmos D N4 gnd gnd 1 1 4 -1 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI222.cell b/Catalog/popcorn/OOAOI222.cell new file mode 100644 index 00000000..5e6029ab --- /dev/null +++ b/Catalog/popcorn/OOAOI222.cell @@ -0,0 +1,18 @@ +2-2-2-input OR-OR-AND-OR-Invert gate +.cell OOAOI222 +.origin OOAOI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N4 vdd vdd g 4 2 4 +pmos C N3 N4 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 1 5 -1 +nmos C N3 gnd gnd 1 1 4 -1 +nmos B1 N1 gnd gnd 1 2 3 -2 +nmos B N1 gnd gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI2221.cell b/Catalog/popcorn/OOAOI2221.cell new file mode 100644 index 00000000..8f649883 --- /dev/null +++ b/Catalog/popcorn/OOAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI2221 +.origin OOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 2 4 2 +pmos C1 N3 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 4 3 -4 +nmos C N3 N4 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI2222.cell b/Catalog/popcorn/OOAOI2222.cell new file mode 100644 index 00000000..7b44b667 --- /dev/null +++ b/Catalog/popcorn/OOAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-AND-OR-Invert gate +.cell OOAOI2222 +.origin OOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 3 4 3 +pmos D N5 N6 vdd g 2 4 2 +pmos C1 N3 N5 vdd g 1 4 1 +pmos C N3 N5 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 1 5 -1 +nmos D N5 gnd gnd 1 1 4 -1 +nmos C1 N4 gnd gnd 1 4 3 -4 +nmos C N3 N4 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI321.cell b/Catalog/popcorn/OOAOI321.cell new file mode 100644 index 00000000..1d4189bb --- /dev/null +++ b/Catalog/popcorn/OOAOI321.cell @@ -0,0 +1,18 @@ +3-2-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI321 +.origin OOAI32 +.inputs C B1 B A2 A1 A +.outputs Y +pmos C N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N4 gnd gnd 1 1 5 -1 +nmos B1 N2 gnd gnd 1 2 4 -2 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI3211.cell b/Catalog/popcorn/OOAOI3211.cell new file mode 100644 index 00000000..306f62ab --- /dev/null +++ b/Catalog/popcorn/OOAOI3211.cell @@ -0,0 +1,20 @@ +3-2-1-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI3211 +.origin OOAI321 +.inputs D C B1 B A2 A1 A +.outputs Y +pmos D N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 N5 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N5 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 5 -1 +nmos C N4 gnd gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI3221.cell b/Catalog/popcorn/OOAOI3221.cell new file mode 100644 index 00000000..b85dc7e5 --- /dev/null +++ b/Catalog/popcorn/OOAOI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI3221 +.origin OOAI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 2 4 2 +pmos C1 N4 N6 vdd g 1 4 1 +pmos C N4 N6 vdd g 1 3 1 +pmos B1 N3 N6 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N6 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 5 -1 +nmos C1 N5 gnd gnd 1 4 4 -4 +nmos C N4 N5 gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI331.cell b/Catalog/popcorn/OOAOI331.cell new file mode 100644 index 00000000..1e1ee8bd --- /dev/null +++ b/Catalog/popcorn/OOAOI331.cell @@ -0,0 +1,20 @@ +3-3-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI331 +.origin OOAI33 +.inputs C B2 B1 B A2 A1 A +.outputs Y +pmos C N5 vdd vdd g 4 2 4 +pmos B2 N4 N5 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N5 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C N5 gnd gnd 1 1 6 -1 +nmos B2 N2 gnd gnd 1 2 5 -2 +nmos B1 N2 gnd gnd 1 2 4 -2 +nmos B N2 gnd gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI3311.cell b/Catalog/popcorn/OOAOI3311.cell new file mode 100644 index 00000000..917ad653 --- /dev/null +++ b/Catalog/popcorn/OOAOI3311.cell @@ -0,0 +1,22 @@ +3-3-1-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI3311 +.origin OOAI331 +.inputs D C B2 B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N6 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N6 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 6 -1 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOI3321.cell b/Catalog/popcorn/OOAOI3321.cell new file mode 100644 index 00000000..2c3e6a5e --- /dev/null +++ b/Catalog/popcorn/OOAOI3321.cell @@ -0,0 +1,24 @@ +3-3-2-1-input OR-OR-AND-OR-Invert gate +.cell OOAOI3321 +.origin OOAI332 +.inputs D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N7 vdd vdd g 2 4 2 +pmos C1 N5 N7 vdd g 1 4 1 +pmos C N5 N7 vdd g 1 3 1 +pmos B2 N4 N7 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N7 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N7 gnd gnd 1 1 6 -1 +nmos C1 N6 gnd gnd 1 4 5 -4 +nmos C N5 N6 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAAOI22122.cell b/Catalog/popcorn/OOAOOAAOI22122.cell new file mode 100644 index 00000000..cbd21f23 --- /dev/null +++ b/Catalog/popcorn/OOAOOAAOI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input OR-OR-AND-OR-OR-AND-AND-OR-Invert gate +.cell OOAOOAAOI22122 +.origin OOAOOAOI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 3 4 3 +pmos E N6 vdd vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 2 6 -2 +nmos E N6 N7 gnd 1 1 6 -1 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAAOI22132.cell b/Catalog/popcorn/OOAOOAAOI22132.cell new file mode 100644 index 00000000..d652ce62 --- /dev/null +++ b/Catalog/popcorn/OOAOOAAOI22132.cell @@ -0,0 +1,26 @@ +2-2-1-3-2-input OR-OR-AND-OR-OR-AND-AND-OR-Invert gate +.cell OOAOOAAOI22132 +.origin OOAOOAOI22131 +.inputs E1 E D2 D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 4 4 4 +pmos E N7 vdd vdd g 4 3 4 +pmos D2 N6 N7 vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 7 -2 +nmos E N7 N8 gnd 1 1 7 -1 +nmos D2 N4 gnd gnd 1 2 6 -2 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI22112.cell b/Catalog/popcorn/OOAOOAI22112.cell new file mode 100644 index 00000000..cd3a0488 --- /dev/null +++ b/Catalog/popcorn/OOAOOAI22112.cell @@ -0,0 +1,22 @@ +2-2-1-1-2-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI22112 +.origin OOAOAI22111 +.inputs E1 E D C B1 B A1 A +.outputs Y +pmos E1 N6 vdd vdd g 2 4 2 +pmos E N5 N6 vdd g 1 4 1 +pmos D N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N4 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N4 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N5 gnd gnd 1 2 5 -2 +nmos E N5 gnd gnd 1 2 4 -2 +nmos D N4 N5 gnd 1 1 4 -1 +nmos C N3 N5 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI2212.cell b/Catalog/popcorn/OOAOOAI2212.cell new file mode 100644 index 00000000..59522804 --- /dev/null +++ b/Catalog/popcorn/OOAOOAI2212.cell @@ -0,0 +1,20 @@ +2-2-1-2-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI2212 +.origin OOAOAI2211 +.inputs D1 D C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI22121.cell b/Catalog/popcorn/OOAOOAI22121.cell new file mode 100644 index 00000000..a785d4c1 --- /dev/null +++ b/Catalog/popcorn/OOAOOAI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI22121 +.origin OOAOOAI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D1 N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 3 5 -3 +nmos D1 N4 N6 gnd 1 2 5 -2 +nmos D N4 N6 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI2213.cell b/Catalog/popcorn/OOAOOAI2213.cell new file mode 100644 index 00000000..8cfd81a4 --- /dev/null +++ b/Catalog/popcorn/OOAOOAI2213.cell @@ -0,0 +1,22 @@ +2-2-1-3-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI2213 +.origin OOAOOAI2212 +.inputs D2 D1 D C B1 B A1 A +.outputs Y +pmos D2 N6 vdd vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N4 gnd gnd 1 2 6 -2 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI22131.cell b/Catalog/popcorn/OOAOOAI22131.cell new file mode 100644 index 00000000..1aacaef1 --- /dev/null +++ b/Catalog/popcorn/OOAOOAI22131.cell @@ -0,0 +1,24 @@ +2-2-1-3-1-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI22131 +.origin OOAOOAI2213 +.inputs E D2 D1 D C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 4 1 +pmos D2 N6 vdd vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 3 6 -3 +nmos D2 N4 N7 gnd 1 2 6 -2 +nmos D1 N4 N7 gnd 1 2 5 -2 +nmos D N4 N7 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI2214.cell b/Catalog/popcorn/OOAOOAI2214.cell new file mode 100644 index 00000000..8b4e1116 --- /dev/null +++ b/Catalog/popcorn/OOAOOAI2214.cell @@ -0,0 +1,24 @@ +2-2-1-4-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI2214 +.origin OOAOOAI2213 +.inputs D3 D2 D1 D C B1 B A1 A +.outputs Y +pmos D3 N7 vdd vdd g 4 3 4 +pmos D2 N6 N7 vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D3 N4 gnd gnd 1 2 7 -2 +nmos D2 N4 gnd gnd 1 2 6 -2 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI22141.cell b/Catalog/popcorn/OOAOOAI22141.cell new file mode 100644 index 00000000..62197c9f --- /dev/null +++ b/Catalog/popcorn/OOAOOAI22141.cell @@ -0,0 +1,26 @@ +2-2-1-4-1-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI22141 +.origin OOAOOAI2214 +.inputs E D3 D2 D1 D C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 1 4 1 +pmos D3 N7 vdd vdd g 4 3 4 +pmos D2 N6 N7 vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 3 7 -3 +nmos D3 N4 N8 gnd 1 2 7 -2 +nmos D2 N4 N8 gnd 1 2 6 -2 +nmos D1 N4 N8 gnd 1 2 5 -2 +nmos D N4 N8 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI2222.cell b/Catalog/popcorn/OOAOOAI2222.cell new file mode 100644 index 00000000..2f7bf6b8 --- /dev/null +++ b/Catalog/popcorn/OOAOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI2222 +.origin OOAOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 3 2 +pmos D N5 N6 vdd g 1 3 1 +pmos C1 N4 vdd vdd g 4 2 4 +pmos C N3 N4 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 6 -2 +nmos D N5 gnd gnd 1 2 5 -2 +nmos C1 N3 N5 gnd 1 1 5 -1 +nmos C N3 N5 gnd 1 1 4 -1 +nmos B1 N1 N5 gnd 1 2 3 -2 +nmos B N1 N5 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAI3212.cell b/Catalog/popcorn/OOAOOAI3212.cell new file mode 100644 index 00000000..10b0aa69 --- /dev/null +++ b/Catalog/popcorn/OOAOOAI3212.cell @@ -0,0 +1,22 @@ +3-2-1-2-input OR-OR-AND-OR-OR-AND-Invert gate +.cell OOAOOAI3212 +.origin OOAOAI3211 +.inputs D1 D C B1 B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 3 2 +pmos D N5 N6 vdd g 1 3 1 +pmos C N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N4 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 2 6 -2 +nmos D N5 gnd gnd 1 2 5 -2 +nmos C N4 N5 gnd 1 1 5 -1 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAOAI221211.cell b/Catalog/popcorn/OOAOOAOAI221211.cell new file mode 100644 index 00000000..897eefbe --- /dev/null +++ b/Catalog/popcorn/OOAOOAOAI221211.cell @@ -0,0 +1,24 @@ +2-2-1-2-1-1-input OR-OR-AND-OR-OR-AND-OR-AND-Invert gate +.cell OOAOOAOAI221211 +.origin OOAOOAOI22121 +.inputs F E D1 D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 1 4 1 +pmos E N6 vdd vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 2 6 -2 +nmos E N6 N7 gnd 1 1 6 -1 +nmos D1 N4 N7 gnd 1 2 5 -2 +nmos D N4 N7 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAOAI221311.cell b/Catalog/popcorn/OOAOOAOAI221311.cell new file mode 100644 index 00000000..e6917444 --- /dev/null +++ b/Catalog/popcorn/OOAOOAOAI221311.cell @@ -0,0 +1,26 @@ +2-2-1-3-1-1-input OR-OR-AND-OR-OR-AND-OR-AND-Invert gate +.cell OOAOOAOAI221311 +.origin OOAOOAOI22131 +.inputs F E D2 D1 D C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 4 1 +pmos E N7 vdd vdd g 4 3 4 +pmos D2 N6 N7 vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 7 -2 +nmos E N7 N8 gnd 1 1 7 -1 +nmos D2 N4 N8 gnd 1 2 6 -2 +nmos D1 N4 N8 gnd 1 2 5 -2 +nmos D N4 N8 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAOI22121.cell b/Catalog/popcorn/OOAOOAOI22121.cell new file mode 100644 index 00000000..9d42ca8a --- /dev/null +++ b/Catalog/popcorn/OOAOOAOI22121.cell @@ -0,0 +1,22 @@ +2-2-1-2-1-input OR-OR-AND-OR-OR-AND-OR-Invert gate +.cell OOAOOAOI22121 +.origin OOAOOAI2212 +.inputs E D1 D C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N6 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 1 6 -1 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAOI221211.cell b/Catalog/popcorn/OOAOOAOI221211.cell new file mode 100644 index 00000000..543e4ac0 --- /dev/null +++ b/Catalog/popcorn/OOAOOAOI221211.cell @@ -0,0 +1,24 @@ +2-2-1-2-1-1-input OR-OR-AND-OR-OR-AND-OR-Invert gate +.cell OOAOOAOI221211 +.origin OOAOOAI22121 +.inputs F E D1 D C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 4 2 +pmos E N6 N7 vdd g 1 4 1 +pmos D1 N5 N7 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 6 -1 +nmos E N6 gnd gnd 1 3 5 -3 +nmos D1 N4 N6 gnd 1 2 5 -2 +nmos D N4 N6 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAOI22131.cell b/Catalog/popcorn/OOAOOAOI22131.cell new file mode 100644 index 00000000..cc807e8f --- /dev/null +++ b/Catalog/popcorn/OOAOOAOI22131.cell @@ -0,0 +1,24 @@ +2-2-1-3-1-input OR-OR-AND-OR-OR-AND-OR-Invert gate +.cell OOAOOAOI22131 +.origin OOAOOAI2213 +.inputs E D2 D1 D C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 4 3 4 +pmos D2 N6 N7 vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N7 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 7 -1 +nmos D2 N4 gnd gnd 1 2 6 -2 +nmos D1 N4 gnd gnd 1 2 5 -2 +nmos D N4 gnd gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOAOI221311.cell b/Catalog/popcorn/OOAOOAOI221311.cell new file mode 100644 index 00000000..d640dc59 --- /dev/null +++ b/Catalog/popcorn/OOAOOAOI221311.cell @@ -0,0 +1,26 @@ +2-2-1-3-1-1-input OR-OR-AND-OR-OR-AND-OR-Invert gate +.cell OOAOOAOI221311 +.origin OOAOOAI22131 +.inputs F E D2 D1 D C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 4 2 +pmos E N7 N8 vdd g 1 4 1 +pmos D2 N6 N8 vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 N8 vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 7 -1 +nmos E N7 gnd gnd 1 3 6 -3 +nmos D2 N4 N7 gnd 1 2 6 -2 +nmos D1 N4 N7 gnd 1 2 5 -2 +nmos D N4 N7 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOOAI22122.cell b/Catalog/popcorn/OOAOOOAI22122.cell new file mode 100644 index 00000000..26dc3fe9 --- /dev/null +++ b/Catalog/popcorn/OOAOOOAI22122.cell @@ -0,0 +1,24 @@ +2-2-1-2-2-input OR-OR-AND-OR-OR-OR-AND-Invert gate +.cell OOAOOOAI22122 +.origin OOAOOAI22121 +.inputs E1 E D1 D C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 4 2 +pmos E N6 N7 vdd g 1 4 1 +pmos D1 N5 vdd vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 3 6 -3 +nmos E N6 gnd gnd 1 3 5 -3 +nmos D1 N4 N6 gnd 1 2 5 -2 +nmos D N4 N6 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOAOOOAI22132.cell b/Catalog/popcorn/OOAOOOAI22132.cell new file mode 100644 index 00000000..5e334bda --- /dev/null +++ b/Catalog/popcorn/OOAOOOAI22132.cell @@ -0,0 +1,26 @@ +2-2-1-3-2-input OR-OR-AND-OR-OR-OR-AND-Invert gate +.cell OOAOOOAI22132 +.origin OOAOOAI22131 +.inputs E1 E D2 D1 D C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 4 2 +pmos E N7 N8 vdd g 1 4 1 +pmos D2 N6 vdd vdd g 3 3 3 +pmos D1 N5 N6 vdd g 2 3 2 +pmos D N4 N5 vdd g 1 3 1 +pmos C N3 vdd vdd g 3 2 3 +pmos B1 N2 N3 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N3 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 3 7 -3 +nmos E N7 gnd gnd 1 3 6 -3 +nmos D2 N4 N7 gnd 1 2 6 -2 +nmos D1 N4 N7 gnd 1 2 5 -2 +nmos D N4 N7 gnd 1 2 4 -2 +nmos C N3 N4 gnd 1 1 4 -1 +nmos B1 N1 N4 gnd 1 2 3 -2 +nmos B N1 N4 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAAOI22222.cell b/Catalog/popcorn/OOOAAAOI22222.cell new file mode 100644 index 00000000..f25e2fd2 --- /dev/null +++ b/Catalog/popcorn/OOOAAAOI22222.cell @@ -0,0 +1,26 @@ +2-2-2-2-2-input OR-OR-OR-AND-AND-AND-OR-Invert gate +.cell OOOAAAOI22222 +.origin OOOAAOI22221 +.inputs E1 E D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 4 5 4 +pmos E N7 vdd vdd g 4 4 4 +pmos D1 N5 N7 vdd g 3 4 3 +pmos D N5 N7 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 2 6 -2 +nmos E N7 N8 gnd 1 1 6 -1 +nmos D1 N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAAOI22232.cell b/Catalog/popcorn/OOOAAAOI22232.cell new file mode 100644 index 00000000..1e158de4 --- /dev/null +++ b/Catalog/popcorn/OOOAAAOI22232.cell @@ -0,0 +1,28 @@ +2-2-2-3-2-input OR-OR-OR-AND-AND-AND-OR-Invert gate +.cell OOOAAAOI22232 +.origin OOOAAOI22231 +.inputs E1 E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 4 6 4 +pmos E N8 vdd vdd g 4 5 4 +pmos D2 N5 N8 vdd g 3 5 3 +pmos D1 N5 N8 vdd g 3 4 3 +pmos D N5 N8 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N9 gnd gnd 1 2 6 -2 +nmos E N8 N9 gnd 1 1 6 -1 +nmos D2 N7 gnd gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOAI22221.cell b/Catalog/popcorn/OOOAAOAI22221.cell new file mode 100644 index 00000000..8ac08d91 --- /dev/null +++ b/Catalog/popcorn/OOOAAOAI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input OR-OR-OR-AND-AND-OR-AND-Invert gate +.cell OOOAAOAI22221 +.origin OOOAAOI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 5 1 +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N7 gnd 1 3 4 -3 +nmos C N3 N7 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOAI222211.cell b/Catalog/popcorn/OOOAAOAI222211.cell new file mode 100644 index 00000000..8c55b1d8 --- /dev/null +++ b/Catalog/popcorn/OOOAAOAI222211.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-1-input OR-OR-OR-AND-AND-OR-AND-Invert gate +.cell OOOAAOAI222211 +.origin OOOAAOI22221 +.inputs F E D1 D C1 C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 1 5 1 +pmos E N7 vdd vdd g 4 4 4 +pmos D1 N5 N7 vdd g 3 4 3 +pmos D N5 N7 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 2 6 -2 +nmos E N7 N8 gnd 1 1 6 -1 +nmos D1 N6 N8 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N8 gnd 1 3 4 -3 +nmos C N3 N8 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOAI22231.cell b/Catalog/popcorn/OOOAAOAI22231.cell new file mode 100644 index 00000000..fa6d094e --- /dev/null +++ b/Catalog/popcorn/OOOAAOAI22231.cell @@ -0,0 +1,26 @@ +2-2-2-3-1-input OR-OR-OR-AND-AND-OR-AND-Invert gate +.cell OOOAAOAI22231 +.origin OOOAAOI2223 +.inputs E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 1 6 1 +pmos D2 N5 vdd vdd g 3 5 3 +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 4 5 -4 +nmos D2 N7 N8 gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N8 gnd 1 3 4 -3 +nmos C N3 N8 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOAI222311.cell b/Catalog/popcorn/OOOAAOAI222311.cell new file mode 100644 index 00000000..0f347f85 --- /dev/null +++ b/Catalog/popcorn/OOOAAOAI222311.cell @@ -0,0 +1,28 @@ +2-2-2-3-1-1-input OR-OR-OR-AND-AND-OR-AND-Invert gate +.cell OOOAAOAI222311 +.origin OOOAAOI22231 +.inputs F E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos F N9 vdd vdd g 1 6 1 +pmos E N8 vdd vdd g 4 5 4 +pmos D2 N5 N8 vdd g 3 5 3 +pmos D1 N5 N8 vdd g 3 4 3 +pmos D N5 N8 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N9 gnd gnd 1 2 6 -2 +nmos E N8 N9 gnd 1 1 6 -1 +nmos D2 N7 N9 gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N9 gnd 1 3 4 -3 +nmos C N3 N9 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOAOI222211.cell b/Catalog/popcorn/OOOAAOAOI222211.cell new file mode 100644 index 00000000..f411abe7 --- /dev/null +++ b/Catalog/popcorn/OOOAAOAOI222211.cell @@ -0,0 +1,26 @@ +2-2-2-2-1-1-input OR-OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell OOOAAOAOI222211 +.origin OOOAAOAI22221 +.inputs F E D1 D C1 C B1 B A1 A +.outputs Y +pmos F N8 vdd vdd g 2 5 2 +pmos E N7 N8 vdd g 1 5 1 +pmos D1 N5 N8 vdd g 3 4 3 +pmos D N5 N8 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N8 gnd gnd 1 1 6 -1 +nmos E N7 gnd gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N7 gnd 1 3 4 -3 +nmos C N3 N7 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOAOI222311.cell b/Catalog/popcorn/OOOAAOAOI222311.cell new file mode 100644 index 00000000..0dd02227 --- /dev/null +++ b/Catalog/popcorn/OOOAAOAOI222311.cell @@ -0,0 +1,28 @@ +2-2-2-3-1-1-input OR-OR-OR-AND-AND-OR-AND-OR-Invert gate +.cell OOOAAOAOI222311 +.origin OOOAAOAI22231 +.inputs F E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos F N9 vdd vdd g 2 6 2 +pmos E N8 N9 vdd g 1 6 1 +pmos D2 N5 N9 vdd g 3 5 3 +pmos D1 N5 N9 vdd g 3 4 3 +pmos D N5 N9 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N9 gnd gnd 1 1 6 -1 +nmos E N8 gnd gnd 1 4 5 -4 +nmos D2 N7 N8 gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N8 gnd 1 3 4 -3 +nmos C N3 N8 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI2222.cell b/Catalog/popcorn/OOOAAOI2222.cell new file mode 100644 index 00000000..9f979b4c --- /dev/null +++ b/Catalog/popcorn/OOOAAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI2222 +.origin OOOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI22221.cell b/Catalog/popcorn/OOOAAOI22221.cell new file mode 100644 index 00000000..e4e5649e --- /dev/null +++ b/Catalog/popcorn/OOOAAOI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI22221 +.origin OOOAAOI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 4 4 4 +pmos D1 N5 N7 vdd g 3 4 3 +pmos D N5 N7 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 6 -1 +nmos D1 N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI2223.cell b/Catalog/popcorn/OOOAAOI2223.cell new file mode 100644 index 00000000..015fd303 --- /dev/null +++ b/Catalog/popcorn/OOOAAOI2223.cell @@ -0,0 +1,24 @@ +2-2-2-3-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI2223 +.origin OOOAAOI2222 +.inputs D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D2 N5 vdd vdd g 3 5 3 +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D2 N7 gnd gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI22231.cell b/Catalog/popcorn/OOOAAOI22231.cell new file mode 100644 index 00000000..1cd20c46 --- /dev/null +++ b/Catalog/popcorn/OOOAAOI22231.cell @@ -0,0 +1,26 @@ +2-2-2-3-1-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI22231 +.origin OOOAAOI2223 +.inputs E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N8 vdd vdd g 4 5 4 +pmos D2 N5 N8 vdd g 3 5 3 +pmos D1 N5 N8 vdd g 3 4 3 +pmos D N5 N8 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 6 -1 +nmos D2 N7 gnd gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI2224.cell b/Catalog/popcorn/OOOAAOI2224.cell new file mode 100644 index 00000000..88439611 --- /dev/null +++ b/Catalog/popcorn/OOOAAOI2224.cell @@ -0,0 +1,26 @@ +2-2-2-4-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI2224 +.origin OOOAAOI2223 +.inputs D3 D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos D3 N5 vdd vdd g 3 6 3 +pmos D2 N5 vdd vdd g 3 5 3 +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D3 N8 gnd gnd 1 4 5 -4 +nmos D2 N7 N8 gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI22241.cell b/Catalog/popcorn/OOOAAOI22241.cell new file mode 100644 index 00000000..8d041d00 --- /dev/null +++ b/Catalog/popcorn/OOOAAOI22241.cell @@ -0,0 +1,28 @@ +2-2-2-4-1-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI22241 +.origin OOOAAOI2224 +.inputs E D3 D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E N9 vdd vdd g 4 6 4 +pmos D3 N5 N9 vdd g 3 6 3 +pmos D2 N5 N9 vdd g 3 5 3 +pmos D1 N5 N9 vdd g 3 4 3 +pmos D N5 N9 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N9 gnd gnd 1 1 6 -1 +nmos D3 N8 gnd gnd 1 4 5 -4 +nmos D2 N7 N8 gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI3222.cell b/Catalog/popcorn/OOOAAOI3222.cell new file mode 100644 index 00000000..b5bedb4c --- /dev/null +++ b/Catalog/popcorn/OOOAAOI3222.cell @@ -0,0 +1,24 @@ +3-2-2-2-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI3222 +.origin OOOAOI3221 +.inputs D1 D C1 C B1 B A2 A1 A +.outputs Y +pmos D1 N6 vdd vdd g 3 4 3 +pmos D N6 vdd vdd g 3 3 3 +pmos C1 N5 N6 vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 N6 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N6 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N7 gnd gnd 1 2 6 -2 +nmos D N6 N7 gnd 1 1 6 -1 +nmos C1 N4 gnd gnd 1 3 5 -3 +nmos C N4 gnd gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI3322.cell b/Catalog/popcorn/OOOAAOI3322.cell new file mode 100644 index 00000000..1a26a415 --- /dev/null +++ b/Catalog/popcorn/OOOAAOI3322.cell @@ -0,0 +1,26 @@ +3-3-2-2-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI3322 +.origin OOOAOI3321 +.inputs D1 D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N7 vdd vdd g 3 4 3 +pmos D N7 vdd vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N7 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N7 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N8 gnd gnd 1 2 7 -2 +nmos D N7 N8 gnd 1 1 7 -1 +nmos C1 N5 gnd gnd 1 3 6 -3 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOI3332.cell b/Catalog/popcorn/OOOAAOI3332.cell new file mode 100644 index 00000000..e6b25367 --- /dev/null +++ b/Catalog/popcorn/OOOAAOI3332.cell @@ -0,0 +1,28 @@ +3-3-3-2-input OR-OR-OR-AND-AND-OR-Invert gate +.cell OOOAAOI3332 +.origin OOOAOI3331 +.inputs D1 D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N8 vdd vdd g 4 4 4 +pmos D N8 vdd vdd g 4 3 4 +pmos C2 N7 N8 vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N8 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N8 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N9 gnd gnd 1 2 8 -2 +nmos D N8 N9 gnd 1 1 8 -1 +nmos C2 N5 gnd gnd 1 3 7 -3 +nmos C1 N5 gnd gnd 1 3 6 -3 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOOAI22222.cell b/Catalog/popcorn/OOOAAOOAI22222.cell new file mode 100644 index 00000000..c359fc4b --- /dev/null +++ b/Catalog/popcorn/OOOAAOOAI22222.cell @@ -0,0 +1,26 @@ +2-2-2-2-2-input OR-OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOOAAOOAI22222 +.origin OOOAAOAI22221 +.inputs E1 E D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N8 vdd vdd g 2 5 2 +pmos E N7 N8 vdd g 1 5 1 +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N7 gnd gnd 1 3 6 -3 +nmos E N7 gnd gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N7 gnd 1 3 4 -3 +nmos C N3 N7 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAAOOAI22232.cell b/Catalog/popcorn/OOOAAOOAI22232.cell new file mode 100644 index 00000000..475ebd3a --- /dev/null +++ b/Catalog/popcorn/OOOAAOOAI22232.cell @@ -0,0 +1,28 @@ +2-2-2-3-2-input OR-OR-OR-AND-AND-OR-OR-AND-Invert gate +.cell OOOAAOOAI22232 +.origin OOOAAOAI22231 +.inputs E1 E D2 D1 D C1 C B1 B A1 A +.outputs Y +pmos E1 N9 vdd vdd g 2 6 2 +pmos E N8 N9 vdd g 1 6 1 +pmos D2 N5 vdd vdd g 3 5 3 +pmos D1 N5 vdd vdd g 3 4 3 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N8 gnd gnd 1 4 6 -4 +nmos E N8 gnd gnd 1 4 5 -4 +nmos D2 N7 N8 gnd 1 3 5 -3 +nmos D1 N6 N7 gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N8 gnd 1 3 4 -3 +nmos C N3 N8 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI222.cell b/Catalog/popcorn/OOOAI222.cell new file mode 100644 index 00000000..8be94257 --- /dev/null +++ b/Catalog/popcorn/OOOAI222.cell @@ -0,0 +1,18 @@ +2-2-2-input OR-OR-OR-AND-Invert gate +.cell OOOAI222 +.origin OOAI221 +.inputs C1 C B1 B A1 A +.outputs Y +pmos C1 N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI2221.cell b/Catalog/popcorn/OOOAI2221.cell new file mode 100644 index 00000000..074bbe8f --- /dev/null +++ b/Catalog/popcorn/OOOAI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-OR-OR-AND-Invert gate +.cell OOOAI2221 +.origin OOOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 1 4 1 +pmos C1 N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 4 4 -4 +nmos C1 N3 N5 gnd 1 3 4 -3 +nmos C N3 N5 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI322.cell b/Catalog/popcorn/OOOAI322.cell new file mode 100644 index 00000000..82b3b7ad --- /dev/null +++ b/Catalog/popcorn/OOOAI322.cell @@ -0,0 +1,20 @@ +3-2-2-input OR-OR-OR-AND-Invert gate +.cell OOOAI322 +.origin OOAI321 +.inputs C1 C B1 B A2 A1 A +.outputs Y +pmos C1 N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N4 gnd gnd 1 3 5 -3 +nmos C N4 gnd gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI3221.cell b/Catalog/popcorn/OOOAI3221.cell new file mode 100644 index 00000000..398afb90 --- /dev/null +++ b/Catalog/popcorn/OOOAI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input OR-OR-OR-AND-Invert gate +.cell OOOAI3221 +.origin OOOAI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 1 4 1 +pmos C1 N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 4 5 -4 +nmos C1 N4 N6 gnd 1 3 5 -3 +nmos C N4 N6 gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI332.cell b/Catalog/popcorn/OOOAI332.cell new file mode 100644 index 00000000..3bb632e9 --- /dev/null +++ b/Catalog/popcorn/OOOAI332.cell @@ -0,0 +1,22 @@ +3-3-2-input OR-OR-OR-AND-Invert gate +.cell OOOAI332 +.origin OOAI331 +.inputs C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C1 N6 vdd vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 3 6 -3 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI3321.cell b/Catalog/popcorn/OOOAI3321.cell new file mode 100644 index 00000000..e524149b --- /dev/null +++ b/Catalog/popcorn/OOOAI3321.cell @@ -0,0 +1,24 @@ +3-3-2-1-input OR-OR-OR-AND-Invert gate +.cell OOOAI3321 +.origin OOOAI332 +.inputs D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N7 vdd vdd g 1 4 1 +pmos C1 N6 vdd vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N7 gnd gnd 1 4 6 -4 +nmos C1 N5 N7 gnd 1 3 6 -3 +nmos C N5 N7 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI333.cell b/Catalog/popcorn/OOOAI333.cell new file mode 100644 index 00000000..13876836 --- /dev/null +++ b/Catalog/popcorn/OOOAI333.cell @@ -0,0 +1,24 @@ +3-3-3-input OR-OR-OR-AND-Invert gate +.cell OOOAI333 +.origin OOOAI332 +.inputs C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos C2 N7 vdd vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C2 N5 gnd gnd 1 3 7 -3 +nmos C1 N5 gnd gnd 1 3 6 -3 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI3331.cell b/Catalog/popcorn/OOOAI3331.cell new file mode 100644 index 00000000..3e63ab43 --- /dev/null +++ b/Catalog/popcorn/OOOAI3331.cell @@ -0,0 +1,26 @@ +3-3-3-1-input OR-OR-OR-AND-Invert gate +.cell OOOAI3331 +.origin OOOAI333 +.inputs D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N8 vdd vdd g 1 4 1 +pmos C2 N7 vdd vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N8 gnd gnd 1 4 7 -4 +nmos C2 N5 N8 gnd 1 3 7 -3 +nmos C1 N5 N8 gnd 1 3 6 -3 +nmos C N5 N8 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAI422.cell b/Catalog/popcorn/OOOAI422.cell new file mode 100644 index 00000000..7c74391e --- /dev/null +++ b/Catalog/popcorn/OOOAI422.cell @@ -0,0 +1,22 @@ +4-2-2-input OR-OR-OR-AND-Invert gate +.cell OOOAI422 +.origin OOAI421 +.inputs C1 C B1 B A3 A2 A1 A +.outputs Y +pmos C1 N6 vdd vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B1 N4 vdd vdd g 2 2 2 +pmos B N3 N4 vdd g 1 2 1 +pmos A3 N2 vdd vdd g 4 1 4 +pmos A2 N1 N2 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos C1 N5 gnd gnd 1 3 6 -3 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B1 N3 N5 gnd 1 2 5 -2 +nmos B N3 N5 gnd 1 2 4 -2 +nmos A3 Y N3 gnd 1 1 4 -1 +nmos A2 Y N3 gnd 1 1 3 -1 +nmos A1 Y N3 gnd 1 1 2 -1 +nmos A Y N3 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOAI22211.cell b/Catalog/popcorn/OOOAOAI22211.cell new file mode 100644 index 00000000..dc9881da --- /dev/null +++ b/Catalog/popcorn/OOOAOAI22211.cell @@ -0,0 +1,22 @@ +2-2-2-1-1-input OR-OR-OR-AND-OR-AND-Invert gate +.cell OOOAOAI22211 +.origin OOOAOI2221 +.inputs E D C1 C B1 B A1 A +.outputs Y +pmos E N6 vdd vdd g 1 4 1 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N6 gnd 1 3 4 -3 +nmos C N3 N6 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOAI22221.cell b/Catalog/popcorn/OOOAOAI22221.cell new file mode 100644 index 00000000..4b7f603b --- /dev/null +++ b/Catalog/popcorn/OOOAOAI22221.cell @@ -0,0 +1,24 @@ +2-2-2-2-1-input OR-OR-OR-AND-OR-AND-Invert gate +.cell OOOAOAI22221 +.origin OOOAOI2222 +.inputs E D1 D C1 C B1 B A1 A +.outputs Y +pmos E N7 vdd vdd g 1 4 1 +pmos D1 N6 vdd vdd g 4 3 4 +pmos D N5 N6 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 2 6 -2 +nmos D1 N5 N7 gnd 1 1 6 -1 +nmos D N5 N7 gnd 1 1 5 -1 +nmos C1 N3 N7 gnd 1 3 4 -3 +nmos C N3 N7 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOAI32211.cell b/Catalog/popcorn/OOOAOAI32211.cell new file mode 100644 index 00000000..7edf0807 --- /dev/null +++ b/Catalog/popcorn/OOOAOAI32211.cell @@ -0,0 +1,24 @@ +3-2-2-1-1-input OR-OR-OR-AND-OR-AND-Invert gate +.cell OOOAOAI32211 +.origin OOOAOI3221 +.inputs E D C1 C B1 B A2 A1 A +.outputs Y +pmos E N7 vdd vdd g 1 4 1 +pmos D N6 vdd vdd g 3 3 3 +pmos C1 N5 N6 vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 N6 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N6 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 2 6 -2 +nmos D N6 N7 gnd 1 1 6 -1 +nmos C1 N4 N7 gnd 1 3 5 -3 +nmos C N4 N7 gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOAI33211.cell b/Catalog/popcorn/OOOAOAI33211.cell new file mode 100644 index 00000000..de20531a --- /dev/null +++ b/Catalog/popcorn/OOOAOAI33211.cell @@ -0,0 +1,26 @@ +3-3-2-1-1-input OR-OR-OR-AND-OR-AND-Invert gate +.cell OOOAOAI33211 +.origin OOOAOI3321 +.inputs E D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos E N8 vdd vdd g 1 4 1 +pmos D N7 vdd vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N7 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N7 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 2 7 -2 +nmos D N7 N8 gnd 1 1 7 -1 +nmos C1 N5 N8 gnd 1 3 6 -3 +nmos C N5 N8 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOAI33311.cell b/Catalog/popcorn/OOOAOAI33311.cell new file mode 100644 index 00000000..9cbdb6e2 --- /dev/null +++ b/Catalog/popcorn/OOOAOAI33311.cell @@ -0,0 +1,28 @@ +3-3-3-1-1-input OR-OR-OR-AND-OR-AND-Invert gate +.cell OOOAOAI33311 +.origin OOOAOI3331 +.inputs E D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos E N9 vdd vdd g 1 4 1 +pmos D N8 vdd vdd g 4 3 4 +pmos C2 N7 N8 vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N8 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N8 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N9 gnd gnd 1 2 8 -2 +nmos D N8 N9 gnd 1 1 8 -1 +nmos C2 N5 N9 gnd 1 3 7 -3 +nmos C1 N5 N9 gnd 1 3 6 -3 +nmos C N5 N9 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOAOI222111.cell b/Catalog/popcorn/OOOAOAOI222111.cell new file mode 100644 index 00000000..bd4144e7 --- /dev/null +++ b/Catalog/popcorn/OOOAOAOI222111.cell @@ -0,0 +1,24 @@ +2-2-2-1-1-1-input OR-OR-OR-AND-OR-AND-OR-Invert gate +.cell OOOAOAOI222111 +.origin OOOAOAI22211 +.inputs F E D C1 C B1 B A1 A +.outputs Y +pmos F N7 vdd vdd g 2 4 2 +pmos E N6 N7 vdd g 1 4 1 +pmos D N5 N7 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos F N7 gnd gnd 1 1 6 -1 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N6 gnd 1 3 4 -3 +nmos C N3 N6 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI2221.cell b/Catalog/popcorn/OOOAOI2221.cell new file mode 100644 index 00000000..faa5bd11 --- /dev/null +++ b/Catalog/popcorn/OOOAOI2221.cell @@ -0,0 +1,20 @@ +2-2-2-1-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI2221 +.origin OOOAI222 +.inputs D C1 C B1 B A1 A +.outputs Y +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N5 gnd gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI2222.cell b/Catalog/popcorn/OOOAOI2222.cell new file mode 100644 index 00000000..5fd7ffb4 --- /dev/null +++ b/Catalog/popcorn/OOOAOI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI2222 +.origin OOOAOI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 4 3 4 +pmos D N5 N6 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 1 6 -1 +nmos D N5 gnd gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI2223.cell b/Catalog/popcorn/OOOAOI2223.cell new file mode 100644 index 00000000..01fba11d --- /dev/null +++ b/Catalog/popcorn/OOOAOI2223.cell @@ -0,0 +1,26 @@ +2-2-2-3-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI2223 +.origin OOOAOI2222 +.inputs D2 D1 D C1 C B1 B A1 A +.outputs +pmos O Z vdd vdd g 1 8 1 +pmos D2 N7 vdd vdd g 5 3 5 +pmos D1 N6 N7 vdd g 4 3 4 +pmos D N5 N6 vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A O N vdd g 1 1 1 +nmos O Z gnd gnd 1 1 8 -1 +nmos D2 N5 gnd gnd 1 1 7 -1 +nmos D1 N5 gnd gnd 1 1 6 -1 +nmos D N5 gnd gnd 1 1 5 -1 +nmos C1 N3 gnd gnd 1 3 4 -3 +nmos C N3 gnd gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 O N1 gnd 1 1 2 -1 +nmos A O N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI3221.cell b/Catalog/popcorn/OOOAOI3221.cell new file mode 100644 index 00000000..ad9d7cc7 --- /dev/null +++ b/Catalog/popcorn/OOOAOI3221.cell @@ -0,0 +1,22 @@ +3-2-2-1-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI3221 +.origin OOOAI322 +.inputs D C1 C B1 B A2 A1 A +.outputs Y +pmos D N6 vdd vdd g 3 3 3 +pmos C1 N5 N6 vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 N6 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N6 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N6 gnd gnd 1 1 6 -1 +nmos C1 N4 gnd gnd 1 3 5 -3 +nmos C N4 gnd gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI32211.cell b/Catalog/popcorn/OOOAOI32211.cell new file mode 100644 index 00000000..12e9a51b --- /dev/null +++ b/Catalog/popcorn/OOOAOI32211.cell @@ -0,0 +1,24 @@ +3-2-2-1-1-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI32211 +.origin OOOAI3221 +.inputs E D C1 C B1 B A2 A1 A +.outputs Y +pmos E N7 vdd vdd g 2 4 2 +pmos D N6 N7 vdd g 1 4 1 +pmos C1 N5 N7 vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 N7 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N7 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N7 gnd gnd 1 1 6 -1 +nmos D N6 gnd gnd 1 4 5 -4 +nmos C1 N4 N6 gnd 1 3 5 -3 +nmos C N4 N6 gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI3321.cell b/Catalog/popcorn/OOOAOI3321.cell new file mode 100644 index 00000000..32641d1a --- /dev/null +++ b/Catalog/popcorn/OOOAOI3321.cell @@ -0,0 +1,24 @@ +3-3-2-1-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI3321 +.origin OOOAI332 +.inputs D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N7 vdd vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N7 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N7 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N7 gnd gnd 1 1 7 -1 +nmos C1 N5 gnd gnd 1 3 6 -3 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI33211.cell b/Catalog/popcorn/OOOAOI33211.cell new file mode 100644 index 00000000..7def310f --- /dev/null +++ b/Catalog/popcorn/OOOAOI33211.cell @@ -0,0 +1,26 @@ +3-3-2-1-1-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI33211 +.origin OOOAI3321 +.inputs E D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos E N8 vdd vdd g 2 4 2 +pmos D N7 N8 vdd g 1 4 1 +pmos C1 N6 N8 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N8 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N8 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N8 gnd gnd 1 1 7 -1 +nmos D N7 gnd gnd 1 4 6 -4 +nmos C1 N5 N7 gnd 1 3 6 -3 +nmos C N5 N7 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI3331.cell b/Catalog/popcorn/OOOAOI3331.cell new file mode 100644 index 00000000..cde60c42 --- /dev/null +++ b/Catalog/popcorn/OOOAOI3331.cell @@ -0,0 +1,26 @@ +3-3-3-1-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI3331 +.origin OOOAI333 +.inputs D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D N8 vdd vdd g 4 3 4 +pmos C2 N7 N8 vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N8 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N8 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D N8 gnd gnd 1 1 8 -1 +nmos C2 N5 gnd gnd 1 3 7 -3 +nmos C1 N5 gnd gnd 1 3 6 -3 +nmos C N5 gnd gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOI33311.cell b/Catalog/popcorn/OOOAOI33311.cell new file mode 100644 index 00000000..5f7860e9 --- /dev/null +++ b/Catalog/popcorn/OOOAOI33311.cell @@ -0,0 +1,28 @@ +3-3-3-1-1-input OR-OR-OR-AND-OR-Invert gate +.cell OOOAOI33311 +.origin OOOAI3331 +.inputs E D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos E N9 vdd vdd g 2 4 2 +pmos D N8 N9 vdd g 1 4 1 +pmos C2 N7 N9 vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 N9 vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 N9 vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E N9 gnd gnd 1 1 8 -1 +nmos D N8 gnd gnd 1 4 7 -4 +nmos C2 N5 N8 gnd 1 3 7 -3 +nmos C1 N5 N8 gnd 1 3 6 -3 +nmos C N5 N8 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOAOOAI22212.cell b/Catalog/popcorn/OOOAOOAI22212.cell new file mode 100644 index 00000000..6e512dc7 --- /dev/null +++ b/Catalog/popcorn/OOOAOOAI22212.cell @@ -0,0 +1,24 @@ +2-2-2-1-2-input OR-OR-OR-AND-OR-OR-AND-Invert gate +.cell OOOAOOAI22212 +.origin OOOAOAI22211 +.inputs E1 E D C1 C B1 B A1 A +.outputs Y +pmos E1 N7 vdd vdd g 2 4 2 +pmos E N6 N7 vdd g 1 4 1 +pmos D N5 vdd vdd g 3 3 3 +pmos C1 N4 N5 vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 N5 vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N N5 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos E1 N6 gnd gnd 1 2 6 -2 +nmos E N6 gnd gnd 1 2 5 -2 +nmos D N5 N6 gnd 1 1 5 -1 +nmos C1 N3 N6 gnd 1 3 4 -3 +nmos C N3 N6 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOOAI2222.cell b/Catalog/popcorn/OOOOAI2222.cell new file mode 100644 index 00000000..ae85cd31 --- /dev/null +++ b/Catalog/popcorn/OOOOAI2222.cell @@ -0,0 +1,22 @@ +2-2-2-2-input OR-OR-OR-OR-AND-Invert gate +.cell OOOOAI2222 +.origin OOOAI2221 +.inputs D1 D C1 C B1 B A1 A +.outputs Y +pmos D1 N6 vdd vdd g 2 4 2 +pmos D N5 N6 vdd g 1 4 1 +pmos C1 N4 vdd vdd g 2 3 2 +pmos C N3 N4 vdd g 1 3 1 +pmos B1 N2 vdd vdd g 2 2 2 +pmos B N1 N2 vdd g 1 2 1 +pmos A1 N vdd vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N5 gnd gnd 1 4 5 -4 +nmos D N5 gnd gnd 1 4 4 -4 +nmos C1 N3 N5 gnd 1 3 4 -3 +nmos C N3 N5 gnd 1 3 3 -3 +nmos B1 N1 N3 gnd 1 2 3 -2 +nmos B N1 N3 gnd 1 2 2 -2 +nmos A1 Y N1 gnd 1 1 2 -1 +nmos A Y N1 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOOAI3222.cell b/Catalog/popcorn/OOOOAI3222.cell new file mode 100644 index 00000000..92dc88f3 --- /dev/null +++ b/Catalog/popcorn/OOOOAI3222.cell @@ -0,0 +1,24 @@ +3-2-2-2-input OR-OR-OR-OR-AND-Invert gate +.cell OOOOAI3222 +.origin OOOAI3221 +.inputs D1 D C1 C B1 B A2 A1 A +.outputs Y +pmos D1 N7 vdd vdd g 2 4 2 +pmos D N6 N7 vdd g 1 4 1 +pmos C1 N5 vdd vdd g 2 3 2 +pmos C N4 N5 vdd g 1 3 1 +pmos B1 N3 vdd vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N6 gnd gnd 1 4 6 -4 +nmos D N6 gnd gnd 1 4 5 -4 +nmos C1 N4 N6 gnd 1 3 5 -3 +nmos C N4 N6 gnd 1 3 4 -3 +nmos B1 N2 N4 gnd 1 2 4 -2 +nmos B N2 N4 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOOAI3322.cell b/Catalog/popcorn/OOOOAI3322.cell new file mode 100644 index 00000000..bbfa20b7 --- /dev/null +++ b/Catalog/popcorn/OOOOAI3322.cell @@ -0,0 +1,26 @@ +3-3-2-2-input OR-OR-OR-OR-AND-Invert gate +.cell OOOOAI3322 +.origin OOOAI3321 +.inputs D1 D C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N8 vdd vdd g 2 4 2 +pmos D N7 N8 vdd g 1 4 1 +pmos C1 N6 vdd vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N7 gnd gnd 1 4 7 -4 +nmos D N7 gnd gnd 1 4 6 -4 +nmos C1 N5 N7 gnd 1 3 6 -3 +nmos C N5 N7 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file diff --git a/Catalog/popcorn/OOOOAI3332.cell b/Catalog/popcorn/OOOOAI3332.cell new file mode 100644 index 00000000..a071721b --- /dev/null +++ b/Catalog/popcorn/OOOOAI3332.cell @@ -0,0 +1,28 @@ +3-3-3-2-input OR-OR-OR-OR-AND-Invert gate +.cell OOOOAI3332 +.origin OOOAI3331 +.inputs D1 D C2 C1 C B2 B1 B A2 A1 A +.outputs Y +pmos D1 N9 vdd vdd g 2 4 2 +pmos D N8 N9 vdd g 1 4 1 +pmos C2 N7 vdd vdd g 3 3 3 +pmos C1 N6 N7 vdd g 2 3 2 +pmos C N5 N6 vdd g 1 3 1 +pmos B2 N4 vdd vdd g 3 2 3 +pmos B1 N3 N4 vdd g 2 2 2 +pmos B N2 N3 vdd g 1 2 1 +pmos A2 N1 vdd vdd g 3 1 3 +pmos A1 N N1 vdd g 2 1 2 +pmos A Y N vdd g 1 1 1 +nmos D1 N8 gnd gnd 1 4 8 -4 +nmos D N8 gnd gnd 1 4 7 -4 +nmos C2 N5 N8 gnd 1 3 7 -3 +nmos C1 N5 N8 gnd 1 3 6 -3 +nmos C N5 N8 gnd 1 3 5 -3 +nmos B2 N2 N5 gnd 1 2 5 -2 +nmos B1 N2 N5 gnd 1 2 4 -2 +nmos B N2 N5 gnd 1 2 3 -2 +nmos A2 Y N2 gnd 1 1 3 -1 +nmos A1 Y N2 gnd 1 1 2 -1 +nmos A Y N2 gnd 1 1 1 -1 +.end \ No newline at end of file From 49148a4f3efb9a0a9bc4891b4f063e06d720ad0f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 20 Sep 2025 00:22:45 +0200 Subject: [PATCH 652/673] 10 more nm to get rid of metal2 violations --- Tech.SG13G2/librecell_tech.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 75105f97..909e5f9c 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -199,7 +199,7 @@ # Minimum distance of active area to upper or lower boundary of the cell. Basically determines the y-offset of the transistors. #transistor_offset_y = 240*nm # !!! This likely needs to be tuned later on # The 180/2*nm might have to be removed #transistor_offset_y = 0 -transistor_offset_y = 420*nm # 380 seems to be the minimum but it causes some violations with larger cells, 420 should do it, more might be useful against congestions, not sure what the upper limit is +transistor_offset_y = 430*nm # 380 seems to be the minimum but it causes some violations with larger cells, 430 should do it, more might be useful against congestions, not sure what the upper limit is # Standard cell dimensions. # A 'unit cell' corresponds to the dimensions of the smallest possible cell. Usually an inverter. From 6a6acc6e6cc82ad69b405ac4fb1c21c76c85adf7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 20 Sep 2025 00:31:57 +0200 Subject: [PATCH 653/673] Adding more cell samples --- Catalog/lofty/LOFTY.cell | 33 ++++++++++++ Catalog/lofty/LOFTY2.cell | 50 +++++++++++++++++++ Catalog/math/FAX1.cell | 32 ++++++++++++ Catalog/math/HAX1.cell | 18 +++++++ Catalog/muxes/MUX10.cell | 46 +++++++++++++++++ Catalog/muxes/MUX2.cell | 14 ++++++ Catalog/muxes/MUX3.cell | 18 +++++++ Catalog/muxes/MUX4.cell | 22 ++++++++ Catalog/muxes/MUX5.cell | 26 ++++++++++ Catalog/muxes/MUX6.cell | 30 +++++++++++ Catalog/muxes/MUX7.cell | 34 +++++++++++++ Catalog/muxes/MUX8.cell | 38 ++++++++++++++ Catalog/muxes/MUX9.cell | 42 ++++++++++++++++ Catalog/padcells/PADINC.cell | 86 ++++++++++++++++++++++++++++++++ Catalog/padcells/PADOUT.cell | 86 ++++++++++++++++++++++++++++++++ Catalog/sequential/DFFNEGX1.cell | 26 ++++++++++ Catalog/sequential/DFFPOSX1.cell | 26 ++++++++++ Catalog/sequential/LATCH.cell | 16 ++++++ Catalog/simple/AND2X1.cell | 10 ++++ Catalog/simple/AND2X2.cell | 10 ++++ Catalog/simple/AOI21X1.cell | 10 ++++ Catalog/simple/AOI22X1.cell | 12 +++++ Catalog/simple/BUFX2.cell | 8 +++ Catalog/simple/BUFX4.cell | 10 ++++ Catalog/simple/CLKBUF1.cell | 20 ++++++++ Catalog/simple/CLKBUF2.cell | 28 +++++++++++ Catalog/simple/CLKBUF3.cell | 36 +++++++++++++ Catalog/simple/INV.cell | 22 ++++++++ Catalog/simple/INVX1.cell | 6 +++ Catalog/simple/INVX2.cell | 6 +++ Catalog/simple/INVX4.cell | 8 +++ Catalog/simple/INVX8.cell | 12 +++++ Catalog/simple/MUX2X1.cell | 14 ++++++ Catalog/simple/NAND2X1.cell | 8 +++ Catalog/simple/NAND3X1.cell | 10 ++++ Catalog/simple/NOR2X1.cell | 8 +++ Catalog/simple/OAI21X1.cell | 10 ++++ Catalog/simple/OAI22X1.cell | 12 +++++ Catalog/simple/OR2X1.cell | 10 ++++ Catalog/simple/OR2X2.cell | 10 ++++ Catalog/simple/TBUFX1.cell | 10 ++++ Catalog/simple/TBUFX2.cell | 14 ++++++ Catalog/simple/XNOR2X1.cell | 16 ++++++ Catalog/simple/XOR2X1.cell | 16 ++++++ 44 files changed, 979 insertions(+) create mode 100644 Catalog/lofty/LOFTY.cell create mode 100644 Catalog/lofty/LOFTY2.cell create mode 100644 Catalog/math/FAX1.cell create mode 100644 Catalog/math/HAX1.cell create mode 100644 Catalog/muxes/MUX10.cell create mode 100644 Catalog/muxes/MUX2.cell create mode 100644 Catalog/muxes/MUX3.cell create mode 100644 Catalog/muxes/MUX4.cell create mode 100644 Catalog/muxes/MUX5.cell create mode 100644 Catalog/muxes/MUX6.cell create mode 100644 Catalog/muxes/MUX7.cell create mode 100644 Catalog/muxes/MUX8.cell create mode 100644 Catalog/muxes/MUX9.cell create mode 100644 Catalog/padcells/PADINC.cell create mode 100644 Catalog/padcells/PADOUT.cell create mode 100644 Catalog/sequential/DFFNEGX1.cell create mode 100644 Catalog/sequential/DFFPOSX1.cell create mode 100644 Catalog/sequential/LATCH.cell create mode 100644 Catalog/simple/AND2X1.cell create mode 100644 Catalog/simple/AND2X2.cell create mode 100644 Catalog/simple/AOI21X1.cell create mode 100644 Catalog/simple/AOI22X1.cell create mode 100644 Catalog/simple/BUFX2.cell create mode 100644 Catalog/simple/BUFX4.cell create mode 100644 Catalog/simple/CLKBUF1.cell create mode 100644 Catalog/simple/CLKBUF2.cell create mode 100644 Catalog/simple/CLKBUF3.cell create mode 100644 Catalog/simple/INV.cell create mode 100644 Catalog/simple/INVX1.cell create mode 100644 Catalog/simple/INVX2.cell create mode 100644 Catalog/simple/INVX4.cell create mode 100644 Catalog/simple/INVX8.cell create mode 100644 Catalog/simple/MUX2X1.cell create mode 100644 Catalog/simple/NAND2X1.cell create mode 100644 Catalog/simple/NAND3X1.cell create mode 100644 Catalog/simple/NOR2X1.cell create mode 100644 Catalog/simple/OAI21X1.cell create mode 100644 Catalog/simple/OAI22X1.cell create mode 100644 Catalog/simple/OR2X1.cell create mode 100644 Catalog/simple/OR2X2.cell create mode 100644 Catalog/simple/TBUFX1.cell create mode 100644 Catalog/simple/TBUFX2.cell create mode 100644 Catalog/simple/XNOR2X1.cell create mode 100644 Catalog/simple/XOR2X1.cell diff --git a/Catalog/lofty/LOFTY.cell b/Catalog/lofty/LOFTY.cell new file mode 100644 index 00000000..59e8d1f7 --- /dev/null +++ b/Catalog/lofty/LOFTY.cell @@ -0,0 +1,33 @@ +.inputs asel_p asel_n bsel_p bsel_n muxsel_p muxsel_n usexor_p usexor_n usemux_p usemux_n +.outputs q +.differential usemux_p usemux_n +.differential asel_p asel_n +.differential usexor_p usexor_n +.differential bsel_p bsel_n +.differential muxsel_p muxsel_n +.ORDER "MOSFET Gate Drain Source" +nmos usemux_p q N0 +nmos usemux_n q N1 +nmos asel_p N0 N00 +nmos asel_n N0 N01 +nmos usexor_p N00 gnd +nmos usexor_n N00 N001 +nmos bsel_p N001 gnd +nmos bsel_n N011 gnd +nmos usexor_p N01 N001 +nmos usexor_n N01 N011 +nmos muxsel_n N1 N001 +nmos muxsel_p N1 N10 +nmos asel_p N10 gnd +pmos usemux_n P0 q +pmos usemux_p P1 q +pmos asel_n P00 P0 +pmos asel_p P01 P0 +pmos usexor_p P001 P00 +pmos bsel_p vcc P001 +pmos usexor_n P001 P01 +pmos usexor_p P011 P01 +pmos bsel_n vcc P011 +pmos muxsel_p P001 P1 +pmos muxsel_n P10 P1 +pmos asel_p vcc P10 diff --git a/Catalog/lofty/LOFTY2.cell b/Catalog/lofty/LOFTY2.cell new file mode 100644 index 00000000..0fd18fe8 --- /dev/null +++ b/Catalog/lofty/LOFTY2.cell @@ -0,0 +1,50 @@ +.inputs usemux_p muxsel_p asel_p usexor_p bsel_p usemux_n muxsel_n asel_n usexor_n bsel_n +.outputs q +.ORDER "MOSFET Gate Drain Source" +pmos usemux_p usemux_n vdd +nmos usemux_p usemux_n gnd + +pmos muxsel_p muxsel_n vdd +nmos muxsel_p muxsel_n gnd + +pmos asel_p asel_n vdd +nmos asel_p asel_n gnd + +pmos usexor_p usexor_n vdd +nmos usexor_p usexor_n gnd + +pmos bsel_p bsel_n vdd +nmos bsel_p bsel_n gnd + +nmos usemux_n q usemux0n +pmos usemux_p usemux0p q +nmos usemux_p q usemux1n +pmos usemux_n usemux1p q + +nmos muxsel_p usemux1n usemux1muxsel1n +pmos muxsel_n usemux1muxsel1p usemux1p +nmos muxsel_n usemux1n usemux1muxsel0n +pmos muxsel_p usemux1muxsel0p usemux1p + +nmos asel_n usemux1muxsel0n gnd +pmos asel_n vdd usemux1muxsel0p + +nmos bsel_n usemux1muxsel1n gnd +pmos bsel_n vdd usemux1muxsel1p + +nmos asel_n usemux0n usemux0asel0n +pmos asel_p usemux0asel0p usemux0p +nmos asel_p usemux0n usemux0asel1n +pmos asel_n usemux0asel1p usemux0p + +nmos usexor_n usemux0asel1n usemux1muxsel1n +pmos usexor_p usemux1muxsel1p usemux0asel1p +nmos usexor_p usemux0asel1n usemux1muxsel1usexor1n +pmos usexor_n usemux1muxsel1usexor1p usemux0asel1p + +nmos bsel_p usemux1muxsel1usexor1n gnd +pmos bsel_p vdd usemux1muxsel1usexor1p + +nmos usexor_n usemux0asel0n gnd +nmos usexor_p usemux0asel0n usemux1muxsel1n +pmos usexor_n usemux1muxsel1p usemux0asel0p diff --git a/Catalog/math/FAX1.cell b/Catalog/math/FAX1.cell new file mode 100644 index 00000000..3d8e7bdf --- /dev/null +++ b/Catalog/math/FAX1.cell @@ -0,0 +1,32 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs C B A +.outputs YS YC +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B 1 vdd +pmos C 2 1 +pmos B 3 2 +pmos A 3 vdd +pmos A 4 vdd +pmos B 4 vdd +pmos C 4 vdd +pmos 2 5 4 +pmos C 6 5 +pmos B 7 6 +pmos A 7 vdd +pmos 5 YS vdd +pmos 2 YC vdd +nmos A 8 gnd +nmos B 8 gnd +nmos C 2 8 +nmos B 9 2 +nmos A 9 gnd +nmos A 10 gnd +nmos B 10 gnd +nmos C 10 gnd +nmos 2 5 10 +nmos C 11 5 +nmos B 12 11 +nmos A 12 gnd +nmos 5 YS gnd +nmos 2 YC gnd diff --git a/Catalog/math/HAX1.cell b/Catalog/math/HAX1.cell new file mode 100644 index 00000000..d361eb1d --- /dev/null +++ b/Catalog/math/HAX1.cell @@ -0,0 +1,18 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs YS YC +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B 1 vdd +pmos 1 YC vdd +pmos 1 2 vdd +pmos B 3 2 +pmos A 3 vdd +pmos 2 YS vdd +nmos A 4 gnd +nmos B 1 4 +nmos 1 YC gnd +nmos 1 5 gnd +nmos B 2 5 +nmos A 5 2 +nmos 2 YS gnd diff --git a/Catalog/muxes/MUX10.cell b/Catalog/muxes/MUX10.cell new file mode 100644 index 00000000..e456f111 --- /dev/null +++ b/Catalog/muxes/MUX10.cell @@ -0,0 +1,46 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7 IN8 IN9 S0 S1 S2 S3 S4 S5 S6 S7 S8 S9 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos IN2 INT2 vdd +nmos IN2 INT2 gnd +pmos S2 INT2 Y +nmos S2 INT2 Y +pmos IN3 INT3 vdd +nmos IN3 INT3 gnd +pmos S3 INT3 Y +nmos S3 INT3 Y +pmos IN4 INT4 vdd +nmos IN4 INT4 gnd +pmos S4 INT4 Y +nmos S4 INT4 Y +pmos IN5 INT5 vdd +nmos IN5 INT5 gnd +pmos S5 INT5 Y +nmos S5 INT5 Y +pmos IN6 INT6 vdd +nmos IN6 INT6 gnd +pmos S6 INT6 Y +nmos S6 INT6 Y +pmos IN7 INT7 vdd +nmos IN7 INT7 gnd +pmos S7 INT7 Y +nmos S7 INT7 Y +pmos IN8 INT8 vdd +nmos IN8 INT8 gnd +pmos S8 INT8 Y +nmos S8 INT8 Y +pmos IN9 INT9 vdd +nmos IN9 INT9 gnd +pmos S9 INT9 Y +nmos S9 INT9 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/muxes/MUX2.cell b/Catalog/muxes/MUX2.cell new file mode 100644 index 00000000..cf9abaa7 --- /dev/null +++ b/Catalog/muxes/MUX2.cell @@ -0,0 +1,14 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 S0 S1 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/muxes/MUX3.cell b/Catalog/muxes/MUX3.cell new file mode 100644 index 00000000..77a56be1 --- /dev/null +++ b/Catalog/muxes/MUX3.cell @@ -0,0 +1,18 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 IN2 S0 S1 S2 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos IN2 INT2 vdd +nmos IN2 INT2 gnd +pmos S2 INT2 Y +nmos S2 INT2 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/muxes/MUX4.cell b/Catalog/muxes/MUX4.cell new file mode 100644 index 00000000..9ed7b1af --- /dev/null +++ b/Catalog/muxes/MUX4.cell @@ -0,0 +1,22 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 IN2 IN3 S0 S1 S2 S3 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos IN2 INT2 vdd +nmos IN2 INT2 gnd +pmos S2 INT2 Y +nmos S2 INT2 Y +pmos IN3 INT3 vdd +nmos IN3 INT3 gnd +pmos S3 INT3 Y +nmos S3 INT3 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/muxes/MUX5.cell b/Catalog/muxes/MUX5.cell new file mode 100644 index 00000000..9939552c --- /dev/null +++ b/Catalog/muxes/MUX5.cell @@ -0,0 +1,26 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 IN2 IN3 IN4 S0 S1 S2 S3 S4 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos IN2 INT2 vdd +nmos IN2 INT2 gnd +pmos S2 INT2 Y +nmos S2 INT2 Y +pmos IN3 INT3 vdd +nmos IN3 INT3 gnd +pmos S3 INT3 Y +nmos S3 INT3 Y +pmos IN4 INT4 vdd +nmos IN4 INT4 gnd +pmos S4 INT4 Y +nmos S4 INT4 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/muxes/MUX6.cell b/Catalog/muxes/MUX6.cell new file mode 100644 index 00000000..c69af6fd --- /dev/null +++ b/Catalog/muxes/MUX6.cell @@ -0,0 +1,30 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 IN2 IN3 IN4 IN5 S0 S1 S2 S3 S4 S5 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos IN2 INT2 vdd +nmos IN2 INT2 gnd +pmos S2 INT2 Y +nmos S2 INT2 Y +pmos IN3 INT3 vdd +nmos IN3 INT3 gnd +pmos S3 INT3 Y +nmos S3 INT3 Y +pmos IN4 INT4 vdd +nmos IN4 INT4 gnd +pmos S4 INT4 Y +nmos S4 INT4 Y +pmos IN5 INT5 vdd +nmos IN5 INT5 gnd +pmos S5 INT5 Y +nmos S5 INT5 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/muxes/MUX7.cell b/Catalog/muxes/MUX7.cell new file mode 100644 index 00000000..5c6d91f1 --- /dev/null +++ b/Catalog/muxes/MUX7.cell @@ -0,0 +1,34 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 IN2 IN3 IN4 IN5 IN6 S0 S1 S2 S3 S4 S5 S6 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos IN2 INT2 vdd +nmos IN2 INT2 gnd +pmos S2 INT2 Y +nmos S2 INT2 Y +pmos IN3 INT3 vdd +nmos IN3 INT3 gnd +pmos S3 INT3 Y +nmos S3 INT3 Y +pmos IN4 INT4 vdd +nmos IN4 INT4 gnd +pmos S4 INT4 Y +nmos S4 INT4 Y +pmos IN5 INT5 vdd +nmos IN5 INT5 gnd +pmos S5 INT5 Y +nmos S5 INT5 Y +pmos IN6 INT6 vdd +nmos IN6 INT6 gnd +pmos S6 INT6 Y +nmos S6 INT6 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/muxes/MUX8.cell b/Catalog/muxes/MUX8.cell new file mode 100644 index 00000000..0d3032b9 --- /dev/null +++ b/Catalog/muxes/MUX8.cell @@ -0,0 +1,38 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7 S0 S1 S2 S3 S4 S5 S6 S7 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos IN2 INT2 vdd +nmos IN2 INT2 gnd +pmos S2 INT2 Y +nmos S2 INT2 Y +pmos IN3 INT3 vdd +nmos IN3 INT3 gnd +pmos S3 INT3 Y +nmos S3 INT3 Y +pmos IN4 INT4 vdd +nmos IN4 INT4 gnd +pmos S4 INT4 Y +nmos S4 INT4 Y +pmos IN5 INT5 vdd +nmos IN5 INT5 gnd +pmos S5 INT5 Y +nmos S5 INT5 Y +pmos IN6 INT6 vdd +nmos IN6 INT6 gnd +pmos S6 INT6 Y +nmos S6 INT6 Y +pmos IN7 INT7 vdd +nmos IN7 INT7 gnd +pmos S7 INT7 Y +nmos S7 INT7 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/muxes/MUX9.cell b/Catalog/muxes/MUX9.cell new file mode 100644 index 00000000..87316357 --- /dev/null +++ b/Catalog/muxes/MUX9.cell @@ -0,0 +1,42 @@ +.AUTOGENERATED by muxgen Perl Script +.inputs IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7 IN8 S0 S1 S2 S3 S4 S5 S6 S7 S8 +.outputs Z +.ORDER "MOSFET Gate Drain Source" +pmos IN0 INT0 vdd +nmos IN0 INT0 gnd +pmos S0 INT0 Y +nmos S0 INT0 Y +pmos IN1 INT1 vdd +nmos IN1 INT1 gnd +pmos S1 INT1 Y +nmos S1 INT1 Y +pmos IN2 INT2 vdd +nmos IN2 INT2 gnd +pmos S2 INT2 Y +nmos S2 INT2 Y +pmos IN3 INT3 vdd +nmos IN3 INT3 gnd +pmos S3 INT3 Y +nmos S3 INT3 Y +pmos IN4 INT4 vdd +nmos IN4 INT4 gnd +pmos S4 INT4 Y +nmos S4 INT4 Y +pmos IN5 INT5 vdd +nmos IN5 INT5 gnd +pmos S5 INT5 Y +nmos S5 INT5 Y +pmos IN6 INT6 vdd +nmos IN6 INT6 gnd +pmos S6 INT6 Y +nmos S6 INT6 Y +pmos IN7 INT7 vdd +nmos IN7 INT7 gnd +pmos S7 INT7 Y +nmos S7 INT7 Y +pmos IN8 INT8 vdd +nmos IN8 INT8 gnd +pmos S8 INT8 Y +nmos S8 INT8 Y +pmos Y Z vdd +nmos Y Z gnd diff --git a/Catalog/padcells/PADINC.cell b/Catalog/padcells/PADINC.cell new file mode 100644 index 00000000..1a19d973 --- /dev/null +++ b/Catalog/padcells/PADINC.cell @@ -0,0 +1,86 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs DI +.outputs YPAD +.ORDER "MOSFET Gate Drain Source" +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +nmos gnd2 2 gnd +nmos 2 3 gnd +nmos gnd2 4 gnd +nmos gnd2 4 gnd +nmos gnd2 4 gnd +nmos gnd2 4 gnd +nmos gnd2 4 gnd +nmos 2 4 gnd +nmos 2 4 gnd +nmos 2 4 gnd +nmos 2 4 gnd +nmos 2 4 gnd +nmos 3 1 4 +nmos 3 4 1 +nmos 3 1 4 +nmos 3 4 1 +nmos 5 6 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 6 DI gnd +nmos 6 DI gnd +nmos 6 DI gnd +nmos 6 DI gnd +nmos 6 DI gnd +nmos 6 DI gnd +pmos gnd2 2 vdd +pmos 2 3 vdd +pmos gnd2 1 vdd +pmos gnd2 1 vdd +pmos gnd2 1 vdd +pmos gnd2 1 vdd +pmos gnd2 1 vdd +pmos 3 1 vdd +pmos 3 1 vdd +pmos 3 1 vdd +pmos 3 1 vdd +pmos 3 1 vdd +pmos 2 4 1 +pmos 2 1 4 +pmos 2 4 1 +pmos 2 1 4 +pmos 5 6 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 6 DI vdd +pmos 6 DI vdd +pmos 6 DI vdd +pmos 6 DI vdd +pmos 6 DI vdd +pmos 6 DI vdd +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +res YPAD 5 100 +res 5 YPAD 100 diff --git a/Catalog/padcells/PADOUT.cell b/Catalog/padcells/PADOUT.cell new file mode 100644 index 00000000..798b29c9 --- /dev/null +++ b/Catalog/padcells/PADOUT.cell @@ -0,0 +1,86 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs +.outputs YPAD DO +.ORDER "MOSFET Gate Drain Source" +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +pmos 1 vdd2 YPAD +pmos 1 YPAD vdd2 +nmos vdd 2 gnd +nmos 2 3 gnd +nmos DO 4 gnd +nmos DO 4 gnd +nmos DO 4 gnd +nmos DO 4 gnd +nmos DO 4 gnd +nmos 2 4 gnd +nmos 2 4 gnd +nmos 2 4 gnd +nmos 2 4 gnd +nmos 2 4 gnd +nmos 3 1 4 +nmos 3 4 1 +nmos 3 1 4 +nmos 3 4 1 +nmos 5 6 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 6 7 gnd +nmos 6 7 gnd +nmos 6 7 gnd +nmos 6 7 gnd +nmos 6 7 gnd +nmos 6 7 gnd +pmos vdd 2 vdd +pmos 2 3 vdd +pmos DO 1 vdd +pmos DO 1 vdd +pmos DO 1 vdd +pmos DO 1 vdd +pmos DO 1 vdd +pmos 3 1 vdd +pmos 3 1 vdd +pmos 3 1 vdd +pmos 3 1 vdd +pmos 3 1 vdd +pmos 2 4 1 +pmos 2 1 4 +pmos 2 4 1 +pmos 2 1 4 +pmos 5 6 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 6 7 vdd +pmos 6 7 vdd +pmos 6 7 vdd +pmos 6 7 vdd +pmos 6 7 vdd +pmos 6 7 vdd +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +nmos 4 gnd2 YPAD +nmos 4 YPAD gnd2 +res YPAD 5 100 +res 5 YPAD 100 diff --git a/Catalog/sequential/DFFNEGX1.cell b/Catalog/sequential/DFFNEGX1.cell new file mode 100644 index 00000000..eb4ec3ed --- /dev/null +++ b/Catalog/sequential/DFFNEGX1.cell @@ -0,0 +1,26 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs D CLK +.outputs Q +.ORDER "MOSFET Gate Drain Source" +pmos CLK 1 vdd +pmos D 2 vdd +pmos 1 3 2 +pmos CLK 4 3 +pmos 5 4 vdd +pmos 3 5 vdd +pmos 5 6 vdd +pmos CLK 7 6 +pmos 1 8 7 +pmos Q 8 vdd +nmos CLK 1 gnd +pmos 7 Q vdd +nmos D 9 gnd +nmos CLK 3 9 +nmos 1 10 3 +nmos 5 10 gnd +nmos 3 5 gnd +nmos 5 11 gnd +nmos 1 7 11 +nmos CLK 12 7 +nmos Q 12 gnd +nmos 7 Q gnd diff --git a/Catalog/sequential/DFFPOSX1.cell b/Catalog/sequential/DFFPOSX1.cell new file mode 100644 index 00000000..8cbd8faa --- /dev/null +++ b/Catalog/sequential/DFFPOSX1.cell @@ -0,0 +1,26 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs D CLK +.outputs Q +.ORDER "MOSFET Gate Drain Source" +pmos CLK 1 vdd +pmos D 2 vdd +pmos CLK 3 2 +pmos 1 4 3 +pmos 5 4 vdd +pmos 3 5 vdd +pmos 5 6 vdd +pmos 1 7 6 +pmos CLK 8 7 +pmos Q 8 vdd +nmos CLK 1 gnd +pmos 7 Q vdd +nmos D 9 gnd +nmos 1 3 9 +nmos CLK 10 3 +nmos 5 10 gnd +nmos 3 5 gnd +nmos 5 11 gnd +nmos CLK 7 11 +nmos 1 12 7 +nmos Q 12 gnd +nmos 7 Q gnd diff --git a/Catalog/sequential/LATCH.cell b/Catalog/sequential/LATCH.cell new file mode 100644 index 00000000..63412cec --- /dev/null +++ b/Catalog/sequential/LATCH.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs D CLK +.outputs Q +.ORDER "MOSFET Gate Drain Source" +pmos CLK 1 vdd +pmos D 2 vdd +pmos 1 3 2 +pmos CLK 4 3 +pmos Q 4 vdd +nmos CLK 1 gnd +pmos 3 Q vdd +nmos D 5 gnd +nmos CLK 3 5 +nmos 1 6 3 +nmos Q 6 gnd +nmos 3 Q gnd diff --git a/Catalog/simple/AND2X1.cell b/Catalog/simple/AND2X1.cell new file mode 100644 index 00000000..9ccf37fa --- /dev/null +++ b/Catalog/simple/AND2X1.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B 1 vdd +pmos 1 Y vdd +nmos A 2 1 +nmos B 2 gnd +nmos 1 Y gnd diff --git a/Catalog/simple/AND2X2.cell b/Catalog/simple/AND2X2.cell new file mode 100644 index 00000000..9ccf37fa --- /dev/null +++ b/Catalog/simple/AND2X2.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B 1 vdd +pmos 1 Y vdd +nmos A 2 1 +nmos B 2 gnd +nmos 1 Y gnd diff --git a/Catalog/simple/AOI21X1.cell b/Catalog/simple/AOI21X1.cell new file mode 100644 index 00000000..e4f8975b --- /dev/null +++ b/Catalog/simple/AOI21X1.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs C B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B 1 vdd +pmos C Y 1 +nmos A 2 gnd +nmos B Y 2 +nmos C Y gnd diff --git a/Catalog/simple/AOI22X1.cell b/Catalog/simple/AOI22X1.cell new file mode 100644 index 00000000..7d10c30c --- /dev/null +++ b/Catalog/simple/AOI22X1.cell @@ -0,0 +1,12 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs D C B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B 1 vdd +pmos D Y 1 +pmos C 1 Y +nmos A 2 gnd +nmos B Y 2 +nmos D 3 Y +nmos C 3 gnd diff --git a/Catalog/simple/BUFX2.cell b/Catalog/simple/BUFX2.cell new file mode 100644 index 00000000..1957c012 --- /dev/null +++ b/Catalog/simple/BUFX2.cell @@ -0,0 +1,8 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos 1 Y vdd +nmos A 1 gnd +nmos 1 Y gnd diff --git a/Catalog/simple/BUFX4.cell b/Catalog/simple/BUFX4.cell new file mode 100644 index 00000000..f6631cfc --- /dev/null +++ b/Catalog/simple/BUFX4.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos 1 Y vdd +pmos 1 Y vdd +nmos A 1 gnd +nmos 1 Y gnd +nmos 1 Y gnd diff --git a/Catalog/simple/CLKBUF1.cell b/Catalog/simple/CLKBUF1.cell new file mode 100644 index 00000000..ae7775d0 --- /dev/null +++ b/Catalog/simple/CLKBUF1.cell @@ -0,0 +1,20 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos A 1 vdd +pmos 1 2 vdd +pmos 1 2 vdd +pmos 2 3 vdd +pmos 2 3 vdd +pmos 3 Y vdd +pmos 3 Y vdd +nmos A 1 gnd +nmos A 1 gnd +nmos 1 2 gnd +nmos 1 2 gnd +nmos 2 3 gnd +nmos 2 3 gnd +nmos 3 Y gnd +nmos 3 Y gnd diff --git a/Catalog/simple/CLKBUF2.cell b/Catalog/simple/CLKBUF2.cell new file mode 100644 index 00000000..6c616839 --- /dev/null +++ b/Catalog/simple/CLKBUF2.cell @@ -0,0 +1,28 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos A 1 vdd +pmos 1 2 vdd +pmos 1 2 vdd +pmos 2 3 vdd +pmos 2 3 vdd +pmos 3 4 vdd +pmos 3 4 vdd +pmos 4 5 vdd +pmos 4 5 vdd +pmos 5 Y vdd +pmos 5 Y vdd +nmos A 1 gnd +nmos A 1 gnd +nmos 1 2 gnd +nmos 1 2 gnd +nmos 2 3 gnd +nmos 2 3 gnd +nmos 3 4 gnd +nmos 3 4 gnd +nmos 4 5 gnd +nmos 4 5 gnd +nmos 5 Y gnd +nmos 5 Y gnd diff --git a/Catalog/simple/CLKBUF3.cell b/Catalog/simple/CLKBUF3.cell new file mode 100644 index 00000000..59104ccb --- /dev/null +++ b/Catalog/simple/CLKBUF3.cell @@ -0,0 +1,36 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos A 1 vdd +pmos 1 2 vdd +pmos 1 2 vdd +pmos 2 3 vdd +pmos 2 3 vdd +pmos 3 4 vdd +pmos 3 4 vdd +pmos 4 5 vdd +pmos 4 5 vdd +pmos 5 6 vdd +pmos 5 6 vdd +pmos 6 7 vdd +pmos 6 7 vdd +pmos 7 Y vdd +pmos 7 Y vdd +nmos A 1 gnd +nmos A 1 gnd +nmos 1 2 gnd +nmos 1 2 gnd +nmos 2 3 gnd +nmos 2 3 gnd +nmos 3 4 gnd +nmos 3 4 gnd +nmos 4 5 gnd +nmos 4 5 gnd +nmos 5 6 gnd +nmos 5 6 gnd +nmos 6 7 gnd +nmos 6 7 gnd +nmos 7 Y gnd +nmos 7 Y gnd diff --git a/Catalog/simple/INV.cell b/Catalog/simple/INV.cell new file mode 100644 index 00000000..c3112845 --- /dev/null +++ b/Catalog/simple/INV.cell @@ -0,0 +1,22 @@ +.DESCRIPTION a Not (or Inverter) gate +.cell INV +.inputs A +.outputs Y +# ^ Vdd +# | +# | +-' +# A --o| | pMOS +# | +-. +# | +# | +# *---- Y +# | +# | +# | +-' +# A ---| | nMOS +# | +-. +# | +# _|_ Gnd +pmos A Y vdd vdd 1 1 1 +nmos A Y gnd gnd 1 1 -1 +.end diff --git a/Catalog/simple/INVX1.cell b/Catalog/simple/INVX1.cell new file mode 100644 index 00000000..df6858c5 --- /dev/null +++ b/Catalog/simple/INVX1.cell @@ -0,0 +1,6 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A Y vdd +nmos A Y gnd diff --git a/Catalog/simple/INVX2.cell b/Catalog/simple/INVX2.cell new file mode 100644 index 00000000..df6858c5 --- /dev/null +++ b/Catalog/simple/INVX2.cell @@ -0,0 +1,6 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A Y vdd +nmos A Y gnd diff --git a/Catalog/simple/INVX4.cell b/Catalog/simple/INVX4.cell new file mode 100644 index 00000000..1c34a757 --- /dev/null +++ b/Catalog/simple/INVX4.cell @@ -0,0 +1,8 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A Y vdd +pmos A Y vdd +nmos A Y gnd +nmos A Y gnd diff --git a/Catalog/simple/INVX8.cell b/Catalog/simple/INVX8.cell new file mode 100644 index 00000000..56cb811a --- /dev/null +++ b/Catalog/simple/INVX8.cell @@ -0,0 +1,12 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A Y vdd +pmos A Y vdd +pmos A Y vdd +pmos A Y vdd +nmos A Y gnd +nmos A Y gnd +nmos A Y gnd +nmos A Y gnd diff --git a/Catalog/simple/MUX2X1.cell b/Catalog/simple/MUX2X1.cell new file mode 100644 index 00000000..8a347953 --- /dev/null +++ b/Catalog/simple/MUX2X1.cell @@ -0,0 +1,14 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs S B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos S 1 vdd +pmos B 2 vdd +pmos S Y 2 +pmos 1 3 Y +pmos A 3 vdd +nmos S 1 gnd +nmos B 4 gnd +nmos 1 Y 4 +nmos S 5 Y +nmos A 5 gnd diff --git a/Catalog/simple/NAND2X1.cell b/Catalog/simple/NAND2X1.cell new file mode 100644 index 00000000..20cdae95 --- /dev/null +++ b/Catalog/simple/NAND2X1.cell @@ -0,0 +1,8 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A Y vdd +pmos B Y vdd +nmos A 1 gnd +nmos B Y 1 diff --git a/Catalog/simple/NAND3X1.cell b/Catalog/simple/NAND3X1.cell new file mode 100644 index 00000000..c7fc9323 --- /dev/null +++ b/Catalog/simple/NAND3X1.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs C B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A Y vdd +pmos B Y vdd +pmos C Y vdd +nmos A 1 gnd +nmos B 2 1 +nmos C Y 2 diff --git a/Catalog/simple/NOR2X1.cell b/Catalog/simple/NOR2X1.cell new file mode 100644 index 00000000..32d5a8af --- /dev/null +++ b/Catalog/simple/NOR2X1.cell @@ -0,0 +1,8 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B Y 1 +nmos A Y gnd +nmos B Y gnd diff --git a/Catalog/simple/OAI21X1.cell b/Catalog/simple/OAI21X1.cell new file mode 100644 index 00000000..65dd5443 --- /dev/null +++ b/Catalog/simple/OAI21X1.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs C B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B Y 1 +pmos C Y vdd +nmos A 2 gnd +nmos B 2 gnd +nmos C Y 2 diff --git a/Catalog/simple/OAI22X1.cell b/Catalog/simple/OAI22X1.cell new file mode 100644 index 00000000..b2d91f3a --- /dev/null +++ b/Catalog/simple/OAI22X1.cell @@ -0,0 +1,12 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs D C B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos B Y 1 +pmos D 2 Y +pmos C 2 vdd +nmos A 3 gnd +nmos B 3 gnd +nmos D Y 3 +nmos C 3 Y diff --git a/Catalog/simple/OR2X1.cell b/Catalog/simple/OR2X1.cell new file mode 100644 index 00000000..1ff38636 --- /dev/null +++ b/Catalog/simple/OR2X1.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 2 +pmos B 1 vdd +pmos 2 Y vdd +nmos A 2 gnd +nmos B 2 gnd +nmos 2 Y gnd diff --git a/Catalog/simple/OR2X2.cell b/Catalog/simple/OR2X2.cell new file mode 100644 index 00000000..1ff38636 --- /dev/null +++ b/Catalog/simple/OR2X2.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 2 +pmos B 1 vdd +pmos 2 Y vdd +nmos A 2 gnd +nmos B 2 gnd +nmos 2 Y gnd diff --git a/Catalog/simple/TBUFX1.cell b/Catalog/simple/TBUFX1.cell new file mode 100644 index 00000000..f4a92ad7 --- /dev/null +++ b/Catalog/simple/TBUFX1.cell @@ -0,0 +1,10 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs EN A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos EN 1 vdd +pmos 1 2 Y +pmos A 2 vdd +nmos EN 1 gnd +nmos EN 3 Y +nmos A 3 gnd diff --git a/Catalog/simple/TBUFX2.cell b/Catalog/simple/TBUFX2.cell new file mode 100644 index 00000000..75f22551 --- /dev/null +++ b/Catalog/simple/TBUFX2.cell @@ -0,0 +1,14 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs EN A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos EN 1 vdd +pmos 1 Y 2 +pmos 1 2 Y +pmos A 2 vdd +pmos A 2 vdd +nmos EN 1 gnd +nmos EN Y 3 +nmos EN 3 Y +nmos A 3 gnd +nmos A 3 gnd diff --git a/Catalog/simple/XNOR2X1.cell b/Catalog/simple/XNOR2X1.cell new file mode 100644 index 00000000..28e9f244 --- /dev/null +++ b/Catalog/simple/XNOR2X1.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos 2 3 vdd +pmos 1 Y 3 +pmos A 4 Y +pmos B 4 vdd +pmos B 2 vdd +nmos A 1 gnd +nmos 2 5 gnd +nmos A Y 5 +nmos 1 6 Y +nmos B 6 gnd +nmos B 2 gnd diff --git a/Catalog/simple/XOR2X1.cell b/Catalog/simple/XOR2X1.cell new file mode 100644 index 00000000..376f17a7 --- /dev/null +++ b/Catalog/simple/XOR2X1.cell @@ -0,0 +1,16 @@ +.AUTOGENERATED by spice2cell script from /usr/share/qflow/tech/osu050/osu050_stdcells.sp +.inputs B A +.outputs Y +.ORDER "MOSFET Gate Drain Source" +pmos A 1 vdd +pmos 2 3 vdd +pmos A Y 3 +pmos 1 4 Y +pmos B 4 vdd +pmos B 2 vdd +nmos A 1 gnd +nmos 2 5 gnd +nmos 1 Y 5 +nmos A 6 Y +nmos B 6 gnd +nmos B 2 gnd From fb2d4454727b09a738653e71efbb26dbfcceeb43 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Mon, 22 Sep 2025 19:33:09 +0100 Subject: [PATCH 654/673] Adding enclosure rule back in It's needed in LibrePDK for generating DRC compliant discrete transistors --- Tech | 2 +- Tech.SG13G2/librecell_tech.py | 9 ++------- 2 files changed, 3 insertions(+), 8 deletions(-) diff --git a/Tech b/Tech index 3d5e8b91..28d888e6 120000 --- a/Tech +++ b/Tech @@ -1 +1 @@ -Tech.GF180MCU/ \ No newline at end of file +Tech.SG13G2 \ No newline at end of file diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 909e5f9c..72b2f358 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -277,17 +277,12 @@ (l_metal1, l_via1): 50*nm, # V1.c1 (l_metal2, l_via1): 50*nm, # Vn.c1 #(l_pwell, l_ndiffusion): 240*nm, # seems not to be defined - #(l_nwell, l_pdiffusion): 240*nm, + (l_nwell, l_pdiffusion): 240*nm, + (l_nwell, l_ndiffusion): 240*nm, (l_abutment_box, l_nwell): 0, (l_abutment_box, l_pwell): 0, (l_nplus, l_ndiff_contact): 90*nm, # Cnt.g1 (l_pplus, l_pdiff_contact): 90*nm, # Cnt.g2 - #(l_ndiffusion,l_nplus): 300*nm, - #(l_ndiffusion,l_pplus): 300*nm, - #(l_pdiffusion,l_nplus): 300*nm, - #(l_pdiffusion,l_pplus): 300*nm, - #(l_pwell, l_pplus): 300*nm, - #(l_nwell, l_nplus): 300*nm, } # Minimum notch rules. From dea73421bc1b2dabec5cde0b596a4a0990c19189 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Sep 2025 13:23:14 +0200 Subject: [PATCH 655/673] Switching to IHP SG13G2 --- Tech | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Tech b/Tech index 3d5e8b91..28d888e6 120000 --- a/Tech +++ b/Tech @@ -1 +1 @@ -Tech.GF180MCU/ \ No newline at end of file +Tech.SG13G2 \ No newline at end of file From 4a3d14e359c1866eae8489360b8a6d6038f803b8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Sep 2025 15:25:49 +0200 Subject: [PATCH 656/673] Slowing down the updates --- Tools/reporter.sh | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/reporter.sh b/Tools/reporter.sh index 8a33e412..3b972324 100755 --- a/Tools/reporter.sh +++ b/Tools/reporter.sh @@ -1,11 +1,11 @@ #!/bin/bash -echo This script generates a build report every 10 seconds, so that you can monitor the progress of the standard cell generation. +echo This script generates a build report every 30 seconds, so that you can monitor the progress of the standard cell generation. rm -f .done perl ../Tools/perl/buildreport.pl firefox buildreport.html & while [ ! -f .done ] ; do perl ../Tools/perl/buildreport.pl - sleep 10 + sleep 30 done From 4b60f2034643070db3852256a52d5b608aa8fe82 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Tue, 23 Sep 2025 15:26:41 +0200 Subject: [PATCH 657/673] Adding dlayoutall and clearing before doing layout --- GNUmakefile | 10 ++++++++++ 1 file changed, 10 insertions(+) diff --git a/GNUmakefile b/GNUmakefile index 1b60bdfa..c82641b9 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -104,6 +104,7 @@ clean: $(MAKE) -f simulation.mk $@ $(MAKE) -C $(TOOLSDIR)/popcorn -f GNUmakefile $@ $(MAKE) -C $(DOCUMENTSDIR)/LaTeX -f GNUmakefile $@ + $(MAKE) -C Catalog -f GNUmakefile $@ # ---------------------------------------------------------------- # TOOLS @@ -188,5 +189,14 @@ sky130_lib_3v3: .PHONY: dlayout dlayout: + cd Catalog + make clean + cd .. docker run -it -e DISPLAY=$(DISPLAY) -e CELL=$(CELL) -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools -l -c ". /root/env/bin/activate && make ihp_sg13g2_lib_1v8" +.PHONY: dlayoutall +dlayoutall: + cd Catalog + make clean + cd .. + docker run -it -e DISPLAY=$(DISPLAY) -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools -l -c ". /root/env/bin/activate && make ihp_sg13g2_lib_1v8" From 11f1ab626fc375e04eed6ae65dee9a35ae41bdf2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 27 Sep 2025 18:50:35 +0100 Subject: [PATCH 658/673] Remove redundant Make code --- Catalog/GNUmakefile | 3 --- 1 file changed, 3 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 71643d9c..ecda2fc3 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -130,9 +130,6 @@ layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdC libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/nmos.sp ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl - rm -f libresilicon.lib - ../Tools/perl/libgen.pl >libresilicon.libtemplate - libertymerge -b libresilicon.libtemplate -o libresilicon.lib -u *.lib demoboard.mag: *.cell libresilicon.sp perl ../Tools/perl/demoboard.pl >demoboard.mag From aea7b2938905e51a81dcd5cb8109235a099fe37a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 27 Sep 2025 19:02:51 +0100 Subject: [PATCH 659/673] Don't run characterization for now --- Catalog/GNUmakefile | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index ecda2fc3..4ec58a78 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -124,7 +124,8 @@ endif catalog: $(CELLS) .PHONY: layout -layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf demoboard.svg +#layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf demoboard.svg +layout: libresilicon.sp libresilicon.lef demoboard.mag doc/StdCellLib.pdf demoboard.svg libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/nmos.sp From c8f9a71acfdb8565a7c1c470ba36e14206903f65 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 27 Sep 2025 19:32:13 +0100 Subject: [PATCH 660/673] Further splitting characterization steps --- Catalog/GNUmakefile | 15 ++++++++------- 1 file changed, 8 insertions(+), 7 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 4ec58a78..21519cac 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -124,9 +124,7 @@ endif catalog: $(CELLS) .PHONY: layout -#layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf demoboard.svg -layout: libresilicon.sp libresilicon.lef demoboard.mag doc/StdCellLib.pdf demoboard.svg - +layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf demoboard.svg libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/nmos.sp ../Tools/perl/cell2spice.pl @@ -142,10 +140,13 @@ buildreport: libresilicon.lef: *.cell libresilicon.sp ../Tools/perl/lefgen.pl outputlib/*.lef >libresilicon.lef -libresilicon.lib: *.cell libresilicon.sp - ../Tools/perl/libgen.pl >libresilicon.libtemplate - rm -f libresilicon.lib - libertymerge -b libresilicon.libtemplate -o libresilicon.lib -u *.lib +%.lib : %.sp: + ../Tools/perl/librecells_charlib.pl $< $@ + +libresilicon.lib: *.sp +# ../Tools/perl/libgen.pl >libresilicon.libtemplate +# rm -f libresilicon.lib +# libertymerge -b libresilicon.libtemplate -o libresilicon.lib -u *.lib .PHONY: qflow From 5d04c9ec4dd3e7be5f92d5d35cbd4008b9ceb02a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 27 Sep 2025 19:34:43 +0100 Subject: [PATCH 661/673] rebase --- Catalog/GNUmakefile | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 21519cac..93832cc9 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -124,7 +124,8 @@ endif catalog: $(CELLS) .PHONY: layout -layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf demoboard.svg +#layout: libresilicon.sp libresilicon.lef libresilicon.lib demoboard.mag doc/StdCellLib.pdf demoboard.svg +layout: libresilicon.sp libresilicon.lef demoboard.mag doc/StdCellLib.pdf demoboard.svg libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/nmos.sp ../Tools/perl/cell2spice.pl @@ -140,10 +141,10 @@ buildreport: libresilicon.lef: *.cell libresilicon.sp ../Tools/perl/lefgen.pl outputlib/*.lef >libresilicon.lef -%.lib : %.sp: +%.lib: %.sp: ../Tools/perl/librecells_charlib.pl $< $@ -libresilicon.lib: *.sp +#libresilicon.lib: *.lib # ../Tools/perl/libgen.pl >libresilicon.libtemplate # rm -f libresilicon.lib # libertymerge -b libresilicon.libtemplate -o libresilicon.lib -u *.lib From c72de38933106ed12b7a267dea35dcba3f8470fc Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 27 Sep 2025 19:35:11 +0100 Subject: [PATCH 662/673] rebase --- Catalog/GNUmakefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index 93832cc9..b0632d0c 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -141,7 +141,7 @@ buildreport: libresilicon.lef: *.cell libresilicon.sp ../Tools/perl/lefgen.pl outputlib/*.lef >libresilicon.lef -%.lib: %.sp: +%.lib: %.sp ../Tools/perl/librecells_charlib.pl $< $@ #libresilicon.lib: *.lib From ab5fb1e8b43ad79c3cc5215626f6598fd6231383 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 27 Sep 2025 19:38:00 +0100 Subject: [PATCH 663/673] rebase --- Catalog/GNUmakefile | 1 + 1 file changed, 1 insertion(+) diff --git a/Catalog/GNUmakefile b/Catalog/GNUmakefile index b0632d0c..a036be32 100644 --- a/Catalog/GNUmakefile +++ b/Catalog/GNUmakefile @@ -128,6 +128,7 @@ catalog: $(CELLS) layout: libresilicon.sp libresilicon.lef demoboard.mag doc/StdCellLib.pdf demoboard.svg libresilicon.sp: *.cell ../Tech/librecell_tech.py ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl ../Tech/nmos.sp + echo "Generating the cells $(CELLS)" ../Tools/perl/cell2spice.pl ../Tools/perl/librecells.pl From 485c76194f834d9890bc4491a9bfbf6840178633 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 3 Oct 2025 21:53:51 +0200 Subject: [PATCH 664/673] order change so that we can see the cells while they are characterized --- Tools/perl/librecells.pl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Tools/perl/librecells.pl b/Tools/perl/librecells.pl index c979d559..6287ce25 100755 --- a/Tools/perl/librecells.pl +++ b/Tools/perl/librecells.pl @@ -232,6 +232,8 @@ ($) ; close OUT; + step("NEXT STEP: mag2svg"); + system "../Tools/perl/mag2svg.pl $cellname.mag $cellname.svg" if(-f "$cellname.mag"); step("NEXT STEP: Generating Liberty Template"); @@ -258,8 +260,6 @@ ($) #system "gds2mag --config ~/libresilicon/gds2mag/example/example_config.toml -i outputlib/$1.gds -o _$1.mag"; # exit; # Stop after doing one cell - step("NEXT STEP: mag2svg"); - system "../Tools/perl/mag2svg.pl $cellname.mag $cellname.svg" if(-f "$cellname.mag"); step("NEXT STEP: mag2siliwiz"); system "../Tools/perl/mag2siliwiz.pl <$cellname.mag >$cellname.json" if(-f "$cellname.mag"); From a17c7e214d4727dba69cf4882f08f7385ade97d2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 3 Oct 2025 21:56:04 +0200 Subject: [PATCH 665/673] Improved error handling --- Tools/perl/mag2svg.pl | 24 +++++++++++++++--------- 1 file changed, 15 insertions(+), 9 deletions(-) diff --git a/Tools/perl/mag2svg.pl b/Tools/perl/mag2svg.pl index 59bc9226..2f9bdb67 100755 --- a/Tools/perl/mag2svg.pl +++ b/Tools/perl/mag2svg.pl @@ -17,7 +17,7 @@ sub initColors() { - my $dir=$ENV{'MAGIC_DIR'} || "/usr/local/lib/magic/sys"; + my $dir=$ENV{'MAGIC_DIR'} || "/usr/local/lib/magic/sys"; if(open(IN,"<$dir/mos.24bit.std.cmap")) { while() @@ -42,11 +42,11 @@ () #print "$8:$c\n"; } } - close IN; + close IN; } foreach my $tech (<$dir/*.tech>) { - #print "tech$tech\n"; + #print "tech$tech\n"; open IN,"<$tech"; while() { @@ -124,10 +124,10 @@ ($$) system "$0 $flat.mag $svg $tech"; unlink $flat; exit; - } + } elsif(m/^rect (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*) (\-?\d+\.?\d*)/) { - #print "Rect\n"; + #print "Rect\n"; next if(defined($ignorelayers{$layer})); my $width=$3-$1; my $height=$4-$2; @@ -158,8 +158,9 @@ ($$) if($width) { print "Writing $svg\n"; - open OUT,">$svg"; - print OUT <$svg") + { + print OUT < EOF - ; - close OUT; + ; + close OUT; + } + else + { + print "Error when writing svg to $svg: $!\n"; + } } else { From 1229bacea4f8f7d07ca5987bf91755cab8e659ae Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Fri, 3 Oct 2025 21:56:15 +0200 Subject: [PATCH 666/673] Improved value gathering --- Tools/perl/buildreport.pl | 16 ++++++++++++---- 1 file changed, 12 insertions(+), 4 deletions(-) diff --git a/Tools/perl/buildreport.pl b/Tools/perl/buildreport.pl index 32c29f30..c362c73c 100755 --- a/Tools/perl/buildreport.pl +++ b/Tools/perl/buildreport.pl @@ -99,6 +99,7 @@ my $magfile=$file; $magfile=~s/\.cell$/.mag/; my $drcfile=$file; $drcfile=~s/\.cell$/.drc/; my $libfile=$file; $libfile=~s/\.cell$/.lib/; + my $libtemplatefile=$file; $libtemplatefile=~s/\.cell$/.libtemplate/; my $svgfile=$file; $svgfile=~s/\.cell$/.svg/; my $usagefile=$file; $usagefile=~s/\.cell$/.usage/; my $schfile="doc/".$file; $schfile=~s/\.cell$/_svg.png/; @@ -147,11 +148,19 @@ print OUT "".(-f $spfile?"":"X").""; print OUT "".(-f $spicefile?"":"X").""; - if(open LIB,"<$libfile") + if(open LIB,"<$libtemplatefile") { while() { - $area=$1 if m/area:\s*(\d+)\.?\d*\s*/; + $area=$1 if m/area ?:\s*(\d+)\.?\d*\s*/; + } + close LIB; + } + if(open LIB,"<$logfile") + { + while() + { + $nets=$1 if(m/Nets output: (\d+)/); } close LIB; } @@ -162,8 +171,7 @@ $lvs=$1 if(m/LVS result: (\w+)/ && $lvs eq ""); $euler=$1 if m/Number of deduplicated eulertours: (\d+)/; $layouttime=$1 if(m/INFO:\s*Done\s*\(Total duration: ([\d:.]+)\s*\)/); - $nets=$1 if(m/Nets output: (\d+)/); - $routing=$1 if(m/Routing iteration (\d+)/); + $routing=$1 if(m/Routing iteration (\d+)/ && $1); $ports=scalar(split(",",$1)) if(m/Subcircuit ports: (.*)/); $errors.=$1." " if(m/AssertionError: (.*)/); $errors.=$1." " if(m/Exception: (.*)/); From 46c8a143809d84bf4c511c9a08d8e76fa100832c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sat, 4 Oct 2025 17:36:51 +0200 Subject: [PATCH 667/673] Trying to add individual bulks --- Tools/perl/cell2spice.pl | 14 +++++++++++++- 1 file changed, 13 insertions(+), 1 deletion(-) diff --git a/Tools/perl/cell2spice.pl b/Tools/perl/cell2spice.pl index 7863ad66..9060c8f3 100755 --- a/Tools/perl/cell2spice.pl +++ b/Tools/perl/cell2spice.pl @@ -50,7 +50,19 @@ { $ios.=" ".$2; } - if(m/^([pn]mos) (\w+) (\w+) (\w+)/i) + if(m/^([pn]mos) (\w+) (\w+) (\w+) (\w+)/i) + { + my($t,$g,$d,$s,$x)=($1,$2,$3,$4,$5); + $transistors.="M$M ".uc("$d $g $s $x")." $t ".(($t eq "pmos") ? $ptech:$ntech)."\n"; + #M1 vdd B a_2_6# vdd pmos w=0.5u l=0.05u + #M2 Y a_2_6# vdd vdd pmos w=0.5u l=0.05u + #M3 a_9_6# A a_2_6# gnd nmos w=0.5u l=0.05u + #M4 gnd B a_9_6# gnd nmos w=0.5u l=0.05u + #M5 Y a_2_6# gnd gnd nmos w=0.25u l=0.05u + #$transistors.="+ ad=0p pd=0u as=0p ps=0u\n"; + $M++; + } + elsif(m/^([pn]mos) (\w+) (\w+) (\w+)/i) { my($t,$g,$d,$s)=($1,$2,$3,$4); my $x=($t eq "pmos")?"vdd":"gnd"; From 73209456ab1134e6fef5ad801e8cff2424737c58 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Sat, 4 Oct 2025 17:04:12 +0100 Subject: [PATCH 668/673] Adding Driver Logic cell for pad cells --- Catalog/padcells/DRIVER_LOGIC.cell | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100644 Catalog/padcells/DRIVER_LOGIC.cell diff --git a/Catalog/padcells/DRIVER_LOGIC.cell b/Catalog/padcells/DRIVER_LOGIC.cell new file mode 100644 index 00000000..bdd5b151 --- /dev/null +++ b/Catalog/padcells/DRIVER_LOGIC.cell @@ -0,0 +1,9 @@ +.cell DRIVER_LOGIC +.inputs I E +.outputs O +pmos E 1 vdd vdd g 1 1 +1 +nmos E 1 gnd gnd 1 1 1 -1 +pmos I 2 vdd vdd g 1 1 +1 +pmos E O 2 2 g 1 1 +1 +nmos 1 O 3 3 1 1 1 -1 +nmos I 3 gnd gnd 1 1 1 -1 From 625086ebd2887d2ea22f6846b135b78d79fe9984 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Sun, 12 Oct 2025 18:30:16 +0200 Subject: [PATCH 669/673] No more accidental cleaning of good results --- GNUmakefile | 8 +------- 1 file changed, 1 insertion(+), 7 deletions(-) diff --git a/GNUmakefile b/GNUmakefile index c82641b9..08fa8dc0 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -89,7 +89,7 @@ help: # make archive by building a tarball with all important files .PHONY: dist -dist: clean +dist: echo 1 >Catalog/.done cd Catalog && ../Tools/perl/buildreport.pl && cd .. $(ECHO) "---- build a tarball with all important files ----" @@ -189,14 +189,8 @@ sky130_lib_3v3: .PHONY: dlayout dlayout: - cd Catalog - make clean - cd .. docker run -it -e DISPLAY=$(DISPLAY) -e CELL=$(CELL) -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools -l -c ". /root/env/bin/activate && make ihp_sg13g2_lib_1v8" .PHONY: dlayoutall dlayoutall: - cd Catalog - make clean - cd .. docker run -it -e DISPLAY=$(DISPLAY) -v /tmp/.X11-unix:/tmp/.X11-unix -v `pwd`:/work leviathanch/libresilicon-tools -l -c ". /root/env/bin/activate && make ihp_sg13g2_lib_1v8" From 1fba15d54cc4f7e42acc9575b4269a1c17c3ddf6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 24 Oct 2025 12:12:30 +0100 Subject: [PATCH 670/673] Reducing channel length --- Tech.SG13G2/librecell_tech.py | 28 +++++++++++++++++++++------- Tech.SG13G2/nmos.sp | 2 +- Tech.SG13G2/pmos.sp | 2 +- 3 files changed, 23 insertions(+), 9 deletions(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 72b2f358..2ba008a7 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -33,6 +33,8 @@ my_pwell = (46, 0) # This layer is reserved for internal use of IHP! We must not draw on it, since Pwell is automatically generated by everything that is not NWell or PWell:Block +my_pwell_block = (46, 21) # Defines areas where no well implants are allowed PWL:=NOT(NWell OR PWellBlock) + my_poly = (5, 0) # poly silicium for gates -> poly + ntransistor + ptransistor my_poly_label = (5, 2) @@ -54,6 +56,8 @@ my_pplus = (14,0) # PSD my_nplus = (7,0) # NSD +l_pwell_block = "pwell_block" + # lclayout internally uses its own layer numbering scheme. # For the final output the layers can be remapped with a mapping # defined in this dictioinary. @@ -62,6 +66,7 @@ l_pdiffusion: my_diffusion, l_nwell: my_nwell, #l_pwell: my_pwell, # We must not draw on pwell, since it is reserved for internal use of IHP! + l_pwell_block : my_pwell_block, l_poly: my_poly, l_poly_contact: my_mcon, l_pdiff_contact: my_mcon, @@ -90,6 +95,7 @@ output_map_magic = { l_nwell: l_nwell, l_pwell: l_pwell, +# l_pwell_block: "PWELLBLK", l_via1: l_via1, l_poly: l_poly, l_metal1: l_metal1, @@ -151,6 +157,7 @@ # Minimum spacing rules for layer pairs. min_spacing = { (l_ndiffusion, l_ndiffusion): 210*nm, # Act.b + (l_ndiffusion, l_pdiffusion): 210*nm, # Act.b (l_pdiffusion, l_ndiffusion): 210*nm, # Act.b (l_pdiffusion, l_pdiffusion): 210*nm, # Act.b (l_ndiffusion, l_poly_contact): 140*nm, # Cnt.e @@ -159,6 +166,7 @@ (l_nwell, l_nwell): 620*nm, # NW.b (l_nwell, l_pwell): 0*nm, (l_pwell, l_pwell): 620*nm, # PWB.b + (l_pwell_block, l_ndiffusion): 240*nm, (l_poly, l_ndiffusion): 70*nm, # Gat.d (l_poly, l_pdiffusion): 70*nm, # Gat.d (l_poly, l_poly): 180*nm, # Gat.b @@ -176,6 +184,9 @@ (l_nplus, l_ndiffusion): 300*nm, (l_pplus, l_pdiffusion): 300*nm, (l_pplus, l_ndiffusion): 300*nm, + # hack for making bulk work + (l_pdiff_contact, l_ndiffusion): 220*nm, # M1.e + (l_ndiff_contact, l_pdiffusion): 220*nm, # M1.e } # Layer for the pins. @@ -190,8 +201,8 @@ # Width of the gate polysilicon stripe. # is reused as the minimum_width for the l_poly layer #gate_length_pmos = 280*nm # 140 # 70 -gate_length_pmos = 340*nm -gate_length_nmos = 340*nm +gate_length_pmos = 130*nm +gate_length_nmos = 130*nm # Minimum length a polysilicon gate must overlap the silicon. gate_extension = 180*nm # Gat.c @@ -220,8 +231,8 @@ # Minimum width of polysilicon gate stripes. # It increases w and l from the spice netlist, so it must be width from the spice netlist -minimum_gate_width_nfet = gate_length_nmos*nm # (poly.1a) -minimum_gate_width_pfet = gate_length_pmos*nm # (poly.1a) +minimum_gate_width_nfet = 150*nm # (poly.1a) +minimum_gate_width_pfet = 150*nm # (poly.1a) # Minimum width for pins. minimum_pin_width = 130*nm @@ -262,6 +273,7 @@ l_metal1: 160*nm, # M1.a l_metal2: 200*nm, # Mn.a l_nwell: 620*nm, # NW.a + l_pwell_block: 620*nm, # PWB.a l_pwell: 620*nm } @@ -276,9 +288,11 @@ (l_metal1, l_poly_contact): 50*nm, # M1.c1 (l_metal1, l_via1): 50*nm, # V1.c1 (l_metal2, l_via1): 50*nm, # Vn.c1 - #(l_pwell, l_ndiffusion): 240*nm, # seems not to be defined - (l_nwell, l_pdiffusion): 240*nm, - (l_nwell, l_ndiffusion): 240*nm, + (l_nwell, l_pdiffusion): 310*nm, # NW.c + (l_nwell, l_ndiffusion): 240*nm, # NW.e + #Setting l_pwell, l_pdiffusion enclosure to NW.e is + #a hack for making the guard rings work. + (l_pwell, l_pdiffusion): 240*nm, # NW.e (l_abutment_box, l_nwell): 0, (l_abutment_box, l_pwell): 0, (l_nplus, l_ndiff_contact): 90*nm, # Cnt.g1 diff --git a/Tech.SG13G2/nmos.sp b/Tech.SG13G2/nmos.sp index 5e7be924..e2df8086 100644 --- a/Tech.SG13G2/nmos.sp +++ b/Tech.SG13G2/nmos.sp @@ -1 +1 @@ -w=0.350u l=0.340u +w=0.340u l=0.130u diff --git a/Tech.SG13G2/pmos.sp b/Tech.SG13G2/pmos.sp index d2fd6a65..e2df8086 100644 --- a/Tech.SG13G2/pmos.sp +++ b/Tech.SG13G2/pmos.sp @@ -1 +1 @@ -w=0.350u l=0.280u +w=0.340u l=0.130u From 8a689cf6406628a232ed75b5cf769f9526900fda Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 24 Oct 2025 12:43:20 +0100 Subject: [PATCH 671/673] Update pipeline --- .gitlab-ci.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 2070ac74..e371801c 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -10,21 +10,21 @@ stages: sky130_lib_3v3: stage: build - script: . /root/env/bin/activate && make sky130_lib_3v3 + script: . ~/.venv/bin/activate && make sky130_lib_3v3 artifacts: paths: - sky130_lib_3v3 gf180_lib_3v3: stage: build - script: . /root/env/bin/activate && make gf180_lib_3v3 + script: . ~/.venv/bin/activate && make gf180_lib_3v3 artifacts: paths: - gf180_lib_3v3 ihp_sg13g2_lib_1v8: stage: build - script: . /root/env/bin/activate && make ihp_sg13g2_lib_1v8 + script: . ~/.venv/bin/activate && make ihp_sg13g2_lib_1v8 artifacts: paths: - ihp_sg13g2_lib_1v8 From d96637b0df9303974537788aa651d7db84dd3fd7 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20Lanzend=C3=B6rfer?= Date: Fri, 24 Oct 2025 13:22:58 +0100 Subject: [PATCH 672/673] Flipping minimum gate dimensions Gate dimensions and channel dimensions are the other way around --- Tech.SG13G2/librecell_tech.py | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Tech.SG13G2/librecell_tech.py b/Tech.SG13G2/librecell_tech.py index 2ba008a7..2350a4ba 100644 --- a/Tech.SG13G2/librecell_tech.py +++ b/Tech.SG13G2/librecell_tech.py @@ -201,8 +201,8 @@ # Width of the gate polysilicon stripe. # is reused as the minimum_width for the l_poly layer #gate_length_pmos = 280*nm # 140 # 70 -gate_length_pmos = 130*nm -gate_length_nmos = 130*nm +gate_length_pmos = 150*nm +gate_length_nmos = 150*nm # Minimum length a polysilicon gate must overlap the silicon. gate_extension = 180*nm # Gat.c @@ -231,8 +231,8 @@ # Minimum width of polysilicon gate stripes. # It increases w and l from the spice netlist, so it must be width from the spice netlist -minimum_gate_width_nfet = 150*nm # (poly.1a) -minimum_gate_width_pfet = 150*nm # (poly.1a) +minimum_gate_width_nfet = 130*nm # (poly.1a) +minimum_gate_width_pfet = 130*nm # (poly.1a) # Minimum width for pins. minimum_pin_width = 130*nm From b4c554aa0b559fb7ecb10a1fac0f3e5c191a30d3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Philipp=20G=C3=BChring?= Date: Thu, 30 Oct 2025 19:13:14 +0100 Subject: [PATCH 673/673] Adding AOI/OAI support --- Tools/perl/truthtable.pl | 404 +++++++++++++++++++++++++++++++-------- 1 file changed, 328 insertions(+), 76 deletions(-) diff --git a/Tools/perl/truthtable.pl b/Tools/perl/truthtable.pl index 11d776f9..d6eec48b 100755 --- a/Tools/perl/truthtable.pl +++ b/Tools/perl/truthtable.pl @@ -1,22 +1,23 @@ #!/usr/bin/perl -w use strict; use Getopt::Long; +use Data::Dumper; -# Parameters and their default values: +# Commandine Parameters and their default values: our $debug=0; -our $format="text"; # html latex text liberty verilog +our $format="text"; # html latex text liberty verilog testcad # Parsing the commandline parameters: GetOptions ("debug" => \$debug, "v" => \$debug, - "format=s" => \$format); # text,html,latex,liberty,verilog,testcad + "format=s" => \$format); -our $testcadcounter=1; +our $testcadcounter=1; # counts the inputs, only needed for testcad output # Convert a value to the gray code value: sub bin2gray { - return $_[0] ^ ($_[0] >> 1); + return $_[0] ^ ($_[0] >> 1); } sub verb # verbose debug output @@ -24,50 +25,60 @@ sub verb # verbose debug output print $_[0] if($debug); } -# Calculating the truth table for +our %errorseen=(); +sub myerror($) # prints error messages just once +{ + if(!defined($errorseen{$_[0]})) + { + print STDERR $_[0]; + } + $errorseen{$_[0]}=1; +} + +# Calculating the truth table for given netlist and input vectors sub truth { - my @l=@{$_[0]}; - my %v=%{$_[1]}; + my @lines=@{$_[0]}; # lines from the netlist which contains transistors + my %values=%{$_[1]}; # input values (input name -> input value) verb "\nCalculating Truth table ...\n"; - my @todo=@l; - my %iv=%v; + my @todo=@lines; # Any transistors that have been switched and delivered a voltage already do not need to be tried again in the next step + my %iv=%values; # input vectors - #verb "Input A: $iv{A}-$v{A}\n"; + #verb "Input A: $iv{A}-$values{A}\n"; verb "Inputs: "; verb "$_=$iv{$_} " foreach(sort keys %iv); verb "\n"; - my $done=0; - my $hadwork=0; + my $done=0; # Are we done yet? + my $hadwork=0; # Here we will remember whether any progress was made during a step while(!$done) { - my @nexttodo=(); - $hadwork=0; + my @nexttodo=(); # Here we collect the transistors that we need to re-check in the next step + $hadwork=0; # Did we succeed to flow the eletricity further in this step? If not, then we can stop since we can't make any more progress. foreach(@todo) { s/\s+$//m; verb "Line: $_\n"; - if(m/^res (\w+) (\w+) (\d+\.?\d*)/i) + if(m/^res (\w+) (\w+) (\d+\.?\d*)/i) # We assume that resistors have a low resistance and pass the current { - my ($n1,$n2,$v)=($1,$2,$3); + my ($n1,$n2,$v)=($1,$2,$3); verb "Resistor found\n"; my $i1=($n1=~m/^(vdd|gnd)$/i)?$n1:(defined($iv{$n1}) && $iv{$n1}=~m/^(vdd|gnd|0|1)$/i)?$iv{$n1}:undef; verb "i1: ".($i1||"")."\n"; my $i2=($n2=~m/^(vdd|gnd)$/i)?$n2:(defined($iv{$n2}) && $iv{$n2}=~m/^(vdd|gnd|0|1)$/i)?$iv{$n2}:undef; verb "i2: ".($i2||"")."\n"; if((defined($i1) && defined($i2)) && (($i1=~m/vdd/i && $i2=~m/gnd/i) || ($i1=~m/vdd/i && $i2=~m/gnd/i))) - { + { # looking for a short circuit between vdd and gnd die "ERROR: Short circuit detected: $n1->$i1->$iv{$n1} $n2->$i2->$iv{$2}!\n"; } - if(defined($i1)) + if(defined($i1)) # we have a voltage flowing from i1 to i2 { verb "Setting: $n2 <= $i1\n"; $iv{$n2}=$i1; } - if(defined($i2)) + if(defined($i2)) # we have a voltage flowing from i2 to i1 { verb "Setting: $n1 <= $i2\n"; $iv{$n1}=$i2; @@ -76,44 +87,44 @@ sub truth push @nexttodo,$_ if((!defined($iv{$n1})) && (!defined($iv{$n2}))); verb "Status: Net1: $n1-".($iv{$n1}||"")." Net2: $n2-".($iv{$n2}||"")."\n"; } - if(m/^([pn]mos) (\w+) (\w+) (\w+)/i) + if(m/^([pn]mos) (\w+) (\w+) (\w+)/i) # We are handling a Transistor here { - my ($tr,$s,$g,$d)=($1,$2,$3,$4); - #$g=~s/^(\d+)$/$tr$1/; This was necessary when popcorn generated same names for different internal nets in nmos and pmos - #$d=~s/^(\d+)$/$tr$1/; But it failed for less structured cells (e.g. transmission gates) + my ($tr,$gate,$drain,$source)=($1,$2,$3,$4); + #$drain=~s/^(\d+)$/$tr$1/; This was necessary when popcorn generated same names for different internal nets in nmos and pmos + #$source=~s/^(\d+)$/$tr$1/; But it failed for less structured cells (e.g. transmission gates) verb "Transistor: $_\n"; - if(defined($iv{$s})) + if(defined($iv{$gate})) { - my $source=$iv{$s}; $source=~s/vdd/1/i; $source=~s/gnd/0/i; - my $t=$source ^ ($tr=~m/nmos/i ?0:1); - if($t) + my $gatevalue=$iv{$gate}; $gatevalue=~s/vdd/1/i; $gatevalue=~s/gnd/0/i; + my $conducting=$gatevalue ^ ($tr=~m/nmos/i ?0:1); + if($conducting) { verb "Transitor conducting\n"; - verb "g: $g iv{g}=".($iv{$g}||"")."\n"; - verb "d: $d iv{d}=".($iv{$d}||"")."\n"; - my $ig=($g=~m/^(vdd|gnd)$/i)?$g:(defined($iv{$g}) && $iv{$g}=~m/^(vdd|gnd|0|1)$/i)?$iv{$g}:undef; - verb "ig: ".($ig||"")."\n"; - my $id=($d=~m/^(vdd|gnd)$/i)?$d:(defined($iv{$d}) && $iv{$d}=~m/^(vdd|gnd|0|1)$/i)?$iv{$d}:undef; - verb "id: ".($id||"")."\n"; - - if((defined($ig) && defined($id)) && (($ig=~m/vdd/i && $id=~m/gnd/i) || ($ig=~m/vdd/i && $id=~m/gnd/i))) + verb "drain: $drain iv{drain}=".($iv{$drain}||"")."\n"; + verb "source: $source iv{source}=".($iv{$source}||"")."\n"; + my $idrain=($drain=~m/^(vdd|gnd)$/i)?$drain:(defined($iv{$drain}) && $iv{$drain}=~m/^(vdd|gnd|0|1)$/i)?$iv{$drain}:undef; + verb "idrain: ".($idrain||"")."\n"; + my $isource=($source=~m/^(vdd|gnd)$/i)?$source:(defined($iv{$source}) && $iv{$source}=~m/^(vdd|gnd|0|1)$/i)?$iv{$source}:undef; + verb "isource: ".($isource||"")."\n"; + + if((defined($idrain) && defined($isource)) && (($idrain=~m/vdd/i && $isource=~m/gnd/i) || ($idrain=~m/vdd/i && $isource=~m/gnd/i))) { - die "ERROR: Short circuit detected: $g->$ig->$iv{$g} $d->$id->$iv{$d}!\n"; + die "ERROR: Short circuit detected: $drain->$idrain->$iv{$drain} $source->$isource->$iv{$source}!\n"; } - if(defined($ig)) + if(defined($idrain)) { - verb "Setting: $d <= $ig\n"; - $iv{$d}=$ig; + verb "Setting: $source <= $idrain\n"; + $iv{$source}=$idrain; } - if(defined($id)) + if(defined($isource)) { - verb "Setting: $g <= $id\n"; - $iv{$g}=$id; + verb "Setting: $drain <= $isource\n"; + $iv{$drain}=$isource; } - $hadwork=1 if(defined($id) || defined($ig)); - push @nexttodo,$_ if((!defined($iv{$d})) && (!defined($iv{$g}))); - verb "Status: Source: $d-".($iv{$d}||"")." Drain: $g-".($iv{$g}||"")."\n"; + $hadwork=1 if(defined($isource) || defined($idrain)); + push @nexttodo,$_ if((!defined($iv{$source})) && (!defined($iv{$drain}))); + verb "Status: Source: $source-".($iv{$source}||"")." Drain: $drain-".($iv{$drain}||"")."\n"; } else { @@ -156,6 +167,9 @@ sub truth print "Usage: truthtable.pl \n"; } + + + # Take all the given filenames from the commandline foreach my $file(@ARGV) { @@ -173,6 +187,8 @@ sub truth my %outputs=(); my %differential=(); + our %contact=(); + # Here we are parsing all transistor lines for input-, output- and intermediate nets # But this is just a guess: foreach(@lines) @@ -182,11 +198,17 @@ sub truth $inputs{$1}=1 if(m/^[pn]mos\s*([A-W]+\d*)/); $intermediates{$1}=1 if(m/^[pn]mos.*([X-Y]\w*\d*)/); $outputs{$1}=1 if(m/^[pn]mos.*\w+ ([X-Z]\w*\d*)/); + if(m/^[pn]mos\s*(\w+) (\w+) (\w+)/) + { + $contact{$2}{$1}=1; + $contact{$3}{$1}=1; + } } delete($outputs{"Y"}) if(defined($outputs{"Z"})); # If we have Z, then Y is an internal net and Z is the output net - my @ins=sort keys %inputs; - my @outs=sort keys %outputs; + our @ins=sort keys %inputs; + our @outs=sort keys %outputs; + our %insmap=(); # Now we are parsing for the real inputs and ouputs if they are available foreach my $line(@lines) @@ -196,6 +218,12 @@ sub truth } $inputs{$_}=1 foreach(@ins); + # Now creating a reverse lookup map so that we can get the number of the input from the name: + foreach my $i(0 .. scalar(@ins)-1) + { + $insmap{$ins[$i]}=$i; + } + foreach my $a(@ins) { if($a=~m/_n$/) @@ -209,6 +237,27 @@ sub truth } } + our %monitor=(); + our %isgood=(); + our %seen=(); + # Now we are analyzing the contacts of the transistor net to find potential candidates for AOI/OAI aggregations: + foreach my $net(sort keys %contact) + { + my @contacts=sort keys %{$contact{$net}}; + verb "net $net: ".join(" ",@contacts)."\n"; + if(scalar(@contacts)==2 && defined($inputs{$contacts[0]}) && defined($inputs{$contacts[1]})) + { + verb "GOOD $contacts[0] $contacts[1]\n"; + $monitor{$contacts[0]}{$contacts[1]}=1; + foreach my $out(@outs) + { + $isgood{$out}{$contacts[0]}{$contacts[1]}{"&"}=1; + $isgood{$out}{$contacts[0]}{$contacts[1]}{"|"}=1; + } + } + } + + my $ninputs=scalar(@ins); my $noutputs=scalar(@outs); my $combinations=2**$ninputs; # We calculate the number of possible combinations in the truthtable @@ -336,7 +385,55 @@ sub truth push @a,$res{$_}?"$_":"(!$_)"; # Here we are collecting all values for a AO representation, e.g. (A && !B && C) || (!A && B && C)) "Sum-of-Product" } push @{$results{$out}{$res{$out}}},join($format eq "liberty"?"&":" && ",@a); # Here the single values are put together: (A && !B && C) "Sum-of-Product" - } + + + # Now we are specifically checking for AOI/OAI + foreach my $first (sort keys %monitor) + { + foreach my $second (sort keys %{$monitor{$first}}) + { + myerror("Error in $file: IO $first not found!\n") if(!defined($insmap{$first})); + myerror("Error in $file: IO $second not found!\n") if(!defined($insmap{$second})); + my $fn=$insmap{$first} || 0; + my $sn=$insmap{$second} || 0; + my $fv=$values{$first}; + my $sv=$values{$second}; + verb "F:$first:$fv S:$second:$sv\n"; + my $and=$fv && $sv; + my $or=$fv || $sv; + my $rest=""; + foreach my $i(@ins) # 0 .. $ninputs-1) + { + verb "i:$i ninputs:$ninputs\n"; + $rest.=$values{$i}."*" unless($i eq $first || $i eq $second); + } + verb "fn:$fn fv:$fv sn:$sn sv:$sv and:$and or:$or rest:$rest\n"; + foreach my $op (("&:$and","|:$or")) + { + my $idx="$out $first $second $op $rest"; + my $wert=$res{$out}; + if(defined($seen{$idx}) && $seen{$idx} eq $wert) + { + verb "Still Good Pair: $first $second\n"; + } + elsif(defined($seen{$idx})) + { + verb "Bad pair: out:$out $first $second $op (idx:$idx seen:$seen{$idx} exected:$wert)\n"; + #$isgood{$out}{$first}{$second}{substr($op,0,1)}=0; + delete $isgood{$out}{$first}{$second}{substr($op,0,1)}; + } + else + { + verb "Initial pair value: $wert\n"; + $seen{$idx}=$wert; + } + } # foreach $op + } # foreach $second input + } # foreach $first input + # We are done with the AOI/OAI checks + + + } # foreach $out outputs if($format eq "text") { @@ -353,46 +450,199 @@ sub truth } print "" if($format eq "html"); print "\n" if($format eq "text" || $format eq "html"); - } + + } # foreach $i all input combinations + print "\n" if($format eq "html"); - foreach my $out (@outs) # We might have more than one output of a cell + + foreach my $out (@outs) # We might have more than one output of a cell + { + + # Finally checking whether we can compress the function for AOI/OAI here: + my $npos=0; + my @newinputs=(); + my %lookup=(); + my $aoioaifound=0; + + foreach my $first(sort keys %{$isgood{$out}}) + { + foreach my $second(sort keys %{$isgood{$out}{$first}}) + { + verb "AOI for out:$out first:$first second:$second\n"; + foreach my $op(sort keys %{$isgood{$out}{$first}{$second}}) + { + #print "GOOD COMBINATION: out:$out $first $second $op\n"; # $isgood{$first}{$second}{$op}\n"; + $aoioaifound=1; + my $isfirst=defined($lookup{$first.$op}); + if($isfirst || defined($lookup{$second.$op})) + { + my $pos=$isfirst?$lookup{$first.$op}:$lookup{$second.$op}; + $newinputs[$pos].=$op.($isfirst?$second:$first); + $lookup{$first.$op}=$pos; + $lookup{$second.$op}=$pos; + } + else + { + #print "Adding new combo to position $npos\n"; + push @newinputs,"$first$op$second"; + $lookup{$first.$op}=$npos; + $lookup{$second.$op}=$npos; + $npos++; + } + } + } + } + if($aoioaifound) # we have found several inputs that are always and/or'ed for this particular output { + #print "function: $out = AOI/OAI compressed: "; + %results=(); + + foreach(@ins) + { + if(!defined($lookup{$_."|"}) && !defined($lookup{$_."&"})) + { + push @newinputs,$_; + } + } + foreach(@newinputs) + { + #print "($_) "; + } + #print "\n"; + + my $nnewinputs=scalar(@newinputs); + our %newsum=(); + + foreach my $i(0 .. 2**$nnewinputs-1) + { + # We count from 0 .. 2^n-1 and take the graycode, and then interpret that as a binary value for the input stimulus: + my $output=""; + my $gray=bin2gray($i); + $output.=" " if($format eq "latex"); + $output.="" if($format eq "html"); + my %onepart=(); + foreach(0 .. $nnewinputs-1) + { + $output.="& " if($format eq "latex" && $_>0); + $output.="" if($format eq "html"); + $output.="".($gray&(1<<$_))?"1 ":"0 " if($format eq "text" || $format eq "latex" || $format eq "html"); # not for liberty! + $output.="" if($format eq "html"); + if($newinputs[$_]=~m/[\&\|]/) + { + foreach my $subname(split(/[\&\|]/,$newinputs[$_])) + { + $onepart{$newinputs[$_]}=$subname; + $values{$subname}=($gray&(1<<$_))?1:0; + } + } + else + { + $onepart{$newinputs[$_]}=$newinputs[$_]; + $values{$ins[$_]}=($gray&(1<<$_))?1:0; + } + } + + my $ignoreinvalidinputs=0; # Look for differential inputs that have the same value, and are therefore invalid + foreach my $k1(keys %differential) + { + $ignoreinvalidinputs=1 if($values{$k1} eq $values{$differential{$k1}}); + } + next if($ignoreinvalidinputs); + + #print $output; + + # Here we are using the truth function to calculate all network states for the given inputs: + # TODO: What is better? Doing the digital simulation again or caching the results? + my %newres=truth(\@lines,\%values); + + # Now we are analyzing the results + #foreach my $out (@outs) # We already have a $out from the outer loop + #{ + $newres{$out}="HIGH-Z" if(!defined($newres{$out})); + $newsum{$out}{$newres{$out}}++; # We are counting the occurance of all output values of the whole truthtable to decide, which value is more often used, which helps to decide whether the function can be represented in a shorter way with a negation + my @a=(); + foreach(@newinputs) + { + push @a,$newres{$onepart{$_}}?"($_)":"(!($_))"; # Here we are collecting all values for a AO representation, e.g. (A && !B && C) || (!A && B && C)) "Sum-of-Product" + } + push @{$results{$out}{$newres{$out}}},join($format eq "liberty"?"&":" && ",@a); # Here the single values are put together: (A && !B && C) "Sum-of-Product" + #} + #print "\@a: ".join("&",@a)."\n"; + + + } + my $not=($newsum{$out}{0}||0)>($newsum{$out}{1}||0)?1:0; + # If we have more 0 than 1 results, then the negated inverse is shorted: + # TODO: When there are HIGH-Z outputs we should split the HIGH-Z outputs from the others and give a function for output-enable and HIGH-Z + if($format eq "liberty") + { + print " pin($out) {\n direction: output;\n function:\""; + } + elsif($format eq "testcad") + { + } + else + { + print "function: $out = "; + } + my @list=defined($results{$out}{$not})?@{$results{$out}{$not}}:(); + if(!scalar(@list)) + { + } + elsif($not) + { + print "(".join($format eq "liberty"?"|":" || ",@list).")"; + } + else + { + print "!(".join($format eq "liberty"?"|":" || ",@list).")"; + } + + + # End of AOI/OAI checks + } + else + { + # Handle non-AOI/OAI + my $not=($sum{$out}{0}||0)>($sum{$out}{1}||0)?1:0; # If we have more 0 than 1 results, then the negated inverse is shorted: - # TODO: When there are HIGH-Z outputs we should split the HIGH-Z outputs from the others and give a function for output-enable and HIGH-Z - if($format eq "liberty") - { + # TODO: When there are HIGH-Z outputs we should split the HIGH-Z outputs from the others and give a function for output-enable and HIGH-Z + if($format eq "liberty") + { print " pin($out) {\n direction: output;\n function:\""; - } - elsif($format eq "testcad") - { - } - else - { - print "function: $out = "; - } - my @list=defined($results{$out}{$not})?@{$results{$out}{$not}}:(); - if(!scalar(@list)) - { - } - elsif($not) - { + } + elsif($format eq "testcad") + { + } + else + { + print "function: $out = "; + } + my @list=defined($results{$out}{$not})?@{$results{$out}{$not}}:(); + if(!scalar(@list)) + { + } + elsif($not) + { print "(".join($format eq "liberty"?"|":" || ",@list).")"; - } - else - { + } + else + { print "!(".join($format eq "liberty"?"|":" || ",@list).")"; } - print $format eq "liberty" ? "\";\n }":" "; - print $format eq "verilog" ? "\n":""; - # TODO: We should try more functional representations like AOI, OAI, OR, NOR and see which one is the shortest representation + } + print $format eq "liberty" ? "\";\n }":" "; + print $format eq "verilog" ? "\n":"\n"; + # TODO: We should try more functional representations like AOI, OAI, OR, NOR and see which one is the shortest representation + } - print "\n" if($format eq "text" || $format eq "liberty"); + print "\n" if($format eq "liberty"); if($format eq "latex") { - print <